From d4ddf63d9904f6735a6bde8e0def45f5f40dbc24 Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Sat, 25 Jul 2020 04:36:59 -0400 Subject: [PATCH] Add GW4203A files --- Docs.sch | 493 + Documentation/GW4203A Instructions.docx | Bin 0 -> 14994 bytes Documentation/GW4203A Instructions.pdf | Bin 0 -> 41267 bytes Documentation/RAM2E Label Cropped.png | Bin 0 -> 82151 bytes Documentation/RAM2E Label.ai | 5684 +++ Documentation/RAM2E Label.png | Bin 0 -> 46523 bytes Documentation/RAM2E-rendering.png | Bin 0 -> 271060 bytes Documentation/RAM2E.pdf | Bin 0 -> 174198 bytes RAM2E-cache.lib | 369 + RAM2E-gerber.zip | Bin 0 -> 367212 bytes RAM2E.kicad_pcb | 4889 +++ RAM2E.pro | 260 + RAM2E.sch | 1239 + cpld/RAM2E.qpf | 30 + cpld/RAM2E.qsf | 148 + cpld/RAM2E.qws | Bin 0 -> 2702 bytes cpld/RAM2E.v | 100 + cpld/Simulation.vwf | 1880 + cpld/db/RAM2E.(0).cnf.cdb | Bin 0 -> 9778 bytes cpld/db/RAM2E.(0).cnf.hdb | Bin 0 -> 2759 bytes cpld/db/RAM2E.(1).cnf.cdb | Bin 0 -> 2356 bytes cpld/db/RAM2E.(1).cnf.hdb | Bin 0 -> 777 bytes cpld/db/RAM2E.ace_cmp.cdb | Bin 0 -> 14965 bytes cpld/db/RAM2E.ace_cmp.hdb | Bin 0 -> 15014 bytes cpld/db/RAM2E.acvq.rdb | Bin 0 -> 415 bytes cpld/db/RAM2E.asm.qmsg | 5 + cpld/db/RAM2E.asm.rdb | Bin 0 -> 1329 bytes cpld/db/RAM2E.atom.rvd | Bin 0 -> 9087 bytes cpld/db/RAM2E.cmp 2.hdb | Bin 0 -> 13385 bytes cpld/db/RAM2E.cmp 2.rdb | Bin 0 -> 10239 bytes cpld/db/RAM2E.cmp 3.rdb | Bin 0 -> 2546 bytes cpld/db/RAM2E.cmp.cdb | Bin 0 -> 14965 bytes cpld/db/RAM2E.cmp.hdb | Bin 0 -> 15014 bytes cpld/db/RAM2E.cmp.logdb | 1 + cpld/db/RAM2E.cmp.rdb | Bin 0 -> 12973 bytes cpld/db/RAM2E.cmp0.ddb | Bin 0 -> 6132 bytes cpld/db/RAM2E.db_info | 3 + cpld/db/RAM2E.eco.cdb | Bin 0 -> 616 bytes cpld/db/RAM2E.eda.qmsg | 5 + cpld/db/RAM2E.fit.qmsg | 3 + cpld/db/RAM2E.hier_info | 123 + cpld/db/RAM2E.hif | Bin 0 -> 1082 bytes cpld/db/RAM2E.ipinfo | Bin 0 -> 177 bytes cpld/db/RAM2E.lpc.html | 18 + cpld/db/RAM2E.lpc.rdb | Bin 0 -> 413 bytes cpld/db/RAM2E.lpc.txt | 5 + cpld/db/RAM2E.map 2.hdb | Bin 0 -> 8107 bytes cpld/db/RAM2E.map 2.rdb | Bin 0 -> 1033 bytes cpld/db/RAM2E.map.cdb | Bin 0 -> 6728 bytes cpld/db/RAM2E.map.hdb | Bin 0 -> 14611 bytes cpld/db/RAM2E.map.logdb | 1 + cpld/db/RAM2E.map.qmsg | 21 + cpld/db/RAM2E.map.rdb | Bin 0 -> 1178 bytes cpld/db/RAM2E.pplq.rdb | Bin 0 -> 245 bytes cpld/db/RAM2E.pre_map.hdb | Bin 0 -> 13605 bytes cpld/db/RAM2E.pti_db_list.ddb | Bin 0 -> 191 bytes cpld/db/RAM2E.root_partition.map.reg_db.cdb | Bin 0 -> 363 bytes cpld/db/RAM2E.rpp.qmsg | 4 + cpld/db/RAM2E.rtlv.hdb | Bin 0 -> 13531 bytes cpld/db/RAM2E.rtlv_sg.cdb | Bin 0 -> 9309 bytes cpld/db/RAM2E.rtlv_sg_swap.cdb | Bin 0 -> 195 bytes cpld/db/RAM2E.sgate.rvd | Bin 0 -> 7013 bytes cpld/db/RAM2E.sgate_sm.rvd | Bin 0 -> 231 bytes cpld/db/RAM2E.sgdiff.cdb | Bin 0 -> 7940 bytes cpld/db/RAM2E.sgdiff.hdb | Bin 0 -> 15668 bytes cpld/db/RAM2E.sld_design_entry.sci | Bin 0 -> 216 bytes cpld/db/RAM2E.sld_design_entry_dsc.sci | Bin 0 -> 216 bytes cpld/db/RAM2E.smart_action.txt | 1 + cpld/db/RAM2E.sta 2.rdb | Bin 0 -> 8112 bytes cpld/db/RAM2E.sta.qmsg | 22 + cpld/db/RAM2E.sta.rdb | Bin 0 -> 8695 bytes cpld/db/RAM2E.sta_cmp.15_slow.tdb | Bin 0 -> 15500 bytes cpld/db/RAM2E.syn_hier_info | 0 cpld/db/RAM2E.tis_db_list.ddb | Bin 0 -> 191 bytes cpld/db/RAM2E.tmw_info | 7 + cpld/db/logic_util_heursitic.dat | 0 cpld/db/prev_cmp_RAM2E.qmsg | 64 + cpld/incremental_db/README | 11 + .../compiled_partitions/RAM2E.db_info | 3 + .../RAM2E.root_partition.map.kpt | Bin 0 -> 322 bytes cpld/output_files/RAM2E.asm.rpt | 111 + cpld/output_files/RAM2E.cdf | 13 + cpld/output_files/RAM2E.done | 1 + cpld/output_files/RAM2E.eda.rpt | 92 + cpld/output_files/RAM2E.fit.rpt | 627 + cpld/output_files/RAM2E.fit.summary | 9 + cpld/output_files/RAM2E.flow.rpt | 133 + cpld/output_files/RAM2E.jdi | 8 + cpld/output_files/RAM2E.map.rpt | 278 + cpld/output_files/RAM2E.map.smsg | 3 + cpld/output_files/RAM2E.map.summary | 7 + cpld/output_files/RAM2E.pin | 147 + cpld/output_files/RAM2E.pof | Bin 0 -> 8022 bytes cpld/output_files/RAM2E.sta.rpt | 866 + cpld/output_files/RAM2E.sta.summary | 17 + cpld/simulation/modelsim/RAM2E.sft | 1 + cpld/simulation/modelsim/RAM2E.vo | 2527 ++ cpld/simulation/modelsim/RAM2E_modelsim.xrf | 136 + cpld/simulation/modelsim/RAM2E_v.sdo | 1880 + cpld/simulation/qsim/RAM2E.do | 10 + cpld/simulation/qsim/RAM2E.vo | 2210 ++ cpld/simulation/qsim/RAM2E.vt | 1213 + cpld/simulation/qsim/RAM2E_v.sdo | 1880 + fp-lib-table | 3 + gerber/RAM2E-B_Cu.gbl | 9257 +++++ gerber/RAM2E-B_Mask.gbs | 1404 + gerber/RAM2E-B_SilkS.gbo | 2725 ++ gerber/RAM2E-Edge_Cuts.gm1 | 39 + gerber/RAM2E-F_Cu.gtl | 30545 ++++++++++++++++ gerber/RAM2E-F_Mask.gts | 7110 ++++ gerber/RAM2E-F_Paste.gtp | 10202 ++++++ gerber/RAM2E-F_SilkS.gto | 13053 +++++++ gerber/RAM2E-drl_map.ps | 6757 ++++ gerber/RAM2E.drl | 699 + sym-lib-table | 4 + 115 files changed, 109356 insertions(+) create mode 100644 Docs.sch create mode 100644 Documentation/GW4203A Instructions.docx create mode 100644 Documentation/GW4203A Instructions.pdf create mode 100644 Documentation/RAM2E Label Cropped.png create mode 100644 Documentation/RAM2E Label.ai create mode 100644 Documentation/RAM2E Label.png create mode 100644 Documentation/RAM2E-rendering.png create mode 100644 Documentation/RAM2E.pdf create mode 100644 RAM2E-cache.lib create mode 100644 RAM2E-gerber.zip create mode 100644 RAM2E.kicad_pcb create mode 100644 RAM2E.pro create mode 100644 RAM2E.sch create mode 100755 cpld/RAM2E.qpf create mode 100755 cpld/RAM2E.qsf create mode 100755 cpld/RAM2E.qws create mode 100644 cpld/RAM2E.v create mode 100755 cpld/Simulation.vwf create mode 100755 cpld/db/RAM2E.(0).cnf.cdb create mode 100755 cpld/db/RAM2E.(0).cnf.hdb create mode 100644 cpld/db/RAM2E.(1).cnf.cdb create mode 100644 cpld/db/RAM2E.(1).cnf.hdb create mode 100755 cpld/db/RAM2E.ace_cmp.cdb create mode 100755 cpld/db/RAM2E.ace_cmp.hdb create mode 100644 cpld/db/RAM2E.acvq.rdb create mode 100755 cpld/db/RAM2E.asm.qmsg create mode 100755 cpld/db/RAM2E.asm.rdb create mode 100755 cpld/db/RAM2E.atom.rvd create mode 100755 cpld/db/RAM2E.cmp 2.hdb create mode 100755 cpld/db/RAM2E.cmp 2.rdb create mode 100755 cpld/db/RAM2E.cmp 3.rdb create mode 100755 cpld/db/RAM2E.cmp.cdb create mode 100755 cpld/db/RAM2E.cmp.hdb create mode 100755 cpld/db/RAM2E.cmp.logdb create mode 100755 cpld/db/RAM2E.cmp.rdb create mode 100755 cpld/db/RAM2E.cmp0.ddb create mode 100755 cpld/db/RAM2E.db_info create mode 100755 cpld/db/RAM2E.eco.cdb create mode 100755 cpld/db/RAM2E.eda.qmsg create mode 100755 cpld/db/RAM2E.fit.qmsg create mode 100755 cpld/db/RAM2E.hier_info create mode 100755 cpld/db/RAM2E.hif create mode 100755 cpld/db/RAM2E.ipinfo create mode 100755 cpld/db/RAM2E.lpc.html create mode 100755 cpld/db/RAM2E.lpc.rdb create mode 100755 cpld/db/RAM2E.lpc.txt create mode 100755 cpld/db/RAM2E.map 2.hdb create mode 100755 cpld/db/RAM2E.map 2.rdb create mode 100755 cpld/db/RAM2E.map.cdb create mode 100755 cpld/db/RAM2E.map.hdb create mode 100755 cpld/db/RAM2E.map.logdb create mode 100755 cpld/db/RAM2E.map.qmsg create mode 100755 cpld/db/RAM2E.map.rdb create mode 100755 cpld/db/RAM2E.pplq.rdb create mode 100755 cpld/db/RAM2E.pre_map.hdb create mode 100755 cpld/db/RAM2E.pti_db_list.ddb create mode 100755 cpld/db/RAM2E.root_partition.map.reg_db.cdb create mode 100755 cpld/db/RAM2E.rpp.qmsg create mode 100755 cpld/db/RAM2E.rtlv.hdb create mode 100755 cpld/db/RAM2E.rtlv_sg.cdb create mode 100755 cpld/db/RAM2E.rtlv_sg_swap.cdb create mode 100755 cpld/db/RAM2E.sgate.rvd create mode 100755 cpld/db/RAM2E.sgate_sm.rvd create mode 100755 cpld/db/RAM2E.sgdiff.cdb create mode 100755 cpld/db/RAM2E.sgdiff.hdb create mode 100755 cpld/db/RAM2E.sld_design_entry.sci create mode 100755 cpld/db/RAM2E.sld_design_entry_dsc.sci create mode 100755 cpld/db/RAM2E.smart_action.txt create mode 100644 cpld/db/RAM2E.sta 2.rdb create mode 100755 cpld/db/RAM2E.sta.qmsg create mode 100755 cpld/db/RAM2E.sta.rdb create mode 100755 cpld/db/RAM2E.sta_cmp.15_slow.tdb create mode 100755 cpld/db/RAM2E.syn_hier_info create mode 100755 cpld/db/RAM2E.tis_db_list.ddb create mode 100644 cpld/db/RAM2E.tmw_info create mode 100755 cpld/db/logic_util_heursitic.dat create mode 100755 cpld/db/prev_cmp_RAM2E.qmsg create mode 100755 cpld/incremental_db/README create mode 100755 cpld/incremental_db/compiled_partitions/RAM2E.db_info create mode 100755 cpld/incremental_db/compiled_partitions/RAM2E.root_partition.map.kpt create mode 100755 cpld/output_files/RAM2E.asm.rpt create mode 100644 cpld/output_files/RAM2E.cdf create mode 100755 cpld/output_files/RAM2E.done create mode 100755 cpld/output_files/RAM2E.eda.rpt create mode 100755 cpld/output_files/RAM2E.fit.rpt create mode 100755 cpld/output_files/RAM2E.fit.summary create mode 100755 cpld/output_files/RAM2E.flow.rpt create mode 100755 cpld/output_files/RAM2E.jdi create mode 100755 cpld/output_files/RAM2E.map.rpt create mode 100755 cpld/output_files/RAM2E.map.smsg create mode 100755 cpld/output_files/RAM2E.map.summary create mode 100755 cpld/output_files/RAM2E.pin create mode 100755 cpld/output_files/RAM2E.pof create mode 100755 cpld/output_files/RAM2E.sta.rpt create mode 100755 cpld/output_files/RAM2E.sta.summary create mode 100755 cpld/simulation/modelsim/RAM2E.sft create mode 100755 cpld/simulation/modelsim/RAM2E.vo create mode 100755 cpld/simulation/modelsim/RAM2E_modelsim.xrf create mode 100755 cpld/simulation/modelsim/RAM2E_v.sdo create mode 100755 cpld/simulation/qsim/RAM2E.do create mode 100755 cpld/simulation/qsim/RAM2E.vo create mode 100755 cpld/simulation/qsim/RAM2E.vt create mode 100755 cpld/simulation/qsim/RAM2E_v.sdo create mode 100644 fp-lib-table create mode 100644 gerber/RAM2E-B_Cu.gbl create mode 100644 gerber/RAM2E-B_Mask.gbs create mode 100644 gerber/RAM2E-B_SilkS.gbo create mode 100644 gerber/RAM2E-Edge_Cuts.gm1 create mode 100644 gerber/RAM2E-F_Cu.gtl create mode 100644 gerber/RAM2E-F_Mask.gts create mode 100644 gerber/RAM2E-F_Paste.gtp create mode 100644 gerber/RAM2E-F_SilkS.gto create mode 100644 gerber/RAM2E-drl_map.ps create mode 100644 gerber/RAM2E.drl create mode 100644 sym-lib-table diff --git a/Docs.sch b/Docs.sch new file mode 100644 index 0000000..53225c2 --- /dev/null +++ b/Docs.sch @@ -0,0 +1,493 @@ +EESchema Schematic File Version 4 +LIBS:RAM2E-cache +EELAYER 26 0 +EELAYER END +$Descr A4 11693 8268 +encoding utf-8 +Sheet 2 2 +Title "RAM2E" +Date "2019-10-13" +Rev "0.9" +Comp "Garrett's Workshop" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +Text Notes 3600 2250 2 50 ~ 0 +nPRAS +Wire Wire Line + 8250 2550 7400 2550 +Wire Wire Line + 7100 2400 8250 2400 +Wire Wire Line + 6200 2450 7350 2450 +Wire Wire Line + 6200 2300 7050 2300 +Wire Wire Line + 5000 2400 6150 2400 +Wire Wire Line + 5300 2550 6150 2550 +Wire Wire Line + 6200 2450 6150 2550 +Wire Wire Line + 6150 2400 6200 2300 +Wire Wire Line + 8300 2450 8750 2450 +Wire Wire Line + 8300 2300 8750 2300 +Wire Wire Line + 8250 2550 8300 2450 +Wire Wire Line + 8250 2400 8300 2300 +Wire Wire Line + 7950 2250 8000 2150 +Wire Wire Line + 7350 2450 7400 2550 +Wire Wire Line + 7050 2300 7100 2400 +Text Notes 3600 2550 2 50 ~ 0 +Q3 +Wire Wire Line + 4950 2300 5000 2400 +Wire Wire Line + 5250 2450 5300 2550 +Text Notes 3600 2400 2 50 ~ 0 +nPCAS +Wire Wire Line + 5900 2150 6450 2150 +Wire Wire Line + 4400 2250 5850 2250 +Wire Wire Line + 5850 2250 5900 2150 +Wire Wire Line + 4350 2150 4400 2250 +Wire Wire Line + 3700 2400 4050 2400 +Wire Wire Line + 4050 2400 4100 2300 +Wire Wire Line + 4100 2300 4950 2300 +Wire Wire Line + 5250 2450 4100 2450 +Wire Wire Line + 4100 2450 4050 2550 +Text Notes 4600 1300 0 104 ~ 0 +Video Access +Text Notes 6600 1300 0 100 ~ 0 +6502 CPU Access +Text Notes 8400 1800 0 40 ~ 0 +E +Text Notes 3850 1800 0 40 ~ 0 +D/F +Wire Wire Line + 3800 1800 4050 1800 +Wire Wire Line + 3750 1700 3700 1700 +Wire Wire Line + 3800 1800 3750 1700 +Wire Wire Line + 3900 1400 3900 1500 +Wire Wire Line + 3900 1500 4050 1500 +Wire Wire Line + 3900 1400 3750 1400 +Wire Wire Line + 3750 1400 3750 1500 +Wire Wire Line + 3750 1500 3700 1500 +Text Notes 8100 1800 0 40 ~ 0 +D +Text Notes 7800 1800 0 40 ~ 0 +C +Text Notes 7500 1800 0 40 ~ 0 +B +Wire Wire Line + 5550 1700 5600 1800 +Text Notes 3600 1800 2 50 ~ 0 +C7M +Wire Wire Line + 4050 1800 4100 1700 +Wire Wire Line + 4100 1700 4350 1700 +Wire Wire Line + 4350 1700 4400 1800 +Wire Wire Line + 4400 1800 4650 1800 +Wire Wire Line + 4650 1800 4700 1700 +Wire Wire Line + 4700 1700 4950 1700 +Wire Wire Line + 4950 1700 5000 1800 +Wire Wire Line + 5000 1800 5250 1800 +Wire Wire Line + 5250 1800 5300 1700 +Wire Wire Line + 5300 1700 5550 1700 +Wire Wire Line + 5600 1800 5850 1800 +Wire Wire Line + 5850 1800 5900 1700 +Wire Wire Line + 5900 1700 6150 1700 +Wire Wire Line + 6150 1700 6200 1800 +Wire Wire Line + 6200 1800 6450 1800 +Wire Wire Line + 6450 1800 6500 1700 +Wire Wire Line + 6500 1700 6750 1700 +Wire Wire Line + 6750 1700 6800 1800 +Wire Wire Line + 6800 1800 7050 1800 +Wire Wire Line + 7050 1800 7100 1700 +Wire Wire Line + 7100 1700 7350 1700 +Wire Wire Line + 7350 1700 7400 1800 +Wire Wire Line + 7400 1800 7650 1800 +Wire Wire Line + 7650 1800 7700 1700 +Wire Wire Line + 7700 1700 7950 1700 +Wire Wire Line + 7950 1700 8000 1800 +Wire Wire Line + 8000 1800 8250 1800 +Wire Wire Line + 8250 1800 8300 1700 +Wire Wire Line + 8300 1700 8550 1700 +Wire Wire Line + 8550 1700 8600 1800 +Wire Wire Line + 8600 1800 8750 1800 +Text Notes 6000 1800 0 40 ~ 0 +6 +Text Notes 5700 1800 0 40 ~ 0 +5 +Text Notes 5400 1800 0 40 ~ 0 +4 +Text Notes 5100 1800 0 40 ~ 0 +3 +Text Notes 4800 1800 0 40 ~ 0 +2 +Text Notes 4200 1800 0 40 ~ 0 +E/F +Text Notes 6300 1800 0 40 ~ 0 +7 +Text Notes 6600 1800 0 40 ~ 0 +8 +Wire Wire Line + 8300 1650 8750 1650 +Wire Wire Line + 6150 1650 6200 1550 +Wire Wire Line + 4100 1650 6150 1650 +Text Notes 3600 1650 2 50 ~ 0 +PHI0 +Wire Wire Line + 8700 1500 8750 1500 +Wire Wire Line + 8700 1400 8700 1500 +Wire Wire Line + 8400 1500 8550 1500 +Wire Wire Line + 8550 1500 8550 1400 +Wire Wire Line + 8400 1400 8400 1500 +Wire Wire Line + 8250 1400 8400 1400 +Wire Wire Line + 8550 1400 8700 1400 +Text Notes 3600 1500 2 50 ~ 0 +C14M +Wire Wire Line + 8100 1500 8250 1500 +Wire Wire Line + 8250 1500 8250 1400 +Wire Wire Line + 8100 1400 8100 1500 +Wire Wire Line + 7950 1400 8100 1400 +Wire Wire Line + 7800 1400 7800 1500 +Wire Wire Line + 7950 1500 7950 1400 +Wire Wire Line + 7800 1500 7950 1500 +Wire Wire Line + 7650 1400 7800 1400 +Wire Wire Line + 7650 1500 7650 1400 +Wire Wire Line + 7500 1500 7650 1500 +Wire Wire Line + 6900 1500 7050 1500 +Wire Wire Line + 6900 1400 6900 1500 +Wire Wire Line + 7200 1500 7350 1500 +Wire Wire Line + 7350 1500 7350 1400 +Wire Wire Line + 7200 1400 7200 1500 +Wire Wire Line + 7050 1400 7200 1400 +Wire Wire Line + 7350 1400 7500 1400 +Wire Wire Line + 6750 1400 6900 1400 +Wire Wire Line + 6600 1400 6600 1500 +Wire Wire Line + 6600 1500 6750 1500 +Wire Wire Line + 6450 1400 6600 1400 +Wire Wire Line + 6300 1400 6300 1500 +Wire Wire Line + 6450 1500 6450 1400 +Wire Wire Line + 6300 1500 6450 1500 +Wire Wire Line + 5700 1500 5850 1500 +Wire Wire Line + 5850 1500 5850 1400 +Wire Wire Line + 5700 1400 5700 1500 +Wire Wire Line + 6000 1500 6150 1500 +Wire Wire Line + 6150 1500 6150 1400 +Wire Wire Line + 6000 1400 6000 1500 +Wire Wire Line + 5850 1400 6000 1400 +Wire Wire Line + 6150 1400 6300 1400 +Wire Wire Line + 5550 1400 5700 1400 +Wire Wire Line + 5400 1400 5400 1500 +Wire Wire Line + 5550 1500 5550 1400 +Wire Wire Line + 5400 1500 5550 1500 +Wire Wire Line + 5250 1400 5400 1400 +Wire Wire Line + 5100 1400 5100 1500 +Wire Wire Line + 5250 1500 5250 1400 +Wire Wire Line + 4500 1500 4650 1500 +Wire Wire Line + 4650 1500 4650 1400 +Wire Wire Line + 4500 1400 4500 1500 +Wire Wire Line + 4800 1500 4950 1500 +Wire Wire Line + 4950 1500 4950 1400 +Wire Wire Line + 4800 1400 4800 1500 +Wire Wire Line + 4650 1400 4800 1400 +Wire Wire Line + 4950 1400 5100 1400 +Wire Wire Line + 4350 1400 4500 1400 +Wire Wire Line + 4200 1400 4200 1500 +Wire Wire Line + 4350 1500 4350 1400 +Wire Wire Line + 4200 1500 4350 1500 +Wire Wire Line + 4050 1400 4200 1400 +Wire Wire Line + 4050 1500 4050 1400 +Wire Wire Line + 6750 1500 6750 1400 +Text Notes 3650 2750 2 50 ~ 0 +nRAS +Text Notes 3650 2900 2 50 ~ 0 +nCAS +Wire Wire Line + 3950 2800 3900 2900 +Text Notes 3600 1950 2 50 ~ 0 +RA[7:0] +Wire Wire Line + 4400 1850 4450 1950 +Wire Wire Line + 4400 1950 4450 1850 +Wire Wire Line + 5900 1850 5950 1950 +Wire Wire Line + 5900 1950 5950 1850 +Wire Wire Line + 4450 1850 5900 1850 +Wire Wire Line + 4450 1950 5900 1950 +Wire Wire Line + 6500 1850 6550 1950 +Wire Wire Line + 6500 1950 6550 1850 +Wire Wire Line + 5950 1950 6500 1950 +Wire Wire Line + 6500 1850 5950 1850 +Wire Wire Line + 8000 1850 8050 1950 +Wire Wire Line + 8000 1950 8050 1850 +Wire Wire Line + 8050 1950 8600 1950 +Wire Wire Line + 8600 1850 8050 1850 +Wire Wire Line + 8600 1850 8650 1950 +Wire Wire Line + 8600 1950 8650 1850 +Wire Wire Line + 3800 1850 3850 1950 +Wire Wire Line + 3800 1950 3850 1850 +Wire Wire Line + 3850 1850 4400 1850 +Wire Wire Line + 4400 1950 3850 1950 +Wire Wire Line + 4350 2150 3800 2150 +Wire Wire Line + 3800 2150 3750 2250 +Wire Wire Line + 3750 2250 3700 2250 +Wire Wire Line + 8000 2150 8550 2150 +Wire Wire Line + 8550 2150 8600 2250 +Wire Wire Line + 8600 2250 8750 2250 +Wire Wire Line + 6450 2150 6500 2250 +Wire Wire Line + 6500 2250 7950 2250 +Wire Wire Line + 4050 2550 3700 2550 +Wire Bus Line + 4100 1350 4100 2950 +Text Notes 3600 2100 2 50 ~ 0 +RA[11:8] +Wire Wire Line + 6150 2100 6200 2000 +Wire Wire Line + 6200 2000 6750 2000 +Wire Wire Line + 6750 2000 6800 2100 +Wire Wire Line + 6750 2100 6800 2000 +Wire Wire Line + 7350 2000 7400 2100 +Wire Wire Line + 8250 1550 8300 1650 +Wire Wire Line + 3700 1550 4050 1550 +Wire Wire Line + 4050 1550 4100 1650 +Wire Wire Line + 8650 1850 8750 1850 +Wire Wire Line + 8650 1950 8750 1950 +Wire Wire Line + 3800 1850 3700 1850 +Wire Wire Line + 3800 1950 3700 1950 +Text Notes 6900 1800 0 40 ~ 0 +9 +Text Notes 7200 1800 0 40 ~ 0 +A +Wire Wire Line + 7500 1400 7500 1500 +Text Notes 3650 4000 0 200 ~ 0 +Information may be out of date,\nsuperseded by ./cpld/RAM2E.v +Wire Wire Line + 3900 2900 3700 2900 +Wire Bus Line + 3300 2600 8800 2600 +Wire Wire Line + 3950 2800 4950 2800 +Wire Wire Line + 3700 2650 4350 2650 +Wire Wire Line + 4400 2750 5250 2750 +Wire Wire Line + 4350 2650 4400 2750 +Wire Wire Line + 5250 2750 5300 2650 +Wire Wire Line + 5900 2650 5850 2750 +Wire Wire Line + 6450 2650 6500 2750 +Wire Wire Line + 7950 2900 8000 2800 +Wire Wire Line + 7350 2800 7400 2900 +Wire Wire Line + 5900 2800 5850 2900 +Wire Wire Line + 4950 2800 5000 2900 +Wire Bus Line + 6200 1350 6200 2950 +Wire Wire Line + 6550 1950 8000 1950 +Wire Wire Line + 6550 1850 8000 1850 +Wire Wire Line + 7650 2750 7700 2650 +Wire Wire Line + 6500 2750 7650 2750 +Wire Wire Line + 3700 2100 6750 2100 +Wire Wire Line + 5600 2750 5850 2750 +Wire Wire Line + 5600 2750 5550 2650 +Wire Wire Line + 7700 2650 8750 2650 +Wire Wire Line + 6200 1550 8250 1550 +Wire Wire Line + 7050 1500 7050 1400 +Wire Wire Line + 6800 2000 7350 2000 +Wire Wire Line + 6800 2100 8750 2100 +Text Notes 5600 3300 0 50 ~ 0 +State = { PHI0, C7M, nPRAS, nPCAS, Q3 } +Wire Bus Line + 8300 1350 8300 2950 +Text Notes 4500 1800 0 40 ~ 0 +1 +Wire Wire Line + 5900 2650 6450 2650 +Wire Wire Line + 5000 2900 5850 2900 +Wire Wire Line + 5300 2650 5550 2650 +Wire Wire Line + 5900 2800 7350 2800 +Wire Wire Line + 5100 1500 5250 1500 +Wire Wire Line + 7400 2900 7950 2900 +Wire Wire Line + 8000 2800 8750 2800 +$EndSCHEMATC diff --git a/Documentation/GW4203A Instructions.docx b/Documentation/GW4203A Instructions.docx new file mode 100644 index 0000000000000000000000000000000000000000..e25685fd1b05e77b5a2842af946bfe797a5736f3 GIT binary patch literal 14994 zcmeHuWpo|6vhFrBCytpNGcz+YGcz+gcFc~MDQ2daVrF(sam>uj%=GrmnYok6%zJCS z-}m%htzKK|uezkJQc3Dh@={>nZvc=0XaE2}2;fx|-*W%~0P-OK05kwJsFskOt+R=( zv!1ety@`_!t-FmiK`uBbc{TtPc>jNo|HUm(n=owILys(S8}t$|Uf(O(OkU7Cm>X?^ zZ4SFkdBKh{4QFxj@ECR6o3PPaAi)ahv6Jb=5{encCQ3#Ht-$fQT42EpMt$GWWEb-> zrTywe165&8+uV%MNGsHuySW`pwgJyj&=Q-ep8pCdU91T}Y!_OJs=_Ke0 z)oHEa`2{!mJCXX4!JvNLI@%k<5(bZzAE%rW09=g=b+#m3Otcj%QV7M2O6!;5f(9pX z361OCpo{ZhEDSpra;gvn*Jd^>8F>y8G?X(HjDroUcaE7{P0aby(MFn%&AIZZSRW)V zyCgzmO>LbQlq2L_vpQ%(v_+kFCe165M@ZQ5p~wa-vPqFZDa}pY?a@&hur}_=6r5U) zL1Mv^p{t19MAdtao((B{I=KSgY;nD1LfDNS&*l;$umLIVDB}AD>ogL_S=Jz@Mf$Y4 z@K~o%>h&TQ-R?kgHFz7~NW2}>;C^X25Y-O!$JbXdfc)P)6)zU6;S^|ovOq_K1A3~S zqlvW>9qn)Fe?9bnv0MIB_42qbpohZqp9VY!jJGK)bYbMl(i#0&#F&SI){>A$Tb#3; zeR<}dn*-I^HxL`1n2i77>69+&vX-E8hLxm(5Y`4WbFbT@_1NkTNDOK%U~r$Y-+=$T zb7T5EL@Yru6cDcQHf#(FGWISsWwaA*n^O2@y9myLh+=HYh~#Ttri04tZHf<5b}Ga4 zjHS4i7gQ;aNP94D^LI=iSW~|0WG0%J=eQ3Ij_z zfsqUc01e`1=V(m#A15)kGjg#32DRU!*`E#r0t{)uyZ?6|QwcJ1K!XWB6@Ln$bW5}8 z{AjVv4wyjH){k%WELjvC6-#ulIzNOFI^<-aL~J|SV>>?-bKN+1{-Saf^gE6+ZF9VCFsc-J|`y}&nh)LZ| z5k?J4=u`ZMV^LM59PEvr*n3Tqk?}qWdOc?v6x9+gIe(G|Co9UQxP`~cC*pbl4E%xC!Ad)Kv+;r*{xs1(1XlL7?* zXfpu-C_v---3ouUzauRzyG2oSA3gn7u(BfB81)`5iYZx!k_yL>)r*F;#djB#)f1dc18->!R*q37qM8woHajy*3QlAwpu4c-=U!-anTxYSdGdZQN^!GnJZs0)>^*~L zmEzCC?oWYn?C6${hGb~5ot=l6&;P_Y)lBLQA?mc(zM^Bt2}i^nI?L>q`5kXM;I4n3K>tEDqb8 z%@G}Mh`Fwz#heoHj$l$qQ2MKLwXJF~LeF;26?3t26R35!&zD!~FYJ5~#kr8^vB<-? zGU{{rO=~1zblNDk1!*kXr3h%>K=~Izs=D)Yr0D1=ZTz{boDf3dH}yv#;IquiFA;igKz#0kpSDkoHjfLY8s*IhuIElb(nOlDL&1$O-`aoC?>Ot3GPE6<|Usbd^*Mj z?UD2;_`q>5?HCg$1|>7!&}~N(5kVR3T47MeVRR@On?cTRcI8dcZiXIol1TK-{!kN1 z^wy3s4p`<6doh;jwCsyRwNxe9omiG#l*{4tGAU1e@?%of*UZK{`L0FF$#r$i_7?&mWV4ec{NZ@tpSnINyLo`&Rl6(m*Qpfl-)EF)7Q6+9~_KTd=Cg* zQS*6v+V?$u?6BzCmGgZ*L|#ZMh{n%Zf%2WANF1DIVU$_)m>CjkrlLb3d~uvo^$&h0+WaWN zkz65c>t#glvbw40VR_B)9pU$wgUDn`aa3FFolUK}l$g}pTV|4c+scF-Ax>a3hSfq3 zBHZ-rir0aIMy0&9g0N7U5pKU{0ti1%SV{{f*qC^?u;6`z7(RzfIUY+KC<^FCT zH)QIyxFV&iwSOT!pYRL<1q(07(q=|pi}*0)vqL?7Zlkh#Vf&`Iq9l=JQi{>+F=~5% zM|Hnm%}EP)4a?~>lb*bj=SRpi!g==~(_^ku5S7z1W5oL;T!MUDyO{ynT&($r&rPA0 zavQ@-oP85D~%}4=jZiTb2Z*_bx8Q1!>9VZ|0jwcF`%8%L_Ds>K1Wz8|);qXYz zs)jX9${z2>sVcIaTTTDaSw(u#3(&)#P9zwp4^G=`;1R+XJ@MOtG3%2C!8*S-jW{HMQWF{P5ACTzT~MM z1Dzw98OVa2(nJ+q-ZwsU;}HAbJJ0xK^n`G5ry)`~v51y!6?=V7{Yln&bmU74?dsqZ zam(=UObon@XlmAc2SaRmEZf6#2`O?>zzRr(=K;lUMtRc4_0Qk5Zi z3p&pqJv)F=huXa?%eDlWS&O&OxHc`EaBlmPB3~3a4N}U312@XHkqoT1@Qg>@^j4Z9 zd+s`Eyj{&r=gAf)iUIYimQHcOgz$ZxXJ1{*Lqke9aGv!qldbwIvy=%)0AP>+0C@Xn z72+zxetAKWzTIl5<1&N3l>VKvLTQWp^2rf608CDUSj zd7+LzSU<}V20T4R2)=0>SrDF~cPkFxs7fLoKlT7mf{Et3H(DnEro~@{uf6o8xwN^N z*=c>_IfYX!1+F_TN{dI$0XIEjE``KkpzQ-ab)B}DctQk<3Cu|&9Q9H-SC+3UNJcVB zR5jSeC-!JgTaxV~?qo^nWJL%y%$pVEa6bWrI0fyo> zhSV4oSHuKKH?sn7{&hW~AS?`p_DW?VAwi>o$CLwOPs zY3HS|7pGyacSBZ$lyJsQjzZ5330klbMJzM}Jw0{VyZ5?zEi;uM%C?)3qXI@h)DI^C z%vfErO0Wnp7q(gqRkmC~h$c#>wQjSD&1xm(9!$BLOO+^R ztWe&!hqoyNFyAGTKoz4kb@vzj2!~7>lFr^j5W zoV>2K)flYi8UXx@+j#a+E_3QRap0%EWaAN%EcU8tsz<$Q499ow`ebT-!FnmfDD=M88iV@(tYL`Y+! zXv7I(@0w6>vJR^jIie*D*17!_%GC9KqDd+pk4QBhCq{@kjWK7K1hRwL8oX;Y*yiSh zok!H>;PLL8aQ8g_R3WNTPek$$?cBK`j6UY*B@xD&*d@KQSOa^)u1Uhe5zL5cWY$z{ zZ-XCgkQV(XH$2UuG9<~ymO>{){TJVOKSQE~nW=s!`E)TyWe7_cmi}28M97P+3{^kPoDT1U2geEf5WsQIa(^f&{S z(a}=7Vb9l8gUg7kY3Q0E6YH;|D#rb#2W0B}U-HAS!;L=C3%cTmlz$vsF(f{xmPaMl z&A)$`f=>m}cBy93-8Z+)SL~k7sf%wUG&J%J(zFx(22C~n?#5lmzQ^~}v7diu1?t<{ zZIWN_%lCQ?{wZ|=xD+sOm0+4>|IqapX<=M48rFs(nGvDSO*yR!SG^=2RuHuck9YW$ zH-502(@1hObCV@*N1gG?oFaq9U)w3VZB$u6HjOU!-?4mPma{c%6r=v}HEpN7@P2ic zS!DOVJdUHx_&X(L%QvxNZQr?jl|^!Tok^`Bp0DdE&LB=n(p1E=}|2 z7z=a(r>1m2IF1RM5T<*G*m0jg(<*6|O60JD19^6)_u2LnJ*vx={@*uwSY^e^rl*}` zkog(0L%OY45KYpg#jr<@Kvp!{PJ$i;4#PgieZWU;>XSxwf%~*$c}7EW^<6edWU)K9 zVCr4X4?U^M&h0INYw@L9MNwp1Fr7~i#*p;(BS4;#_$2Ej+&As+&V zltY6h25<)pa`;HTjx@%6Ajy4GSTylGAZ&Odk1ABYyUZUSp;b4d?DZr(SVxtWxqYR! zGU(3OMXW&|=VCysVwk~0sO~a{BYrBjX|x;j{hkIq_~NsQNhbq}qs=J8dehqX^2XST zB(ZV#)i_)QVKWrX74m!I%x)Ji8L|eXQD-MLQ{Sf49(j)a-iDLKpebQm3v_LQgPGdh z=xDdWU3C+WRsF=mlTqzi-RE0FDFQMK_431_GL}W^$XiKTA*SQF4hs!k zrcLCXuI@-g9Z1s$>5@4m-IeH3{?k;0%xHV#Dy~g*860G|w*q~qo&uvt zl8H%tLwlJc0O+&eh&_l>-ec%L8SKk4vF_ zCWVJaH48*ppe1$la`ze*3JTTAT-r<%^-&@=`zdlAH&{_;vENER9uw0IMGvSRzd*9u4?wLmik+f>EucRJE*e724 zD=!9J9^3L{auJuvs}KxPwc1CibK=waydL)uCaF`%#}j848;@KBeN`%rB120(r5C!0 zm~8~D+VUra$TH+I5MN@uX5TFr4KofzbxFUgz~;b3&|{A*-iR_B5vOh3DwufBKqnQq zd6HF!TzS5jR_?w+uT8P(Ktvye;ZEw7pOh5&tj7v)OEP}_Ds_Ze=Lt3Tq0a?L`%N@@ zC5#;Ql>e@}q&tDA&By!$TqwFwyboD^rzc^-up>OP>9WK~tD|{1qv83~i6r#m7totYQ~7ggmS`)fqwVV7z9Y{=M%;1(r@%_xyVAowhhQFc z`#!&iJgdGX&+)^d;!v6yaN0MlObBsMUCvNY2;mflQiZ?qg&#g`Kr%wcPijiuC8S3A z94p}lnwH^`YsfnUeCRE@MWmy?)`cXD>=)gx*9}XUNb+o1PPoaWX9^oF9BRtmHBGq% z*B-cXVk>Zu4=;6o=O;l$v{_sm^l{)6XBo0)rBQDx3GWiT2a;9JOJc(Sc@`^rp=)L! zYm#0s@DTQH<>y$$)BI^GgQ*^$SV*sfp}YEIRQPRLKQk#Nsec3mt*iIDAoRzV&a7mh z)JJQLUlrZx5Wsw}^Mo?-$g(eBZ{eNc`gUE}mS1u^XmQ`oOO?;#y{*kt+DyfEwyc6( zBI?zv6EbYi8Ymqw&xl!@8q7qu|8R`Sh}7o77#Qfp=K%FQqRj>kWn(%yuY!s|=(KuU zq_dMnw5xRL&9g`LNzjeQ{A-{>)}7F|=*#*G&|61(C^dsB*^1`b{guEzPbD9en|y4q zUd?b)!&CzE+VK|WToy)$teBw<%wUZOx!Br4YIeMakH(=BED0$P(&p5(q2+3g%x293 zhKrZ3ScdYjN;i|l$(al4PZaJ-4SNFF%{J;~8xR6!5|l1dDT%}HrM{>@EN+5ZmTp|? zrf^^HbM(Sub;7r68DkCZZCF0h9Aia>DYL<>WH;M-(JXqxg~T1pdNlLA@qT+2KkRL+ z)zRY}a8F~(D_>y;xv-p*e6Q-7%T`xoK?he(y=Rh>7}Q2xQzJg?O0NS^-t1-Wpq4S(`GQmuIZ9H=(e50lavtRiA7 z#bnJ`lS9`^!JrgV2+f0NMoJrFhU3_rWb#q_u_n(OJXT;u!rd|D24YmS)Hfkrtpv30 zK42N(WW>=)D;JEZbyAaXqqb_MQQa-ReJ1`Sw45Vp!C&_Ib`3UkzO)%1i7GH=J_^vw z?z~uXNBWy%miw38dz{(L=HG}t_xS<`*8l8vmRepR+JQZeuQvdI^ykpq$=So&Q z)eE1DuZAwi>G)o+>G*i?cl)eHF8M(wp4egJM8k8{@lKDn*8Rz-U&)iXRj76urPOnL zOp&-?hVj&fk#eMy%8{8+!Z%#Z?6@-{sNWw7#a=c+-#5Xl!N|cGb;O^mqnM=8^)gnP zk9{y7V+>D9h4;uT3y|H2OQw&lU}%8?B!tq(H>JPBpYEDN$4p>c#r@n7=MKi+3vvU{ zM-77>NKx;PswsDHjOxKrO?hHjr^_RNiLS&~Os&=^7caE}b#e9sIrP2$?xWg;GI*9g zHcv_G_{`KYd?fcc{NzS4NraRct$_#YP>Gcn`bqw*jLw2q)DpqWv;3~ zk?@5LC6rI&Q7c9-_;nYb`pELy0xSnpZ3T=pMkYcmG3EHYvu3muwV0Kb;!%cqr8~@f zaJ}@mU+a2wDtr^Q+V-*EHd00u6{JmR@^-|o6Gs;YX*w`s9qN<>tyZ2g5;7H}#E>Db-Ze&8n3c z)M;H_&+WqaR-3Eyl`hu<@MKKka}*!BlL2^R;)rnoB~w-| zWTe8Nk*5_rLecz}O^=+zO8)NwP44O@rR4Yv_L;or>WKp&;Y5AJ;HItWCQ*3jpY|ih zJo+bNlptAgnb9ebCR7-SLq|!y2#n5|iB8(X#N*z~fQ+j435E+JgS&KhOC#E)85`fP zi*EHiN(%W;s^B;g&8VdzGnKE``E|k5A;6ouo9NT`zy+j@6*qsI2=yGA2<04^2z~ex zAy?}2l{xo6XYKm4D_z)J{@p{lQNwFf%UAV-%k_XohPOx*N@yR~dm?$vu$HPLc#N%^ zps(&XU9F!}svkKL$F1z;7z)2gC|`P_5t$tni!@`c0WIgIOhT)wK^(8k3}) zDB#-m>(` zYfMDs6zr$q(cM|Rq_3~cOHZ!|1>Yf51c~kQSr&oyNjC#klo_J9t9T>A`Hrl4NGU*} zFV*j_bNEhj#O4F<{2_hI zcC0$7iqLw`oA~FK{`a}q{nJczxc#3~g?lclib$7sja#-&la{Ku4=iAA?@Njb#w~hk zq>y&0y{r)e^D6E%X5FLKxDLW{>l_YkTR<&r=F$DdbQGpyB+p3UuctxxGJMh;7H`p_ z7xD6l*dU0>*a{jdmO^R$p8K-`@#YyMCXehs+=735G(}yea(Zcw+xB?uhbVeMa?vUK zq?C4*wcilh=Y=}iK5LZ9($Ziq6uZs8bS2(Tj$P5ez>6}tbjauM$QJ)t0YWy2mBj^qSMARS z{iYAmKUAF7rp6&qHjhT5ti45{%)f(vtN530ze9fen;`Gkl>C?W%^Q=txz5X`9pQY3 z`>jSDuLV?##j6L=j+RBK0jtr)0H^(VDFnr$uAI_iP~&oOf#T#<{NBbg1Z7L z@~xaTmJ@P=iS?HfO)dLd5HBmNb(lhQmJ;)-9|30Y<9TZsQt6bw$M1{Tp#MmV%Mjl8{UDNmZGg8-wh zCImV&9kaG=`SIM1McAVSE4NwO=6f!)cI1WqC=MG;8RiD$RvIBK$1FTgX+c_@S)DSm zyQw944(?lO z*G>ITvgOKBp=s&#ds64?=!({=9a)ydsq<&DRMD>@W%E<=N4LI} zEh+=*^FK-4BJimtqkl_&QNp=Q8oY}tyAWnL?BmG?2Q_NFH`aH0}5=}pk4V4_jO`AO+?9~k<=3XFFp_{X|M$KfEO!POC`aL@*>sVu1)6FJ% zF$Zh8)&(zG^|b&x?i&;5dm>8m9typ{j<~1kM6ER{z;ZQpk9VxQY8;Q>E0@`nW3UZe zc&Bd@m8wP$6vg+P7ubx|JoPUS?+#a5zM7`KGxtVH6(+VEd|O`P^~wnu%+SjepKGT>r?YtTQI~SjZ`6SZ$ZC%g1Q3 z!uHI{h*MeM>OReB7LU7_ab)Y36VedH`gy&Qi8zTkpXtbY$;K+ja*UcbkN?>rTPghMH{!od#I1sEG$)StK%75=d@$wZDr^FdepZ-AHhMz zqIvUP>+Mb%vlB{L-Mg0E=fuyZ}PIcO*B0I={G=gYg>6<^NI&LP0 zNB!L0o>pf9SN2Yv zBFi}T?HFmAq^%-sfuc3*$Fz_)ox7vKLPSRJI(_^I zVZDAGmel_1$*R<1ol`T^D*H(bQX}zapM9bS@Ld&)_avC~sD3B~%BC!Ybe9_5GDOi! z;<^ct?~S1{r7thPO3x`LAZBV?bmf5JL&Tq#RH4;~)>0JcmJ2xXK?lMNn(Q+VAUD6Q z)3&~Y-HWManEt5kxK)eZU(XiTrWmGc(NJLyt|(8=A0QwXFCd6S?LYZqED(P$U>U>( zM>bA7KdGmCt*@dIU^$h&{sQyC%iG;H6N^geRuVACM4_mx&YwBn!&v@w^9%-FzxV-p zrW<5nVjIqupm~?Gk+n3ZS$g>r;iz;%0{?< z9Y_ywQ!I$QD$i^e!@+w!-*2}P1Y+)E5HvD0hBGAPf8IhSkdOm%hgnA4WS{O?);Ls7POo;hupOSg*}knlxFr zu|&*V_WW#g%{#ey&r-SQ=&MOIiStDuUq%dCfvbh~P(uY>KhdggxpH`5XLwphLpY&6 zv0pyb!f`cfX4V{}ok#E+;?TX2e7iV+LHCFlYUvYA)lcGPJSv2z1Ix<^{8!JlE_8Pdy=wo zkCPe?a##d)jhb%VITE)xIs2T1^7}=M?=-81h9fJ{1^O1RxNoRoD!eSpDuso<5H4~C zGYb2o)R|X|MYC2(d>3&=RQ8V`q60nVRS%7)7!<|TnQa9~8k!`dc?|fuyHEjUECdU= zbHHT{&Y{V{1~e^Pi2fP>-NdrJp!eRM?OpIZCmWYL#urmpN3&g~7lq}<6)7nMQ?y*j z*9ahs+0BCM4dX@ko!ryy2VC>7dAP7V4!F8}KSPwj5n zE1E@7WF#=XV-eVAuC<~R>a!5U#R@h51n#Nyg6r`gg zCIMfKYi(v7@qEj1G=&QVdY&269x~JulKG8`v$A~stV-fzc5J

6*QV%|2o%Qn#~V z4I~E!T*$#o#nDqcn!uetZYG!|D#F{x``-1#689C8#pZ08Vi?Dw3z{F z)ihtQPv&T2?ubUvmzfN7VpH9AM-lH4y$)-k6`K9#+tpCzRB5qf^ey77Q?-e7JN98p zS;kIp;BH=2E5^}_UZk2z5A%=Kdbxc-%Or20>JC1uDf0m<{m=Fr{_s6M6BcBIz zWE#bLB;zE=WQFsiH8o_S)dGFesvre9t(m4@QXgh({5+Uwu+(I2cE(NAOg^hunBEZ| z#V0(3P3rrrIXH|$f#!f?BiAGHsMSu4ws3X)`Q$DrUKR32b%i$iZBVaq*v2-XP5iUQ z&U_r6-N4$C155FM-RNHy^B+p^Z+7#0z5mMx2DZ|lN5oil7|<^u*Pho_y3Fm;m*j^~ ziliuV>o4M}*S&lD34Dj>Dz>{ zvszjb2GeYHJ?XpruQVPZ?YBJ(Knn;5e&0j|R`Pc?t|@Sbld^%K_3s10);JxjMfx|v zr%;bbg13<-WU^XHMb0{40oCE-&j68F8-))TxTLl(&sXct%QZC^qBh7EUio4(Jxxs? zR8fzcAPk%%FEP79txW3$8(3b>8`~SZp>d|QC?j`Rc5bAOWdC+#2`bA@oGIM z>u|jBGUB-ZNmPi;lYJuC$vmKXaRsX%%Ln9IDD`o7prpn`zat4ZTX$d~?r~V^7pR1^#_!!q31VAjRzewNK$! zNx!no|B@vS^DkWUU*W&9+WvyS19H`WSL9cY+pi*i1>`~?4F zr2Z@V?}M11(S`JY|Lc(ES1ErFp0c+#H;i-R92@jli%17yEmc)=wd2rYHV*}3gG95advSsHME6s2R_&R7Q5eq?6aaicE5h{ zv!l7Nw#Ecy)D>^biG|UAjhAj4Oz73%>>ZS=SR*VsazUh`xjqLDBfUqG8YAlSk>y3) zf!jgc!Q{+V`pwU*2G{q^t`YUmOD?zOFC(n?_5wTKO1`4 zqCR+4u2!L7pO25_Q?*&tL|Dizw0t)6H3DW@Y%8ywn4mKmb9_i&T5#m}EE5%HF$wv@ zIF7TW?U)(NVLvx6Mj}|RwyH$Fn9rW-o;|RAUsxnt75L;O#csQl&QxOM!1dB*!|;hm zPg&71B@yoo4)j@pUeLb553W z_~e>fY4aQ%T_S?JtEkUQX=VL-mXix@Jt;F{c9CE@p>K8F78(+@l zuztm^`0jC`B503dX&?;#QakqEy@XHOyuOQ$Pgu#&aqQ@P$>|11Jy;xE2=|fa&g%dM zfO$N#_yx>()9YL>Z^5br=v?*;C?@-Nx2ULB_glM=Qi$ z?3*<^9GoBy+~@4r`tFJ~!Kh>O@+#pF3r(EJOrna)gpfcEpoHL&H>B^&1MB@Lu(DdP zpz4sab>LR~)uA`q3M;InKPXd*aUw#4Sl;gU1hXEbpxeX!Sk2fLTY+0yAST=Iz)zTr zw|)ABXgvGGqHsYm=Ce79C|y;jg|IyX(u&wZw712qjFoebjdusOi7}FORS)i+a(3>) zS7IR)saxa8ZvsyjM4bj&);Wr=69Q))8{>}VG5w7xW`lL{@kd|DxmhGBt= zSa1E7PG3kynAOt}4NZ~owMkv>C{R(hfHWOes;do2NhTbt@CHc?SlPXL{Pp3@T#`G+ z?eOt_Lw&|}=V}f%S!|i9eNr#(%oHuvql(m3Bo!jae?882t>($e)G}7$n$^54i!xB_ zu}V8CKjV=)&5W;D;t}ZPTc4MrKZa1btzwl`W;=nJ$&|EWBtQ&Bn#L}8d3@V5?7CXh z$R|S~qOrbuD$Sx^)(`~vHl>q>-GQht-8W_)zgwjZHeiz(-L!ls6HR)G?lCla?D3wc zs(!er8|DC%e6eDWtX_E7($9eHGmBc9@71JUz8A^ddP8QBbfl6>tBNV!xGBh0Imf+S zhBdCo9`VdH8wV1DVF`m`Rdl1-?C;g4SIl&Vsm7n3DsB=Y{JgPKF zGu9HYS~dqJ-w-%mdqvJ2r}mzXLk|3q${;tJ+*NJbxF_a}k6~6FVk=Dwvk2$XA_YXl z6lQ01bH=O)w&ICIVBR_Cl*(nfaXn!cKGUV9iPLSfwS3Y#HEGGO#2GvlmmULW{|r2Q z+wv#Lv_y(9CNV-o<;rGuCFNqKgx#E8wQQ79I>Ugt1f;yQijbaBO2beN7GddOY7iJi zNE%jlPdgO`Sxm7#ZodjFl6W4GgtB;dE|$wjCYwGn1+KvL(fJ={7|P#IyB9Ht95B#mk z0#nDp8afwyWECV9j5br44MoYidpk1Za|CAFYC0{I{tBW98@3IN0gB8!bUzC{wYVvB z;b;KQjDw?59}#+wA!QPQf_pZJl7AcdO<|AWqudXS*Zp9JIb2d|tDd#UI>ntO`fV2> z+^;U(aQzv0np%Yuq25M{Pt+F@{hI+up3#zP=j8JPm zD8}dR!&G#%bk_wJLM`%9REsQ;1kj#k&21nD;J^CS$Avv0s3~Uuc;a!%J1dRr1mRgN zEj*)YUX{}A_KV?n)m};R$pZr;ztKLF5?Mc2TOifhsEV0e$Ww)uEpKvJz{Jsdja#Qz{-K4;RLq5=?$R3FV?C~1Am_H^ zx9|2E35FI`EfU9zw4<#0zR-1R0-MQnt~|gXST8UUlZa$iEmk0pBR=%+`1~XUh>x_b zFLCd*y$nJX^~tqA<&$-CHnEz>Fe4lNmRa~*d}51NnozpDiQGy2zLM6p7#}weR`Rr_ z2&}+J7C_G6yU7p)A#!JbH@*@Qn&$%{40daE&*0VmImZP|*!2B{^1OX!7;%Kl@&(CP zF)3_t0Z2HkY6=9YL)TX|p65sosKCr-rfbE{%Jupu_2n7}k*Wt;>(q32Vbngu=$8?i z<`E6nKqA@r83<(RQqy-@Ay!PWa!Z&e#f9M9(DRd+=fiKIlWL%j!LHRQ zm)-c1>3~QOA_*SVt`l&n^n4GNWJVztgyt*|lKS9GO0_un*s}&nsXzl^zP{xvWHS6f z@c^5g-xcezXqwl3}lXMuoU0I zZXclLGJYVEhb0cnb!g6Q>3C!Bx>mJRwVQew659#sg z?cC-nv{M}rojh8!S2?sKTiP(Ne_FxRGWI)ML)FdR1c_s$W#WRZ^$F7n0ApCWwyb{+ zFEYCt`)Df)#fgKJ@SfWx0OJR8OT)RVDeCILEs)}nPFPs!mv3diBKkuz>(A8c^na~^ zesMdpMYP#hST1has$=QR?^(}4Y)&K~cC*%_Vz>^0?s4-%!;p-e8!M>WkafEbW{mcm z#r6h94xMD9KD&HC@W;}sth&nSyjf@SiY_RPNa;m*>e35I)bat}EsPvMQHoINJ(mi;i&e zi+Ps0-z(`!-Tb54C zEq9%uk*nMNx!pWchFKp16aF<6HM_1ADu;!wC;W4eQ1z`Cxp?42Efni$S<-?OgP{k)2B1*y5c`nH%L#x;Wfi;er9L7^chL22B+#z5J05)$ z@)HDQ0lv*aDncI$dHE&+xhzsQzFay0M`Fu59~ylfBwJBs7^T520FLPkxjG3LZvla! zpXA|nu(=$%cjL#m1{uqD3Q-~lj>~oZRiL6l-)NJK#CH{)?@^nKIPSry;3W8Hl3?S7y@m#qW3}bz*C1ijh53Lmq}c`#z|hx$)wu?I z>qG?6$;S?#RhL}hKh{3mO+`~?{)+2Zx0#4efnFl0e+YD8`;F2M%W&F7RU$%5mGINl z&Hfn>t@Q0jieU^Z-xeHq)mvnp@(LqeVQx3D4n@TJlxxVH5Hr@@J7H~(2!3V^{;!BCk1f>7or6ZLCew`y4g5^Eagrey#^rCwVE(4*@>+*y(}rcmPwsAwglM!g7Ybde0c6EQfmG8vs&kc# zrMG<*uo5M)9&JERFpL|D3Pd=9ivg@JdXgkEJzzysNRJYq9Jw>`I>{v<;*?ourzhe$ za>RSSv=fSKy-&$V97q;dJk>_^27;{N&bhjm`o{gm$oUyKD;(F)6>|XJE?qIYNEwg7 zJ{`x{Ez;Oo$F0vWvJ!nw98TnI7CDUS`6*o_CG#s?I+|WoWc6p`Wt`Tei)2@L?z@Z@ zmCthGG>WS@2rEOP|RA(qTD(x(K~$8&DSTGA35I2)b1I*Tcv^r@l+Jz_*IvY zITpD3-7^79?aBnkmtoF#V z_|n-$w&8uvt_~Z4)Fo*i3&g>S1P)=>tcPI0yXKIZ_0&6}Q(RXPsn~&%A@*3P=G%tR zPKT0chJfz$=V@%n?SkCv~+t8*SZR?9S+vY`9~2is9lmWLNhK#pXZ%5 zryX4rFv0KYA)I;Nstwh^S??f#cDq(sB$8Llu^HI$0 z^jQ??4x>y+p(bbu5vIc)1`a&7k{%Yaw{1P3cDbnBv32wYSFwRaf8`lJ63$?`q^qWs z)j$%hEB2fl2*iLEGvw`KnsDoknPl9Jk%OqGsvl~Lv``J*^=ZRoy2!`8S2={}_?x~q z#0hu72rl08LHVZx@OTc$fRH5^9`L895Jxd5IDmsWx8GF;F4^D>!{;ztfswOD2+%O! zFH94VydMbf&k4d{Ozlkmc7Hz3ew#+Wouc1IRyHoS-*cf4KGc~a^6t;H*Gr=(Z-M54@Gl1zY7wq?g;vYl%^Ngx0JAmo$ z38<>F0+^Wo8mp=*Gl1)#cKk}q77_xO8QM7iG1mT`*Po96 z*IF)4pZ{B>e}5Z)+jvZWO_f3Z0U_kkDXqS5a_rG-N6@6V*Ooi7;YgF*MkqK%*7t z8zjY%ekD<{gN*z~$oC6yG^eq< zSaYL06*n`pkvvCH_v!%~Ei07~otlC}NSiTvdq8^M>*KQgIzC97 zo!5tX9di6h={OlWIKrYcgf~DVp56o|*l%ga4~v}w65BV&8qMOfK9Elcmtva~Lc}VE_i%A0PGp6&*hO2x zHvJ$d@sKY0FsuRej3BfI@Ob_#4xpO=pkRMVB+yh)dPxvVL9hlP{#76tA*NMe*B~ng zpv3^sSupuPb_WO@F!ero2Us|N2|<|9ei=sK%>YZNz$+y5LZQldjAFzL;bkOTEhrBW zAw^C!&|#5?c%($Zr&;oHEb5@j0ue>NI}#V14k+EA=X}Un04u~Buum{@I>>?{{3=k3 z|5_an9+bqugAHj1la;FwN*4BQ?WiBWcE^bmMe2S|Hzu-!?2AW;?c8 zuvWyC=uZFBLHHf=YxgedO|;8E#D3>1BX6P}7(YBef~`2hkzR^;Xe}`7Kt!Tc0I3bB zDiRLV5!72S@&Kz+K)HeoaVV0eC@H2gDOE;OfU1-gu`W3u zc{fqgIJb#}6PBi+o$9!9p zTod=Y={h661V{19Lek0b9pQ2J9keN#srCWo0p0=5LF0rn!~mngBa1S2NpwtXxM-pn`lFP3`mT~sMg)OOU~Da0veDZnXlm9n4gm(Z4SDs3wj78$L`tqiT!tX`Jr zt72x#X54aTa`ai>_g}+))OAF7wmn<@9Q?ueBMB!Hrw0cc=MzpE>j)cmrd{TE=0WBW z>u8gO7Hl(^)`8YhvmRqg#>JGX8Chc#S3ynfc^TatfAK~YUyX5jqlS5reB(5#ZX&%l zhfu3dtBRYjf((NOgZ>w#%L0C-yqdh?UWs09zlpwCz`8+{P*#6=L1aO%VXk4(jxkAP zLe(5~kya7U2&Zw2W8^jeo;G=1M2=LVRLr=X%Ie~#szJw0(+r&Tp#?c>6G4CRetS1^+z9@~T9E=SNecE$c6}nSeLQN`7a1Gn0A9c@z>qD8=y2d`E zp;mjwjnkzYhs`w=bX%^y=RQ1{x^){Eo${SC_XzjIFXS&wkbGh8VciH1t1hmToxwg! z$fw6UZPVA$dyo`f6!i;IWm`F#(^S*&(?zgwm{*vKbXZPvjyr95P6_lT^wIk64h4&! zzNPGGojy;=t;8I;4%rTEtn#hBwY|_F${;34M2#kwB^}|+!0LskeNj(P|I(WHV03A9 zS;o)JAHg5PkJ{tfQ{}_(X!r*5=K8#GyK;5(yz-&|#tBvpeGF3!CJv?s$q&m6jRO7| zY_K1=zcY}KVP2n9XAuKL_*KL;gcq6(P8a>z8H=8lwVM3QqwSNsue%!L{toh42GNdxWus7l7yU?mspyZOqvF*X5+qiv{p2rln;Xt7u}20)v4lPenTc~ z?`#)l?}DC1C$;fx-KqJYVsfT7UcX<{k(vOP5Pu8lJpy&0;y||cPQTsl0Bj|xGwdbt zXoTh{=34jB^fdu2Ew~|gPBd*uH^Nw|RtjBe4@5zzdHZvN{!PGsMRI&{5fw|tT*X(* z;HJl>1z|G7$T}Kb7Cwq@hC$XMMv;yQ%cYA?sD*-a39^*Vnr5BpvA<-0N=B1rkp*eO zHd-}onoF4GO(;zvAKvX}?%Pe`kKc~J__CR?xqUY8as3tg+Rt`jjZhP^T&we~UEWQ% zzhmcT&uN@3z*chYYEpbM#uRtUE^>2GDPO6s$H1cT@^D1BU07+Sn{HYg!DiS7-R6A5 ztg*)GTN<(6+)ty)-N;=9vhRtfTbe%VPa9MB!ROs2_a!fG+pbV<-#1P=ZZ$HDH1*bI zYqxc44yJAjZ(2Lm&wA7hwH*x*E#pk$nwZNQx~0EZNi3E9DE<+E6PJ0|{llyEJZF9M z+e+`2t=|H04CpWh~N+?ccV4ig=+`ohY&twLgM!5^-Jcjy@7z=ECu=c|`hD zo>08y-M7qYMQRl+e_xJhDQ36ylJ(j;@n6WnpT?&X$a?p_i@wjuY@9HcnMs&N&(7k? zbMw6s9tpXQioguxR(Ij=Xxg98sjaKsoD4|&qIccyRPn9*<@Hlqm3b|fE{{)+PxpD~ zTg);+XKt$gnXlzZ^QCR~i9_RC>RwH354g|Kv+fJS=6#3HLF{`DE@%|Q^96m6*Sp?# zz7wC#w>iiPL_L8iPtG@sH{-LtLJAlK>fB0!nzyCL6|;)&yR-ZigPF!~^SID95r+4a zr!NYF;4>97G~!L-KO|}-W}=^>H$3a_hOZ{0(-!tZdZ~W3JfzH3<@vh3bekKE4_#T$ zon{C~ZskAz)HL`2xo+0+EU^p_IQ{pXqAiS~cb zgMSMN=l_#5_?_*4{z%lB01PsgCe9yP;I|S{{*VFxmXUM*k2XaNT?}pP&Hqv<&VS33 zf2;97NAX7vFsOVsa`~ffR6jEDzmogk)A;MAsEV?xkTi{mp^c@HlO>(3rMZR6Uphk6 z)Y;g{(!s^v35Mw}$-tm$|H;ns4_#;eEp`5ocPD2T5eq{n0Lw?}E^GMr1sfxPLEX~C z#p2K8SXlvV9~D?QIbc|rxd5yjOaNx)zy8?%9N7UZtZaXtVP$3mu(NOiSh@bH!~W6r z@4Bq)EdTBG{OSLnSN^w(_-N|?YBzvx;-p;=Ba+y|FBpbzV!;8tWWlN>kwb-uDfgg# z1jI7K8Y7xl58Zpk6^p}~cr!uQ>1V6F9Mj?%-C1XhNCLdP~9=u#`i%rp=Xh9VWJiK%t7$)C(F}001XrGUUel(xOuXX4E zt3+Bh1pLh|&~4b>(+|`K8u(NJF;6d)!w!Gr9bUl0F8&dCUbfiue=eXupTO@=g@uLj zpSAHPcK-RK{))cek@v6Y`YYPx4Q+o5zW*GJHiqWE)%G8GEBv9fVYKPkJ`^n-GdtIZ z%4OsNaIrCeXlSXA^pOqo9-`A^jSYaRY6 z_-DfZgy!E;{IAOoY0t^Q{?W+)vTfxnr=_a)O2$J^SsA*m1u)TIl6#^CShx>|CoM<- zBYPB%AqYnL5__n7zqVxfH-jwBW*(^|)|e#Lk!x8lsl2hFASu3|vr~&XDxG}J^RA^T zFD9Occd|27whNY?-12pYoeC(fsnc=4GAVR@9(=dlF5g;SHZD(Q0|rSXLk^K*VB8cC zecf!f>gQH9U~ii|_DF*IDEI{>4)*-R z;T@?2M<4eKit6hRx%=*YR66%uY6wTxl7b}mUW)PtS6loXoWKCQ*bMy&_jdsaocLi# ziIigVWqx|E@_f&a>43`>o~~vAXN) zhzXzQYwzFk^pPH(uAO0h2;Z#s%qNN((gO1R(TxzNlDfODS%Q-}1fG{9oDP&6OE{Il zLc<4CzVtVb!Nv@HYQy_PjG;ba2u`nGYvQM>4{03zR*uq|53qwc23-ZKLpA{I^YrHx zWDrE`1MzZE_x&vgx8}`j0W*H0KHY|nl8UHFM-kpXz7{X}qlC_D;;!-3@^vhn2 zz2)6ks9;*~Qe{9&A)!zbkTZ0HP}U&Xq)TEMKQv~)k=CPWft-*R-a3~~)0D*=4E=U* zY)r34bi+GxM8o^9Gm^93w3eF^wGd-Q&Nu zm+`8)Lnzj?u>`*gY;lhJzK>|$dvQ#x`DvXRygSkj^>nsGyg{F8>VDk{^W}U=>ON;F=xk|;p@F7qbQQnKoy_yC zW@(QiON_He03wLTxUL{WkiX*xm7OmSiorYk{7et}+E;ps`#Rx$sF#{Csr#>zgfGv# zBxfbVduPMLjb}8N^J{4gs`U)I?xAn%utN7CjUi_s{HWfEu8sPI+N()E&ixdrhkdlvVc-USh&JfLu8t09DBmyPUV!_r(j$*rF`X1nX*s32k(5 zAm5U+Y%MJj*o`?($5b11%&4O*Xv@Atltl)F^Tv684?x#!q5+J#-9bM#ZJ&|%dLPLP zd1?vv=lB#rjp$$K$`7g&w|6!$Ub2&)gabNIyeq zctRp{2)yuyle`68IV17!(*%lSIWyiNv4V_?JlnYi#v&r(@q)>TjywV(~<}ni;T?+P{J;z~Tt9925T#hI|iP%Th_lE-oaI zjD&*{yA)r!>_)H+nd9|GK1ePG6(`KX_$2BFiU)yQhJNHD*wo%C%mJw2RByiK(>NE& z=duK!Qk9rAeBD~4nveS$-|c;={Xie3nonyfCBc`kjdU*THD}Cd7xW8> z8=(&ITqJBxYB3z-7*>!_EXg2fbWX+~?DSaQzzoSOw!qQ_%q&;NIP zuzWuF9lQ&COp$~^H$!3LF?jyN=!ysEHY+!T+ZOg6`W><2s~`!F9ptZ~x)G3d(9WDN z4+;N=_l?0bQSv*hJB7JWuP?UVS+4U^kC6GdRI|s7$4;2=OyW&x<59nm&BX-_lIQeg z2;zMGqY8T^q@R^MlaC~X`*Sa9r}4k>v@}DmYrWBZ?I|4z_1x5MZEh*;sB9?gno^0+ zOFMVsZj)tSDqpc&qAn4pPhv*N9H5*TWec+nq@k76$==FS82JtWKoANBgX89B3XGGi zj&%tEd;*gor{@$LZn#E)V}=uV-+K z0A+(ab4@qAEs5n=1H)^13O>xZ2G?(+5j?`m%y8obT)|5GT@$$HXG&6jws9;#VPjPoEpnPbZjrvJ1`5hA4E3`*C4?02j{ne zFsR_8Wj}aj0e|dh<6`-ZuyCY^$SgCID}%x~LH2m0yT)%3v7EllaI_8w@Ed@lU z%)5LSdI2z~6Fn#1erdHn&aBeo{s85m2^j?D-TZt;CQZ!pIS*qSF&H zdsW^SS~G~0SJV=}M1R$7(4$AsIxo{TbP}BXV94X%7qCk^d#>^w+DuV9p6j3m$+W~P zq7}%{I+U=s00W9!2nQD>j(u@mcr_BmrcNe1=#IZ00nWm_h>#pKxFW{43O`WW`xjzD zH50fXoKO!{5?HXo#U$FdqnSvIJ#vtPRc{hGM5xCIF+%_hmj3zeL2)?U?g$X_{!or@ zp@=U5xDRgp0SLHfB<1d~YL>t2s_=KHl}RDEYaZbtuA${ZKhO;$a3W700y4e=NC_s- z19G}e)ckuW=&yEj6+>maZDM%#2yc1Bt>j}Z;9Qu;dVpqFOns!a?MHv};@ai@GU_Cm zonm&8)cs6IuB_?hF2**L99*sNtJi@h23|dQbAteDe7)@sk>+j5>)9EtxTKmSB_K#o zoSR#~HLED6cL&SB-ogfti27%!HTj3&RI2jq0r+lY$XQ`^Tc~T|RAP-lQreF^jOKH{ zApMQ@mm8?B5vmd4NTZ$Vj3|yw_XkS`)A_iLdM z_5|nn`cMyvNg-3)5|2i}&Yvao+d801i=_e+aV?QlbwK;Qpf_;`WuWy&*q~OUiQr#G z+JkF2w;s30n3GelSG8s_w#5iRg~ zqjE!Bu}9L#JcxfWH;2+MR8UI#fSLmTB7S4%4nwk#-GoM#4(uoa%Eu=g%&Ld6+}TJF zl6Hrb1wnvU6<2mwNhHDU(y0gtTo)FQ>6MTmD@xRsrEyl$BKHw7 zi|2!EG|+t}SCwO(suljGhM4DTlJ`GRS8Ao?*9?0!@>Y6h!+}e6=gv*>`u=dSN^ieVwSy6jed^Jt4czDYBXp zPE~74vewD^%;e|zpNYv0d)K|u4sF>*Jdr(7-5)-1QB7SK{s>WN^d=uUvx zrVb^pSwc%2k4RQTt_kadEMFxLagg&*574V)n6qaRFv3E|z)^#n&r*@q&NsY_eC)N9 zgNd4(ZV2^C$>7J>3$Cl(-&NJl6pMN}XG=j}#u7@aOjtr%p`vSdVU zmc(P!Fa?+MbVR-CAr?OEPUob6Kc3o@l}<%^2N9c<;Em3zCWR>2rXwxw@1sgynBA}w8q4O`Q^S~n= zVkF1zPmexK+`WNWuVoiXE^p;;>^?|{{oVok1tCX)?p;{dcJ)#T#kLruheu~spq1}- zjO?9Xpw-KK5;{+*!U!wHsks004&D}Cwwa5k(#x7<9{&U-g@4?n-lUY`=pp;KEmQ4# z_SW3ix;!sG+`U0RfvH8XJlF3k&Ej6OC{|qN-1&8jde1PJ~$}1qJZZd~f);O$Cj@DIil5$L3pF!gxXTv8k^w{7NZ$C)Mqg7?brX#&=%2DDqsJqi>oK zIS#6dVW{4W5`~JSC#M=`+D-sWh#(ymLO9w1Z7mVmVi z%Pr6^ON?nUOyaF9)-o5pZXS6QRpAX4(mG{<+b3|{Ox|QmZqFY#v7F&=NDEIRi-B4) ztLc)gV_FkwA?>U}Hr8lU-ojB;*-(ulcVT3ueNt$Kiy9UE)5J*}K))$dfl>q#2vRrz zzxDO|wSfs*mJ0g}_&G|F#Q-%rpnko4DPxiOJ<3M}7SR+FF=uP(b4LIX7n2wrABubB z28U`ZSu^*U8|zc+0XEUDB~IaiiG=;jxIH|6muEwJb4UY){DioKew*Re$zfkw8BPp% z%|XP1BSc|8{J{^q9^X=no^Wn0|Gb&@Y!SY+H&6DGZCbn%13FqV!j!LLltKNutI zk;>x@-L#j5q7B21$5t}Bizd_`M4lUWcq-s;ut)d{&O1d>^1acVy&@>F6^P zxvKffAZ5O)HKI+C2c=1s3>)3$wmIExr;OreA@s}^aldOx&7i$nM&`zB4u`dn!PKK92J9*WDIB`ZPbYtglNMr9(gVO$ICPlC~#}RA-K6 zLqdh6?e5!n4+uJV+qp6(*hHCCk#8<-L+zM)=~i|vnwz3<2icCmQ?^dS7GN`~3A3BA zYdo1gOPL~)-aq=7Q$vyn5)|cuv4kUMsI6;WXj}B!qbKRv%Nud$TA%U}wArIh%(Ebm zVXrqkk|Jk3)UZA%N}L~`Fg}LwSK#Ky0Gk$sdH4=^Oi9J8o1ZO~e;$#RE5?&4kSE(a z`l(Twx1!?2#!oj2vxbdL79;>0Gs!#WteIh}x!B^EmadVCqF^S#$xaY+9sgZ+$|#38 zisE!gsMuarzPrb7hQ@1>x>PT3{dOYvW|TS8TsVgXSOR2M|E!al&>~wmchl`)yd`w) zVDH=X#ZR@kobxkxPX*nd$C|T8H{IsP*7*wb-`o&tJ5gxDn?Y7RafM>YZKNl;8>ww*3QUgcm8gl zzg1Q%!D$hX7A19ZpN~prR1YLUt)`4Axo6x)X^f0RgT-9D$P>~X2f@oHN6?tK7+0xt zDe?*YJjKS<=RsuS=UQyv4t_=q`WiX*cm_$Xst9j8o2V>D z;G)*c6Kw14nasSLovSun>04XMMi!1{RLHViDoObk=WsH(zS>OFw;n7VnwlM|x}gj= z77{A@B-#)3RtxngSE!u6+L^{M=NB(cxR4DWNGQi^m6a}9`N$*8|IqAK@6}~UIK9Pe zUv~LvByBxcNb5>&k8*@~*QirLf}xCnrW7$mQi?A*l%1=p zz(l)5u~6FS6cYp5ysUzgBU+o7BVByZ$(*87sJ>NmBKdWKaU7dVq%~u{$qIbWBFC;w zniiv3h^{*c>WoJjON$n_b@ZMpDOpiEpa)Y5T<9HtUyUKJWU=g|0#7$37KMhqomxh= z%4R5jE3?FiwkGxk9XP_yDJy(VZhqR#Ol}c43*29?3v-f&9a$0BVyeR=QMi}7bT(Mn zsF1G_KGfl@{Bq@<)GIazK}AP6CFcFdnus!8vj@BoIXC7TVMh{lf6S%CXI^dB;YraZ zy~q7xF~!)ye(GpU>{7)!)s1O-3}ScC4j&mrOztjZPs!&sZ>DH`b!_~q;|YuUWpsT= z0qqNN1lNHm7jw(^@$-3AHET5)?2yeugL_@z8RGh z@ZCCIV3cVVF!Hq8IA);1B*AW0Fm-8xzo{J(<_VNEer361{+d@%pL&FbI4$AA6g z@IQl(52o{9;DeQu8ez-Tfl#WhE!401?OW@#g$Tn04C$B+DA7WuVU73{T5jMq~7ErgW15|OAe|+ zB8Tr*d{40dLGc#xdCY_12L-_s@aO?tgATJ*lKlq?^3M)87MA}C(LaIoKV$SiQ;`2d zX#W42g8Z{t@t-xx{0}$%w_ocr|7MyW(C{A$!pXw*e?vjIEM0I%Jy<$5XzdIlg1`iw zfa!q=08pE0lqkGFfnZ`0#D+nI9+7DXk&A{u>L?eaX~&!Jc;igLFYmr9jC_`HKtpF0DSn5#tL?Z+(To__sq>t${__8_x&JQ5&@x(C0qAT+J z?3SQQRCB+P$4k+D1evFM=688?DxijMoCg_neay-sfN zHp?!28q|WN#n2>18HnM4rPt-pV-Rx>hVTb|pwEIPeZY?Z+||${+V8Lqz3{EHzUcPd z#e0EJ>D54mFoK`*Ikr=Q9l4zPy8S2BEn>b%1<4pP@$q`JnQ!|dU5q-Oz!XWOIo7}5 zuHG$_aK3-3=X{@0z~6sA2^)Tm+gcxdKmL03NZ9jf=zY7!>Q_t_`>z*{vPWEw-T}YM zLy#}M5oJ$VSebNwZf~sb8>dH4mpWf|-(8!d-m{h{{cJynzu*4=dta$qcwJfoC#b_t)GHy0W`G6mS<#e&1Ue(pVzv}B%?CJM5B)u=ESpLdVN4Wi>`vm6qG~wtwitf$j z`*S`8wJb=P=QChV+?8zo5McRsP1Dn`T~*Kc-nxVEmi)Cfj;Mmfj4bf;9ZCAJ>)2N|NU$Y>iz5q#TQus#UuUxDzEt44V$3AuUE#|h2k1gJqm=xO5GWYOetZlI4U|ZtG zK89WU*me@XO?JNGCwK*1<+f}}nzVDwFD&D)wSUJyNrikmx7AIcUmv!&hLzw>8%&68 zQ7rc1LW5Q!=&7N@f;K|T%PQIp$%Z4C(-7*V7efancmn(JGnk?AI>%6{zDR%QNK_rs zMXc^6W?42;(o+tw?wkx^Ce%FLk5WE91C=>chJ9B~;x4eRra&b_QDd_?|6> zpL@k|{>AoJbw>|hIt`2cun59-;$Djn#}4t}_`o;E7ds2zmSoq_(egjLP5Oa8*$mgPA@4>$j z2v>2bv1DvC)bQod3cFtD3v*E?Eb%qfBnVVm`UgjRhoy{0k!ASm6(-r}m|-XGWLfL^ z##6W6>F+!zon8^6-v8KJ(O8_9-p}8Ay%ZCLqDEIWk_X9mm(RTz%&o*Qh9M+kLC6ih zhj1KMh&p>;^mgp)g43MO*a3NkYJuZ&1$YKI-l2YmOvet$NV34d56Li}Lp{cBitdSm zn8i{g5JJosyA!+P&J>0;>{iIXJ=W|)Bwg_UU)OZOqsy0=Et@+6LIuI|*mKPnfUu@5 z?7nKDFxXVefAe!=>Ws(kMtZmH^4ObM=@sj=fd54>=8ZwxL1@w*B#w=`K8iN%7v#Kq z$yoWwV1hWZ)n9V0PlE1~n0xgyT9mMvo3p{w;%0ja=hhUq9vHBkdPwePJh=Q!_qmyE z=~GINk-bvSw-lQFD{S)V{FTa2(%>}aCafV!N`g=bJfaVEl+LgP@oCW^$UxEG!Lcy# zfna>o#a-e(Nc^9XN(d<__=Qgt6rVY$>id=Aesqh)`fnrIH^IbCx~sdPJ;yb}_#imS z{jg7ZW^QGj9q2m=#*P&nQLPdg8jSVF%Ffb)gKPDSa*(aDLe?7jv`LJnQc+M9nw!or zHR9k4n#!%}n@=xJ6q4GdR;(M4z~m_5#yV@|#{EKyWtFguazIB+Q**FN0y1-~BvF0* zWA|wif|dJhE*jdHiB|cJ~o;GrUpQCjPg@l%#X(9l|;%E!ibDNpAZ{@=pKp9MM5l(ktQ?vFt`O6 zD5?#_%d>Dk8H^Q>sU^zV#lt0Y2W~*$CTWm>70M{NjM7o`rQqiATRlwJn=)6WpieO3 z+&qZ5BP2$VF)Fcu4I$`zwu9)o{M;7gk5kmbJ)a@zKp|RpTs=rkEX7Rl2A^PVF*u{B zYMGsIW!H{44OpO90B`W2ry!Q7hmj=hy3S>|5s!32zjh*8@k7C91Hn!$VMc7N^mUX5 zp8ocdQ^YzG1t=h!@JP8_lRmIpV1*Su=7a%d6o*gp4DT{vG0B`irea`hK?eyYN# zVrGAEa3=Hcv2D7-{7axF;bK8~XwmBQ9i%w~2~{l2XRs@x_gG3Mgt=yuEr&Q&A<1QV zPbvGm3ndW!#t4~v(Q>{*qgfK{lvP!nR;j(OhQ}nw_h{?&Sz5$r7gk@rE8Ht4Y)U!V`M^iCG_EYiaxQYT5>?YSZz*>8&s^Ep^(?V7wQq@$1Nu=QG8}LEb_eIxiUx% zsukJTtAnr_3HtUt&>*lpWJ(O&$&St#F~oGLqLS3g!m;HY2EmX(;YbDH>{h(#IE0Bk z)x~OT2s~C)zvj!Q}xv9u7CZWIpE3to^Kqj(}3dk z=+eok-aK9IL)D4%Mtl1BLoAHWAjm3DMbSgI^%5NtE{-;5@P#yAw-@(EI*0~SK^M&Rc0*snqbM|Y` zpD=;rm8RNR1cFBNZ*t4PU-{0v(v-i1Rb6Vs=sSttle$%kQ1%FIekT60VBLr79#}*Ogl@mvNOi5iD0J**gd< zsxLYohECD2m!DgelA=DTIpfHURJ~zZmhVxU)cM3R>*wWid z6Z7unI^f^QpMz}=^49o^XDwURR8b~RrkUG;qmR?6X05+1C;PWZjj}tdMD|Igi`E0Y zsC)}@CWMTxbn1_UgN-1RvnC*-WuPM_OBpGA`GRG>Ut1L>E7D|w!Bg{Y+J1jG9X~*XlT_A>+ShPr_;JsMKM6pbhgayX=!i}j(P1J z>_A+x$L><46Rez(lzjs3e0!eU5f}ET&6vyB>cd!uV;AX{r{s}1QSL70-!K!+axwq* zhnA(KmkMba_RQh$okfFP^`SgQk^?K$??M!YF%6C)PA!x~F}q*UNA!psX2Z=Ul`gPz zjb83))sA!p1t!4eXrSkyj*hn-rE*WkQ6oq*P&H7~a}&;6Cgf->TiF755K({?kh`Yh zSkj<^LS&=h9jrYPCcGfh=IP+SbciJ`Fu}zE(3okwF4&S5fGR*qBXH}>Tb-Y5qQI&E z&2V~-994IX&lnO5y)GXa`4H~OOAdN#zB}H$x%|E?vCxqpI8*N@6uc6c1@7a2S2B3( za1Iy4s>4Sfh9F3ky4Q;ALdWB7tC4F|o3n6XNoo+_M>#`>LT`_@S>$oF%k?Ebx7mDC zK@T31Gq6hrK*}MJN^pgQV$Y(Zfcn{w0b?~kd$(^b@aFf99qQ>rM{_%w7Q}K(PEuX? zJAbOzA2>s*1`&0uj!h%~+EHUqk}}_5pX^Yo=CZDuf9OuYjID0efdJ$X@z0#hAJ4&Z z3{Tcw0W#Axl%bBlCmiCu`-+;|Q5DgRF%}6@>B`dPh?~M&38sbLZ5GXraf#y@Bger;`TocSS;B!-wx>*4B7(;vc(LVg^u73*GkMC z_MVmk4&OPVtfaX^Yf1In7p1ZaIvr-tS+zww;!qTE`-kem@tsZsDw~zZ2{2_Via^v5 z>Vf^4!NwX*L0(cfjHmHAiMf7*F- zQu1c&7Jyp_kZhyewm*gE6!=%== z7TG%_&>LC8kHGpGZ<1;dQ`YzjVZfl(xY(;A|)P*{YuT0W5aj~((f1XdB z>7r=eEBvkGzK~R@WW0Fm8!zL*xMQMN)C64($S#@N`=L(BYQ|)P<$}jv9Ghy*p zk&yK#qG;Q%WeiEZ2?*&X3FdOva{0d&Mmv0sE<*j1<~Ud;PCILwkJa2AnU{s|#uXYL zOJ2JDsaM&%+1uYw&^$ONeq}DY`x~0B?LM7X58ZRmDrAP^x4`o~xy6;B+ex0iZ42qf zIOOH)US@Ca3}7e35_}e@P-CnA29u_)o}@^iTDN;%(@fa3z)aOblZTQv^FUn)RPhGB zmZVO+`0;Skbg)vPj%Z^ZUEE!-7d@b%*ZVz zLQY{eRM|-Uh=c2israY*GJ|W^mOBgS_Ow|vbmRV)Y83PhIk5QsOe|OpA#Hco1-tK0 z5&^15TW5aLR3}{o^Olp>*j?k&a;BgGWdWN|LAbS8nM!fWgT4N{7=5nrW$Tbluou5h zo<^NDFRn3mkS{46HWs*WG-de=BsQW#lFECFJ(6I$c#A2imhU>A zth&K?BtUR~*YwOtuku=fM0iofbY8i2li_`SX7y(RWuu$2q=j&F z;OJ?r^WmO5xSmTPZ1veSgKnjq>u6E_TQOaCZB5Pi4mRS6PxIrmxa2Wsy=c|eW?_LT z6L%C<_a9|7!^8yDuL}y$6H(pbcOzZM8~v1TpOZvw;Jwsz)r@hf)XmFie-p|{>jWoH zTep6PEIjb6j$auEV`q8*Ehna#i<(oIYa>%TM1P9#I#!KJW7;uUaO7SL^DzpfiYl=?kMY>{D5 zVdlK@O`z;r0>({XZ}DqX{AZiL^Sl0~ZJS>quDfKct)2fWzBcL?K|_(hZUqnp@IYi00*Scl|D{vWQYZ z^IBonf+L>iHEI&*jRJ-lS1VlG&_-_k|paNAlHl^wQGo>s74W`%*Bk=cumKrDEja zxgKD=SMsxy|=i@$hl}dqVsl0{?$10RJB< zm6oiElJ@_F=llnE@Smaq;)gi&&y4zi>Qfy5?_dt^f7PeBIoQZ}`B=%gSa}dX!oRlv z5qS9i(Wy9CImkHKc*(dpImmdqxX3tpKfs)ic@v+bOA8DLi9AxYt6b09ZNWl3~?!WT=D{ynNe;j|5 z@j-0-t1Nadc0|q(uIOX?;Z}j`pKCa|Kkny4H{;;r`nY$_|C=uMPu>6h6aQ~r?4yeR zXHZbWnDxpRY^ewDAKJxHoADO5d}Pq%RGX3kr7Li8Me!K3pt!xzjjn@spz7gvpvZG@)1y2u=V;(TjiWa^D?egDa$tPewA7G=cUctud1HY{XJ>> z&2FG9z=7$y4Rd{{ZoE97?E8amR1o}nc&Rl2vi9pLX3!yyhXfhy00{mxli@sa)6aNJ zNrLQL-7cc{plUlZrw9K&HyPe`u51}2s6|~~V#}rC{uSEYKmU(q*hA4z$m-sgtm59j z-10Pnj$SqOC)i!+sU|Vz)XnjKV&*aeKGFI?_+bS1`8WtZQMZzaOtNK{cqD4A|A=Kp z$Y?B^BJ@~)tEfss!#v~0?}S#gYT}5B3er)~MtGlLX#S4l$2KVWZL=_np(#2W=Sv1V zrV`PD*fbq4sRt8oV!HWxthoVh>+@JjLOy|}XuU6=dN5`E2iCCPZl^Y&KFJu$RQ;Vz zZEc&rG`|P${b6Q)=$G8HQ`z!Lony`^^@{oo&ga2fr=EtEYrtm^50k{L{9DxaO%IXOdkWle_@$EB)R{>GI9KOmg%2_f7kWj7WLm)rVsv# znG4(wA5z!quIcdc*d@BX4oq6R*U9l*Qv>Q6pFVuw*B=k7jgQ`d-8S8I7C2H!1`RCc?U*^V@63@P{9)K`=e4891`!kGZS zS1K`i58vCZa1Z?VVHa5#XJs~PwS#+F5p{ogYv9)>plp|zbyAAVuWO23q_}QH@Bw*F z@Qe1ap{Ov_6X$M0oJioS@GfOxie=(P9K8`;u43(-;~Ih>k|j8FI1-a%S`}3M8pjEB z613K%5Qys(5LhD+Pf10-_ai|{n#&FTRefF)WmEO1_~P7%}yLI$$a zfSIx&zx@EM@Z3}y#5Wie9gdy$+4?~=z;W9WpRai zAa1hB|lcK{}U z*Oh>7&@KTmJ9(E5=uZbu0A(ia;(=cEKsmtc5P(S1E)D2a3q%UMZUMZLgVCtGVE_*q=XQW$$}Tt1K55qmv`PVH19s7aH36;KAQn02 z1b`uER|?og3q}Fyv4HUat%{%txobY46rfcDWCLi`0aXB6RX_{?K6)?)kU3?y29N@D zE(4^o8;;gKv94tdTNubKOLPL-+Fj=ln9%KMamYt>qV*=X% z+KgZdAg;_b9WWel25JDZ8-g@|IsmKW!XxMmrdT>nKt192R1TVYA#~}GlWQ|~A-(X> z6LxZD1qj{*(;TOEg=Mic(BFM>ML$xUTt>f*# zv}hpIszl(3-6BoV^gEL$BTO;$tB`w#Xi-Qsqw9+?;+n*xBZX>_NtB=ygTDy;nPisG zWuJst@Fmwt2vTg(0R_RJkBM<2uqlO%;T&y?asF^c5gWxYX^P;Ji|~%`P>j$aw+<=A zH7Ophl#P&$mkUMT6HAO7l?|oEIQkJvi%CJtss(LcgtVn(!5B6|6Qb$q9U_C3ZBMQz#w(dB4&Q#+fMAT% zOVK+jmKwtPgEbi4o7@$A%Pgp$A%77z37?0kB-v7m9)iSA*AE>lHWYedN-m7KEsk-o zwDtTYA^`r%gZwS|mIWnds+;<+5PAScwFuG`4f#5r@dd&{yI3aHb}YpyG5I=fwgbXd zF}ef%6&%LiL+_oy)-%i2vl6)h-ZmG;y)L-{PWCVOt2*>hM<`ULz{1G-WqZ8!EdaLY?0!Vvz-h1{PyUy!_CDfB=oN=3_ZL?lw6&3ATxRzaWzy8oZNiM1okRj5ne3q1kjh zriofa2>Jt(Qx5tD*0uq843d-5G*X39H-qz247x{DO?${=6S@cD6%%ne4B6~(EI*eXRo8+jK!rmtj+J?{31*eam63*g+U9KrIVhA^bXAc6(nDGNOZ zk4=O|k5WvJp`S}kkEvfBID!7h-+a0rcN>vBhFXYli=U!@52(bV7oI?Z%zax|Sn_#W zg`61!j27t%7s?xVTPd9wC3*c<>=eu77rIZ#B2zze{GDRFZ#eo&LbltmdB*T51%^un zdY_mcZ9j~dEyfWyQZ2A!Nh;nq82v{q!4@gx7cLwm3@#e8?8nn~5cqnXhP*H4reK&)j=(?G8MB5{PBPni}L z32ld0%}u_KG%;_sTm)|eEY?Sv%9L+M&ZkRr$2T#UoPUu zorc>aqu&8(PNdz$W~sAow#tG0FJ$Ej<2dLXl3GdVNx*Lyf(#O)*M$i^ z>>)Br{IGE)B1c*%TXOvKUpzxE;p3@8bm3EEHn9b$Cg5dgw@{eG|&JNI|LV}FZ43PZmkbfaxPplx3CYy@`qzO(e? zVBFKRY{0EUt;3A}evj=DfcGIhZw}-M;t3R4;k2CXHmvoycJqhq4NZp27&9DKe zZyc92JptC8WRF-U$(ylN8NniT_K3nU4evp({_ujZ{WKGsH_DrEJr7I*-($7hVM&`# z5rxf`h<(xVp%nYxAvUpl6)8@kUqL;zai7k442e1>e90b#Zt^xe_vOA1jita|Ky)Gb z!nF{#AU!s<@_N$jHU~OTjPa0Nuo~ib5Wk*mHbXRj4?yu+``!^l{_9uo1w+qRPfAcm zAPWQ!#Ts7x21>Ey?-PZnU_(|rM1yb7?w2>k?ZKMmUcVV;mp7Gl2nDBoS{|-rb^`KmJ&MTkcxli|DR&w{p0+D(Vz!TYM@O< z;>mRB|3w@!vL`L@xb7P?N1~VyznxB|VsnvUvcF%O^~3x0ul~QGl2z^-EPma0od+{5 zJ6*jXjcqqiaf7+OKl}PEiAiT6&9&OY*J&MxZxHG>6JM>o=1X5whag-(*nX z=K?ut%4inL{c}hetPqNL;)-g=*`Tzi(^y@rrgChm5nbr)z{p*fRXjY(-<`OY2cC%-(~Qq@sBXOE(TbI)d_-s!~Pn@WGf zq%Ws?wx6qgWXH+E&EVu>s%o>Ur#Ju1vM2ca4_!9VN~gCbva83>_uq3$53Dr)=-<2b z+qW#b(H*gHua`t9K5thog_34R55LtXyc(j5y|Z`@z3=_vm7SD)-dCj8++@6=b%bsq z;zibj)kD;SB!WbRgbR!c`r9M?(GqZrD5sF_pH_nGdL(-^jPP5~TRts9+QM=}5C)<2 zcpDMd;NnBV266RR8-3D(sf5<}^!E#C4Urb?E#!wQSR;f<6jz9-AjuvvBZx@^*H33( z2w6!jVRQm5auHJDMq#$UP)>dtg|L9C5A@DOErqcTv^Jvt3Zn*z^M&s#Y$7Dp7q+j^ z{ec8u$iIHtg;FL%8-}6yf{G3+_62#sox|`~oIGg61JUwzf zQ9Zwc@B$Bl4g$Xg8A42aQi4)~=!VXQ9*6Ay0JWyz#N&*vl5XcaILLzMXZwhbv^mO((ZIW!Z_jm-h2ek(} zKzTrJKz1ScLbX7(5I^!e5;`(ma&6*n;$Nz7{+A&J(h%DIQ#D-ICp|b{l1Cy|NIfJy zC_NNC7(L+pgpmBNPXdTU5X^9>P$>{8kSU+S1A}`YHcgB~YS0#uP9fZ(+5@wCP>lp? zP+H&@5s{(rAn`uw29EVm8&TFkXrWa?%|nX!kk!Cyp&);%2u$ih%q2bi@Gm$LXkbJ) z3B?G}7C6vD@jqHRvhv-~zWBYl+u;5E9`Dug%|Aq8q?p>`)cmiF#!hd-+ewJLuhlaQ z?X)B_S^Kc@tAS)Sr6ENuXd|w1mv)iO8LloZZV5|n)Fl^#1b4|WW zjD%c-x@6dI*Z=y{!XE#jhNZ-@IpxBw`{5?~A79sHLL|HMjOqEJNmzylnex?_yl%=F zl~aYIc&iIq6ZW&8A6~%roPmF&t+!zldDD?H=sn?WXKX zI|_kC0uUEecL}Z;t|1%03n{Gk9`Jg}z6h95x;Saxlg|!TnQ{5Q2fR*Mprf^#UI&By z5=cw!%qaDLAnbOQ7A5T7ET**_z7|-;rE)kG)7uw(AW}eb0?H8fcX|t@+)*Jgg=_=hXvIAAk6BYUz-%u{^!X>0sYc zYdn6cefO``gdB2mKcW!xy;|clb4A9EYIMh_3q*g-SgVarMCnje1?Ne)vgSofEieB_ z6JUpq&9fp2jzsU!5h0+#FgXfCzl}_F>R){E^tXdi$}U-vh3<%?V&OdZLz9^=)7iRh$HahTLT-;*95287xnZcq{oVjWoBSi zoiGTv(nQCMBEJvcE9*>t;ZsJ`IhMvTsi_;Hp6y7^yNj$o?@HeP!n->0R|ac>AfhCAEVLSZ1*^XBboLmCk-On>o^*W zy$rTwx-Modyg{W4coxc1EaM;|MCwiI@;crnpkG(%hCue|@R}%qk}(d)Nmc=YAHes! zeG5go%Q3Zcns)K>8(9%Ou(Mx%L+NxB%uSV z8sNk8f)&0H_9NcQPzqhC>!!A5`QQ>B%AEOItLWJXNRC zPx@Jcyor^|^?^#3as|sO-b-xd@(Z;Wldku(C+5})NXAAs?zdZ+TI4itp(K_SfiX^> zLEIkB(Ya5Ynqn8X4h~{wDL}n2r&GFjB}6u|>E~_LMk+ii?0kWrJ@Oq)Z=|Ql>;mc0 z%H)JK-L?#NSJ==N9L}(6o^8$#Cdz_E1ix(Z*%Ihke+}JB_{MEYb_Z@qB7`i*y3biW z0<+Rk5>kDtDs1>K62gw9UJ7|5^I+0= zh(;x&S;|sJK20i0_`~C__K$Jf{@uzFmhnnON0Vxm*%6H%MTbTRl}w9^RgP;-R*8ho z&jp4Gjq~h@HP!JZC79+BqebFrF7`VA#8R(HIyfmhn&sk&I~v@fXUfRNTA9?&Z%$LC zL0Y|Xa2b<6y2gBzRpRk+l(YQooZMcXXTz3)!gZbIjxIva12Tnve`N|w9JuLI2*1lg z!Y#J9$k3eW@uO+enXOxf7|aNLE?Ky(JoK3ql{ri=@&m&7j0N93OS@pqKNv;C@jj@h zvfP*{i;ezv)`1KU8bTAqr`csin{z}vH{g*%c)*I^lm@r4Nj~y;ElALV+(+^AzrZD`G;!YCk=Q;$*s-uZ2^Zs1C#wMkB9| z2Vvn_7X>NrMq>65N>3LIdTxqlCtP|*kVwhzd*HX0f&>^lP#nX#k{OH2M z{r>tyV=`(g!b<)&W@(CVsUvox{UR{i?PXSzqm8yLsXIWbFew8Cq>YE|s2vBfN^+0N zrBdFc22kEOV88M2|B4-z`^q9|9{c++23g7|VyfiXadj$o|H5cZ7mO6GpvZiNl!KCa zf>to`3sU2j>L(kr>v9Kbf5rqW{KZpb-$~e_Pn^LbyM_1i!d*fA2r@>Ikg){npc-n# z)SsD}w=jv5$@xh#ujf8T0O|wHv*~G`pY9@Is8|fNRn#nvt8#n^_a73qWs&V4YBkwW zr3k9)bMp9C_Jt)%kI4&hW zs$@7aTD7x+^>c}=$zivqM_kYo=yEGZ9-6AFDT7q3>Ap9rxrv?I}75gyVJ6@VyAGQ}IT2oVF^yQ8j=8> zpk~Jss7oS6zW)U)s*y7Jt8XKGe+Km-rWW^M&JrE1QpN)6-Qd(MB%>Q`o{=ZcT;EJ{ zeIYAKey;@kA1N?uvk|qhD}6)Db`2+iC{Vu*z8P-(DzP##|#QfD4&`dhgLB7bd5u5Sk6UKO$bt z_h9Oik3cYXJW-`>ePlvQ1m4LIGDuNu(bOf54BU&V#Y{&AvEAp*iM2t^MJ^)Z924j3 ztH35(DWP;N!Fc-%U*FK{Y_1ZcW1jN zesrEO{cOyiRB6gY+BxMJ+5JLIurr1c5H+lcsX6#e@_VrpI<&N;MA0if17+ZubdnmI zH>A@GD&{O>+dbMuC@X#npHE2DBkS0fv|xaS@NQviw#?~u?utfk$WZ8@oIyxh&d}@c z+yZfc_q4XA&eL=Kuaq5JF`clxTUOBc&rFi+vg2w(jjU)!zg6BMZMC)m z&p)-d?#G7W;)_ZqM{e|_%$lK{RRzV(za*g?u0AKnd5mus}LrQSxX{%#5YPgxpl+vk^?>=((X}9`V4$$(Y-ydPJRQ^Cu^<7viMBPhPJRD z*4Iar)>`#LUx>Ya8)dJQE^)twBdH|_fYOq_mB6OYYR%l#i*vBsrU&0P--f$%Kx9bG z>Hc(`@5hp=P%dbM=}0eJviPbVyym<1C~A3caC>+%Rjq&MV`!cp{f#TEY~>;sX$@6C zc)Ie@QTuW$ynGdn0p}2nAi*K~%THv>OK1wGNYA){A=fl8j}n>tVBZg{&UGawpst6} zPbuj}Ip9vKRKxv3Yg0B(eupg?tMyH+@(9>iw>f!09+i^*vj?TabY)POOFl=I`dpH6 z!&g7`NX$rH?WlRZ?$ecv4X&%|Up14`H(fXTc55Z&O=~W5e+$CTm$XY(ud`yiIsvJ_ z_&}t3Z&f_8c6@GsyhW@2mb(3u zF#0@BAf(s)r?U8}H?Qo3#F47<=jh{U<(R@o0a6n+nm>}+SdQF)Png;g*K5K(e4{M2q2b zz&%kL8AldW**qufWsA|JMcbIMmmupX`xJLzHvas4+MwkYc&ja2C0X^uIlj}KFo~&` z0vGq9yakPr)lyBw9GBw!W4RG=YfP3E_ZX*7+0^L*KBKqX*>M2_bH_Ia(~+PH`&2*2 z{r-BzlEH}e2d?@BbLY8VI_+Jr`y&1}OFbSFYm-i9;&NfY`sJ)WZV9>kC@KG%v&l4u z^!mEP0lrrI!;Q`1{!d95V}Gu6VbA^Ei*<$Nkpp>WR$z$x!McO#69Dt5aW1!QVp01!ktElo1Iy zIgPB#-(-+VUR*>$^tS!TpI)vVB{*xF%&vA>5Hg5@sA6v1Ta?o=Tmh*GUseT$6#oonvq*5J zD=E8~lxEP!aG2{0S@8=M8T@(<5@w=7r@KC;aW)r4vEuXB`1;I|)rU7e4BvXEu^@rw zH(fSiMl5&0N~alXSlP_ZrFC~O$NH8!{qbhUrE@rtzD1Zr)J@gLa0FnYtx01r-il zbmwU4#kzXs5 z7oM`ENJKHEk`<1YR~62PHe(Rh0XIx!Br#jaAQiv#+6S{Mm!%77TVIHkKH-`6)A3H?qr_L(utsZPI?&xHjM zn^NInJ|V+HxTa0QeQm60EJduAU~%ndfV32Y`7Ij4y4={i(n+h*RTO2$21V6uELFv; zjTQBqaX|u?HY+nmid-o%h(}*W_!Gaf2zV3|ZZHC_X~;_OJcC1OtfY6XOO3(8r8~&Q zO%+AknzRoZS*s2(4$A&4)ypdP)Z<7`H^ZHCIj5lN-ldaoq9(Y}zhrcDXI^2eHuPzQcYdWw`O1-E zIT@!{qCD0$M0)}=Su!ZxO1SLz7p&-vth~=USUkFikh6PfEs?dKzeUdo5EfjQwL;h# z$Kp|fOOw$gORHTJx!HaWz!Du0;e)cxZwUGDuRd8BLKSdPnR1Hoo%Vml77`kDVKQ6F zCfR?o)*eiKkBCRm9#YXRC@(A3Lm~Y+8Qh=w@wn-H^7t6we>q)tl78=JYINSTSMO_q ztOBcRz7P|$98|pegG!fqxuei|KAD9%n#quNrD}$@e7uq;g&S>F(?i`{?N-lm3PvdD zwl~R8OLGfl@A2k)>gtILBE=GyeiB!dMUo~r<63RYOP%?^{cY~b>214yn!Tw7fT6s>*KTNkiQU@Dl6>(RNeqv6pp-*m6C01EnW49S003;H} zifmtkvrh3;rGx>H(zQtN%dD??@~Zfd_HupsX-l&>JXk&!!6-jP6+@M(@~7>4bOKl3 z$0C)HFPqp=!_+wkNfWTKiGNC>0~LiCdQ7aX(WmrQojq+QLL=oej4Rn+`Rv7&C$jIy zh1OWD@frH;DgE3og2NBE^j>>}xhP)1LjeX(IPUPzF^rmi)3H{z;0dN4PZW2gsFU$t*tZ`_Tn6xkTFKR3&*EpE*UUy;7!4mwj2Wy$WfSE zxIJSIYA!6otHzKv*#iH{n?TOPyjs6@>Bse(1w3w{rF3YwUoFKeKtTee@F8C~M zVeHPDY&9eQ3Viz7XpSA$E1Bl*woO9pCMEt`uh}3ELv}{ZRL8*Gf_JQRS}fF}jNb#m zAh4agTsj6WE?3NWz8xw8*~Glm^edxq-$=*W9FMuRX>O_myz7zh4=A-vD^SD+gie0OlZRTy;#! zFbT%5=*L|;{k(BG(deOi^i)8<9YAD5u{5;CU-DSnP*iQ0Cyi+j6Crh1s>xrTt$!x7 zi!`2II*I*69)~K`O*3gUi%n_@H~UW>A76T9vmF0^hpl!gd6Dhfpfm%`%WAFnm+Ebj z*ea4z2AqUYL}c9xHtCvlzlJ{#1;)vSs1uU{^3F94QrT464rnQ^TKgS>qt|ySb&@1? zW)+0VZnl98SxmtNU)!`$U7|I|%|^P)&Z1yZa(E91B-TdukE{zQIpQ!H#-2?cUiH@o zP};le_u`s;mDe)!?wzB4o6H_U-6Y#per~g{x*b1BUzk9;_ZVB_9&)HXbj2O{F*x5b zAMJu?dogTNb(~Hx{KJfZ=;;2o@~2F4d+Eb;QS8ePLL^q?5vD;vVHQk~c+4s4&SzX>`W1 zxGnbo9x6teuGt$A-RTf=`9TcVH5%4+r^qt9l3O~VUfpg?Hov}{9vhku$D~=}5&n8a zo|2baUsYvvc94v>dQhf=i^kQjd!2#C#dSMZIKy)<=qp*lp=0QY@iS8@*zJ2$vJ&wn z+uKX;a)jyBRDX6d<;g*d#b`w;?|i-QeOvG-YIR_Y_%W6l^%LDiUz*nMOye2m;RUS> z3x@QBucTXYF3^`$0uAtByrhGa(*%clXsx!4op}|)#-eVFpBa^QzgOAHGhIDOFA^y) z5~OT&y{7QzqCe*yUVSsQjVBQ3?(rqsf#D!|K(poufDDKw2s{OXtz`?MtUkc9xM!B3cwn&XgHKBv2 z@US?12MUcQ7JNp$^6YszMS^^%7d5pFi+CoHY-DVvL9=2hCQLkQQbt_@T=b>_d#@BE zO}d@x7o+l~FHtwKReBFkdoVaQ-7OYZQ!TvSCgLah{Ll`jS12zNzs4O~lYylh zZ3#OPAYy(78;_xU-uoFQT$J}&!QyCS3iW*@xao|0z`|D`f#)D75#~TZ*>_#_N>=_f zi?y9_2Pdw8?iqY(kS*PCYp#W!@qT7(;qsVJv;dxcso?g2qFHHNV z;A&~7Y&$7OiB0Nxf&m-{&Z-2o3T_(EK2r2?7Xy@GDgXMG#+VVLzg4o$42KKtRqQf% z!;ydRXITu1$jygkzMnSX+A? zQ^>dfdv$TuH0vmMD*B?vGO?n@Fn7kM4hhOjoqPogwqE(9PQ*B{Xn=juF|F^n#dV70 za+ABn{+ z_Zu~Kmu51|j%`u$sSPYaT;E_?g#+&53s)emGpMmA(xnl9}hB zWU&t$txq|cTaHO#6mswu3~oHutzWSS+3(a^sl6VUH0d%vEw{nW@SBLrW6|(RRu4pD zU}!pY?gj9U4_@LWkXBk;dvztpq=Ye%C{1MaG2Sd4>-I6eEPJt_F1Uwibn*U_E_Bx- z9Dwe>+9|`p(lCS>?p46+$Z*h^VNtNK+yF3$0$1v95%g3gri(+_^6y z?v@F1=e%9FAV{0d(NlS>EpUXV`8lijOis3(xx;2?-qa?FXzTi`mzAUeYqRy-9undK zTv^{yX6hpASMKiFW@B?N-LhZb6drW*#JaD3bY>BLhCDu(VfFAj%Ks}QscoPMbT5=( zqub*9TmQM~A^Bk7rJ@(5G3n?C>Bl~@)D7%DlVDB5q9wf2tJPfbS34ik_dz3tmB-^} zsew2HJ*~~Ol|=RV9`ET|Fxs2|Yj+u0b8VATUG0x?#}aSE!b35#4kR`-?vA#l-N6() zi{OZ~B`%J7M+^JaQN~e;ZFq{s(BEBQ=dMoD_BPLu!XNJ{D8-y*b~0>5Ta>+#1V;mm z>=qoVQ`!$EP2Zl$Hg{dbv;3Fu;Vu+^4AE)Ok=&sM%gJlJQk|Kjgr{%q63RKFa=eG# z z%2Vi&tpf$S6$e1r_Uj5{d)~^YRUKAyg0EllG1AkRn{2)kO2PN zEwi?Qtdq+pm)hCf^v|lTlB5dX;uTS%w7#yzmY`w?*Zw-OP{o)}o{F&QD{OF9XbKqF% zU9+UyL)&5a&esp3FQJ;NBx|Mk_%K$|nR`Y>A*cpfs;m>$H{}Y|&(URI-79?HSO!{Y zjyC#NpX-<8DO1f;ONREV5T;5Ri{A^Px5Z&S6s5?vn@^~#9~;^ZRe~9aGY0uc3g(2b z&^w2%_gBoz*R2Z!vTNRKf}bA=+7`^X%JGq-al1{o^CAUHXy&l2pg51o*YfR*n*#_p z&JO%g!;%=n$3mCV2n#K#pmq4IoExr-6B4<3Ur5g>zr2YyoUOm7 z8+B>3ul7oV_20!Hi_%-2k^v}E9#dU}dj z8$9+iVsUU^sy~G7*%faE>)5(yH5<=&nJDK1+&d)~!xR~@B$ z;Lh2AyU>KklFBYz>d(!R_-ihFgD0VgGp#>daMy2O@pU_tn1=3d8F zG|v2Fm33%od-aR}b;m+N7qtgGtB6ofm3~QN)w37ZQFZ~YRpWBw^eDEj>&~jFH2eIC zInqlLc1RZQ-h94ae%oi4cJerQUET9p3074v{a=NhXH*kgw8t$ML6D*pDN+h3rfP@wZEp)KZ1VmAqNH5Zn5_(6PROuapfb^h%(xkk>d%ZsOt@X~zm-Elw zXJ+p?GaqIp|KIHuDP-T#kYeo_Y6>0USLEM3FIz_T@Nr@iKLlnQvMCY`dJ-|rmD*n} z?a7f6zV(^=W%I^k;Td6An`P3KqzdtKBs14-?_skShK`<*9{ z*rKt9LA{H2nBnO*oFMK%GH_sMHY86idAuFXB_%yPq*PAmQ%^`S+nO2LH^xs?5+*L|j1a2a zE?ac_JPahGE5}$<5HkwsO}ffBKR!B2B`#QrcUx{x2xkrt8h@6TpKIW3foOZ4Qk65L z_;UNH>SVeUF`+0SMl(aQZ0pjSBWPyOTZ%+!1&avkXQM73CIk*@ZmrcHOc=+ww#~ku z#O{598i#M*A9vXs@d#POL5=N=jC&=Zi9Cu7CG`;XhSvf{g@x7b1d<7t?D;tU{Jn1C zSB(3%LQ95TtfFH0=WyCst8g#ASLMB#j$fP5HSP*>DVB}2JD;p8y${iD2>4zzU&T@F zQTWn_j;UVz8^3|8 z=AXI6|-tkj25@(I&Vj z0BKP*o1WaS;>y6j$0yYXUA` zvP_2|4J&Ww-`X7apD&BKqb(EWsc6 z;lbO~`zC05m6zv+=~Wf-yD6Ecnzu=Y6f;`)*c9BHrEClK@@f}wa+}^#?46e8(rT(l zdk{m6r?Tr(uWrlMT_QGUQf6d3Eb51*_ zU4&*_8r2I~p77)C{*s+P$s9jUN7Lq3G047skCt+q$XK7nG#Xz186}mxI?#MKw4fwE z@54Q^ZQ>#|7lzCXP2d^WJ?%m;xS`k+*?L^%t_n9XEhtvpFN}@`UM|a66+Jf4zvD$U zLN9ox=xi06bYmx3KU$`k%al}B68*fbKVxa7<)09#JqKZ}_WZisd$n06 zlcBBmL^OogW@MzXeAlt@t!k80b&Tcoe+~I*Yeog*7;P0~TwP_vo>C+@$+OA0c9?wxZwVOlZPJzHS$1t-(P&Y( zW31fV5%tY`Xw#)3e$92<78b-<+-sQ}RW^6rdV`6$QyPAqB zByJrp^B*EEpivlYN3kEz8ron8i-Dq`vsdd zQSFgiXmT&DR{blRMfL$<)7d%jt*-+;k3QC54EWU2#(5y)!S}Q7n##C3C{)QG)}>As z7=Rt5;weX(rArxkZM>JYO=EB#xRdIdYQA;FhR1;dX9Y zpO~@UTl9F|r4>a}_fsVu>ONenEmd4Qn_BFvTwp2{y;o!Y!wOcVv9IKov`}~7qnthT z5njz}T{bb*m%2nmjkVEbX~mqme{Tm-y56_*bSXkzEbEHnzz`+X(|8~4PIZfui#uo9 zL^LL_c0G0PdSzOlEa>Ir03B5d8XRF>6beGdx??H}J(iZX!n$%W>GuX?!8YrptOec&O_Q;+!wZc;^jq|Lrrq%_^KJ z9Jk2M4!)0O)RYbz&#_akdyXnRui|q~wICoqO3jb3%;8p@4adE7DK^HI3HfP0q|oa` zq5_x;Ri8C$H=3m!2I!;&GeWC-F6Y0hdN{LCT`&!))v7erHFsQHx7h>KM_zojp7lXdsz3zH@{andocVlYMY z`spw937P>u;-T!(ZKGuLa}N6muidRof|`l;IH=kmv3k(|g6_f4&;=~zQ~)mN)X|uN z=8L^|JUZcfLab8T2lbpmB8+*weB095bu7Ht1*|FuWLr^?*|;=cRlsx>N?j#cMnBPa6K!| zM){=`{l*jp!8SL&k2y|Bnt+e{2dj}5y0YIa$vGsyoq2$mowWR`P^fv(r(+rr=M;Q;2GC~%gkw(?wBU(S}QjQB_6)c75pMARqWu-28$>MJZb&w%#JN;~p33&DmN zM9CVAEN@A3yD4Ws9$J#4Y9stsVhP~L4gJ$uhdejeGw%2KQs6J;-1|zklhyS& z7cKgF^G%NDqXix%bFPdf@2m^gV<+%>9XawrantHbkbFs*bstlL1|ve({wf23e-bYnC0J^HPi z@jcm%FsL%c3^~4mDNu>ptBE-HPS+O@Ztk0yN}^dtO90;_7#-e7YEa{tckOFO7yH)^ zm8m@OVu8on)u_8%dzwXFOIuY-OtGa?Lpd!8A3EL@YY}pWa&>KK6f@pOEJHZswmFx@ zP4>PHFgbQ)Ss|dl3ZHyGczEtmD+Ha#8j6~0v{$+M-Ly7++UNn~_ zjd@}p789d)&{8^|Tt9-pV=zMSmDHo+t^Z=Y7~)o4CH6Hs?S{BhX%CyP?t2fn-H`R3 zox2hJ&SCFo9}^S1!g6yPTDjjS6^pm(fhD(LTfSWzU$!cicEujNp?*f>RxkC$C?Td! ze6sY+u6AqFI|luNb#IKjF#p9CM%K26y?c|6noat#1}U4bb)?FT(J37To_&r#*Zx(WZ6CO{ZgbH zSMM-XM;o<1Syl6>$|wkI6lmT(T2?E*Z@ZQPmW}40j``w3~YO`r>>hS$kw$hkmMm9 zT$5x&k{Yo=rdn;oUL^TmB*(Ma3|=}K{B^J$gUJho*o0DSiUzZ?(dMn!y1M;y4N_JQ zcZJ|Y6R}Oxm%edCR492xXNU8ISwH#wRdJ@|U8?`bhiQh-L!Tnq>-(F*Z|yI2KBoMv zky>Na9{n(>YOPJ2Es11#ES`iEG#)kwwqvO_5gy}X<-=<&cEpeAv=r6+ zky+-~*(W!PALrOvMkyOn54jfFc6ch5LHQ;Yaasd8OgjZ(Ep)?+^EC7HMq@1KD`OIs z7mvGihz%GiOzt1|EQawP9cHRp9YSSSeO&4a@jS^(Dj7?(C6lLgqH&EG@wV0R*45rB zu?$eYm0*5@`8H1`+AWks2&ZOQMQ9V0QP=KtwAR}GM&#+}OCvXTO_WZ3#fAt7^hP>P z5%Z0;Mo0cl*{(?b4(tf!elNm9@kt*YTbb-a*CN%(K=-V@BG{wHsmICF@v!#_v~-8& z@CHqP8IEUdA)9CI_2SAEc=@O~Oj*ISf!w-}>0Zg6Dw(UCtP9oMv6opBj&3R+J<7Mb z@|z@6QtK~lt&OPyFJ*NRZclnJtcjnXV!jLUe4mQvLVY$v>{eXG!Cl3E37B(r9_Rz#7*uVJxb+;(Q~cs=l@FDO0su)P2owDT26anw#ssguHSd(n-wC`a9p)Jlj}J zq_vm_x~7%Bw%2c_+~jdhdS*udRZ!-~_8mXHj3QDgfus4TEG{rxeOv&>)6)?yk$1%1 zjZHg{>fN273!>fPi%678!&+WO26f_?p}?DOyavbJ{_5pz2bWo&%1U)+F~u@`Lc%&O zhd=)DOm64hxi;yioS3i?^WBF!*wbr@il4g=>Ym;R1^y|bD%WHke9F>;C+q(( zRr$g25QIWN|8dsAC#a1Rs}W9BYjY=zGX~|tri*d1VB=#`)P)Fvz%pz~_RcO&SaTO^ zjJ-3z1;*T+>xU-`U@r7;vK_))9nN+a>EsMV0cI-vf)IWYHX}}1tToz#O%SFC1_^F)A#tsnRX&XdFclz#vXIB$yy0c(K3f>01hSWsBl00g=T ze11m$uz%wGDg8i{7_$8bjXyCa`eCi2ZS4XutG~AZ;3H@_VJxubNPwySFKk5435ftW z>}-I~%6BuP?{lEO+XxpR>mT%jfGWn~WKQ~Heh2B{1{45*;{U}i$jE4@i2YRmv5xx5 zt#)@pqDTSKI*1hX-(V9F76wiN#rBT|0SSr#r*tyd?Elq30L~pK~69!K8R}BO@ zA!Gll0hE(Jav?Cl>gl&QC=9TC`c3=3(yy8z1PmZoe%1b&{i+EG3W0#_b~1j}@qPB2 z1{H+?ZTkMr&w53nK*xX801KZ#>JSFO{x}Bo#1`wfT&O7c4-F;)SYG`W2RlK>{H_7~ z@;@|~=_M{zooQ+aGblC)k=_bA<#2|LDDtkRbH; z^@M;s=8s%J{38x9L;5`qEGi26y$(P-fvfyd2cW@z-$M`(NaXix1ZaO;BVdpJ(Puz| z{IB&~oDcx|-HG%BZ34IUL<08=n}8Mu;{rTi{<%Sw>`@pt;Akhb6Q$d1hHMfj5py#b z3~Gi#nhSvuP%uIWAp${}3qwIDm?%^bEJgbNhx}u9=IjDIxxU}55D?(KM0(?fyt)GE F{{VeCU7G*^ literal 0 HcmV?d00001 diff --git a/Documentation/RAM2E Label Cropped.png b/Documentation/RAM2E Label Cropped.png new file mode 100644 index 0000000000000000000000000000000000000000..c7a6e073a9f155cc5e1f7f0397e147b3ac56f9aa GIT binary patch literal 82151 zcmeEu^NC^DK z60*8J#lj+yvyqZglb4bLsll8qZR{}c`|f5MXvkX!spW>uOH<}55`C1R zh<-dtC=dGB9Zey<*+&~pl)yIP}ZrHtXcY1#@J=2ZT7}d=U#lmL$apQSl$KpWVW%xU%v-7~C+qJ+ct6D_zlGt_%06#n2X2OifgYUkVhZHgg#6?mjpAMBs|Zc-rPT_*3L z@H3UDbZi1NV&b|dc2N{WjZzoo?`6W+*VCWaeiVY>zjAn&vX>shR!eGW!1=42WUBYh z@BRyi2S>LR9zGkpn5F|YR%}M$;W;+E>YB{xK;k!@4?`Q(aA-vmyRJCPNbD7Ej*Ho;RJU( zZWJ!}nC0GZ30oKa9brFzBIG9SN%;<+j)GVhiY<$uj9nGf&{|(eeWfuJg3Ayc#0kPH zyEhmu``-3BPB~FF&Bcuvir&!6XIj&v^3-EyylhKU5f;<^Ng-ZKvV z>D=SzjQVIVF>{-NQkdwNNQcNMByIF-xVg#r7o6Kr>IjOmLdfDks*6wWdRXWJb~Gbw zQEB#0!!NU!j|3R-%zU14$;LHSos~CUtAC+3LQbmBvax-AcT36TVp?-XZw8($Gc^hp z$wly+HI%3SOetT4x2@J-&F8e$btjshSMW}{Y|^0;e<|(SIWWkDdJaiOuWE_Lv=z54gr*yWIv+C>C`-F4%e%t9A&aw~*+eZU) zgL9-)1jsdGpv(DNs9?9`XT(l;3zE9maCF#FKouB1T4Dk1rGB! zw)AB!6j)TN9jyI$x>)xY2sc~^j5`?df_Zotx?f926MydH4qxz23`#L2(++b6G=B-rSv8RbvONQ zNt_s-NB6>HHDX*J-HZVdo0C=1u*+&Qz39E0OLj^Hc}FLUc#zSnyu>gP+yb4?p;3?` zRfCT)(0@3~w&x>Omd^jAXDzNDk(fO&tWa}z?t{Rmp5dFTFKTZ3y+1O;8tqC>rdob~ zYD&j>SMkf!C$s|O9A6$+=$ByI1zqo3l-%6-I{#BTJ*e7Qr{Oiz??>rTD~tQP7M@gX zx1G9Ge(_I&ioeryZK!k zC1%UpR=1NV1#cZwL{W-_k-xiqbgM69=@~KTYpiE3jt098u8rUt+uE(dw1dPFnYu^K zabNmu%!#}x8(+7C`-b?2T_lS_hw~=YFW4&YU|Za^xM6WW?+q+wM42{wU7fxFdraC^ z!0kb8>@-wNrd8RmFfY%d6kW`(u2@nFE!NneF0Ix-u9+V`utD&VIS?yL8mP=jiac+Y z_mR&Z-CFBB;Pb}zgS1BazxGeM(oXv*rjS<5^&_*~sC2HtPyRfGOr}RAw3JslUpN1m zRTe@f!`SG3Su3|}Qoe|4z5a3bw%eS)*xPxUR^3+7RtxXu1H_uxFzedPM(Enj1I2^- z^{gKO9UU|RBxJ!uy5A?i34L7^0NoR!aVD)I?I-mYuohh99TTLpOSacF*LComtOyT# zvXx?J$ql~&2k+n8Z;ffBoRPDx87t^qjUB$fNcpYv+q1=|i(=n&KA_Snl)98)O4=!W zDYH+$DrYFOrXu*}%)R?-JVH7NuPHAmEtrlXPri`w!v(?x(;Vu?O9o3&vt6QHhPG{; z7a?BdH%1>$KAd?~`js-ALGi6ccHShc6skns*KHBd(I+B$G`Qkj9Qi|7b|C#=5684KveH<2xgP zCfCSE5eM|_w0a_YO&o&>Tl%Z|JKj!r;93U-GC8Tw#qK+Z2s<1U4O=}AfbF{uxXmoP z+eKU@|2Dh(!G?CfYi=rI$9T*CYw$MZ_7haj)Z(dbQe{_V+PC>#b5E7si}~vD{hXxw zr0>O@#XU0vT0p(s$NZK1xXQ?FC1ldZ$^8PvE$Pe9UjoD11v z$YR|G9|}|Yj+>LWeo*xiE0JG3so>~+{Ek}dzN^F}i!Mcr*vXTbu#Ca^LI1nI?moN; zq1b!7*po5Xj^N_?hb)jpa%x_-2nIo+y8zL?z-wEH1NTzq;jHRHZYJ9vWj7%R` znQ%G(<`b1Aa%Fyp>+yr4$Lszz@EXo03moB>7a{z&9eXCf%DqZ`CCMr1uDqEc6xwjn zfMU7D`c1hc^MuV`=_37vmnb4+ji~vSG3(n$WpXVEOUb!%w#pOonX!gR7c9(t7H$g2 z+~K%om8NLh)W<3gDolCI`3|ZZS#$zkb4%OonVJguhH2|Owbtv6HlIgf`Sh7(ycvRi zCc+QInEiCl2#h()6Omb+g9Ux7i|~_;Q`H-tuWMg3ybN@%-F2~qF0X%Q)x*}atMZnX z{6wr?p3GEsOJXSU`+WK(3#)*YEW*@LVLMY4X6!vL8P@hl76yC!HR2O(h6c4wVGRcg z9AM<1#i>wd6xo~KpLUaE;cct(&w>*bmvWwpil*JI?@KA??+@NTl5wa`x8lk;Y!GTW z$)7s0zuKIDXv6O!8U>TYJO)I~jlZ=_oC@qKE_;CA=~loWxV=Plp{N_V^jlZQf5m_0 zVqRvxsleb%{oBZ|(7mwa;OzJcjZWzB{qfw`UZ7J<4tQDh!+v1lP#G| z#+7ZwPn)W|g16o#+!xmhD=O0t)!He1Qmm)HZ<%Adn5W#Cc26M9i5qosCHp%{uuj_E zrlhvKbW&kfzu|DWZpp#QfA6%=v3c!iZ;R1G&fRq@0_9bOXfAV1nku6@v~K;>W_27t zM=ue2H9Na7U132ES3LzUP=5snT%}%Z)V8Yo7#yE&+D$suw=ZKYLiLzNgo-Q6<;TXx z)2C;>oG&^j?p`P2wtqNt=y^~qH6BVzUM}=ZOao@VYyErp%521}wWm5#!a8H#d!sw| zwvLL5=#>BC^|7hZpZTikFS8)t0t=fT;r=URna2>6Yv;x@vox!jAe2~u#Ew=1?4G&xOx%PX^Y6dIQnuiD1}ju@v(%kpZ)2b!?h#R`GxFw*2Tg)92z=nOBO7! zI~G*+jZY{URzckOif968y}FP%=sINFN;1I{yMl=(F!u(Q^cS&y7NJeh=gwwWaV8Ck z8hO;ukCa}YLz`2>@l{1b>Vt#9gV>;2tX*{hA)yKv=iNntdvTd z3S;g@)V^HT_-bMPJfUThE5Ol$$XQMgj)irX8S{fJukmOX3ky5TMoZUKS6NBe%*lcK zrMc593vN#bXP`9}mZ+yN@aSOS`V!>nVDAVQ_7r>gr-d-^jQJY;5cH>stDV?GU1c?p zloQMX#Lvyg&GS&47z6@|!ptp&HKd>a-5vO!*h6bqS7%``*u%qv+k=o+cq5JZclbfs9!-trG{`vRU zJS{wJ{ymZ-{O@G}3j|}{fS+*lfd8QlbQQ&XE39VYX<@G`ZR23!2nWUxf5OAdBl@Sq z|9SQAA^)SR-hX!G7y8ex|MBYYuA*Sf68>XJf9=hm>)F(YLKs=7k_N$?VtA z8_*j;#5h!Vj|mGwivN1lq9_O)8fwdW=duaE;Em!ms4ufaii_8kG+I6S@Vsj1Ymxx} zBSI(^4n-gq9taEjUyntPoFz@O6E4HiHfQ^PYmH1OK0P|GcHT4dPL&+@qxbKSM)JvF`uR z`D1!(DFt>wzxK$4 zPTcRzd>||0ujZLRbI;F9g#T{NoSkxUyn~wQ_qe{gTplmhsk`W-`C|Zo5mC^*vr>rs z$8u-O;iUGpyDYw~wQs+^^4VVdJx z%Kxh|ZDQKPvz__YW`ybtWN3Y^)#vz3*sb67`^(1M znh(g?&23!N|6)>mYzNpjZ*>oX>8zBU{}QIwNEVxM3LivXUm^IfelbZCMdwEKIpXJK~V@_1BLf`2Ge zj0qY|E#eXUms`^%T)ljjB@s}!RI20^(eXC%k049}+#-CIj)Ox?EF?0Q{R`3moEM9j zVz;YHZ&HZ%uSKhoV7Jb!&bRv1?d{S8k#f;W0UoXQ8L;;X-(|@NU58i2@QA&wX&(~( zX@8^&#g);~0O>ikgW1PfoU&Tat%$uMvVrq0MkbDAfR4m|HrXX92RWq_LT{FO7z0}T zJ#dN%RMdZoVAySOTTN}|)ZP1#3K4W-*i_pm(k;6~3KRd;YlbH^2Ehlm%v1t!>6|~t zn>}{QtPu5Qg`GEK$Xca~2@c3^_%HL^;Yg9hO!7H2*nOpH&&2-}E$p1BoXsm(q&zQ9 zcFN_kJ-fz8c3zSNoe-1zBdQf901qtJRXFf6B?83vi_9{OQx`py`sHo_!+|mbo5AOR z*rWjiexvq%8Clym)3f9su>k0ygDd&1KTO_C0+cGOsn{=}gNEf27Nkuc{U;CrCR_7s z;8e5e`eI*I9b8hXJm1FL#K^>^jPg4@{YwH?07eK6t$HsM%XupA+)55aZ?NMLw0$xq z05-LQn@}jAuB^L$6v^U*B%F()T)=g;sV2v4L_4q%>BAZGUDtc)0bZ-${E^rKn6Su{ z5l`IriBkc&N04mUWqy!NM;wm2qEX(YpnrOx*D|B{iAc`>v`a_B`tkT;4^db_-G5yZO&BtW<*frNjAWlfWiV6 z=}V^}VN}w?cW{OOHwUr+4n&d>5xbg}OpcE{tL)a(#g0+Ln7m{{Lqo%4m}Ba#fT1=4 zuQ*uknR*mu1B0sXn?J}XlEcI?!I?0Bym04;`#fbfF|sZ0tLOmn{V+N zoo)7Tdcly-&FxU3o7Q49*MKVBJw^qX5HK_yn=}3;|BApeibc5IH2a;`aTT?h?Ca{l z=CxWP8Fes<^NuAuaBDPQHK+PA)x>5erBHdk{JphDdX!rH(ZFq^#;HF+YLEq95jjcx z*(XV^9<7RJ16Z|>OwKQJUywa+$GLw77w4UBKaL-gxH>V*nY#Da+T$gm?REQShjs=p z6?}S>9QIhg_Om))Jz@^JmQLjY1T<)oqClJ{8{31ZT|9eb58c7mRlYTnYZ`>VsQ*m& zwV@EXB7dJ7Mj3t)u}xk8#^fa8TB2vfSQJ>Qd?mu<6=*e!t@;gMPn~mZM{Q{)Dz2 z0YpLs5lsd*5+*unEh9me`$k}T^V&edIExLCUZHNgN=P^5)jBGcphuJ2p3YbYPrL)8{o#}7_yEvVr5`Uxit_4rS=y9CdTAE%! z)p*{=a_Uu9EO!`T+{wD7w^!E)NpkBQ>Eo5oL=)ex ze>B$eSju((b~-XOV$5TZ)}kVjUz4p`lwXL*FO4h~L!t`Dw&0`w)0s_?JAXKm0i_DU zh6F{jokhFEpQ!|Wtom$*K!>g|OmA8k2^j6-u~j*1)4_?JRMMTw!)hi$jAB+8YIr#2b|9Kt>% zJ{=z3iqf2m@=tngoSm{BFr9ehU%)K9bPuBlaYQvkevHDk5|k%-Z(`8pTUu>36380G z%*V}Xn)mtGGY3rqE)VmJrgmj4-1dc%+WU6_w-lhBauh$^V{N~go7O1rra`wdeG==F zSJerMc{g?SyNA)F+qH~rXuJ}Rs>hxGwVrK%YS?&u(zA1Pzu>7# zf_(6HEbc+r$9`RJ3DE?|3T7s&w}k9Xp&1rs7Wt{eLeCU3GT|Z?YKxY7XB}q50aJC_ z6!D3C10s1BibD`9iBz|Uf@9c4A`1;CQ+>TR`+bO2)PP)sN!~eEsR*-)pf(CvzTy5N z$~tiUN9o>R!qF{?Re0iD)oV~vf-@taLIgoy@bL{ZEZWU}tZ-#@hV#YJRl7FQuW@G; zq%1wSKe?Xln&_jQKTy*SX6@_y6wrPE(XZE+uj1=8Wq?fW%xiDEIv5sfng>8M5dNhc zIX(d;ZR0FEhWBC0fe|-smlJnpPm9hW7i9I9i4){mi-=>4VfjW#_mpR0oikK8sgj!I z12*{7GxC_ko{HvOsystgP-&FS+m`r+_|cf8bSe2mu``HyUnMZ2Fr?HwO!sG{8-4sG zx**txEIWN4c8u$NCIdN) zQ!b^l_rElUG@zY@&Prf-qOr>Mja;4VE{H3(9e)_!jodH%?!>`uiC5=(-f-CTCx#*k zX99vqzUcLJ>pv?Cu?&7F0NY+HhnLBQ9%ZJS0{k~50)oxxcy1)R%JHzsx%Bs_OJ_GS<&&+YacgK-CQWTPg` zE7$d{=IoN}1$A_EOa@pl*Xx^6?E%+^x??IL&PMk6JVK>!Ja*=dHn?Q(`!zlKjjF?~ zecoPK=FTl#=+Nf2q3v2Hvn06mb_`&FOaYhg#mVp40hLVfIhBVMW9iizdLW5)pz;`e z?l(S<{&)d+IvKo3in-PPY`sx!zoT};p#?V!vjAaiq!Ns5MjuW-2x_$A>Z!?IkwL~^ z&erM=$Hr>5+ZnSE2j_nL=}>lbn$87j_ZaWYsk*OE^k@SJHh$jY_16-YtD%n)8+l^< z-U}stp|4c)z3k!<2PS^+m?xy$R)4)}^`S?Y6vCDJ6mVo8%#PGXTp$szqD5>V6>(A? zjg|XTi~MBtB;QI^g30pz;^XUI;|{y+77Ud4BpM@Gc0319cLXD|`*Z`B+dWL&b3bQ8 zZNq1S5-m~}9%HQBA|4qP%?~9T+vp4fh+i&@h;C~N&0HtCY%fx~>@WMqaxr1{Sa0!r z<3Jq&K2l-*ve-qf!V)b{jymiyl-yz78cel2cs2P^E3HL}7dw#}%``yRf&^vczLYPb7Nqr z-p+;gM0GPYP%S}>pG^P0^-QJvx;2c&>)X<$(;%(*FI}z$L+lj0E|%Y14$b4lZ7(-| zvSH+R6tfhyF%j8(idN}^n)fH}kFf_u(Lb(@w<|5>FSM5D_CHxwHl^1ROCKVN~RzrY@B}}VuPp9A@}-1l)y~86=Xn-cdBddDZ>lBjeI<$)}LfPR2 z@SGrD*_w#YmLu)XNspNxU+yiRiB5NaqrVRVMFL%_Z_B{7m-_#e3RfugPHwLdSB+xJuOSY&N36+W?pP;_&}=l zxgxOhn(Ybb#2uP_Rsj8(8b5H=>>wL?Sy@*t;DwxgUWlyHUzl-+3Tzo>s29 zTeVkOf}sZ|+jGs!UqZA+Vy+@BB!x=d-Vxm(yGmKYDB~gLg~- z*rM%tfy$1eU`7f5bkC!vQLPZ~&vu)lMVG}>uGVcag_TTELah|&OpMbrqf#eydZDgoceayQ|v)mbXTq?S@rS6$8 zR4-y+r^W-RF$PJM(DYKKXiMwISZe6u!3Ia_g4;Iqz6}fv@L;93w!l|Zbp6d}YZ0pZ z0Ps{|x_DYzVP zC;EF2F)oYc_M{KzBV$4z=w9q3dBRt*n~Ymgg)zY5Il)(Xjn3`BhlydRhjT=*-BM@UZFcaWc}61 z^*ynS(Q*-?3YHVsvdy`j7b~~HJ??9JTaL6$$98dou|}@O_fCZExzUBPp>*> zXm^?Er0=G|S=WQg=Bx8~CDog1r zOpz>05E)6~Wy=WUQx)~jtV~k5-M^VY=y<*#jQ?S}bL0wd=;WXn%iSvZ%fl=e2Ji68u?suPg*sGj zuzSZ#8%2#P8=t=rFd;t@R2^>|@`yU~_qA1l)j>x4tBNBdx?vcAPVlv_Ud(Pu-tVCI z6_g2~b2QMPo#}uwJ^zzDlDQ>B#m{!xOgB(rkY^FK%V2Rd+{fKx5CxYxa$~H7T|#t7 ztly5m*na1GI9qTcoFtC?4no=n8M-|=^g_JA-OlUYu6uHJy4~%&Rwv+<1nYOpI7@q1 z=fg=}Plsp%r@-nh9F3QN;|pDQM;Rltvj|?H8I0`l+wbg1Mdw&wM5*0cdAg=mV=do{ z;7mICBXs;%S{mmhKO$;~JFM-F(DxWy%Z0Mgk@ivlp3N_(2*TqI=qb2RP_x{ncFn9w z$fHHTYv}jn*?B7g{w&%9d0l!qbol@)$nW;#VGiClvt4P9YsNJ8ufwXvgQ-@ruAxlk z=VcZFXOJ>xiOYn3H^&(hOaJW)epGW3cRh#Ny}2(ju<=fI3uF%CDjR*YX?u9>K~`?Mzcd>epB z#Vp>4Ua?m#7D)%^3R~&aK6RccJ=m_9gL{ivc__Aflm`}U$@tdx`5+wYujaRs*3=dM z!Ye!pH_y~@>ZL$Eswx49_%Bn&>pu{!h%Ky@vG9Fk#|#ky9pd!C+VI}}7ZPx>y(gBq z0PXtr{s6@}VFDqux|*W~e?6uu|HJ*qrOM^X^R-7Yi{4hP@<+PUi=2=K7&#`;fDmp9 z@PI;}xZOgO!qxb57j9WH=6EI^#TGr7XQqRTXz0KBCD&+t1+k1WD7z&mYG(oJ@l^t= z_rU90<@0SeN|M$Xh7IbC73@X8ATKK`>1vtvu+mjA}qm1=AzMp%J z-*C8TR=;S}Y|_C>pw*6ZTV zT(3D_|8?Xw&M$p{s)G{|@2CpIC@9E3BkW?6fi@ZvEFRebbpZb=R-X5t(OkFksPM5pf6N#Ik}TAI)(99b z4I+TQ3$D`|Jvly0N)U5}s>XU|&KrorX2@}@6vv8uz&2LW_D?y-{1&Gy1XhoRIRDe$ z2XJmmx+N810=_R8s>tee*vm5n44g6W;DiWBTO@P3`2?!h(>N@9*n*7e) z_pdH}>>3SvD!lfWKO8d5eFt-MH!*s&qR5s~t%fqU^xr!ElmGzN)g1ub8w6nDHvjQ@ z@{D}|Mk_}-wT^NZ+H-@!U&3AfHi4q3k0fy9myb_L=!;`I!>Pfk`KnoDKM6%9gnxgS zJ}w;voW6sMH7@SpT(gJNe!#)1`aXd0{t#_WsV#nyNgtHE`!e+aFo-DJ~O?q9##|o2! z-oRSwur6+I#m9PUK3eH6@1CRQAPW;g7k=M@{ao&gV_h>-pP8m%lXGAE_TiIj1+uHg z>DcL0uo1$vk+-)&E3~$+Iet_dxyAmj0INouqM%&8Sp@_8sc`^_5LUh{UY=;Xqu(J7 zFHI6wr4@v@_H{teVrb3f#VI`bJG12yot8+(UU!w2hyuF!L(uvl5T?bQ*y~Wd^M9?n zl>&b&u(ICBu4@T=xBte< zJ3PS7H}qswu~|X>Y|f!IcU{gme>%+g&Wc>)de*k4ZBa~Tj6c7HEj=uQ+5x#^qpAO>dvBeYwRI{uIzlZk*%i=tq}f zBI0io$R;-`J#gsW2$-{=l@+7(1wjBxe!Kdh5x=1khh8cpb8%9;y|xT1-1W0uyHuGN zdW}wZ#rfdQBs*lh*Hrb$1g1ytHafSQAYiAT*1}x>W~HBH2GaFjY-(1!Z4mO!_Yd0l z9w3T?gM06L;$|;`D=l$nMdg+5v=i$P`mR@7qF;0D47<)%Uj8)AYGu6Kc?qO^6)MRW;WQYk#mXiIxqu<#%XY#VY-eJf^zoPbH zM1Fre!%iJMlH>r$CWp|&t?K2LJKYgV+f-ItVSaJyBm zxe>?*{&0N_QTN*XkcydqY+pjzno%q=hDfgR6VWSWJ@c9@m zMj-FB-FqfHBrplTgvZw1$1^u%3KqL$@d|ujs#n81|H1P)bG_rIfoAP(z+w#)cW)ey zcAh~x0L@I)ZPBx^WtA#BF9D))J*3N1OjP%fX!A+tPh*A2$LrqOTD-Sq6;p>*_aJrK zN#AUa`qj6Y(1i(i%4$5$!xl7{f|*)GOX7tYUIx#J&Q_Pt`6N^T#)X;3BSG@2i<04n z)_JcFfuZ)ZHbA>oa7t*bTfbJu+kNeryrk~|Od;Kr|6sMBydM1gXsbMn$%F69g5eg0 z-UQb^Y`WA^CY^(Sx`!|oI1xF9?QFK%nJ+jBBA!X~5^Z69@;cr&O6E)TY6b8SUH{97 zPXjYU0oyA8YBXRKwPNR%rcJv(G*#a)6|b!`yB8|~h=v*tB!@!%xWD!lls8yb0n*Uu zNsrExao~Mr@*5;35_>*)km|-RHr@{kottouTBSa1M0u!B^Ns<2(A$SbPkgY!PG%uf zhe8^;BiFWsN%5Hy2N|{b^7I&VqH`Izc(P@g(h>FH)RIA7op{LD=f`PliuXfE__G23 zL8<0ir^t+^zbr^-R*vG{QOih$mk4;RR6s@=t3*z3Gmp;|TCkTQ==!*$Z{Seu-kwC~ z;5p#VE$L3L`-Y{N_h!t39c&49KNvgGq{O3u%oeEiby~#P%5mA16hst|?1mt(jO1qo%1B8?Dl3EFzE+xNZuc^H7is z*os1E-X58e4ij$coz1n;d?}%>$OHi_(%avYV?>;b>Qp5^YG^p@C3dxT!*a2L>hkc3 zKIsr76cF?S6%lmNf!8)ShUoS4nFsc*ylD}XvHo3U{3aVFk64eZbImvpG<6+nuEULC zXgTlB`N>wM$PKh-9i+_vNk&x1A@NHCE25I=xD;TLNJsY9gbcz{%@ zf9K`v(>81{@!Ab=qFT=ijEGxHOg|Uf#vwy0-`i7#B%!JgRT;NGniO||C~o0UBrBQL z1No_{`R$IuT2Y^>MD5H~_kwPP;LvyR@Y+Cp?LN8l|gS`RQ%MWE{Ih#Mqp?JBa=4jp_>NeHmMz_QU29}oXi2^%+~N3*eh zMv3ygkm7@UX($KbteSTtj|N|k6m>ikldpH_?!wrOjhhZ8!dMa z(-4{=Z9d12`$ts1Cqz*7RhI)U&E;ffuJ@&vu(Y_ zU7%Jy+S0-M?{KtsD#93rC=vvUZ)h&(4`m_)Wt+$9y!MvDWbjBS!~?GE`#y5OLt79odtJ zYM#MvUE;SIxlF%I+vA=x`QDf61H^vkpo(*y&$hd0$I0_kJJ9Fz#Kby6;$HQ4a**_c zSu!WGe5txMI;}?f&RZMmsLYqU=>9T);om`MIiiH2^RnR!}XTJ0lGR)^Wr$37+hsCM)^Atp@TZKPn3(3+YxXeWdA! zXlYJ1%G)>h9&sbG&J~ZH?dxesxC^VvrZh8sUOLyDrART9a)&dRbF`a_M3(j&{)5ZW z(?lz;DB zK5S~eg)S(FE$bCA({YG`&7lqPZA1_j-a3o(yN*DuroxLHPq`f`s@BG*@En1oSQ0Lr3Y?#F?Frn+t4X zr2UNE@0H~S&)NR+8bGz zbmaiJ8c)C12cH`+){$DFpR}3CeyjUrgLIp+>^92ylO?0fYO#^M-E-~x!fD^8hbJYUn9at+4c_R*cnuj z&w(GZ>!z7eMECEIOrUAlk`A_`rk6fccvvwMDfS`e46K|xT5l=Yk1hYpX@11Pg*~dj zm;J#lcAajFs83HTzo`9VeA_5UbEW^mW97uQh?s#TzK*SxoeMg3_chMYMep}gV+(~& zaj{!ten@|6TCMdYv2|XOE5)awQW^G&Tc}h}CQdbEpcq!%>M<^F!&K?wL`C&%!5D`RjN+8F+Whv zXf5u4A#^I-6Sf6fY7&iXnL8|?-{l9A8?ZZKoT@Yz)abj1TJD+aYXG%tg8~HQxN5@h z_4Ohzpk#6-O|&`2;g?z%5XrwKn~ALD5G}YqK+mr5=d($5i=6ctDXcumr*j7AP5lqsI>36rCLHHVq zEaoHh&N60B?HFTD`@L*v=a8MI$rb5yrLSMz1Fc>aX1Z^zP8?PnWjNDE(|o=QcP$ox zDXh&*P09q~F(}181*+$ecS}<@W|$L^)of%zXlF<;Xjqb&Mu?DP zrSY)Cv_Wfz;e}6u6cPkdiDK1tuSkj#s)Be zR$ya`DL8k>Z&Ud)Y=#^D+=?6u=!>0{P^hjSlR0D4Q@L7LK*5qG$l+f`K7gysQerjI(l!R3%l@}Ok z&)=E;JLO&36`$FL!uAvhsml3$FR;@qHI;vB+Wf=$*?4fee02;n%>9r6sM;D$oS0Ya zjJf6DGVoO9VtQD=)maSVJ^1m+P9uZr%uZ5m0~oZy-6M*gpHM%xJcr}swVT`8?ro=J zxT{(E{LVIBzvBQla+#)0*s#)S?opTt?ezLEc2(jMnM8V2yWs!8^4echqF$wUOw%d@ zD8i~PH1f@j>D-2B(X zNtR)eSN?!S{eOh8KT%M73S<^`-F0VQv2p!aN|=1*%Ag@&{o* zD9Xd#aMy}_*9NZ>PJtB;B_3tG{y6k&hfKQB*mdnZ8!?*3TZsL9bjeJ(MX5dT4>Z3mKI3m(S1HTIQYUUf4R6VCq!#{&wFrPMAKnU zSWkFg-1~B;{&r!x$5Un}4Dm)x9UX-!smhVi>ZXU%<0N_u{$ zxySB;;nljU!g8`sZ4(fC4XgZBWw+99)5LR^BzAk(NM5Tj#}D`n$kcjg~Y%ui=+gq0-ErXkn?opz?f8OO(uB}O}MHs0PKmy0vBxld2iizfWM zXJ>E*l@eYhXZS2ct2jh^6UQxuYs#bi#}9iLpy5yMX+(dgr8Cg?_-veCHE>r!g}DUb zjL&|)X%|-yzRnciP=}rpYi`UiAmT0$&rikHLaHzovB}S}&pHA@g||66*OEsekCQ)2 zUN_zWhFl|bx8QKQ`|4fjrcEUGO6JDB3h5aI6K)-7jvcGr@ym+Dg?8jXnD*uBjAUQd zc-do$#-tjz_Jp(-4tv{Q$$I(={e6b8pVht~c6QaljqK}p!7xu21U1dhk>S4rGTPwz zm;^W8`fpVhaT_ zOwkU2D`Infrov+udzG#7f^68ZhkyD3_@#4wUR(@51+L}(VYCR(?&0%J5yu{`hK9sV zQ`1iGjKcM=6TEGgouxREvYwW@xXG(^Z9_%ZdY6mZfb7@*qVqF3oZDWoL#eFzYM+-U zIP77|V-m@>j{uw`WP+a%RmBvy$SmvqVe#G^QC3I7WpO$-&e}^`wQfib^9m|viEz** zdpWb1>TVt@&C+o6^a}{8Dy-4{j!WCLbS*tRxWsF`%p3LXVXYodlLZ4 z-sWH;sI9fd{d5_}ycInC;Bcyn`A;p>G?5`8bS62Lg~AiKB@uTu57c7+eD9TCgD}M4 zYRf=j0Wx5kHG_2*Q(}Pe-I$67Aj7avtt6D=cDHR^@~okyCEb}SAK)0A(D!8br(If6 z9e>f)=chHnlTOoG<7oZZX_+TfW?KPg2Zde$*7WH2ZNUuR{pG<8i9^|GaIFcD1($z6 z+b3T&dcZ2?e|0gXv1g97C5SDTK{-k)e+B|?6#zrk1^!Zr;hARW=Xi0G0HNQi^y;v( zn(>uXx{2G?+5yb;y_G*uT7Hk{9}&x9VIS4s4mYTusKw{FtP#Ev`KAlFMWtlg@vN>)Ny6`JY_`GW%fo{x ziL1#Lul;Yp06_2GfM4$BWtRPC{mx>}n8@T!H*kM!-uiPA9&jY&a|QtI`Bcv5<(KFr z6HK|q2mc{z;FzTc^p4LXSHS(-d3Q(>S}$Dfp%ugV{&m+>ao${?9Gi3&xccZwc>)Y> z%^>P&+LxvTByBx`ssBoeW%|dFTOTk40`YBV+{t$H&OI({R!N}Dl5`e;gj)sDIybI? zYd+6+XhDB~RH&&bqxYxye}x&F@34j(cjWY_s9zMT08k8#%EI|hdwt6fo|bHL;F_&3 zGZ0sD5W52*hX:>6x*olyX9kdEOw#sTYO! zUp}ZH$59DwS_-OKl(AqQC=ME&sdwUfeu}wE3RJr%w)EY>(Inj6Ji4QhUd@bcWumxi zt2hXABIINOc7j&049M9>;H4uJ3UMuvv54sAnDgz+IWUTj@Q7|-46`)4>9{` z<$N@d1hxzB0SY&vn1Jmm?ut7QReIs@j+dd?)z?wtqyXK@1Rh-89Lkm|Q)W#<%+=Kjre%Dfry)-54d6B!82sC6@KL#?rbNJ%D3XsQW5_m0 zK3S+wuH$s`sJ#8k`;y_V+3&t492|W&*b0I^JQwf(vG?9@O)g#AFik*21VjZ5h#~^g ztAvhJsY>slAiY;90U~Zdl+ZyT6sc0B20{(nLQkYisM11b)1`ldd++H?;u6L+rC34GIXMK6I{<^jWzd#PYu>e{Un1o(XtAZU(Oy13QPs;np6te)1T`b0%IKLbkY6PiwxOvq97TBkeO7-(g0#~ z4qV84AvZ|oNJTAfhfAPKVqkRVtKj2ZNcdS*8iSde%bR=5iIC}>_`CYB6&r9 z$%}_1o{Nk|4d6KCue>&*{VohY!$j|`jaNlJO0`}^N^29Td-frP3y-7>`ou?h&x>b{ zpP|-noV)xGq_$#qrd#_CzSkh@SV%?;8kcZEdq)+2Q*%>ElY!@<19H@N+LUu19D z*OAHi&?<{lfGRq>h(R&L1l|k{t%_lP?n6W>|I+bnKW(r>NdxyhFP@$pPaX?3xS-mV z*PSaFl3mWg)K#jV$a9UDP&JxIw(nUnE5ky9Rrwx#dc3FXA`K7--){ngJnUy;56ApC zKS0aqF5@(LKbD@n2b;o^46c5w2wpHUvzV?R@QY}J@mSXKQha$ApyB!!u9>RR8Y#$;?%lZW zTGH`!+r+>vI{U{3%9R)3#A5#8)q@9Fd?Z?gda^clf+Mjpb_h;Pu)ZvXGcg=}R9}rv z5;Ps2=^N2)b|6C@bGH^dNKEliCW66}D@=WFM*HDy=oXto7Lj;|>OoMqJ4uR;vZET*mAM60RV!Y?(426GL^9TU5w}+?R0A9+AvM!^4 zTtZ+9BK~G$T*sE6%}{A#r7;7Uj0#f_AjTzh|X*o0G!~rC!4PT90 z2Hr6mwDX-(suln~wJwW4wZcGEWt6B6i31&A-_d>Ux^X!gxWyh2RxV(08-kWxef!(%1Yvr2>4qkyz3IE&8>4RV+5(z?ssd)X7{ zZD3ti7Mx_+^Px92c0UpC@ zo%>1h;bh3^cqGSpk~}*18p9*8AcxcmH-9r?Q@S69!n;n_5+Nk!;LY_S-$5F2)U7Gc zYA>0O%gFcday}qIL*0uO1{dtnWiQyc7Hd~^bspv1`2G!t+emo*N^8Q!P`fWhrnskf zGJZC|H;~`A<>iRM@Euse;hyZ?a^cbfUGO~}p~jF?nODs9(E%G)wH8ZF%m=zlOSugp z$LyzN=e`*@jO!l7Ko4^t)|(J7>2sGg#* zEQ83LXJ{L1xyWz4oxnmpcn(1AIGt)R`O*Fx z(_eBMQwF*oGSzYv_UK8z7u&Lb)l6tq-a%X#NntBI(a@wK|6rbgohK*J8ds1VTY1i(>mGVQPt27CQQ9E*Pt1V7Q+m)N!j?ecl(7obxkm?_1L-=5q>4m!|eh zVg11IDDkw_zM8~BUx;Lata115#S_cqWa~}M01gx>Tbj$Z>$77{tgegas(+F8;~f(p z1s@xicel&jCeLpu!896v?X3ArNU5(p~v#X7sW&=y$5S+_M;rQh)D>c zbBS+?QpyfIv}EJa$4W71{U=*ni-F07Q%Gr^;}bJUo=Ft~PftjXkE35Sw%dr_R5)!^5>Znj>E$glrMT$H@!7WY{yi7kK|wwΞhE)5*$y zQF&tMN!&q(j%^$Cy6VRt<)4+kXi||oPoibiF4ENDdqhj}ElkxWb0mJue&16NA>yy$ zjiRIhk>?L zZ3Y2(AKn`*m84KvYo25lOGi^abe^tZA4bnCr7|^e9G?|x@U^?iu7kk+y+(w#=JVa` z!+1W^bIdxOO!iC3PnMeN2sE8{#EyAk+L`V3xH4ZO)ZoQ$63cyhk}`f1_$ja;`Jmbd zX+uL_g%%SVG?y{VF?P@)k|(b)x>NTJZ1^y1MKtTVs>scl6|5cwmnxKpSCNO%j2y(M zi`&XZytt%mY+wE())Z03=3q73H%Lp-QDG}^bugbM$)(F08s?8>ebl#Vu|43X4e?nq z%h;ACQ1+Zo4AS_KRtHvi)Hpc>fvwWkY795o3M7s-;-PZia36=W%kXB?~*tv^Q^PR#wK`N3-lAKuqsJqPQj7}QQ za}*FC!N#dnO4WGJrW;kiwBRgDysF>mmTW0R-3$M)Z&Pj_2#SfP3zm>Wlo}4F6O>HWOmh> zq@5&Bi`I#1<-W8_0zWi`4-Q9v76-&1?GIQ3tdQ5eRjgP&zs1|C=FDl6mZ;7_k-v*v zxMHj|mlSA~xZ?7FN`;dYKO$TDtr=ed{);nt0JO|Fb8%PEw?-$fsmqy!}gX$6ma zI)yA!y}2`L!Mq_8QJ9%ds?VWbGpPHFXSFfUM`mOJ$qU0lOtx@7s82LEIqb}hrq}7g zGzt52noLEJ@H=qgUfmcG%p;b!$ykcaCMESOfiNQ>BMW*7*2&)S$#Tk7kWSp36c^?_ zgZVXjHkW?s@L37J?wyt0zIPe#H|tqi7KB#TK9;sP8k%t{)X(v_ge(>%-Aeb1Q7X0J zjvmHu<`M;^Bw1%Rx5@8}N|_(yOm1-h2&l>HC^$;S|KuPcopJt24z|^?7z+|oNADbn zv5z~K(xvch=JyMmg_nIwu72nhRHu36oydkJZ|^iD9laA{-EcRQUVr`2(`OVedN6AG zNUpNpxe!-ZjZ=sG#(5n?Q#VI1!kg{hXsm1?i{E2M^j}TlR<%JK0ndR3n_hXc zU3$hy2&1ALOrvC_a6`PKWJ41#-#3wes8a^8Tz6pw(NV5Jal0=imAd( za+$9I$HWkuu9P^k@%l2~sP}Bcz}39mko zcBlY>heX9+BR_6W;9i1uojChfAH{h((Z)Vkc3~E_<;ig=vqUYF3}cyKevjl(4c_N# zy=b}fopjc+F!;^8#yR-D4`N(?GRHEk9>(=PLp@{8kiBK{=@cQB21Ik)eN%GBSQ9My!Nh?KZk7b!B9nYWi$qmM) zi(67jeD-#0%k@*i2c|I=s@P4kjX#;`4|Y*<*%?r@vbx8G1+b(_`bZYW0xVx2Q{;8l zOFhXdT{qeNywSNSxAun_O|eY&aa=u&d zW_Wg1dqv&#n$>l&#IMND*3=H}8$QdX&#vY`)!RiM;U1&z1lpLF`f~+N`#Tn+xFve` zf+QbBR}*fT&y}PVI6GXL)VwG`&&XIQa+Xq*cKKUqXYY5%(5rE`)7_!&#|*q7iDL+a zc#-p~CkXZh^HIG}du*rz*4niIw%GmrsRYXBVr)Z@`Jof}k1`?N(hG5`z0eW8mU-&3 zHfIiILkq$hdOq-6=umTuqK}wLL*-qgr04lAh)u1**RwjX7K$L z(d%(*sOrozUI*EcI{Y8+Wk*a;Q<0ewN8Ps$IRpe0f3pTV>Upx+Na*#RJcQp(RU0;0 z5qoYyfhK;PZb82V!m+90&FR#@XW`!!DaaQBo6UJ+S8#)S zb)->+M+GQH%R7@u32xJv?VH+39}m+zCD`d0-#&Qd1^l=srT2}R565|7aK6xX`NOwf z^!}GBUN0b%R1#TqWOQ@Bo1a)8Vu?-fx#2q(qp9p?jidzzxfrb_y`?zTKTCTy*wFIP zVBsrhc|FTZ*vf6=F0CBrX6}goli@Okg=F2scuSu`+}+J8?|Lz%y_>pomv8J;sIMx{ zVMQ-U4ejwg$*khdUEj|PM^$6r@mFixLlW|Q5zp7;77~BVz3oZhb!5Gq4vDefUha8Y%A3Sa$g1X7|4hh35w5p8 z%876k^1hxDV80a6!(nW`CKaySF+=54z8*mCX#XTyLaEZH8s*Vy7^PGT|7x>hKP5gG$NvZPh4ySCrEz;WqQ8E>_P0 zPTWSV^?4=U`Yel@sOtKjb{N6G&F0qE<{% z+Ek&b%WZAadEnRSZI@GZEE;P?r3MB|2vEg@o}z1NMe<>gYmIe(vBHkcQT>> z>$p5T_u61yt`}j~?B+u&R)?2>AnOr?SY@W}tMMD}P8@IPYu~rS;@1%G(moJ7B2*92 ztXei-Jowe418|{U`F>hWDdxpxvuap42Fi4MG*kDD7Zild>(iGzuP_CzEGug@I^#C{ z%G?%G@=iyjv$Wm}Z|5BgU4j{mW~|M9SMzhChvBLRNgnz=hQ)X%cYW3OC8)uhkI`Ym z@dUGwhgMl1ugHK)>@T#c%xbf~g~FGa-^<(=K={5*k&y58R8LXw60&)Vg_~41s7BbT zhOY@ytlx=1sjs$}Dz7$>GL8#fT6Ovj1EnaioR7pISl`ViNEP(ag^~P{5wC^~o!}au zJK zr1$Q_uNCr9&QjN$M$EAzf*XG1?CfaFb9>7E2qzk)a4y=HB%kI@+x1=cwiNHq zUeDq&^-jUu%gkML=D0Z`zh~YwWTNHKs#+1S?q*TecP4(fZB__Byz$|-`Q9yNNS~YI z{C*&uVxvyA=5ou_HF+_(h{M{4bNXkzj7XBp+RzWuJLCjgE$yVFX1ic3)}r+|i+CDy z(i8>?&~GBJG1awq)cqvAanQC|0iUg|3Vu6>*Q}Z;ugU58j1MbcRgB7OR61W_pdE$4 zi8~5+-8g^t4X)Gej<26YLdGZlOtI=oehv$LWxmgRSox*7IX!bQpG&S>f81AKWu9vU zc_gBnAq;(He2)T#tIP`ZMx)l_uwcx-%!HYndXEl6W#3@r3~#IeJ659Oyd^+8a=wEr zJs~?i8@lI9eKJp`giRXUp|ATK)J2>S28&lWool%Zwu0kA`Nui{ay(}rbEjZavk}foai;J;a0y4!);+3vJ-ty-UrWp&zt|7vDS|p?jdg6dRl0hExBNCUs5s4HvjD4aNwMIKXCW+sFcY^_{ zZ*Vaqdt`fSv=8depJcX-R2o&zgdLQ?Ro7B}q*qD~6B)p-<{{r9I7D!dOIl1oM-qkygCdKqoXo6Dh57-UH1IYL#pJy2} z6y9CRlU1%?FsaQd0q*!ZZ&S4;yyLbE9IjKX0Vw9+BV-Unt6l_aC24#Z7s1aJj8*=G zY=E-3r@%CeBG2HU9!eMYdI)yEg?QlWL(H+Pn6PF$5Mxh*;K{!&bw!B=ocjQ znJ;MB@AmZhxnxzt79Wbm1`Y)w%0w1CJrY*Xx;9qLxM#0;wxyR}ko2_zStR;*VCKp& zK8K`7i5d#~AO@gwhz4gF;Qw+izrD^FiDWWb(o?$ht$e*cHOVeI;7@{Js4P1lBWkJ*`;rcMo?~ko|pjNy}a>$iQQ>RMN4* zOs}t_@A=OO4X7kl*0a~It_gMV<`~#|uir$sh=_x+fLY0{8s_rmHYVd0YmcMGwC6mX zaUE(_tU3v^00;Gw{`);3AQ9)sJgxv*-!e!q_AP{9AJI3*m`fvG-$;0S3ARo{U2;D7 zj#k-fB4(Ucm>^gyW5(0AIsSCluPE3|_=PpkZA1z!>!XG%x4Is&P>IiKIp&0S(t9{N z9I8xK$q1AuHm%?sX7Z$OGdv+!`?r6TNdjQ<>`#7R`Y%cK=K?B*@PpLPtauXhbl^x@pUL+~(Uia7V8G~+( z+tKYq&r#m?*XZeDYLvMDNxbHb7q(xT&8NS|se?7P*$(zdPQnPk*Y0a}{M4cN!x+jJ z%g-htP>?qbGV}m`hnIvjZqIBvRE2_k;K!T11#EkT31*J*PJzb-isz~~Q)4<&!&9N#h48O^5~##?RXs++T@89HDJO&c zybrgfM=8k7#4OZWGFNl}Q-NhHZ>AbpXnKDDdR!}A5(Hu@@zdQ1J%oZ@PSBEtE=HMx z91z+8jBovGEtE zp;Pi@s@idR;$LB62r{Fj-?2r# zd(ZXxsr3K@*~;}LFC&Rh&3bM1Sg3%+eNzd8HJOxl+_tp!z^OC~I<=};a>T@e3%|bH zDfwjxBs$pAB?7d_Pc@7nBhv+HMX|5ycI?emC#RCVVez*{a@aP?5JOKcjMG#l%Ey~x zxe)S`G&@lI)rJ*y*c&-d6^fpEPq!w^K8-vh?T3yZk)$fMTG(~OX;q8)%Ugf zL=v(}P&?6SF8glfRba%{!TJw90C zI%m5=m@@w1a5x*OgHzol^J4kOwIwQJmJ&wSr)$0l)({*y%y$>Rp>01$9K6pvf;`j9 zFdfiT$;|x8!~C{y?=v`i?n)P3dl=C>n&*S{F+Yz|w!-9@Z-PrvgUjyX=Z_yKVIL_< z>HuG~T~&x3$+N!-qsU1F)CQqdHmE)j=^#%y@PVxn77luA(K<4c%d2MdPS}*@+hL7b z$2`oryZee|zRO(=O5fT?u>3AF>Cfo5^5&LCf5+q4cctSyfE~)H&1EpYeac2gp8seX z0$jjlk|lvdY$qNElfNx_EK0W#jNXP;IxEnq+HlPJg7D(@DyJv8n`(+K0!lFz?^nn+ z3t`nZ#*6<3R-@XU9T)eND)NRhLYWUP-ltsgV^NpmYqrr^!SNC(3tem+(y7yZ$z&vC zZFXQp$9Qa&2!?a$sk7}(X?7!cJEVl%fIbw}`&iJaZe@k0K?A_Bz-4#Es6!=0fU;;n zO=u_6YOJ^2-E4~Y{J5x7(|n5dLg0|BT6y()Ty)*f zk6it+t7X#j)RRjQ){_xHrmp{}_W0>p-ay4`5$@#*8W)){MF&UpMq`GVwk*ecz0czu!kqzI{7_iXq z%Ly)+Fbi6zep{)rlC4O4!m!&*LXmxU&f8XjXf%08mvbx>H$<$9I zq9D;N(Vtv54WkZy|rD;TYElN z&6XNi*V!%Cmoyxv7MiDKA4a&MQIrhO)xbrJUj6%Z<7!;}SYu3Fu+tuJ;GYMcJ-WOINtm)x4`r!d4%>vSQ_%kophYti!e~YNv&jwR$ql2{1QIiCUknMXSfqIwbU@{jG)(Un zgz=BeOOTsiEhFwr74qpK;MehJFU$JO{_r|L1t4Ffe#vxxxh$olY=DpdA(pi_ezOGq zl?kGsZk#aY&d}+9o!jv91{xu6m>+p~X)bQ*>7GU2ipp@q zt$iQojtjkGF_%l26_c_*eekTK(5eh$Cmw3ocY_^{WxF~Wpe+#}aOyvOS0kG3_M_mU zuoz*ZJEJCB!7*j9k`Y|*aQBW2C3Q(J=P*!;FG-wmvgh;c>rXGv&v$S05?|3Dnzd4r zuyjfv6ITq&7M3xiM)1F`6YLi9nr^%7-{ZD_K%mshK@WXNOE4EFRMvynqWL;6d|!Ae)6 zbq(gaxzb42Bl9E~b2td8)Q5Vmip`WzOgH6%K)1r~6%XeN$11y9 z=HyN(m0c4Hfyt$eM*Vw{4gFseq8DUL^B|kN?=WcE*sHW>OssNoWNQ;mqm8gbv0UfX z62-GDzu#sDCH8tiO$${E>vusQO%m-f^Y#01RZOiqA$9npC9b(FM1FKc1fV{bZlZ#N z0gA+HeL{Lv`tzR!JtBmzegX{oG_WhaCCmdN+8I*DiIX6S>%q#ig?Z=_?i;KC(%`>k zdxk0DD!@t<_#~!*T*B^p+Xb7EML?Dm(M$y$B49*09%9SGN#MJ?chE6McXX1vm3dO~4S=7OFSyJO+iy z&T@AS-ntx~AzHBMl0%0z@)gS`wy4Z{{oI#_z%D@g5Ub~1dAb!8yTx$^wuh@dyC}{HW#)`%#{XHf=7n1ccKNO#n-B<+U-p8MrDTE zanrPMx4Q;#PCY_%=*pdS=6z$~2(JY7Q#1fVtp>S$rANWc#Yf3T9=py5E(GS1rbPQK zuU(DlY0tQQGj6rBf85gXP)hBa8}Uz&U&f%sDkMNV*joa#^`Mz@Rj~a1qz`M0=(Z*( zFS@<4E@Q9GlO&&B_YP;HXtzdHZrAlYAW9_H+Ri8Q$TL5cF&Ms$P}zjs3*0jTSsa{I5^xjqTE{+WSYram}s^6I7y^YhIx!W;47%}Zj-C`lrb!}L#b>dzuEc{rcR*(gDwQ-Q;^?2A_K3sOv!nX z1xsT(SgGDAGjm!JJPQYUoP`6!LmL#<#PW4_y~?h}(&r~~4MTsu+>-`RuTUH81(kC0 znX{4hV`X?Esk`;fN_gbKwyz^oe#SNP=HOtbVCb!mDGQ++qJOB*G2`p)_z5T|w)M+Z zpZ;fTaaFC@U4g*{w6B0oaRFjAZ#|A$>xOn?zkzRj4zowgXOWI7F#!duDLvk^sv%Zh zB<$zNJwINhJsSA#)?_|9qR1GJG`LSOlEyvf*?rr4uEdY8nQlKS{nOWM+o=X2i7>{e ztb`yJPkbEMoDhjA$7;85GF^jreSH{vefO428q(bpThi>xj_m{ESO&zPr2a55Iv+p^+(Nzq zig9p8$#KDnBdlf5dDGbCPNJz{zLJx=MhlQVIkpZyyL|;S@_kFl%K$KP|1_m^^3DNj*ZA*xJ7+ZI#>+; zx?TB#*|o5DtS-UB2J@_2Ihn-|B}zv#8f&)J8ntPe;0fJFOocARk5*)wTBiH-(=|%i zNiLawJxH%j2lz{D_y?QRfaP?r}Xtr-)e~;Q{?HGeEM^cLgl(&f9Pd* zv+bvZ<&lUVtB8Sen}?mbSE8YR=lNB9sC9_uF|MNMR!52NlU1sS+yY1y?7CF9Cf4_v ztK|LK6$Ju!R>Jl*baZOdTFSd-yXS*)dXsDuS~oJSt@~;Y9@KCZ;N^B493%ACkh{G} zN-hrv)F?uYgb+J2=<-P`MgmTh(f+Ht%vRyx9v1_ z^)mNsORy|bO1hMZm@XxARJ@spD&U@DeEu=LsoR|6vne8=B}1zhPEM?KxQAcs>53OxcT{>w6yV%g|C3|#oiV07;oz*wVBxE z{UY(o-~;*D5L3INGmb>|^_q84lj)LvL$<=tVUo)O09EkxkA}!FKmp(iGS_a#4O+33 z=1hFR@@mE(14<`#eoLQpXRRQRfqJ7R@AwXSo8!1k4CHc;$$r^1_A%JgN6+W74Qg&Q z126z&gCld4yegGLJ3~VzWa(8O6AafR*ucL^Zjp zdoEpdBa_L+=W-Kx+4{?jQz%VGpU&H=OG^2yf0*m$@@Hh|m+%b(IPrMxwqC>K3g2?ETuTCx7L89~$Ic3h z9DbHH)$!O|;;m+-@LLnvt|f>n@HOVRc)~)%LSV3(zNGhZ^~OLl^OI#ND%E#na1Z^jFICao#90;nuWci?C%p=buBRd)XdGvYB&7FttXW8cP*{CAI{c#AzrzV9oB4f z_m9r*BW{Y#PPY3J@ayrG={S}IWb!S4gtvy)2Vtm7X|BYe z-9nHVM+95SY%jfIZIcT1ZPA=%KD&qeD&3pRrLOmWu6>I$B4}+(3w}-)TPv?Y`j;8I zh!{$ekv2K39lffqawW z=zK4$Gd^%HwM<%Rt+-|EUhiHB+f4_G@kYH%dq3MFmKp<-reeQRQd2+v!3f+qHF3e^ z-c_mUk2JZ}iNXTqgh3MXra7CT+V|}^Xu6r5biyYyM^ADVuO4V4e#d?|Zu8V>5^oNuC*g>sAzBMlQ%Qb!Wuf+bdhcY0E3oF(2PePf<#d<-n zv*VWd1jV3{WyUC7F@}wF620rxvl`P^9`C-FLcQI2+le)9LD_2~16G#A9PgS1=vZL) zq`bRUCk)4Ml-+Czt{bKr^PE>Y{Df%{Sr;P-Q&#aXSW5) z*DMQyQMvZ-X*j@6rQ4uZ>$RWIK}7>% z8sky&YvgT1PdF=U+^ta^nDq7dy)+2jG#Zt=jM^GqP zj?8$MF44#d&)8}2%7mVKk#lO-0pnD?VTWl_{}o!*pcsSu<_BZb=`0UbtW6Ix1cfG9 z3bFs6=iafAljk5wXnn4}Y`^0+-vMi-Wluc7&YS7mF;*w}?JW}MM z>Kv^izobQxN`yI=Hi5{quw+r92U|Cr>{_cvC4`<`nqNklU#v?Hn=a$=+SMJ`4~%E) z;TlDH)qY5WX0YSlC!qJT)}flth;b}*?<~=_?FvLjUu#^K8?I(_>)S9j94oT2A zd=R4?M9A1MCLLgAd_*y5=~$cJ7+7bJUIBSACQR-iSFy7c+KfPA>yeo{30_1^U#dpU zu~6i=S-n2&3XV67%ye)(XHaveh7Pv-hy_g|IvybAm)T5c`HYmoCi)tk#9+M+NgWlc zi}Gwjbn)nKEvOg2(v87&>>wS0<11_}4Hknn^!^z|a4M+f7%phf96b*R-_Ce`Kb}@= z0~}TI<)7P&{loRCODh0UkzyzA`AjnHq-L#XLdQ=<&f~ct@j=Gv`@oH9r7iHiFA#0> z@8ry7hZDL4@0Ns1pv<7p_*ak}-+VZjeJu8NzveXMW_bk2+`43v{pJ^5E%vuiau!dT z+3H2@horH(i<_I9%NTi5O4!8f%kFA?&0&CBD_0^7b7YlQb(>N3h!SvISW#Qp>A z`x94BaVJa8Y*wx5rD}1o$Opia2T&R;{MfUKQ-6NpPedL33YiFL2%rJJ0)1nNZzj#_ zwWiAT2XKh)nJ*)MgYN!D?3cd?OQf6`%Wy<0V2U9lhpK}E?wkrVgLUA z6zd-=BUSVJTLeT&+U_;!=H|mT&#*9CHLIztC?%eMNz6|F?eziRVsPTZ_$wf( zyBJ)%JM{}vBzUeb2;e2=g=eV#^?F((xv4X*2T&~L1vk!e6I4zUxx^wR9&+36C<3Gc zpR)e=m+puu#VMu!;tquv-~T^QNEKWn2GcA0Cg@)Zp}I8{urUP+*-b70IfQ^5$sT9v z>Hh^%K0Am%oSL3~I)9~K3*Zid&kWgt!)qwytaj!ldYXTIIaE#r4)$rHXSAZ&T?ttW zsX7{NkhgqPcm1DQ1GO7ypZ{gb=k2hvb7x4!?YATjOd!X&tIp%fKkWhlBUt}f=*^i2 zwQ_{Z-Uctpo*wm^Sy*VbgbKIZ0-K;4kf48)JP=dB0hsYV0DfJ<9Yov=wm)kgde;1i z2m8O9*SK@03vJos0Z?sKljmH)#k1muzq0rKsb*T`slSN1e&1ZS-3F9)Vj!Th$nrGg zE@)a9?jiB-rn}kxHoGmp)aSbZXft@GZ_hJ*SIvOD`+w z{{QGC*qZ^!pYs{?G~H!uCPr2)Km%TVRu=aEP3Ky)z{Ti#f%$dWj^}iVkQw4?(fGVV zinOc|&|45G{0|l0fS>VY&YJ7y1HO$uv0sEV|Mio04b1*@D?rFJ zoXuJvFl$R@+CaYgKNaZy0t0+r8aNMRh+B^s{zBrlF{myB(2)@?7V-~w049&sO8|d- zwgo0_oLkDx`7dEzBRe1O2z=xC{m&|v|J6F>tzQf&Af9v!z+v|RX!yExzMKi*=fDJn z&;GZY`86p?$;!&gQURCbrJ$;lZvY50ayBdhU|5EP?@;`ESQuIVF06awr|t3kkdys_ zvtFJtjFi}^()_!Z0&-{IN`Pi=-v8srCk!*R`Xv|>_JKN(Pw-zNgO6DHy1F{?Ky3Ww zH2_g;4A^n($TJg`140uq(16>|e|#MyK>}Q|0|=Yd@+HI-gQ9T5$}wEAPr+=A{GrPC zkMEwT9c~VMVYzzEWBY&iCsYp9SSJ;Pbe#L=8^Ev1)qxIt3&p6wKILD=841jgHJlCo zug9Ppz7K)Ke1ppG&qD71{I?*`(P#HN*Z%bw+}iM+A-2K0HR!yCLZ@9_LG<25+!%LzVN=Zw6TaGM}h>n#+NRG_;&rgcwmcvNl!|?{+ zH6OpC!_rFa%ug%Wl}24q*k^gNaXf*Im9gDJgo>DijFOgBE|lok2YW77M*9&lrOW?$ za`piZA#RmJfmV?iYxWcpZ@)eSxQQBPe}BGzgqn3u?ZC=xd}?M_rG7p zD#xlKClEVh&+rc!SikAW34E(`iMso@RDZSsC3yjdsO0<~Vb31Jlflzu7hREm_M@GS zmU>qF`fvXft(t+By4pD{`}{xJkVAx8v9|Sc{!_H9yilvGXQK?7|Llh+85t=Qp?vF~ zqVb+1Bjp{%a-EZ@KB`PY_!FPDZw2 zTe7UYsPl^}z03?Fym8c1nL3`ip&$ zpxSYr(}o>?S2;M^-*T~?TCK_`Q~%QwuW&r#V~o`H&6EyAO`2S3-px}_5hIq@aElqI z!QzFcqXUPd>nP(bT7spw`8L58+fz4|yZh>M5Wit))y$g%l*qc>UtjhAoR!i#bs1SZ zsFsc%&ytYkKVJNJ^K=N8z_)&IO+-}UBAWzmHG0@J!^(x z4@Rl7UAxR-TM@Sljy15ly=K=hR#u;33*xh&R7yDRk-+ja>x8mS9K26 zvw)I)o&?J)K1a&7t}uG2gACr^eAW)9us*i_sz^rSB(3d>zqnM=6nwNJgI*?3-5M&x zBenlj*Z>{p73vb$qk0LKxA|3n6k^+te{Wz8D()nAuZfPL%A|~W3#We~*Ufj`-|V-y z4{1d|^YL9tsX98?&_4bUfQ$_(8hQ4o`@#^x_Y($$x&Q;v4DEv5?qpDGqfk>jpN+R0 zLA$xi(4lu@KI_Bh8P=r_m5P_RrDVG^vpy=*$E5j(HGU3oTg}OciYe&D37TfA74&jn z_-o0Y@*~Ti+|Q{wv6aa(EWD3lo0#gMh>}3c{xE(WR5QxdeU~ouuCj9A)*XQH) zpDqSel|AD;-}+@U3v%?)qZ+Do?0=5}U=AHjNPxo7-wjDJZj4%ca**L!Ebn%y_N(j2}uIF|SZpDDLaf28L28pl?_oUW81Z;js1)Xqus zTD3OSje`xR;dwc4uh>ybeh-?zS_@NkCL&?KUY zzlw*NK*~!$={Q&}-fNZ1i*C-_9YM6It+a%=yMO!P8lYzl@w)XQt(W4_&Vk23e9p~e znhJz#`=vj{mJ5UnwaNt%c6XMCN5gE( z&N$hC=B!75JI(y+%0l&EL_|cVr;US(b$`>2t&BHR@_+GZ+kk3Y(ePWv zvS1$FzOVPnh&EC$Hu4)M{X3<*x8*tM74DHJ@Dx#_IX{t7zP?5~eI7xmT|L)ihsz7x zSwbHjO6n83Lr(Y1>Sf3K`z~$x_Zqy| z?+J_AarY63<&HrW%w4~>AGX1Uyj1r4GEgbj+ZWw^W&Qf|xg^7I5D44W zo4W%2;FKh2?g9gxQ3_%o1Gcb|>v}HBnJ0^xXSx*ZUR>=29#IxHy{3`MhSQx=DkFHX9#=&V9Nh3z>L6 zbMew)n2+}tfv2W%VLvEdneBtbjgkiT>R=bEJDL`=moeuZz_32;yTWDOF_^3MK?Vdy_vq5F6JIk7 zrz$Vklv~XTZ^jwIKK{{Ny5v02Am$yRsEApO#K^6VlTeW?8bt>gD(D?Qp73V(TNktW z;qJ1#V^LdKD;~mG?orcL+xJ56c>0*6kTR1_j)<6yR?bR&3P9(s)~468sB(*EAyP8( z#&g0x5?7W9Wx)EZc%BM4?hhPZ$+nQW=Kp25@0xT>fWd*~$(-fdlAWS4gpTpU#n_;| zalaoi97-3x*+}hrclbR61II|L7JQ327j+x~)lI zZRj{JL!6&_!aN<$nLGC9Qt`+9G?!iD+60`mHH-hXF9y@56!tZzA9io`bWQlkjNkve zw?uFSvaiMP2(6hbPma-_zlF@O2JA^i8(z+Q!@Th=NWciIm9abTR~a7)p6BDY||P}S;? zUg`qII92PSt@K>(%q{#q%@mnhsdT)-Evd&rrE=HqVmTt!`%0PE zvHEh?Qi-lNG(Qa44p*-quQFDi?_Wb16{)jNt~(e2E$4|Itffa<^9#8rCtH4&Lk&r5 z;?#< z=No2Y7Eu6)HpO+kD60E>zKIhQl4fLf_6zph*^`!=_yeVK6N*%<7Vy-JQ@Xgs_unYl zZGS~amu3_ef!+sL2Am9vr@KId-!|KcnHtc3Q_+lz6B05wTHNF_z?ND}kT80C5u(wQ zw{f6&P}rF1vv;;pqwR8_F#%B}vnI;SA={j*eBE=S9^=r*tl%_?Rw0=d2(W|XuhHH; z`Fk``drsfwAMs6Fa1gwgGzxnmaodz7`t{ly)}7calBc?jgmjD~L%*fHd)SOnQjdS_ zwP=;qK3}m0%m19RA<6<}YMbl8va3#<&9B`m42>`QyjeKwepFFt^uphO{WoeKllfZQ zk)GKg3VPUxxvF-sSJXuNvsE5RqZHfRtsUsl?fSqipR?dwaj3A#0@9>cLi&g%Bv)k! zH$Z1v@e+*0Q;jH^l$?EbujWkG=q5dDL0M=ld2L>~*j`{cT!XG%-H`u$sOI-$rQJBqS{fl0EqV4u2)qk&93+h}4 z5-vY@60b4n(9o67r(=~{eooPXae4{S(cjlddesxAom<8oCd$nxYZVa>gx&~6a;cM| zgwqc8?Ux2Ws*!Z+-c>h8{uQRjU8=hW^vsJsKv3DE9=6&gdyiqFxtPw ztd5pYE4vm0oF`sbTH_fL`zS#H&6VMquytFe-uCyS)*pW46&|r|>F*fF=IMPnoOVR& zSGYX4FBW2)FYMDB<>oV?)_m1VDI7P6Ybin(R&D=SoHr**G>&FTN%oGqKgOlyt#2fg zj0tDt2#18`LphYT&jD=?=mfKoJXG;4o=yC`wuO?svB)?C7%DxfuD%-Elfjh!H577R zEKpk~&%!=dH=IFndbqJw^%?oOdve&8-Mo5Q>o%#^e#ULqn=h)f$t*@fggUI@Xo?Fy zY&tMB^{zr|u3$3Q66hV{bN1F?CM?QBtgXwehwM)X+qv7VHu>Kmiu}UBlcJ+aLn0H? zUXJGX3}|AxL2nDSN3=pSl}=y?IgR|O&pO|CXG(Ng(#Wb+JRPjGh!5q~`bj@RoBFZQ zDS7`bsg64_l(vp~rKM9}vz9fd=&)u5T#iy?4?PLyvU&EGM~>e3_A=w>t)|D`OO>?j zzV)e6dzVm;pX&n_tRm&9*qZAG-o;+$P_RkDWCRrVB4AbpsG$n*D29Dqp0w+fhH!u{ zM<#JP3LUagRuXYJAtZVH>H%oD%FI#iOFu24xk#9o-}sO?QiOAnarK>WWVCBTc(_l$ z2E5Td$|Gc%NMEl(c_p_Kw`?$$8ow6#fRmA1()`B&$p)0V*dIIEJ+|LONvC?sKig7a zL~ovWIKPcO&%dNIe38hhGflQ9(sgWor4n(stK%B^=X_S|ccfRnsHKoOugN?&#@o;b zXB7~awpLuO9(U+JOp4PA5&C> z&kG{BrAGFQ074s(k(Eq;I^M`%4^X1*$05@%G(T`45_j)W%g0_Wr~jNUO!CrL`Pkt8 zcvt}(gbu87qXc-yE4BaYv592 zpF3COQ@wf1RYvDVxK)JoZVsmV5%?wuKEOmpCccBZDdj0v1z1ep`_{XbHXPs5bFBl# z#GJNnEd?VG6?2}jqs5`Kp8tkweK+Ok8$iFBg0-@}9my%2>i0gBv4pL#b@$_kj14lz z#W@0pK8Gu6j{k@ z^LoRD}F`|YZn&knf; zw{9@$vZPpTm?VLfshba;-oyT3Xc17c31FK|>N4(+j_9R{X$gj^6~Q?vW(BQ$#dIT? zt2O19TI3&(dhlUYRalqh^2t+ga?8o1(D0O$tAf`<_cpJu@xMtI1HQddh524l-SYgl z#Q(rt>C#)Y+xaaAzpmW{`_=}u059&&*Kg(+TBj##AS{8g<&$iFzpje-V zoNg=syTe!cIV~OqpA}nCbzEACkD3JEaWkK0Q2c5vQ-ZftA>n>|z?w^(3!UzyufWM7 zwj)xAj8$v&VoO>u0y42QTt`O5e*ebV zc$4u679>~F(NEUrC`3oc1t>t)BsXOxd+UpH(}!cEGe!@CbZ$)Gu2?l# zIozTrnVk^+FOs!w@&`qxp5IEJtg$Zn_Uz&J6raKoJ&01ir{xu2c4wRV3QE|OJIE|B zH0viJk}zA^H+&=3JKIb0A{Lx^?@`!=-WX7CmF zN>NwoBu`jqR&$jTj9?|CP)>uU6{+=)I)J@ZOE)vmYR~Ueiu|A|tEdq3&rxpAzLC?T zS1#u#&I55$vZ{Em=ggY`{E`Cw4SU`^@5%XO<#y{_xIl)Zp4ZC?1njv){r05Y+RpAw zip4W~*YGR8!0Y1l1(U%DuWqG+@nO#H7d6t;7IhNW|4YJsaIX}4KmYI(Er~cg`cVtV zL>Es6M#``xp7(1t{@~{{mHh>5wsiQ(8%4+*C`I=L{ycf;0Wc_L!joyw(OE3c4EX+*^4a~ByH1i9<>iLB3jqyV>PXF z^dfYC?K%r^c_UV{PE4|;D_Jij~7CMtLaFZLK!Vt$qJ?3!bSQDr( z&R6BD^I?Nh2^T*y=2Y_gQXHzHQKY_g9ypgKMMq1=WcAN>bN=txp#M#LUn9SOpPum6#JGH^U}`AlguRDaRetn9`odf~z+JKg41`yZD+$ONCm zK0#QquWCwqx+u}#{TM#|-yTHp2OSowe|J25u8(R*QQxCSt^1bA=Iz$oH=FNK3s)QZ? zFIlJ$4gWgyx6`AF0GW2(zCUuw-gD{eMKiI{@)R^4dM$9|`b<7F6ye9Vr6wpqcmJAp zRr7C4cNhO|3wEmlrV{7}e||vM6oG#t0)>G;vXagiRG1UI2d+kL|CD<_wy)c>bnVUF zf0@F<-!mB$K3NRW-GTPkMH(miUw1C89Lz0k3YGKCkJYJwn@~q=InWz z=J6@YxubhHAPwA@mQ*^qxSZ3OZ|&1;b*zN#H^|+TR*au-d|R*}Nxk;3#ljEk+*$1~ zHymL20-W{7QXNTSqT{TlZqVykfR)U~MtYhSk_QXI+OJW6e2M!Ov)SX!p?#-IOh3M}tk= zJ2Qf9OQCNHjXUf&At3HB;=kM-H|n|!;uGmW^-b(6-w@d}CM0Kf#JMYZoohga4Gygj zCmnrlWq>j6+3e1%zH5jT&-?`^ME;=A)bpsz!MH@{Wqo>Fc|r8ede5E=_nSwLbCj~@ z&zqWK{ycMaPWX1c6C%7j)UNqv^F*W4OzH$#RrPrl&@>wlRA$<=C#Jq(rf2kq20SY3 z`yVrUd*Q8#+xh!%AG7;%h5Q9{;C~EF1uJ$J4^8arj$DtjjH!T>#9eCu9hPs*xmb{Z zsk(d-Gp)ECdn6s%BiF53utQNnvNvhLS8t~M_TOf&9bQ^ZjE?in z=@6cnEm@JLPOQCylFV6YR*-Yzn@x@6iG_)M50CSjR<6#!yL5sY(L>DT2Q1TXRH?lp z_9L3rOdvvNE3xGfGZc@a@SE>hq}lym=!~OEzC4Be$@Xx^}b7ke>EmnCRPw z_4WFSO>$5FnQE0GeK-rBmHt|8m9lxFUMJfN*Gv`=mt~OXh$$M#Vha=ue z3y+{HhPsPN1jyP+l>=ry;NU1k5H0jU!z@PoX7d{#SfmIa`&D%4`e8ZS(|uR(+B6TE z?2sE8=$=D0g)y%c`y>tNFvis>^e++#)YP6!I&QFwU8!-`Nr+rkTu--y5PWt67QJRB zZ`q^h7iPa>3A8M(?HX%clQbDh$9&}_#Ke77buh{4mRn6y7*y%Tv?A=k?=y|0Y*KLu7f5j_V#BFT@fI!0?wPF{kW8Cu}T8bq` zNE!niNFqr)4OOS}YxC&lGcQ~t!K3K|+mn-o=lv23CH;(h{sI(`ndCHe#jsnyPTxMx`3!A=_DeN?fkFc3lIBNohlH0@ z&YN9&D@TZ{(Vwe-J z5z>8)8|P2nQ~Th#n48(gS&_$b#I6i&SiW+g$v#dycMhR#_f{HmH!k0{cpSc z?a{E5i&LA^Dt~U|_6#2$Pt1|ZJ3(k-u7vr<2SB%cJzTab(MFJj2*M2*=B(iQMxwND zCQLi)!R*8{EIqVJPhK)zpm)Q^+9n9SI~!fs;`9Tk>A5`uDCM8HFwxqM|7BMg`?H$E z-hOr)RC^tF(l=T5ocGOQwF$fU_cq|S4QV8?xcV$9!6y_u#!2>t$chSFN3=kB?!^U} z=P(T7?6Iu5mAL$!oJoKwT$lV&*OZEG@^OX-XxH}g6W8r+blS0s{`kqM?f!+IeO*U;{>@J9*_Uzbk)O$p_|_hv zIZaZo<2a3?YSG|)^}6nM zI6QDhVGTH*6;4_pMWN*AUt6SbP(3s?|Fh!8^icQrG<%n`+>ae*A_Vu6GT%USg^%+v zVgv}G;SJilz6TQcDt=I_rSsCN%9Q&F-+e9nc2MGRlM_?A+@2T8f$(i@R#RP#%~&Rv zb^X00aHLo?rWD7U=w=lNJ_nX?acl*UZ%Cgf?!UEt^)f5?@FTrLha$EZB{GfUPWYSW zJA=yow|ade-1Rgvdu*6wBndL|fNO|Q-`f7I1VWSXOFhlK`3s$;_J82xa|QT=C3kog z*53EE2HxNA>sMqEV|C;tpxHRa<0B<}H6;SlG`+Td9Y4L0H_WyYg@pzM-b=BXETiYK zJg}Xksp6Bkfq=v$xp98}s;wvQVG&DoV1=`^5em*<{!@>krw<$zsz0NjL?Q``JpgIp z-YH()I)n>zd}B==(w{MnId_tukK}SxW{r|DIcVE`^IO)A((aR z8`Iw|jYm}0TR67-UZb9b4~uU-_E!TS92ffm51i#;$uKL7+@}4a*viPUVg`scT(;`u zNW7_?T--eDe{sTdvjw0`?AU|9bW43V=kwc%dnej=0L>^VeC%D>=QK8X@TT5I`K2EX z3%~?JgrSTI;vW#zNCw_<=E_$3bSKz48~;n(v;HulJ2I}AZ-Bpzj@OXc5>AHgeGxO) zsLfwIIY}k~q(*U4#?OQ^!~gG)TcQV!2+i|b0JmL)PeU5qN2W5r&zncYtCydL>c%@; zTR94SkXjStRR%DbUlp7DyOj%a2_ZuF6Efz0*F$H{ujVfQ6iTu-8#u{B0_!%T0>ZfB zV}Ch7j*^}DIMEmd0eN-fV)5y^Kh*)Z1UX{hl^cd_qGwle^4NK}OZz(Ec1JXk1 zN{vk&wUY)V)tsPtmA79%!Fz0z2!rNOt{ks9F>StVR(d~$b4L7y`6>esz^;mbjd_N} z@u&X{XXO@WY7_rHHdhORx+7*RC<*OJQhs%~$1YwNN3P!6RJyEm~@HLbj*{9tss@9U0(qaz83 zodt>X;ZRTRF8~Ib)%=}n;u};8Sdwy;D%n>Zp6uiZa|Ei_4ZJSaTtx;DBhqm+E%svfHeU3}7lDk2rjnT1vlAfesqpb&TBL632*6ZJO z%O5&5-g#+JqDhpme8q0=n*C!yTExJL7KQd^D&~oXO8P=1)(cv)E;jV#sF*=@t=O`w zeTR!eo32EDwcUv;v&}x8j4#B0XMa|${?s1)La3qc$$v(E;Phw&<-G5oqLJ{X&n6#M z{nw`N^eZQyJN)BM{8<%T$OU_bb__Z(iCngRYbQR$!C_sEK7C$0);;hnLYfRiK$F>? z2)*3No5hM-kmI@AIS3God+u+Z_0T$7qS^OfRN%E~xt=1qa$4&?c|}uE zHbP=t+1R_kkLDO^(DX&EV7czdzh?2yEc$JmetZSN%6=IByy|9)7ukIgzi`{oNrj+} z&n2jqnh|zBJsiyceL?xg(v_Dl4phF5T*sW2Pod~>AHm?Z8wCr!BGj_Yfm%h%n6!}N zvYPz2hk>uPev$i^hn_aOpI`NWyVDnZo`)H|M$`pJ@Wi>JdnML|P`z*He(a^dc+I~I zn~Yj6_{uCs06DLZfCB7TYdl;#z4sMveEd2pf(O)FLALsbYr4oZq19U9vt7oDiTzzl z*C);Trxe-lQ4jvkbrg3g?PxVP%bB+wv_BIgv%G;^veLA;osM}E*J}EzSxxkp&ZNsv zmW$q~^LZi3zP^i@4eg!}yE!gQSs0s(s}Eg#>Deqw$f{_9SA79O54K{?$~wR~*GG93 z$gOJqZ7H_Y_}2wJAqycxc^&x$@r2Kt)8)@h7`h+b1FJrG$oyK`1pQ7BpW@B~mrrTz zniShBKxnc52+&|x+zUoGE>gI9mfBbyK!_sZ-;u8h2s{IB(ewvdsgJm-htM&>&xakL zS68btMeSe|_&Aw4j|7&T^6*n$_+XIZcvn8tB~(l@^z5Ju3ee4uT7dhS%pYh!o(p@W z@$dLoEze6m?Vpl)jC!^)Z)Bg?QE-rFkG$e2(XWiJ+ja&r#TDn$cREAOCgLOPD63~x zeA%_eV}{L#->;5Mm4}%T9UC|(`x_6!Y3|S~!T5S0N+;8sIU<22@k96K{&VRZ{mvf2 zD{^tmzu#>B-CJpZIEnjd{fF4ykP^}8LzgsJh&Ec20roWHScYNm9##Jzpm2D^;Y$^I z{mO@I&yL~?=Wy4whQ>q@*KKwZ$IZ>S{Qx0-b921~{zS=&sqmjPK|OuoA2!nM`3?)& z!vCoGSgN1!u*!%)hy)U@Re>XRV%4KZfO|qTic|^D`EZKg9wzGAgLQX_{#o(|<5TWU z1zK_}K!UFy7DuNOMqDGq|IQ9A8O{~zGo{6V*g~+m?0NMx?UiikFYSvznlfq_Uoq-Q z3{>4J_vk(KO|;KFEu`Sm_?2Ll!Qng#yRhf>1sZj#u=;}Gg$Sbpx<<5-_Wkr)zQL{xwz5jBG(0xNm$LBQ+7e5*Fvc-94i|6T^@O^xT z(hW9?sbxgOj*!P-!A@6)8eoRbNHoW33%XyxasNsxt3L5oSYk-5fG;WU=>5U{qEJw) zNZ_0hwe(=a7u?>k|3a9;!JoJEyM_w{Nec-WkGEowm#f0&1=R-7*o}qY!=+;QhN=0p zO9sQI)6CXSg5Fs|yi^{;tR|(&V^YpR3NC>z=RP6)cHWiGIyFF;mI00Lf9b&aN23=2 z+_*F*wuHI&TztN4fPN&T{&JNO>1=m9`RjswRf%w4;8OEs+44<`@<`hH7wpIs;6KEh zdv37b1l@7-?fZ+)@{$aO4dExQXATNDdo5}&{<|{zuKR4G_d54J>fa0G8DFUZwSWbY zb}od+geXvXdP!#}BT9CwkKgxLm+9PGf8fO_`E4g~VUqSxeB7S|`ebBkKBp?(j-J#R z^sN(t1Gh*e^5cKeT7snoKtojvgjHx|X90w^DjzRKYf&3EO%wUc;RyP+KzEb; zd!PbJ-^1U7*A;t<2+#08(vUsZoZmz);|ut%s>)+y9cc@XKQ(=JR!e>#Z)dLt6%q{; zA2C-XpR%$@9KVJ5QEtl(dSf)$VnWTMZ1rya=V{U+37E70i|4;+*Ssb0!YcL;^YmZD z^4A|O1Fyd>y#Md=%DsS8Y&;PT%|8H^j z{6EY2$A$iX=vG@IKj*T21$bPbYwz-0`dS`u$un3|5=b77dU8R(@N3=#020?(GoOEe z#K%d0ZxqlDIOHdg^I6_u&~q5FdYfH8Mp}2Scv_|Z9V3;b^EsC9`^gMKD-XetS4e6r z0c}#>w5C4L`qWzEB?FKIR~)lqlocSsee61!p(hLt0GpOV>@Qwpaj zJZFX5jPdZs6Q-8JgZvyNy^=B+9RElkTS1ght3OjZJ^s)kIYm@!6ev#w=6;TMz^NIu zRPtu4r)=w;Z!0gzuO0aC*4?kl3ND$ap7SqRy(6C*s@`T-M>;o9j`m36CMAzQ#viqK zUC!T5eUY0mE4EV&zIXQA8R>%WjP%d0JF6K9&C1HEE<~?aRpb6*=dxto-~9Zu+wK+t z3}RNUzN=lk`Vv*p@CsTzoUUD-+aCe(ZiG{-n3jP~m=e`ns?_in(@H!`59^iJA`LJl--{@JCYM+nY180OKSm0fh%G?-U1i78r} zg9Qu=9N;v{w|z+?WpV6cPSq)57JvUs{e{Sk=@?ug=oWp&cPB(IUTJqzQeewiKpDiNd?ry`r=#h)wEsT8aF0U#vRN795_6DWB=NP*3v=@pNBYf}>YRX7r9#z8 zj1D_r9g{m782An^QjYu6X}7XZ{v2q)Q}~mCq@Ll>B}z3nSjeE>HMwJc9B;ExuxwKk z^rLi3GefVXF|iF>A2T8%TO_k@;l|x__NpZi1*=4dVX7pynYvoaYcKumJNvaQO871) ztU(Ow-&Umv=OaJ_Pr?~xo6d{cQc{K6FkNY}!2q=9cIA7U#nnIc#wC4L67aCB0G$zy zt)ocL+KZ~U#V{-{Z15D75}=Ho-1>PH$vtKLF`aPSdBf(JC!{a^)X(0t`wJGQfK@rG zV05D?ih?Ub4pKJiV^=(Ca5sJ5qT!9uRz0s)C{yA6|<`N5jyNMp5Ii_SV>#IGm+=NVxPKaVkK@ zGmVt_gpUR#4KHLakZC8FBfO3SHo7>b{i15ZyXzHe1q*L?%4kDDRgUybW}fvoJIZ_H z!mIKFdo9pL=rg~$;*a=7)^nVX0bgM1Iaw5ZM5uo0sZZcL=nmu!PNxH9J!|kf(Dp8N0X{$Rr$<%>$?adWi3waHaXW|~UI;Pv3QL$0m znNQ$~n9(3~G}KFw3a^PR+^=(5YDn`w#l8)&0!Rr6HyIw-!@)z{@@G$ZE!tG~4tqT-Kp0IeD}fAS&K*sxakS%K)2{Us4|60tSM4Ti8`af zifd#z*8{IONBh=5DJe|K7M1W`y9zw9?VvHg`8{7E7DZ{kq%4dNsaD%h;y0EHDfp>U zUM{gI2#~ME}KyL5Ey?L#pBf=Vm zP^>@3kgXn;mN2M_Y*vj;y4g_`xx}l3SjcNbxnx+PJ%s9Hbf)mt~q4&Kdi$+M}#F z7SwyIqx*8gsq%*>f)`tWu<{Wo`-*AC_`QXMt@UcgIQbXXSeFXUGxhWmqVf7Dx{+v##OF0|5-S-#s_Uj;?-?68oYrUT$~Tc zx@BNHMAwj(dyM1~+hbTckf? z_8mH7rQQN)nLhG_ohb6|bI^}7Mx2IN{jN<)`jA#O%yln`Xf|uhRb43LG*hV+OMw$}w#1XSpl zNjGf4k8`3YGp8Bx#}{ZS*NMj-_j%ayq{FcTzW66+amRgsxrNNX8d9r-`VMq|%OoRK^Gv{>)~ z%(5-YOF$HVgw#~uU!!l(g|do{c9MplL}pm@SmeQFfe#9pZ-yn`h+iM16fdIJhNWC- zrP!Rp#H7K8TMpN9VUWo!Ewc>z%)p1xtm;=mw>OJ;S%;Rnb@bOmTI_kFz);4d#NM}s zYt_7xO+ED2kgi2L>ieSYTM^2Q^}?g{is3)5WUI~E(krn@_WA~}!&kD4CNGA-1Mh=b zzr9`5B9x8SyYvPOMnGV+#>A()w)GBUq*0<+Mshe_nH>eQk(dZ-lNZIuFovr57HveD~rthynD8ZLxJD)y)%;7@!pc1 z?F8|CIn>g@XIA&MY);v0aIoU5se<>HMV+9T_+6>@*N-oUGtDdvFpU{6GbMF8o+Khc ztSlY2oO9#_qu*TnBPKk@3za4&r$6Qm({iz`5rwU}cT^eMDnSu;_Wi2vd+r5hj=Rc- zcLV0zr$xapr3v@4eXETam#(CxksCA^)h|1*?G{JAm|dbjD+-(N(w;BlQ+2E;kzcD9 zR>)jH56M<+fISUB@ks8{#p>=|fa=bjv1_$PYYFt)XOwLgE!?8qGN;BCA(Bormnk3| zq+E7u)9!)=P}zS3Uu4J(t{6ABGSumh#|5_Es=8`i66jUDqJNP_zkAizw|;O-W>=xX zR;;MpufKuFJ!f%SuW963p2=#lM<9PK;D*88a`0g7#ull7A_4sNs9Ueknu04Thw1Z5 zG_(_2I0s*&1i4>QmN%r@FNgJA40eY0QJ!Phhqh^)G;M-HR)iNWEJ_FHzE#Yi?9*9(2E!?Ow<{Aa>tU;1ne7g)RI z{$)?H?7rpXv9}-e&LDo&=X$QHgNMJR77wN9LJen=p_{$eL7#I}vz4r=w?jA1iM+gA z9k6`SU9F7iUBZalSqij^Eg7;wnZ91TBMXto|Yv(G$%;=d_}eiTU3hxB6Bap$Ng* z#j=kB{x%@COHNh=lG5@-sNdQml#MUxXTI3t(?Wc7f^=@0Q}IY-K=_zDnzr+Z$^X8P zqwR@m2Ok$ZV<~`?M>c$^PsYShxB93D9zjSmV|hnJO-9&nNW&M$GOonss@jA)?s!iY zAz2YPm-sHVkXqD)6vT?U4n`-X;3$4y(G#A59{FCW{v5 zQg_L!GF)&2&$0W)@4YcG}CcUmBPF0&Af@j_|$Pp<(* zLX7-j3(#$<=TNU`#}oY72?FC{buOnq=xwG1wH&zkK$y7XY_W+$x@9HSMGoKmPHytr zq~O;}dBwASbAM0khj;=~p^oWYQ2YG3W~B7_Q|rR>;B9^W1zQ+nqjLFty;NaFYji~^<{wli$Jx* zfpLH1r<(}V-W=lyZMUBEUz{cT7j-1{T`UR=g-sSsDubXvUFX&wh+Z+wjOC*MNi=RI zkXt)g5H?VB(Rk5(F~~9kHZ~W5_qWkSj;ttU3==4;oHyUgL)nAWdPygDCX*D>E$eL9 z(KGaf&*f4@2F)J`^yx0Foq<(OSRYpUujsv`_hnfXuO+a5ABQ0Vr5Ff}hDy|f} zPaE~F8+?4gtjvg|F9;lOQmE0}>Y=p$SKGHFSYUeE5;YyCUti*GKjmzlS1{bn4zl!s z%{r=P#CH@wki=I$vA3gpb>m8!P*iJHNL%+!?u)QDpBD7Hbb`Nm!gNu~jB{Ouctwa; zt9_aDz39EH_h+nBngt6>{6kkWg+)ySmS}wXurJMGs?C`CHzKtj@N+gpd#QF^O}4Q# zbapaXLS+8=)eGr(t0{QT!h->?RdI{-syN{Rrxs(uK4TIk|Fc@$?U+C$Y(0X$Qp{WR zqGRm`XrndXGU-LjT|P1SIXVWoY8PZ?sNf$&ny15ZI`!IF^kNiY8@aGCt|~&G%v~*y z;N?sY2j{Ltfhxe&DCNiAMHTBC1G>#cD+z1lrmBSo^OEW}Kn9A$7Ip(!wgXYaY*!~?hQf@+E6DXazD{sn?- z*w@_0XuAyN$pl`3L_i(TE4G^a}Bgww$engsnYf*7Z&YJ>87zX7S>D^#<#Bwi@T1 z7e?*KPX$*T`!n3CHkY91h`#nCj^~0+e9A9iEN?JVo10h#(#Z`75gV;fam}IyOysPW z@0-hgS1kBi*0*+07Y>jLIN$LB^&ap@--0FBUbjyt6a&RP@OpAt@yRKf4s%D~L7T(| z7J*vKlvRYCGvLmqxw??hPauW~VWI{w4a3t%FHeW(FGKKVmH@Yld8==O9NM z#S%wZLkpd=e0($k(z*8=OUl?cMHpq!uLrf|LKHEOX=c8}A53l5xHvibZcF*fKcRFG3jHQ|neAC7d>tS2+b zH60sh`jGbMAj(PttVwN+TLq)Drut!~5X4YueP-z9V4WVnlskGkAB@ zKbHvpN;BGATdI2qI_q?Ap$KH73-3k>+UwN+ss(^>J~s|?q0p)jBh(S8>W!H9$aXFI z3S*^0cXAx>-)eoXG-96o$LX}}2p0ARGJG2*k+?lZl}t0~t*1v+I~ppT1FqB;)C63v zvb}1-r_KD9A$;7pAi`0DV3nouG`9)nD#OUGgA}Bs!&4h`G&|Ml{R`UFNHf8(tkYLs zQCzAPfnaDPuiT%KI6&lK!!yuR>`}vp>@@nB5}s-^k50?K#ynroITR~!SqnpWwKg>F zH?I|2angkJ9?4bW`Rr1rsqROa`40wnFDia4nhi83tJK*@vYR7Vpl?N7b`BTST@id9 zZxduzD ze_b6s5RHVDJZRWaH)D{x?Hw~SbV;+5=+#o@({z-=ETfcF!dFtS)3-jh=yYc{)%D6V zN(OIWOH6K1yc#xU&gUr7p1Ze>Y~xQhTYI@ShLobz=JQY?v$xsnl_`msoCa0r3xtna z8NR;S_h51ey zLGGL?cA;DYYV?D?y7^Ny=2B~dqdeKB?D7Dq>c!}2GLqOm(Onp@eDKiS(2VsJMQ54@ znKS0Kj97!P^?E@H}n zjZe>%Ge>eP27_}o96E@>`K@`wCZ;CX$%S7&N6SfL9mB;TXdAUFzok zGYg=(wLVCYG?YSYuP(lpN2O7MP59`zz~a^(n5nV3x(PLVS(8qWd+)d$bzT;NRw(4# zc@Ao;&?@mD+IUKv`{xQcEvB01@=^sP@#NI3xv*j$hP~(bFcM7H< zt&8LKs-eCadEiCQKr+0^ggk+Au;2y^1zCo-5m&$PlnR_V67X`Q%!yFvR;a#*i|XjQ zz*id@^7M>x_njV;0A7TRQ^!Di(2J4S?-JbRKfwN5ZBZ|q|Ik9r!_B911%hDTbj(I5 zBrRAUyWhxTxoy>MhHO4oUlX_V27C6_w)v6Ov*$+37~>>+fAbz=c0&=7Ktq$?OkeGV z_R(|PBdeO|ErmSP=(S2j>s(G@3$@NizE|e`ENsGdq8!u2RfgPBKk&MEa;@&kZ}vjR zNNEGPfz0_J%gv29?-y>v!n4<^%s!Ge=q*41p=ms*ve5A?V{s0-DKRIlb8~mP$ve_5 z83d4p43aGfFK*_b4+qZFNAEpVK+ha4dX87WO~O9P<@0C!UYpuZWDyEhWnO%L6)o#) z3`fLXE>F>*i*90`?6Y6q@A7%9`%BfQw4Ardr0D>yz-2@paSc1IG7+&ghD=bzNiu?v zdWOq-BgQ?5P-h~q8@HiAzo;CFmL=8X^As`G#sl9$IX*+xFmO{943pHorj9V=EnVc^ z3GT`6a9uPomv61tLF$bNo04@x78}Wqs>vgdl)u-&aOS?ud~rzhu^~QRs-Ari zZSPaEmH!vu>zNTK(+nYvW?pI5Mz!f;qU;gRMFMIyU$vsQLxRg*p7suW6A_?^C7#l& zs7Yut(NDX$V6Y5x%aAk1V|i@ z)y*_zh>g%3vWF!}ZFRpVF=;Q!pvu)}zHBW@xV=g!L^(eRM$hB$>+|7(#iF)n4UZTf?;YZGR zNVJ=`#V|Xe)QcD?Zxb_c=IE;7fcS5}C`wL4gE-lP@+@!FOp}RS`uLI`+>d zpMyt&!xwXq4Fog0LxW0&W|EQHEBQf{4_0$e8*{8`EBg?u3K)OtW)TxlW0?&li*Uah z1s@FY$re8xsj~2<;y^>7rq`V}UWiC!E3^@1eVjX)l1TN4HqDuo(gB^;=(@JknQdQ z9EhOcO~h`#f*NJ@jYix|?ICLzd*6w~+Ji%>f}k7y)>#>^NJNGl@rFmkZZqpqcW+8C zQG$BS;YG}wC4A39)Rws71!@FCM^kYoFf_q!j+lN05zAaGB`&;oxWL-H#ru#2OXAV) zfc|8Jf=x_8XGvIZxZrDf%PzIMT1Fe{Z{dRO1|1C#&cQ*qENC;X@Fhr`m-ZvC^QrVW zl>IsJt}-;PCj>K+STo!T6*C=ne8ekUXyh;t-mU~SQXclrbkz+zWEoR(i)t0d+Oq&S z5@C+KGW)ba(+TA;Cq^9Kwxf7x0DhkFU!$J~qxS&t?#w#VfhRJIQk6Q6x;1K{!V}l|tLUO@n z8ozD*TzBcWa`cxy0%Q^$0$h*-R4<|n^;#%O>3~7~d!z@WEMA6#fS2EIx)Lu8k}I&2~&-a7_Q4LA{oB`ZQWZM zJjwKg-z&Pt?&tc%tDHH-k%-6Eqas*-!x1wItZ^ffy+f#1RU}HHPn+?2SgkqPn&Ick zl@L66Qxs1NP)Z?tE=nz&2GUfofJD) z2rg6HondIJPEhjVAU@{K@a7wJGa|d9{$w*-MH2#<9fFr}ls#8{TI;KDj=Hi*XvOJX zcf^(>Yh!rf_D*<6nv69ib6o!Rm6t@pATu)L$kMQ)agiu&%Oux*Y(W8~?G8Qwh~L)+ zYg6o|z}Rf`;Eg20NNB}Q`kR{stbB;-m7Yzl0<}jl_INHPrG2<(CTPE6-hdW!rUj722o5FS+^CyIMQxrMmUQTJAYogt8A8x(3N7EG(MtM{rbIpv^- z7Q1Wv3Rf18jmN%v6xo;Njd@VqqNN9aYp<3zH%Wez=v~o8HL{~{DwAY3H^7GU4mcEj z{qiN&pYInYlGm^m8|fWI#1i4J|6hA=85iaDg$*MpEr>yvAl)quDj*S%pl!JOAIB_Fw#AgFm!i5H|PA%dEO7t$M@s$8^5`oS@+s|uf6KJmOqzG%?(P! z7{zf4^IOyHqDhapUei6H2G=2;)3C0|)nFw{7=6hoz*U%1(d8MHsge@_1$a^)3ACi%{)DkU&A4?^cFe z!9J(oj*V$;DSx~+gR()lj1>CrB3s&2VEF#xT6O_9EANm?>R9azJOM7CYK$g@elzTK z6W#d$)+dFTKUe7-gy)^HolV98@#({b2}{Z49CrzgHSexyETE-xO}BO{sn2zq9ciRo z=gIiWplS-1=a>*Lw_#~#B;j7H1^=l*x7;u)oJMl{0)6*G`W(f0);s~sq*CqN{=2CW zn+>oNZ?Q13@8<1>sZ1hX52p872ts_ZFaEu4WB)3x$?!dw8H`Le3a4v|dB<2HpA2JJ zbqXeN^pLN=mf1UsrK;o?HnNw$BWSafQ&^;_;se^!y3SjFa%-hbf_7?kVu7-QXnp1Q z#JFXtSkQ6Q1SHkS6HuTMagt*Nlc>=L6Nc2A_`kkzZ`Nc0`U4Ciueyh^qp;k^3Em<) zvpoZp)z=wUnF?TR=!xB?bbG>5;zFKU-Xj!|&xY>S8Mt)Y%Y^Vb(Q&15(~O2OB9W=H zrWQ(#Q?-3?Y>3!{h!}T?OWaR%bPCWkr#QMn3f$GX4rYA z9BmUX>c;FOzWq2(OKXx$>_xDPRc8WzxW{;niN+G(GcD(wqY;^llFW!B=kCHkGl{fL zb)IG2EJi#hu0=(^l!>^Scj{>3i_TxwEj#wE75YYW8_c<0$ozFiENu^B&8MeTYx2c) zW^-@zPlVgma|T6>3o#G#=_P9Or|;OgLc21>>2q)r@&fzoz3NVc1y`kbmcrHf^@ z&>43LKx&<#Zk1s`Zad^18_L7UX_KykqNygdq0aQvQGX=A_r@r#gF&}cBjE4Jy60G! z=+}&T0~9Q-2O#5dEUcW)nmlOVRwz6t${HFyBo#zcRM+}F=WypQ@o%ZMGCsiY25X{W z68G%~M$?9R^j&^2dSY;yi=Qg3R9gZEgUxRcI#>sZf1cf2vo6z@!*>GYI2_T8A~ZEK zB=^DFrh;6(0{#P%x&2csRVKvZVOFjkb$N|S;&nDnlAYPnFr+1(cJPUwOyyvQT@`GjiMsO6 z(k><+5voxtVKTsK@@ig+C51mOy;5I0@Uq@7#?|pkXwPR-gBoVFc z;}XNTbImwamVUl%WYr7aKB=>Ewb`%n7P@#dtmc}|z9kxWQfO(h%g6%dN-Wre7TGBT zpdE1RK3;!aUMz`lK_X1YfWryP2~yda=dai{^fN$RCr^D(wJEzo>C@ny72O5uh}*jW z{v~EB$%zuj-_yyRj$p7h5ZlfhwJ5F~ZMON=BB$fvc&++=uVbUZ(~bMtKqhQ%sk>mE zs~;uO6%B1!Z~pY%N@t&pZlxxRUuP0-xi1z&Q^p)%)M_x-aVKoUVFPviy7S9argq$V z3c9Q+Qg*nwnLjpn+FbP_0VtaS*O=16L^tB1h!r;C6BCzzJkbyUR7b^V$P9*WTj=61 z@6k`ACZ6_-B~9&v(|!G%3TQOzylAQ%9VLe=4B~*Xw@h2o-m~n%3)?*6@sUD}xwXGT z?Cl(R7Pevvd4#b8YCOMxZ-?DlAoWL4gZ1H4Q8+0V_&-Xq$K``<4|r>vO2Z?MN>)v} z6XJ&)oVZLXoIt3moAl0SE1X*Z=)88H@8lx3ak5Ei1!WD-IkG=Gu^&L4Yg10@q!e*B zZmDf+rNq_?8I7&KS%cI=OW=|#Or3f*=e3DEleH{PQvnVa)t1HwzD_XPDOxA-Z>}C& z*%iCAc}zOdk}>S7+rNrHRYB5;87Bke)f8&zyE}U&g7H;Rf7}tsZP6NpC7JX1*W;4o z(nFK>rT~eL>r!l72I!l*xgzfIH^A^q;M5#t-Ccjy_r!L<6MP>(pWlaaA~x_%G-#WD z-88}Nfh3mMR+h)U+mOZEMg3IyojVSej|LUFRSw4XjnUdHk3fELW#E14+Nq?xpYw`v zz%F>NWsEJobxBXi4RAOssxpD?4;Xc77+1S%FC(^2jN^7MHo4jumu}&q?r#^ExCi+4_g+th7xgWj8js(9m1szle)gO9_VJISo(`f&>;Lyh!C> zB+v~yRCCga7@t!!P$vU?*~nr3o-4z}ltlCGtt6pLJk4ot7(rMh~6aT}-9=Ml@XjT?H)`-z|{j*Jwcx=LUb(RIGIAw`EB?WQq*lOdyuO5y>yD^Q9QrO>qMX=h%y@uV|l!KC?%D&E#etqrD|M@g=M8BR#win)jLuZmxJC@4;@-Y z0BI;5+g!$DUuF09C*-@v?y6J>MkzF{APjR%j7dYYv;L25+ECs=LMQ4GA4pOnS1C`m ztAhP1??~dT&q!gqOi0l$Q_2^ryy4{NgIMdPd9N$h*cmrA?Yi$1f7emsf|VA|5fc!w`GFMFy zI~IsWx9G-2-48}IbyOK0lz)|g-P#3IVKY`HN@))AC2FV2raaUgEY{Ad+{JSwN@zgJ z%?Belf;FC z2xzzh37|&1E0^&TvD%&(5y`r6vcV$RshZV?M4lj%t&- zW7x7p(C1XuZNVs%xgZzFT}g{Y@Oec5iF^C8n!a>`0*JRwgC!3JBnTZ0EH?K_y7NRz z3N@JG)^kR`74^B>JKbkM6Z-UQkaT*y5-+GhO(~>pG=xUI8~3j3-JpVu>FS;NaH|_ z|KW_lbJnd(Z!x?=^PG#%-}g|9@)t(|=dhZmnOEVg7dZ}I>tzFUYQvMrHQ2E>76-_v zVC#!~!e_8J%m*0NI6t{@WO$`1zOy!d6hEF7y(K^eKcYr&#rQ-AR`pBRHrJ{vgkxce zDI$fe!8hEsjLU4gT5UrGV|*ggHua4`4?&%rvt_kUrOl!HKk`Vqqy?%$Au$_2P zq%M8iJ3A_rtARrr(C5^@M7sW3&9uc;Xgw^*vK|B#bY%fmb-G@d^(*#Hn+^GBq@}}M zw-OVJy6sfY^?9;7#x**WX-k?;#Ox5HakYVCKB93V&4oM)us80D2P2czWIzrW+MZtT zHo}h*n_)oU3#aD{Z{XGBF~iJ@YhI>q2OyCsF<`kfE+ySthPlT}v&>6{e=8W%)m+%r zy`r54+pq|g7L_J*l%F_Dpa2lfPFAnMpBV?DS}iq$Eb88*cF^UaHBsd0#R2G`JlgC z!o>{Ga{6Sl8=9-wiTc_am``8KLd#TlMO6gqpEq+R-?n;Y-@~s zZ|}R)#Ki`$w$$YCwd{S8jQ&aEz?v|FwC{$ODrGco@p3i?n8Inw6%#Ax z2!70w*FMGN8UmbkPlbCpnb?XbaVk6UY>iGy|Kl1aTb4#L^345Z6<<~Hd@;i*Qd@6m zWnn}tq=`KHfw+x zJ%M5vDzM)3clMD)oM%9Fkhv3!15zw@TX|V84GcOPDP3|u9-B))^bz+rnASE%IH+7sINuFf{t)~|>xAW=?GdiK(f*ivCH}+k9ZZbZ!6a*=Y27y>gZ4JHu<2}H zWN1(wC*~xN9h(@F`eV)u=}^ysxZMt-Hcjd@-9&_5t+iq}B>^V<4|db7mlsncXf{$i zS}(}MSe(|xU~$?JV&CGLD0K-iSLtUfgP^HZr2wn^Wj z8r8PAsQ#+1RM)#5?nrcQ(n4oM$!K~DO{SVpPKSNgCG5me2P=kCxpM_2JSygZLzNmb z2JGZg0^jwPnKN03>W?}oS$H&=Lv8L(4Q!aj^Ul)HIgl4Rk*FDk?;lqW^J`v>o_({p ztk41-Un0;mxtG2?n!2dysj@9;uz#@F{%Z;ff=~aV(siHQqI5!lL76V60McjsDy>uy z+ia(42trMN-yD3hJ?AFsFHf&O5AfjsN~6YM`uY63t|7=>s^sEa6xuQ0`sG>!p#yc2 z1dRPyqT2M$El_Cc^(e_zC0q!^Vn^msdY&bgB|7XtpbLF&PV%qsRp9hBU&A&7M2SW`!Du8#}viTDj>S-ANZ1z~X2`9rED!>V(TafcA zln+*A0nie0&Kuf)(2s#sWT$JO0H2fXh**1ouFR*iWi%JI?^9jz6oG4~1&rR)QjT`} z7lVsCgSQ%Ne9KH$-f^nKAF}7L|7(M$?ghwOawx@*@pl?9qzyzLk$?FI<_`Z?Z9(@A{qIQn zn((jX1o@oJ|9{>~4|uNx<(R5}-wR6cS35jv$V6-n`FOoFkV6IdeWm3W`XoQ@0m9b4 z4gd2GkKlt<&3RxRCatmWR`ue{#ucUyvL)eGXE`oUOK@jC0xB?ImD~T93fPVPHdZF~ zd1}&q7ze+!_-*p+$JB_1ahbMoHd$a1!2|LiK6tVI|Hz$Teh1lm>VLIiLVSw=;>iP| zaUPxL&&UHKZXfl!6AStGQE(u@Ec*m}Q^-RLG`#)_zTFdVnPf~e!ebKtS>Zc(qaU6E zn=KUR`2FT}q66781$lFEztskI%k4UhezO0E`s9JkJnkKURuMUyqzcR)WiwjdUH0wS zSeSVB|3EYTxEBai`&@6!3*i;}mGCS3(_WKn^{N31(rvaf@GkRx+(TgYXGZNqmgav! zxly>Qe3-NEO!%*kYny2fqXUa>^=xbT(&zuB=eIIWYdp|HGCY3(>y}vRak>vY{NDcI z2boY{wxSrPTSeqQbRhpD6Z!yb0$#j-;r9Q0>c$EP^792t?>~b4^FcC3;GHKY4{1-m_&oKTMKKvIx{B0QjGYbD1h5wAgze&;matgPb;r~CQ(87d;H3#Id zGXhct|0+jFN&8=Vopyu#T~73Ft^f+Ln*{;7e^TiGuocS8e`{F-XuJVVvE4~9{C+A2 zZie?-HbyJb|G2UtBTy=@HE76TnY*mY|8lRhE<##Yb*&C0w0(dXI-#C(ex7#aIL%HJ#rb&Izsm#OYRZ|ca#Nr2 z%tmF^=Qr@X6)W?snd$qHj`^7r1Wo7 zkC51@!^;EvmHPz}>n4gVYFmNJpgZLP*sn&6bC-2vr4wTI^Y<$&8kJa<`bFm4B=M^? zS&+X&ICG+Q%NUhgF-%49;5BV#Z%mzAXkho6T@8KuT|^06){jX$@J zU}m2}dFgb{^RG5?xZ#ZJu^`;lN{UE`S($>>_=YHM zeO&hgMq40lFFH8T;I74Yl(y4lOf1!-Z)({x#1NX>!#ksivu$o0|EjorOxb^wIZF0y z)5B&;mFvp>s=V@0D|fjUIsSk=`gJa)`;N@}wGUyJ##o3jq8APepOCR055F=9K5h>x~LD z)|9y8Zsc~@i?**b^HODkQ^vgdmK9ha(;nT8!AQgNl_m`%ZpDqM3fJkftI}LlNsiEo zr!P@@7N%gGcjYnzW~jk3L^HH)KmSoW;Zv(=*{>HN`PjdEX+wTJ5J`OcJxM%h5(9 z>J1`N5b|(|jJ%fDw>5xqSZdEPpnVCeq6h4H#k>-Q4>(%`$7qU4J+0;FrtO{dWVT$k zFx1hRMJly3*oBD6CJX(QL4f32Xf_R;Xa#>#)`U?FreBvFM z-gvYWrV7z~|BF*7CRI~sXbZMniCs6Sp=lJ&A14LhY2y&Tdxw$ z-JoFKlY4F=(4Jo3>*OLF9rl~soiW57QO7TK-mnUc4N6+N$`UT6qtqhSr#`6m#8+5$ za1`g-7w0nfZ>o`*$+u{gg?88VtX-)>G2{@MbwaO)?>q;H4%rwe5KcLhuIg`n8qc)e zKD7-$4D)H1h0#(iTka@&M{j=8Z(`hEaIX@qN>@l4)dSTl>9o5g7O}ayFXpQTqt_$y z5D}$CBu9Y`m#n6(AGAGVsI{5{`pi&y22NPMqVQQCQB^xv;xkD*Q)x-KZwKFS#UJ$a z6KhKHu*gq^jPrHwGhz?bu*5)}<+*&C^^Ccz9FA(WBUetoOqxxHXC0RDsdpQ{oF3mgWXfZID#uZ=5YO3yVc(HMJ%Zjv|eJ!s4b@o0)W=Z+| zK&if99YbIQOM+iM?C3#kqCy!xyl)uEuVSN;Yw(SfjPR$N4B(1wU$(QPy;_;bql&ZUUbb^ zfi}T`#dpc@)4%4ovRP6TS9E~m-s&M7ud&Z%ig0zOl11x$h{C2l3&Tz z5mS_#_L`82%v6S=he7$YK^^;kJ$#!F+&<*hFZi^pM!`rDkJ6XDtCGX-T@12({82`_ z6xm$41=3?BCkl74IIB^wxp*ET6JnHl9eqwAst|e5EOiCw(D6wcm*x2>cf49UoxFFgPItVAAPFl|qP%S7tHf4|(eYTO$`<5#Y7@!rqI=Ge zZ1IdkK&oGZOosWfkZ#LU*y#5Uk<9GXj^k(&<9NBaeqyTc0Eu--{_HC(wPz1L>VR7A z5#er=++^&3M!ylZN1kVep*{%nB+??pD1Y|QR8;1^>zN4dufFic-E3>QG4Gvvv8Di< z$71xy1GuexEhT&#sO>=C@tbWM1EK8R{v*b=qFV=17!O|a5Sl)HqF87~Zy#F}_E7d4 zVd^H|!zZ~Qw0A ztqq>i6&W8}7^AZk9+jF8Qrq-pHe_Q3u0(clvumbn-D+-u9Pd@(3~w!E7=!DJXr+i# z98#0&_vBoZg`eNJ8J9^srWgr+m@|)Q2pgFv$auLvM`*02ukY+mhJAg(g-X4#x?nkN z(OR6GHrLxy)?UyVor~1XI#&<|6<9VP=RV8$n8_%%adugf<1#XnyL>{4-H}W+5Hg;B zq(`VgUD=&Gs<0f4HrSytiWu;I#@ImINHP08cqr@`C7BktHTvS!$zc4S;mF7wJ$LG4PzgK#C0loT>g$3#FK3;t+z2F6A|(-`I*%14 zmNxhZ9hP;4^sGpWmm$mLVzrr_;l`LtV+`egT3w4tzw=6)Q~kD!1Yh&p%Z}>piZqma zO#C!VFq2=f6qaAU7{$bWZ*Hz9>a?NDMSTp38=q@gVSLUjJiX{UR{aE$q0{kue7`JD zAd+*WZt|~lKBKd!b0n@8eCM=jh+Cp_(!V+WO3I%b(`FKy3Q5_wdRA9RZkoJKC!Uwe zTY%m7oa32Xv8ycKYn%0Lj6-`Xb26nbd4t)c{+j-M9?4R6c{(cDk0rGom^r$22w;T{ z`R5r@ovSfX{jBxWgT3brzsD{v!mCFQ&G`&n)j>n$scR62!G%h^>g>qDbK_&!D6T<6Jlf7sSY04|*TQ z!tv4NN*a$eO>+fv-$ev-3D61_RA|IrPezbDw>}1wSu%KAnaLR4wcyeoZ6l%z8X&$u4&B(?D;%hBu{djEKt_~DQE@#SIgPjE;k;QteGTbm|CrxoRwatz z7X%qxx*`{^a2m#|;kl|~586a)?*?+T15)a)U)x?5mOn;X3Nqz|@XR_ezGciP5#FeU z3{2j$qyUT#J6A;)InxWt%j95g)VF89@r`mW5uKG#@}i$di?8n!N=`Jg3Y+BQf8jpO`ilEghou@*g>e!BnnyfDD<+d4bGPosLIADHC8q0W6 z3a5OR9C)_E177fb&(5|F?+E6v6s6t=|FzK4<&@2~Fbp`2gDs>Xt2yFbIXs5(i#EB; z19eP3Soc&x*iXKj`(N4FH)N9Q>{~k|%=fLJ5$iHw?uvM1%lgN#o^eP?FI<+3@Oyn5 zsofhE6}Z52ogi*&GY8=y;}shArebP}R9??jl#){G8=ctLv)~5iZe#<@-4} zo6jzK2@kOBr47myCXTiXgzNoGi3jP*;3P=xxFy@m7e%@OL3C#5{+!EZ7LnNzoy{;UAh6TKDdxAwB z7ldPrDrD|TZb~pxzKlqEXQM7KAsX9BD8(q8=tiKZkyWhTo-zMhG;?rkh1 zmHD8kSz73XikWdgojI@o}+Le`) zO?ci9jBop(U-A(voLk1XRpcskQYFxe+hjV4}AP*M|?| ztC&XRkJ@84?~eZIIG*%pd|Ap#EG(5qCRdYTS<@W$4x%j~Q1|^xW4UYJW(nTIbNHZ& zitY_Doo+zj`l#JeZ)A#Sb<(lqHtbfFn&uxCiu2P+8p(w|R_=-A@$+e{nx|8~Od2Fk zwGs>-H+J_-X#0>#|01yuQ~69UVoez&Ur1CSaT5NT9UvySLsiX+0jVr6@m9A!;I>Zi z3_|N&=dDOCQ8&$Oe%NB%(}j*}jF>r_oz?7l#vL377XLZhD|8AS@LHO*buo-G8Lof5 zM{$1lMq_317^NLk$57wvI88yqhv;(neIu@4h|Dt6x=J$<8vK}AhQhINML-K z#ALUMfcSnd=fmg90{wh4(=r`L`Nt4g?b4&oFsCP=-|+~qs9nEyaEISBy!pDLP&t9s zPE106Qw!~w;4=8+NPAD(n*x_tvI~m$2`=?LV6KlHMsxiOco}7ncBd$@u6}4tOT8}@ z)C|CwHCy~k);V=$Mlnk%ylbkRf+bN1Q)$sXN8g*x=f;cWG4N2Cp2BD9 z1j4~hW4^XebYl0l7c%{MTuU#_U&7>C4G6GWOcc+RXEjJM!ilERBc%XNPHR!d2MVhK zeLj*N(gUpcrqQ(bvo>g(HF|V^*>)r;GZ{^GzH$E1P9~M=5Dxm4UU^vfCsZ`Vai*Z4 z9v@a|@F`IMl94pWv8Z=foW}&^OrqcD64|$~{8OC!MMTmZDc5g-j*~vx2x{&&P(-KS z78LhWmVyNsGvv!r-SjX%mhHn$yi;EPvbZ&-hCXro(yV)pg&g*a()?P#Tew{Uzbb&9 z^hxYf?+IKOKg&-w#&D7gAxRtPV0!omiQ7Tp!tb3RiT{pv7TIEO7OtSz{(?-IHf2eV zV3P21-Sd@OVnQ85gYjm;+1mjM0RLvD;AuOJv!cZK6U4q}F9ci~P9SBHi%Cf3p@LrL zm(bXtqtmJJxhR<*ycygfZx$-&qnP?1imoT1C{YE2ql_qdh~7Bb!7>Epeah@%rD3@0p#W z`=w~FW2sW!oOg%ftTXJ!Z^s+=lMd6@PZapjQHE%13*9W=WPza(kYtR<3I(V9=LZM0 z^KMmG*__7wah;O~MKuowzfmCH$tFMP%2={BKPs!zavwms0#ZI|=vp7-tuI~m_^h)* zejIsH(a^~#=6jOi%0(GnTF^kxZmer9T~P2#vSX*PB2CXrY$y%oxB3e@bsXqyGxHQy za%=dHtZlSaM-Pk;8)0{B(+_v6I~$1ucY7pad0&35)Ndy_B3xe;TZt4r(m-ZqZ-s5| zU$cs8#G=1qsawQX=c~u+Ja@5D%bt4iDzpL~tXkL*nPa?={Htt5Zjh|cS2Lp!y_a58 zaZeJ=Dg4-=WO-B^2c`#jb(B&iA^|%-uLgEC5GS-o@F+QA#$_>U$;CW(c&qlH2<#MTp7LRk3!bB=Zy_#H9NRlSq)Dg7^f&av|@G8 z)}T?ddA`X9NGv2u)8E^*WPK9hon$6*o+_*NUVgV4T>e9c`XJx>-43_!y|On{tTtvb z0@BrYL4%nXt2z#;dLv%W>XrnY1Grs1i+%P0VEjt6E5g!_+F~W=X9vxb*9Hgi<0CvZ zR*P?klH7|=jZ7uj_Y#_5u_hWf3nR;lqGtUr)E9?9&cD6%|iaf`@Zu0{9u~T8|@hpvGpbx(zo>V%4fUp zU<=DzaEJVFHIM1zH|IZogYakPca$eAJDWoz<>?K{-;zRd)EO5BtVt9!hkjC<4_Ir& z)fm6~H9xQTG5O?<_;aYXM(lfHzmmEhyPmu%N!p&1n&{DA<{L&}qaec(nqP?}7T7e} zCrUmQdm5GmsTGi!u)Ioqta+B7I|+@f!3~jRFGl3Jcy~Edm1)Z)v?26fhmWJ5G{Z`y zx$I?|_mfCm^$+vMYl#1RX|R(pP>C+uGq7cIIp|AQ+A~)`TCCVG?OdGF;C>>&`mpdC zPvN98b|s9Fa!{l`R5VLPu~Cf&PzosQ=bzY9i`PIdF>13T+qCx($zMSq4%! zGStqms$0E~eBi1A6T_AMOpBgyj6YP0 zvaiT8k1_S*c|O1C&^ZBbLX!5wsb7xa8*`aBy0Knw7xQpEHs0$CGg-RmlUnqwSd0A4 z--{!~1e5#jn+e>pWc=Z~gmXdZ;|EX5*04I{msl2WD1!B~yibra^f|mA?yB8yr|4$N z2nn1w>Vc|p!{y_fG=g)^Zamxj4bM`Q9MyvXxB?2ti7 zN`zxy)_Q$+&Sv0VUqg0vrg;(woX*T}h;lR-8BfuU`qP@%cFAX!Rgi9+)ZZ0xqgE$S+B zKE5VD@2T6=O<)UJpfE2RE2oVC-+d&v6N$>dPNvnP`}PavJWh*Ov(Rx2jO*6G58?Ey z>;!M5gy*0|b14O4)qCRf#oUWr>S^%6Fzj ze8YtoB3^m)Gj_)>__|B`%94Dwxhg(){g{a0;3L?VDk|`C7V&nZJI(5l;QRXqlS|iu zsOC0*er&EUb*<1lt)ndqtU5-HdSn}{TaZZZy*$n|_zAX5rFuH6vw8Z**o^Xt_^R@V z=q~Q;Za6eJOi}x?;Z3ikk}S_ox=Mv|e{qO=uAFXR-Gt|6dUA;_O{M(hsI-^qzYiuV zEI%mI-n)9Er|==V;S8}uy_LoB08reA_e=}Cq0sV|)OV1prWbwLCX`D+J}xRH1y6?0Sg4 zci&Z{pJwQe@Apl*R&3x>O1JT=Zf~dR!jt;bm%A=sGuJhL#@%SRg$1X*HA(E348%HK z4rinJ&2Mc29d>F+c<&D3W7%iEr5e)e)_OK!K^dox$Abpr3-maRec>JDr-DwardG^% zlc&6fK`i)VY~=${`zI;>Rm=Q!&%P1D1Q zDF;SV-0UaV@xcxhjb&4M=46db{mX4L7+SuZA-$fy(OhQc;Z%iKZ>8>Ikrrq5v}wAJ z(as>LvmRq-DC_=KSo@@6_1H!;t;N;0l}|ZFCg@#S2!cVn&s$EzU0(71L~zc6cc<}D zfle2>D?6UA-Vg9%RCf{Nny|^ixJJj#TUfg2qNVm9xj56lQn7m1pLI?8+c)2c{v{aH zOyl)N*+hyal+1d-5F%q4-%c$X$L9FT!|{zOidHrJ+x?w>r9PB8aC5GZK!qo*x}UD& z>s|4k4}yhierOG4bn!$u9!nPXf3JVoTG@WA+9?n#q3w^_3%kSVkrSzx)|mOeZ6Ca( zEQRnIpzU0AXv{#`lB7kQ|0`Dxg0EBHT?aRzg?D51TpXFG)N^^7=P>C?xd@8M;5$ra zL5!wSy>cIPs2bkOn3}pX*nvOiBsi~vqb)6;;B|B)?K{2w?&i~}fN7*Xuf-?#ZAVdM zQZZxzgD#ivy2ovQ`%25Ck?+T}u4QL)WPdRz=5bb(RgKP3@Tg@Xx8-Gz)Y~IO&gI|k z8T(~oeFrsonI#AG+0?iROjj0lnO z>buAd)nBoCph<$l*!baQc4HF(78B)#t{i2lB#&c>6G>=(>De>jlIVwOq>VW@BgH(X<1hkT*;px@3%)XZ+v}4UFAJJ z?Pp4WlK_r8qghJn8P<5G$JP1dRBK$Kg}I?MAmv)%O3Pm??dGb9`tIe|Zc%t)a?Zn- ziUM&c(zVUZ>TG26WUxU*^f{$6S>-`oxo?J;>`(gx^2K13IT~5WkJUzer zeb={#?6&LV?EDN3?^U+xgwvFi#bDtgc7U#n@$s_eYf2x5orRdV%kyU|i0P4|xqutV z;-HaF@Ydu<`{o9q&=0|6F#*>HaFgb%V=D2Z+P&X+s~tvEknXwbW0B7i^Ee1~{q`h3 z($903NnqpA73p80PL1DUgikl6uTO*g??>?b$!`w z_diX^uOEc*yt^`%Jdp~Zz!X@2l0W8eihpA^&UJ9`1`_ANwX1!aK^R`HOjB-S zb&U(3SdhwM6JN`reHXgP7Imd`cQ1PKYbpsHVj_{>ELzWmWDk)MVM7wozHjL!w_*Xw z=ns|pxb_HePKH^!2QAJ${286^OVKl0(TBby>OZY_DYh+QUYcztxWmm)(kOuUsvx7p z{2960ui%$*zpW7_2w}#(z`&xzNLX*CQ0ns&YYS5HPn0k*O%?0RSH>h>S%Q_wqKVX| z@>a6zHkhUFsZ@;|M5Eo~13|BeD6I8~TO!gY2FXn+|B!QHQG;@$SC7Whjgd;A%yD#S zB3NgHhYzBFez)vgLoCRN0`9G^S>3Mp{OzRMV3jpC6PcZamBPvA<2)g#C=lJAqJ{?+4P9Q+)l}JS-_6|W0A!D)O9TEo zdPQ3rhZb?5;eP+7&+PD8V7oxR1Ew=W)N(1BudyNEEliu+GNZfRms<77NJ#otJ)0 z`lB=aF;QD_@uotzZM5pEjN*qDbPDVPbht#GNpG2cs(M|KP44aW*#TLG$YZ{dm#8Rg zC-@ngV|M0T7MT_@Po$ckc7ZBkg*Ml->00m2kbTi<;&W5u2{NghpBJ0N=!wCOD;Ei0 zLU?u+5>s4|XH^g-Uqd7wG+=T1dVbY`M3QE22@0nmOSrd)(wK_W#WHF<@5LK)bdca^ z$OpYUdM0MF#`RvYv?b5tZWdaco8o~-j~ljVC-OEn6M?JQ;K^a)K8q(EtW`vEKJRDzJAiHdD_&}q>l>zdm6%nRK&jJz?evgTT z-9sgm>X)t@& z11t@6E`;C{^HG^D`l1-d*^1R;oFSc8y-T8np#pEt0&kW^sM-TRXlia z)OromQE#mugPr-KCxEdH~7x2XVYdaPqMb0(#ng?-8$&o15&abM?(7D&e0`T|RF+6de)3{X+ zo&#ai=Y>N7vIc?3(5n#}D>M4xz=7ALl~QT-KeyF;dE@PDWApoh!LY}lY<;6hgDRvO z%GS&WzWq!Q_FzHTQnzq;$S3EXhZp(Fn>H4>VO18{h|o&-9e>;;!ULDoqFmdJatoy9 zR?SulJ5k*r3!r|xG&%i8cf-c-+QWaR9e$Ql556+w`ssJ=cvqE!5TippKorAVzRiH@ z_bLPsC-pt~Y~{m|;x@U%;h*9udF%oSp_~-SrRkGk&TK}4+Be2v&QIIPhOFHI7U-+> zKTP#+yMH^6ihM^}KH2)l=xJT(S1Fcv4$0yPA5DYI_v~$|xE!t?PM;ynFNNV)Qy$Z} zkq@3%^O}CL2&lKlFFVfp#=DcOM~$Ybn0tCk>cW@ukVS#VHQhL%J}45{>f?Wh7M=OXmG{g z=GM*^LmA|kE;bde8H~BU_NjPVDm{y1ys-3!=&O`COwuU2c)JN|(%xFs6k9_M=&`LQAf}lP zGl>+NkcgEFbD!d~KLONlz}X3hHLp1!?_2lXk)Opw|+7&CVl?I)OY`r40^ub?-W= zk+Xi5UxYeH@IHLTRl;+(r_Q*V=Q=zaKSoy^CL4yXO|;!Y)jrV@E~9Y3X-#?T80U* za;HIufrZU)Eulx$MFPx>pqp2sKBwzZdVwapVb6=M`%3d_!-TpBN@p5ZyWLF!NHL`Y zXJRsEE3U3Dc5dCP>G4}W^DSa@!1WpW%NR)g?1=9R&mp}1%phh7Xa}JRJbLh9=k#dY zn{^_<)1tVIvp55?TmDW)D$yLf z5l4|F&c!%$3MG9S$6{#Q5^rGg$~?AA`pn+jvba1-`ngPQ{wzmrVh+TZ^7z^E2^(|`YT dQkcKNxIc`a-uhb00t5J`D5v_W^o8li{{u*o>EHkW literal 0 HcmV?d00001 diff --git a/Documentation/RAM2E Label.ai b/Documentation/RAM2E Label.ai new file mode 100644 index 0000000..a0accce --- /dev/null +++ b/Documentation/RAM2E Label.ai @@ -0,0 +1,5684 @@ +%PDF-1.5 %âãÏÓ +1 0 obj <>/OCGs[33 0 R]>>/Pages 3 0 R/Type/Catalog>> endobj 2 0 obj <>stream + + + + + application/pdf + + + RAM2E Label + + + 2020-02-18T14:13:34-05:00 + 2020-02-18T14:13:34-05:00 + 2020-02-18T14:13:32-05:00 + Adobe Illustrator 24.0 (Macintosh) + + + + 256 + 252 + JPEG + /9j/4AAQSkZJRgABAgEASABIAAD/7QAsUGhvdG9zaG9wIDMuMAA4QklNA+0AAAAAABAASAAAAAEA AQBIAAAAAQAB/+4ADkFkb2JlAGTAAAAAAf/bAIQABgQEBAUEBgUFBgkGBQYJCwgGBggLDAoKCwoK DBAMDAwMDAwQDA4PEA8ODBMTFBQTExwbGxscHx8fHx8fHx8fHwEHBwcNDA0YEBAYGhURFRofHx8f Hx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8fHx8f/8AAEQgA/AEAAwER AAIRAQMRAf/EAaIAAAAHAQEBAQEAAAAAAAAAAAQFAwIGAQAHCAkKCwEAAgIDAQEBAQEAAAAAAAAA AQACAwQFBgcICQoLEAACAQMDAgQCBgcDBAIGAnMBAgMRBAAFIRIxQVEGE2EicYEUMpGhBxWxQiPB UtHhMxZi8CRygvElQzRTkqKyY3PCNUQnk6OzNhdUZHTD0uIIJoMJChgZhJRFRqS0VtNVKBry4/PE 1OT0ZXWFlaW1xdXl9WZ2hpamtsbW5vY3R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo+Ck5SVlpeYmZ qbnJ2en5KjpKWmp6ipqqusra6voRAAICAQIDBQUEBQYECAMDbQEAAhEDBCESMUEFURNhIgZxgZEy obHwFMHR4SNCFVJicvEzJDRDghaSUyWiY7LCB3PSNeJEgxdUkwgJChgZJjZFGidkdFU38qOzwygp 0+PzhJSktMTU5PRldYWVpbXF1eX1RlZmdoaWprbG1ub2R1dnd4eXp7fH1+f3OEhYaHiImKi4yNjo +DlJWWl5iZmpucnZ6fkqOkpaanqKmqq6ytrq+v/aAAwDAQACEQMRAD8A9U4qknmTzv5R8sxq+vat baeXFY45pAJGHisYq7fQMVSTTfzq/KvUrlLa18yWnrSEKiyl4ASdgA0yoPxxVmwIYAg1B3BHSmKu xV2KuxV2KuxV2KuxV2KuxV2KrfUjrTkK9KVGKriQBU7AYq0roxorAn2NcVS3zP5hsPLnl+/13UA5 s9OhaeVYwC7BeioGKjkx2FTirE/y0/Ofy7+YV5e22jWN9b/UI0knmu0iVP3jFVUGOWU8jxJ6dsVZ /irsVdirsVdirsVdirsVdirsVdirsVdirzr87/zRHkHyqJ7QLJreos0GmRvQhSBV5mU9VjBG3diO 2KvA/wAqvyW1v80ZbvzT5m1OeHTpZWU3W0lzdSg/HwL1VUXpyod9gNjRSyL80f8AnF7TNC8sXOte Vb29u57IB57C69OZ5ULAN6Rhjioy1rQqa4rbKP8AnFnX/NTaXqPlrXbe6S304RzaXLdRyJxiclXh UuB8KkAqO1T2xQXqnnP8w/J/ky1S48w6iloZa+hbgGSeSmx4RICxAruaUHc4qwOD/nKf8qZLgRPJ fQpUD13tiU+dEZ3/AOFxWnqej6zpWtabBqelXUd5YXK8obiI1Vh0+gg9QemKsM1P88vy/wBO82ny pcXE51dbiOzKJAzJ60pUKvPp1cA4qi9H/N/yPrfm8+VNHun1DUUWR5ZoEJtk9IfFWUkBvCqVHviq A0/8+vy4v/MjeXoLyUXySTRO8kTJCv1ZWaVjK3whQsbHliqZ+TvzX8necdZvtL8vTS3jafGJJ7v0 ikBBbiAjPRiSf8mmKqXnb85Py/8AJs/1TWNRB1CgJsbZTNMoO4Lhdk/2RGKpT5W/5yH/ACx8x6jF psF7LZXlwwS3jvovSV3Y0VQ4LpyJ6AsK4qyPz3+ZHlbyNaWt15gmkijvJGigEUZkYsg5NsOwxV8d +QPN+iW35tQ+bvMcskdkLy51CYohlcyyh2QUH/Fjgk4pe8fnB+evk6TyJqOj6fNcHVdb01GtI2iZ B6F7QVdj9msLFqeHzxQHmv8AzjP528p+VtX1EatJN+k9Ya1sdNhhhaSvJ25VYdOTsgxSXon/ADln 50Wx8r2XlW3kpdavILi7UV2tYGqoP+vMBT/VOKhkf5G+VLT8vfyx/SWvPHYXN8P0jqk07BBFGQBD G5PTinb+ZiMUKV5/zlJ+VNvdNBHPeXSKxX6xDbH0zQ9R6jI1P9jitM88m+fvKfnKxa88vX6XaREC eKjJLET0EkbhWFexpQ9jirIMVdirsVdirsVdirsVdirsVdirsVfH3/OV2rT3f5lRWDN+406xhSNO waYtI7fMgqPoxSH0Tpk1r5G/Ju3uYVUro2jC4VWG0kywepvSn95KfxxQ+e/+ht/zL/5YNI/5EXH/ AGUYpp7j+Q/5i+Z/Pvly/wBX1y3tYBBd/Vbb6pHJGGCxq7lvUklr/eDpihgn5xeQPy1uvOU+u+c/ PJsppfTppKRiSSO3jACxokZklAO5rw6knFWEfm55g/IO/wDKKWfkmyjh1qCaL0Z4raaAmIAiTm8g Bfb+feuKQ9P/AOcS4byL8uL6a4f/AEWXUpWtlboqrDEHYV7FgfuxUvneKy1n8w/zRuU0cn65rWoT 3EMxqoiiZ2k9RiBVVjj/AM64q+mPJn5PeXPym03V/Ngv57/UrXTJ/XlkVFhVI1EzenGFLAkxAbuc UPmT8tfImu+fvNf6LsZjBzV5tRv2BKxwk0diFpyLFuIXvXwriyfSf+EdC/Iv8u/MeuaTczXup3MU MazXXp0M9THDwRVFFDTF2BJrTFi+fPywuPy6vPM97q35mX8skAHqxwsk8pubiRiWaV4QzUXrSoqS PA4pRH5z3P5S3Oo6bdfl1+7RkkGpQpHPFGrqV9JlE4G7AtXjtt44qHsnn+00PX/+cedL81+Zrdrr WbHS4hp87SzIRcXRih9QojKrliqv8QOKGB/842/lV5Y85prl75lsjeWdmYIbRRLNDSR+bSGsTITR QvU98UlMv+cpvKXkvy/Hokul2X1fV74+nJL6szgWljBHBHGEd2RQBwAoK7fPFQzH8gvyc8mv5P0D zbqenet5haRr23uTNMAnCZvQPpq6xmiqG3XFXr2peTvKWqagmo6lotje38YUR3dxbRSyqENVAd1L fCemKHkv/OW0Wsv5F05rRZG02O9DakY68R8BEJkp+zyJ67Vp3pikPKvyr1n8hn8svofnTTWt9Zna RX1t1kkSkhIjKNGS0RQU/Y49yeuKl7H+Tf5KaV5U1ZPNGh+aTrFhd27wlIo0EMqOQQeaSOPhZfDF D2TFXYq7FXYq7FXYq7FXYq7FXYq7FXyP/wA5ZeXLyz892uucCbHVLREEtDQT25Kun/AFDikPWvy0 /Pj8v9Q8mafDreqwabqlnbx297bXRKBmiQL6kZNQyvSvWoxRTx//AJyN/Nfy95tuLDRfLbCfTNPd p7m8CFFlnYcVCAhWKxqW3I3J26bqQHqn5dPeeUP+can1ayX/AHIixvNRjNOkkjOYnI7hUCH6MUPC /wAmtI8h+ZvN17L+YeprHAImuEN3c/V1uJi45epcMyN9mppyBP0YpKt+e15+WC6rZaR5BtLVbayR 2v8AULUs6SyvTjGsrFuYRRXkDQlvbFQ920ADyZ/zjN9Yp6Vx+h5bkE1B9e/DNFX5NOoxQ83/AOcQ dDE/mjW9adarYWiW0ZI2D3UnKo9+MBH04pL13/nI/Wv0X+UurKrcZtQaGyi9/UkDOP8AkUj4oYP/ AM4faGItC1/XGX4rq5is42I/Zt09RqfMzj7sUllv/OT1hd3f5T3j26FxaXNvPcBdyIg/Amg7AuCf vxQHj/5AWv5MX2ialbeeFsE1aG49W3m1CYwBrZo1HFGLopKujEjrvikppN5o/IGfzUPL+g+QzrUk s621pdQSMiTO1BVAzcuIavxHtv0xVlP/ADlPPbaL+WuieXtPiW2tJbuOOOFPsrBaQtxQfIsn3YqF f/nFTU/LFt5JOlx6jbnXr28nuZtP5r9YCoqop4V5ceEda9N8VLzb/nLLV3u/zGtdPH91p1hEtP8A iyZ3kY/8CVxUPpP8s9T8sXPk/S7DQNRt9Qg0uztraY27q/BliAo4BPFjxJod8UMqxV4/+aP5/aV5 M8xXHlrU/L8uoRtBHJ6nqRiOWOZdwUdW2qCpxWmB/ml5T/IC/wDJN15n8u6hZ6dqpiEtnZ2Vwn72 U0PovaVYod6Hiq8ep2xSrf8AOHl3rDSeYrQs7aOiwShTXgtyxYfD7si/F8hipfS2KHYq7FXYq7FX Yq7FXYq7FXYq7FUp80+VNA806PLpGuWi3llLQ8GqGRx0dHFGVh4jFXjs3/OIXkprovFrOox2xNfR PoswHgH4D/iOKbT3VP8AnGL8t73SbDTIGvLCKxaWRpreSIzXDyhByneWKTlxCfCFoBU7b4ot6Vp2 gaZYeXrby/HH6umW1olisUtG5wpGIqPQAHko+LbFXj2of84j+RLjUHuLXUr+ztHbl9TUxOFr+yju hYDw5VxTaN1L/nFP8tLxrf0Z9QsUghEPC3lhPqEMzGSQyRSMXbl40oAAABits/8AN3kDSPM/k4+U 7qe4tdMKwJytWRZOFsVZFq6SLSqD9nFCE/LX8rvL/wCX2n3llo81zcLeyiaaW7aNnqq8VUemkQoN +3fFV/5k/lno35gaVa6Zq91d21taz/WU+qNGpZwjIOXqRy9A56YqjPIPkXSPJHl2PQdKkmmtY5JJ jLclGlZ5DU8iixrt0G2Kp7dWttd2strdRLNbTo0c0Ljkro4oysD1BBxV4prP/OJfkO8vnuNPv77T YZDyNohjljWp6IXXmB8ycU2zP8vPyU8j+RZjd6ZBJdaoy8DqN4wklUEUYRhVVIwe/EVpsTihNPzD /Ljy5590ZNL1oSqsEnrW1zbsEliehUlSwZSCDuCCPpxVjn5a/kJ5W8ha3LrVheXd7eyQPbJ9ZMXB EdlYlQiKeXwUrXpXbFVX8yfyI8oefdSj1W+lubHU0jELXFqyASIpJUSI6uCVrsRQ/hiqdflr+Wmh /l/os+l6TNPcLczm5nnuShkLlFQKOCoAoCbD3OKstxViH5hflV5Q8+20MeuQOtzbAi2v7dhHPGG6 qGIZWWu9GUjFXm1t/wA4heSkuA9xrOozQA19JfRRiPAvwb9WKbeweVPKHl7ynpEek6DZrZ2aHkwF WeRyAC8jmrMxp1P6sUJxirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdiqS6v528m6N d/U9X12w0674iT6vdXMMMnBq0bi7KaGmKp0CGAINQdwR0piqTL508ntq/wChl1ywbV+Zi/RwuYTc eoOqely58h4UxVN5ZYoonlldY4o1LSSMQqqqipJJ2AAxVKdG85+UNbuWtdG1ux1K5RDI8FpcxTOE BALFUZjSrAVxVOMVdirsVdirEPzB/NPyp5CSxbXmmB1AyC2W3jEjH0ePMkVWg/eDFU88teYLHzFo Vnrdgsi2V/H6tuJl4PwJIBKgmlaVxVM8VdirsVYj+YP5peVfIUdi+vPMP0gZFtlgj9Rj6IUuSKrs PUXFU78s+YbHzHoNnrdgsi2V8nq24mXg/CpAJUE0rSo9sVTPFXYq7FXYq7FXYq7FXYq7FXYq7FXY q7FXYq7FXYq+Pv8AnLGLh+Z8Db/vdLt339pZl2/4HFIfTHnrzhb+T/IV7r8vFpLW2AtYmNBJcOAs SfS5FfauKHx1+Ul7dyfnB5dvLt2a6udRSSaR9i7Tkksen2udcUl9J/8AOTHnf/D35eyabbvx1DX2 NnGAfiEAFbh/+Bon+yxQEt/5xm8m23ljyHP5p1Ux21xrI9czzEIIrGKvp8nY0UPvIT4FfDFJTbUv +cnfynsrxrZLy5vAh4me2t2aKo8GcoT8wMUUzryf548r+cNNOo+X75LyBG4TKAUkjeleMkbAMvtt v2xVK/PH5teSfJN5bWfmC7kguLuMzQpHE8tUDcangDTfFUPJ+c/kEa/pfl+G8e61fVTCIraCNmMX 1gBk9cmioQrVZa8h3GKvAv8AnK/VZNR/MTTtGgBc2FnGoQdTNcuWIA91CYpD6Lu9b8sflx5I08a1 dpaWGnW8FlGQCzSPHGECxotWZjxrt8zihR8o/mx5N81wXt1pE8xsdOTne31xC9vbxgCtDLIFWtN6 dhirGNQ/5ye/Ke0u3t0u7m8CHiZ7e3YxkjrQuUJHuBitM18l/mH5R86Wb3Xl6/W69GguIGBjmjJ6 c42AYA9j0Pjir5s/5yz1dr78wNO0iH4/0fZJVBufWuZGYin+oqYpD1Kz/Pr8qPJ2m6d5YF7LdvpN tDZyy2UJlhV4YwjfvKqH3G5WuKHqXl3zHovmPR7fWNFulvNPuQTFMtRuDQqysAysDsQRXFWNedvz n/L7ybc/U9X1HnqFAWsbVTPKoP8AOF+FPkxBxVC+Tfz3/LjzZqUemaffPb6jMaQW15GYTIfBG+JC 3+TyqcVZh5i8waZ5e0W71rVJDFp9knqXEiqXIWoXZV3O5xVhEH/OQv5VzaPdasNUZLa1dIijwyLL JJICQsUZHJ6BfiI2XvTFVXyb+fX5c+bNVTSdPvJYNQmqLeC7iMXqkb0RqshbwWtT2xV6HirsVdir sVdirsVdirsVdirsVfI3/OXUTL+Y2myUPF9IhAbtVbm4qPxGKQnv/OQWt3/m/wA6eX/yz0ZuTwvC bym4+tTqAOVD0hhJY/6x8MVDG/M2h2nlv/nJLQNN0793bW15ocMdaD4Ejt4T17sq1PucVR3np5/z a/PyDy9auW0bTJPqbOp2WC3PK8lHuz1VT3+HFU0/5yq86zWs2neQtMb6vpsFvHc30cewbcrbw/6s apyp7jwxUM68if8AOOXkKDyTa2/mDTRea1fW6yX12zuskUkq14Q0IC+lWlabnr4Yot45/wA42ale aR+ccekW8pe1v0u7S5p9lhbxvMjkf60O3zxSVP8A5yQvp9f/ADjl0yyU3E9rFa6ZbRr1aV/3nBff 1Lgj54qHtH5Y/wDOOWi+VdQsPMWqX1xqHmS2LSsAyrarLIjK1FKmRyvM/EW3O9MUW8B85ea9MuPz 1v8AzDqIe402x1YOY03aWLT2CRote0nogfTilOdDbW/z4/M0Ra9qK2FhbxNPHZRk0jtkZQYrdSCD I3IFnb59AFxXk9p/Ozyc+l/klc6F5PsjDY2bQvcWtvUu1sj8pWbq0h5UdydzuTih4T+Uvmr8m9P0 u40rz15fa6ubmVmXWApmCRMqgJxVlkj4kE8owSa4pL2/8l/yi8m6JqUfm/yr5mm1ezmiktmUCP02 DUJWTiAyspCniQDih4d5n09/zF/5yBvtMhmKx6hqbWnrgVK29mvpu6g+EUBYYpZ7/wA5A/lJ+XPk 78vrS90Wxa01P65FbR3BmlkeYMjs/MOzL0TlUKKdtsVC/wDKrzPe+R/+ccta8wqa3NxfzDSVbcCS VYrdWoTSiurOR7YqkX/OO35Xab551DVvM3mtG1G0tpfTSGR2pPdSD1JHlYEM3AMDSu5bfpipSn/n IzyXoPkvzvpzeWov0fFd2q3X1eNmpFNHKy846klQeINPHFQ9R/PjzfPP+QWizznjeeZk05p0Gx+K EXcnhsHjA+nFQx3/AJx9/Inyzr3loeaPNVs16l5I6abZF3jjEUTFGlf0yjMWcEAVpQV3rsqS83/O nyvp3kT8zpbXy4XtbeFbe+sl5F2gkPxAKzVY8XWq1qcVD7ggdnhjdhxZlBYeBIrihfirsVdirsVd irsVdirsVdir5T/5zAjp5w0OTf4tPZfb4Z3P/G2KQyD/AJxl8r3mt67rP5l6yOdzczSw2DNv+9lP K4kWpqAqkRr7EjtipYP/AM5HX1zpX52rqlq3G5tYrG6gY9BJCAyn70xUPUf+cV/IjaZ5aufNt8h/ SGuEpbM4PJbSNvtVJ/3bIOXyCnFS8t/5yq0e9tPzN/SEqH6pqVpC9tJ+yTCvpSKPdSAT88VD1nzV /wA5O+RY/Jktzod1JceYbq3K21j6UqGCZ1pyldlCUjJr8JPKm3jiimF/84oeQr99WuvO17GY7GCJ 7XTnfb1ZZCPVkWvVUUFa+J9jiksY/K3l5x/5yJXVmpJAb+81Rj1Cxx82gp8nMYGKvrfzFq0Wj6Bq WrS09PT7Wa6avhDGX/41xQ+Pf+cfvy80zz55zu5NeDz6fp8X1u4iB4iaaSQBEkI34n4iaUO2KS7W g35U/n21zbp6Om2l6LiKJR8JsLsVeNQP5Y5GQe64q94/OT869U/L+60s2ejxanpuqQtJFetKyLzU 7oCqsD8DKw3xQ8787+Zv+ce/N3kW61qWKHTvOUloZEt7WKWKcX/DZH4qsUqep1dv2e4OKUH/AM4u X+p6RpvnPXJCy6HYWImkLVERuIVeQUrtyEYNaeI9sVKA/wCcVNLl1P8AMu+1m4/eGws5ZTIepnuX WOv0o0mKlkf/ADmHrVX8uaGh6Ce9mFfHjFHt9D4qEw88+TL62/5xb0iytoiZdPitdTvIl6hZi0s1 f9Q3FW+WKpF/zjh+bvkjyl5U1PR/MV6bCZr176BzFLIsiPDFGVHpK/xAw9D44qWIeab7U/zr/N5I tFhkWyfha2rSD+5soSS88tKhalmeniQu5xVlX/OWl/Ba3flfyvatxttNs3m9KtaK5WGLl8lganzx UMl/Kn/nIL8udB/LfTNL1e4mttT0yFoXtI4JJDLR2KtG6j0/iB/aYb4rTzXyzYav+cn5zyatNAya Z9Yjur+tSkFlBQRQlunORUCDxNWp1xV9m4odirsVdirsVdirsVdirsVdirFPOX5W+RvOdzbXPmPT jez2iGOBxPPDxRjyIpE6A7+OKp3oGgaR5f0e10fSLcWunWalLeBSzcQWLH4mLMSWYkknFWN+a/yc /LrzZqx1bXtKN3qBjWIzC4uYvgSvEcYpEXavhirLbGxtLCyt7GziENpaxpDbwr9lI41Cqo+QGKpd 5o8oeWvNWmnTtfsIr+0ryRZKhkalOUbqVdGp3UjFWEaf/wA42/lHZ3Yuf0S9yVNUhuLiaSIH3TkO XyauKvRxp9kth9QihWGz9MwrBCPSVYyOPFOHHjt044qxfyh+Uf5f+T9Tk1Ty9phs76WFrd5TPcTf unZXI4yyOo3jG9K4qyPW9G07W9JutJ1OIz2F7GYrmIO8ZZG6jkhVh9BxVJvJf5beTPJf1v8Aw3p/ 1I33D60TLNMW9Llw3meQinM9MVQ3nD8pPy/84ajHqXmHS/rd9FEIEmWaeE+mrFgpEMiA0LHc4qmd 95J8rah5cg8t6hp6XmjW0ccUFtcF5SixLxQiRyZAyrty5V98VYOn/OMv5Qrc+sdMnZAa/V2up/T+ WzB/+GxW2dN5M8sf4Zl8sR6fHb6FPE0Mtlb8oFKP9r4oijVbua1PfFUF5L/LXyX5KN4fLen/AFI3 /pi6JmmmLCHlw/vnk409RumKoXzf+UX5f+b9UTVPMOmte30cK26S/WLmICJGZgoWKRF+07HpirLU toEtxbCNfq6oIxERVeAHHjQ9qYq821T/AJxw/KTUL1rs6Q1qztyeK1nliiJ9ow3FB7JTFbZh5T8j +VPKVmbTy9psVhG9PVdKtLIR09SVyzt9JxV8rfmqT5w/5yI/RKD1IPr1npYXrRE4LNX2DFzil77r H/OO/wCVGq6nJqM2kmCWVi80VtNJDEzHcn00YKv+xpihmvl3yx5f8t6cunaFYRafZqa+lCtOTfzO xqzt7sScVTTFXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7 FXYq7FWJ2f5Vfl/Z+Y/8SW+jxprhmkujemSZnM03Iu9GcrVuZ7YqyzFXYq7FXYq7FXYq7FXYq7FX Yq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXY q7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYqwD88P P+o+R/IzavpnpHUZbqG1tvXUulX5O3wgrX4I2xV43pf5z/8AOR+rWMN/pnl0XllPUw3MOnTPG4Vi p4sHoaMCMUsq/KP/AJyK1TzB5nj8qebdPistSnLRW1zAskQ9aMEmKaKQuVY0O4I32pitPecUPnH8 2f8AnI7zNo3nG90bylHbS2OkqI765mjaas/ILJQhlCqjOsf+timnrH5NeddQ85/l/Y65qQjGoyST xXYhXhHyjmYLxUlj/d8a++KGDfn1+cvmryZ5j0nRPLa20lxdwGa4SeMyuTJJ6cQUB1pXg2Kpr/zj 5+afmHz9p+svrghFxp0sKxG3jMa8Jlc71ZqmsZxVL/z3/OvWfJ2qWGh+WVgm1V4pLzUPXQyLHAqk oKBl+IhGY+wHjiqK/ID8z/N3niz1zUPMbWsVjpxhjt3hjMQ5FXeYuzOwoqhfvxUsc8w/85TXc2sz 6f5I8vPrMNvyrdv6rGQKac0hiXkE92P0DFNMm/KH/nIGy88aq+galp50rXQrvAisXimEdS6ioDI6 rvQ+B37YoVf+cgvzU1zyDpekNoggN9qM8ob6whkHpQoOVFDL+1Iu+KvObX83P+cmbq2iurbyw01v OiywzJps5V0cclZSG3BBqMUvQPyf83/nPrvmG6h86aP+i9JhtWkjkezkty85dAqqzt/KWJ2xQ9ex V2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV81f85h64K+XdCQ7j176YVHekUW3/B4pCv5K /wCcl/y78seStJ0KPTdVmudOtEikIitljecLWQhvXLBWkJI+GtMVpIvym8reY/P/AObb/mNNYtp2 gx3r3wketHcVEUMTUHqEGnNht177Yq9x/Ob8wY/JHke71GJ1GqXP+i6XGdyZ5AfjpXpGtWP0Dvih 4T5J/Lh4vyH84ebdQVjqOs2rNaO32xa20qys1TU/vpI9/EAeOKWff84j6kZ/IGpWLfas9RdlNT9i aGMgb/5StipYD5rhfzt/zlAumx0aGzuI4FNaqosIfVk5Hw9VG/V1xXoj/wDnFPX7HRdE89ajqEoj stPis7qXpy4otzyp3JNAAPH54qWCa1c6hrHlfzP+ZGr/AA3fmHUE0rS4zuVT+/mKVNeMcUKQg07k Yq9x/IbyQt3+RU2nSyyWR8ytdPNcQ0EojkP1cEE16pF+OKCnPlzyz+X35H+XNQvLvU3KXkgdp7ng biX01okEKIFL7kn6d6DFXjH5M2Wo+dfz2uvN9rbPb6XBd3WpXLtuIxcBxFCW2Bdi/bsCcUlr/nLT XBefmBZ6Whqml2KBxUGktwxkP/CcMVDJrH/nLvS7Kyt7KDypKILaNIYh9dXZI1Cr0gHYYrT2n8sv PZ88+VIvMI09tOjmlliigaQSkrE3EvyCp1ao6dsUMrxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2K uxV2KuxV8jfnET5w/wCciLTQVJlghmsdMNalQhKyz7DeimZ6/LFL2f8ANn8vPy2svy48w3y+XNOt J7Wxmktbi1tYYJUn4EQkPGqH+8K1FcUMM/5w8+v/AKB8xmSQmw+tW4t496LL6bGUjt8SmP7sUlhH 5ratrf5ufmunlny2RNZ6b6ttZc2KwloqtcXDGhoGK8Qe4C+OKpjqH5Rf85E2fl25tZvMFdGt7SSO WxTUJjGbZIyGiEfELx4Djx6YqmH/ADiDrEdt/iu1nbjCkVteFzWirH6qyGnyIxUoH/nGWCTzB+a/ mDzRPUmOKe4JPX1r6eoqd/2A+Kl5Po0muGbUvJmkxMLnX7y3tHjBNSIJXpE1K/D6jKzHtxxV6d/z kjaWnlvRfJfkOw4+jplpJcTcQaySSER+oR/lusjfTioez695t0/8pfyk0n14vVvrazgsrKyY8TLd CIcy+9QoYFnp8h2xQ8F8n6RYfmZrk3mf8zPOVlY2iuVisZb23guJADX044pHHoQjpXjU9v5sUvp/ yReflxBZrofky+0ySC1Uymy064hnZVJAMjhHdzUkAs334ofMUGraNr3/ADkvPqmsXtta6RbanK7X N7KsUJjsFKQjlIVX4jCtAcU9H0n/AI0/J/8A6vvl7/pLsv8AmvFFMm0m70m80+G50ia3uNOkBNvN aMjwMAxDcGjJQ/FWtO+KovFXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXYq7FXnWnfkZ5SsvzA fz19bv7nWHuJrr0p5ITbiScMuyJCj0QP8Px+HXFWV+c/Kmn+bfLV75e1CWaCzvwizS2xRZQI5FlH EyLIu5Sh+HpiqTeUfyq0Pyp5P1DytpF7fR2movNJLeO8JukaeJYmMbiJUFFjFKoaYqhfy3/JXyf+ X93d3ujvdXN5eRrC0968bskYPIpH6ccIAY0LVB6DFWdTwxTwyQSryilUpIvSqsKEbe2KvM/KP/OP nlDyqNW/Reo6of0zYS6bc+rLbtximpV4+MC0kXj8JNRv0xVO/wAtfyn8tfl7BfxaLNdz/pFo3uJL x43b9yGCBfTjiAHxntiqV+U/yE8leWfN7+arSa9utSZpnjS7eF4o3nJ5OipFGwYBioq3Q4qv85/k X5S83+a4fMurXd/9bgWFEtopIRblIG5BSrwu1GJPL4sVRf5lfk/5d/MKWxfWr2/t109ZBBFZyRIh MpUszCSKX4vgAxVhX/Qov5bf9XLWf+R9r/2TYptmv5b/AJN+VPy+e/l0Wa7nuNQVElnvHikdEjqQ sfpxxAAlqmoPQYoYbN/ziV+Xc0zzS6prLSyMXdjNa7sxqT/vN44ptZ/0KL+W3/Vy1n/kfa/9k2K2 9f8AL+h2Gg6HY6Lp6lbLT4Ut4AxBYqgpyYgCrN1Jp1xQmGKuxV2KuxV2KuxV2KuxV2KuxV2KuxV2 KuxV2KuxV2KpH5k88+UPLLRLr2r22nSTisMUzgOyjbkEFW4+9KYqvufOXle38tSeZ31KF9Bij9V9 QhJmj4V47ekHYnkaUArXFVHyf588p+cbSe78t3/1+3tpBFO/pTQ8XK8gOMyRsdj1AxVAeXvzZ/L7 zFr0mg6LqwvNViEheBYLhVpCaORK8axkA9w2/bFUBrX57flVouq3Wk6nrggv7KQxXMItbuTg69V5 Rwspp7HFWUeW/NXl7zNpw1LQb+LULPkUMsRPwuACVdWAZWoejDFUH5x/MDyh5Ngtp/MmoCwiu3aO 3PpTTFmQAttCkhFAe+Kpf5X/ADf/AC3806gNO0PW4rm+cEx2zxzQO/EVPATpHyoN/hxVkeua3pWh aTdavq1wtrp1mnqXM7BmCrWn2VDMSSaAAVOKoDyh548recNPm1Dy5ffXrSCU28snpyw8ZAqvx4zJ G32XG9KYqlyfmz+X0nmw+Uk1YHzAJjbGy9C4/vVBJT1fT9Lt/Niqp5w/NHyJ5Nure18yaoLG4ukM sEXozzMUB48j6McnEV6V64qya2uIrm3iuITyhmRZI2IK1VhUGhAI2PfFWFaB+cPlbzB5zuPKujw3 l3Na+oLjU0iUWStF9pfULhya7D4KHttvirOcVdirsVYt5x/NHyJ5Nube18yaotjcXSGWCL0Z5mKK eJYiGOTiK9K9foxVlCOrqHU1VgCpHcHFW8VdirsVYt5Z/MbQPMnmPXNA01Lhrry/J6N/M6IIefNk 4owdifiRuqjpirKcVUru7t7O0mu7lxHb28bSzSGpCogLM21TsBirHbL8y/JN75TufNttqPPy9aFl nvjDOgUoQGpG0ayNuwGy4qnOha5pevaRbavpUxuNOvE9S2mKPHyWpFeEio43HcYqjsVdirsVdirs VeF/mZYflf5U816l5p81M3mbX9YgEOmeWp40nCCgRPTSnwL8NObb9eNWxV53qWh+ZfKP/OPI0TVY pINQ81a1EbbTH2kjhVVcc1J+FmeBTx7VFd64pV/K+u3Xk3y/5+8p6Oeevahro0PRY02YvI0kDSKK bcUTY+JGKp9/zjX5e0/TvO3nLUkINjoUS6al4R8L8XPqyj/W+q8z/rYqWKflx5k8xwL5q83ReQz5 rtdXu5Jpr2biywFC8zqEMcpb+/q3HwxV6l/zitpFvF5R1XXYrqKRtYvSZLC3DCO0MINIiGpRiJa7 bceO+KCxv/nILWvX/Nzylpq6bPrkOixDULjSrZDK8peXm0fABqjhbry2pxOKUDoTW/5h/nXosmm6 DF5O/wALhLzULORVgu5xHKsgBiVI/wCZV36Ka+2Ksg/PbzXpWv8AnXRfy4n1SDTtGjmS88z3c0qw oqqOccBdivxcN6eLL4Yqu/5xr1LS7PUPzB061mjbTLDUPrNrLEQ0TW5aZA8ZGxXhCpB8KYoLyryZ NTz15Y883zejHrXmPUZJpZDSkaCCRnZt9q3L/ccUqvm0XXn7zno+s3KMR5u1f6rpEDAgxaXaSLbq 3baRpHLe6E4q+n/zVu9U078utbvdIvxpN3ZWxniu1jEhVYSHKIpIXlIF4A9q4ofP3kPXNf8Ayv8A yek83JeLO3mWVotH0iSIcYrkOyPdSvXk49K3qq9OnjilkuseYPze/LvVvKepeYfMY1uDzDOIdT0Z 4EjEJJTkkTAblRJ9oBdx0IxQra55s/N7zL+cWv8AlfyPqkdnpunRLFLLcKphgIRBLJyCO3qGQsqj f6KVCr3MXMei+Xxcatdgx6dah7++kPUQx1klb58ScVfHvm3VdI866P5x89atqVsmsy3Fva+XdGeZ PrKWcc6BmWHly/u2G9P5z3xS9387/mDfaN+QFj5j02cwape2OnpaTAKSk06xmTZgwqqB+2KGA+b/ ADD+ePknyv5c856t5mjufrUkMM2h+hGopJE81JmUfGxVCHIpxPQ4pZR5384/mJq/5v6T5R8l6kNM i/RyXeoNNHHLGhkDSF3VlLHihQKO7HfbFCl+Xnnnzpof5meZvJXm3V/07aaVZPqA1D01Rk9OOKY0 C9FMc1CpOzDbFXmHlf8AMnVvJ/kW91awkjtvMPnbV5j9elQyrb21sFLzceL8j6tywAKnodjilm35 a/mnrY/M/SvLsXnA+ddG1iJxcTyWclm9tOkbyfAJVVyB6Y3rQg9ARir1H8+NbGj/AJT+YJwxWW5g FlEB1JunELAf7B2OKHkPndD5a/5xf8t6IgZbvXpIHkiAPNhOzXxqPYhFp8sUr/N35l3nl/V9J/LX T/MK+UtJ0GwtoNW1wWz3kzXCwKxjRI1Y9xWnHetTTbFWaf8AOPn5i655luPMWjalqY1630eWM6dr fomB54ZWkUc4yFI/u6jkK7kGuKHsuKuxV2KuxV856T+UX576b5rvvNYutAvNdvm5Nd3jTTGKvaEN D+7FKKKdFFBillmu/lt+Y3mjUvI115mu9PmTQbqS91pbcyIrsZlaNIl4fEBHEASSNycUKHl/8j9V sfzZ1/zzeSWkscr3NzoNuGdmFzcV4vMGSi8Ax6ctzXtiqI8g/lP5r8sflX5k0Fp7WTzPrhuStysj mFfXhEKEuYw3w/E32TucVY35Z/K//nILy/5XbytpeqaHZ6ZL6okmrK8w9evMhjAd6bDbFL1H8p/y 4tfy/wDKi6LFcG7uZpWur65pxDzOqoeC1NFVUAH398UJLon5b6/H+des+fNUlt20+e1FrpMETu0q UWKOrqUVV+GNujHdsVU9E/LjzLYfm35q8+TtaTjULQ2+jQeo4bkqRKnrfBRBSACqluuKpZ5E/IDT wmqap+Ytva695j1W7e4kkVpWijQ78Ur6ZqWJJ26UHbFUJpH5K+Z/Luq+fT5faxttK8xWEtrosPqy gwvJsvqD024hFd6ULdsVSrzB/wA46+YtQ/L3yf5ZtbmzhvNHmuZNWuHeQqfrcgZmionx8QKbhemK bZWv5QahH+anlvXITbx+VPLGnLZWFoHb1+aRyAMycOP25eRPOppihk/5ueV9f80+Q9Q0DQpIIr2/ MSNJcsyIIkkWRxVFkNTwp0xVi/nf8k59e/KrQvKtjdx2uraBHA1tO3L0ZJo4vTlDMo5KHZi3ILWv bFUDpv5VfmJ5n836R5h/MvULGW30D49O0zTw3F5gwb1JCyqAGZFLUrXiBQDFU9/KL8t9c8rar5q1 nXpLeXUvMN8bhWtneQLFzkkoS6R0PKY9B2xVGfnN5R84eb/LMXl7y9cW1nb3kynVri4d1b6uhDBE VEblybc1I6U74qknmb/nHfyLP5Mu9M0HSbaDXPqyx2WozNIG9ZKUeRhy+1Tf4e+KvPPzW0DXrfyX +Wv5XXMsTaxdXRjmeFmeFfTb0IWqwRivC4J6djillSflF+Z3mzVtHT8xtUsJPL2guHhsbANyumQA AyVVAAwUBj4VAArXFDEtEtfzE8y/nF5183eSLi0jutMuf0dS/wCRimh3gAQgN0FqrdsUs20f8lfN Vp5b8339/qNvf+ffNttJay3RLx20MUxAkjVgnLcf5FNlAHfFCA1D8gfMMHlDyb+hLu0j80+VHeZ0 n5PaTvNN67gsU5fC4oKruNttsVZj+Xnk/wDMS21y517znqdoXkThZ6LpkYW1hqAC5ZkV+VB0qeta 4q788/IHmXz15ZstE0Se2t1W9S4vXuXdQY0R1AXgj1+J6026YqhPzL/KzWfNGu+So7F7aLy35dnW S+gldhK6K8Xwoqoyn93EVFSOuKpT5p/Kvz/YfmLqHnLyNPpsx1qERX1pqqsVjeiAvHRWr/dhuu24 oRirPPy28q+YPL2htF5h1b9L6xcv6lzOiKkSACixxAKhKjc1I3r0GKssxV2KuxV2KuxV2KuxV2Ku xV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KpXfeV/L1/q9nrN5p8M+qWApZXjrWSIVJ+A9uuKppiq V6F5X8vaCtwujafDYLdyetciFePqSfzN4nFU0xV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2K uxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2Ku xV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2Kux V2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV 2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2 KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2K uxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2Ku xV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2KuxV2Kux V2KuxV2KuxV2KuxVjXnX8x/J3ku3jm8w6gts8wJt7ZQ0k8gGxKxoC1P8o7e+KpL5Q/PT8t/NepJp em6i0WoymkFtdRvC0hHZGPwE/wCTyr7Yqmun/mb5Tv8AzpdeTIZpRr1mHMsDxMq0QBjxc/CfhYEe 2KuufzO8n23niHyTNdsuvzgFIfTb06tGZVUyfZDFRsPliq/XvzH8r6H5o0vyxfzSDWNY4fUoI4mk BEkhjVmYbKOSnc+GKp7qmqadpWnXGo6jcJa2NqhkuLiQ0VFHc4qgvL/m/wAs+YdNm1PRdRivNPt3 aKe5QkIjoiuwYsFpRXBOKpFafnP+Vt5qa6Zb+ZLR7x3EcYqyxsxNAFlZREanpRsVZHr/AJj0Ly9p z6lrd9FYWSEAzTNxBY9FUdWY+AFcVS7yp+YnkrzY0qeXtWhv5YBylhXkkiqTTl6cgR+PvSmKqHmf 80PIHle8Wx13WoLO8YBvqx5ySBW6FliVyoPblTFU60TXtG13To9S0e8iv7GWoS4gYMtR1Bp0I7g7 4qxTzL+dX5f+W/Mv+HNWvXi1MGISKsTuieuAU5uBQfCwJ9sVZ1irsVdiqS3XnTyraeYLfy9canAm t3YrBp/KsrVBI2FaVCnriqdYqw/WPzg/LTR9VbSdR8wW0F/G3CWL43CN/LI6KyIR3DEUxVktxq+l W2mNqs95DHpiR+s160iiEREVD+pXjxI71xVjmhfm5+W+vamul6Vr9tcX8h4xQHnGXI7RmRUDn2Wu Kpp5o85+VvKtol35g1KHT4ZDxi9Uku5HXgihnanegxV3lfzp5W81Wj3fl/UodQhiYLL6ZIdCdxzR grrXtUYql/mX80/y+8s3y2Gua3b2d6QCbf45HUHoXWJX4V/yqYqn+navpepadFqdhdRXWnzJ6kV1 E4aMr3PIbbd/DFWKD87PypOpfo4eZbQ3Jf0wav6XKtP77j6X08sVZsCGAINQdwR0pirsVdirsVdi rsVfNXlCxtPO/wDzkx5mm8wRLeQ6ELkWVncAMg+qTpaxH0z8JUBi/wDrGvXFKC/M7z3+TV75kaHU /LOq2OpaHdTWyahpgt7QyNBJxryr8QVk5JXcYqnPn6IeW/8AnJLyj5jjYpba+sMMznYtI4Nm/ILt /dvGcVebeYNQ1CfzVffm7buz2dp5ojtLcruDHAnqJU+BhjRfpxV6loIj84/85QahqqfvtO8tWai2 kBqpf0ljX/h55GHyxQs/M7zD/wArH84TeStPvEh8qeXle68w3gkCfWLmINwtkJpyo68du/I/sjCq E/5x58u2fmr8l/MHlia/kshf6rL67WzIJ/REFqTQMG+F+PE7dKjAksd/NrQfy9tNO0j8tvI+mrq3 nGC5UXGoW8cZuNg4eOeZAvNizAlfsoBvSmKhOPzPsrrUvzT/AC18ja5IbmztrOybUELEpPMzsk5P jzFvSvXc4qq+etI0ryT/AM5DeSZvLdrHpsGpm2gubS2URRH17hrWQ8FovxI4qKdRXrirLfzF8m+T PKM995ubyjc+c9T1ud0ubeQfWUh5qzmQL6UnpKOPEMBt2xQlP/OKDWFl5L1+9kv4+IuvWurEcq2k ccZ+KTkB9tVJqK7L41AUl5VNYaZ538t/mB531C8t4dZe9jn0e1llRJvShJeVFRm5sPQdVWg6rir6 b/Jjzb/in8t9H1KRuV3FF9Uva9fWtv3bMfdwA/04oYd/zkD5w85+S9R8ta/pN/JFob3At9VsgiMk hRhKoJZS37yMSKaEdMVa8wedvM2u/nno/k/y7qb22h2tql3rZhEZ9RGX1yCzIxAaNo0FP5sVeep5 F0vyV/zkf5W0fTp7i6jdI7ma4u3WSV5ZFmDElVQfsjtil9H+edVuNI8la9qltUXNjp91cQEdpI4W ZD9DDFD58/Kf8vPK2t/kb5k1nVLKK71m4+vyRajMoeeFoIQ0Zjc/EP3gLHfeu+KSmX5PeVl/Mr8j l8vatqN1aWWl6tLGrW5Tk8ccSTJGxkD/AAK9wTT2HhipY1570zyh5g87+WPKf5WadG2o6NIBqGs2 UapGeDR0kklQD1DFwLNIe5opJOKsk1mztPOH/OVH6H1yEXmk6RbAQ2Mw5RNS0E3xIdqerNy96CuK rdBtrPyf/wA5T3Gj6LELTSdTtX9SxhHGNR9S+tEKg2/vIajwrTFUL/zjv5W0Dz5J5w1/zVYxareX lwiA3KiT0vWEjyNGW+y24AYbim2KlAfk1PcTfld+ZvlqXUlsrOwSsF5OxWOM3CTRuDTdQ/1cAgeP Q4qXn769ox/KOLRv8HKmofWd/OfpmhHrF6er6fKvH93w50pvSu2KvsX8uLdLbyD5ft475NTSKwt0 W+jJKSBYwKqWo3HsK7+OKGR4q7FXYq7FXYq8I89/ll5/8vfmQ35i/l5FFfS3VTqOkysFLM4Cy7My B0koG2YMG6YqxX8yvK//ADkD+ZNja3Go+XYNPtdOc/VtMimjWWR5RR5Tzkb7IWm5XrsDvil6F/zk H+XfmLzT5Y0Sby7am513SLoNGiPHG6xSR/vCruyCokjjPXFAQEP5P6sv/OOknlFrWnmORTfm35x1 +tif1Vj58uFfTUR15UxVG/8AOOH5c+YvKWkazd+ZbU2us6pcoCjyJK5ghSqsXRnHxPK/ftipVNc/ 5xm/Lm9fUL9Ibx9QuTNOq/WaKZpKuBQjYcj44qwz8ufyq8++Ufy38zahZ6XNb/mBfE2FhCLiDazk MBMqMHKK6n1CDyrtikpd+XPl/wDPXyFbTppfkKxuL26Ym41K6mie5dSahOS3SgKPADfvirL/AMzf y+/MLXh5S8/aXZwJ530aOF9R0kOqoSj+uI0ZnKt6bs6svqfEDsfFQoeXfJX5kedvzS03zx530uPQ rDQ41WzsFcO8kkRZ46KGcikknNmanQAeIVRuqXH/ADkJ5T816pJp9ovnDy9fMzacsjxo1sCxZUIB jcFQ3E9QwANRirGdD/Kn80NF/L3zjdCyWTzV5waOH9G28sQEFu7u1wzuzqlWWVlCq5p99FLIfJ3/ ADi/5H/wvpp8y2lw2vPCr6iEuWVVlf4jGBGeHwV41HWmK2if+cfvJPnjyRqPmPQtYsGTQpZvX0y/ 9WJ1kaNjETxRy49WPg26j7O+KGafnF5Ml84fl7quj20fq6hwFxpy1VSbiE80UMxCjmKpUnvirCv+ cePy381eXpdZ13zdbtBrV4IbK2WR4pG+rQIu9YmcfFRF61+DFV3mzyD5tvv+chPL/mm108yaDZwR Jc3vqRAIyCao4M4kP2x0XFUf5Y0j809T85+ctO84+q3kfUIr620lWa2KmKafhFxEdZP952P2x898 Veeab5R/Pfyb5e1z8v8ASNEh1TSdXeZbbWVlReEdwgikYcpE4VRejD4WqRXFLJdV/LPz55Y/IyDy f5ViN9ruo3HLW5LeWOILHMrNKEaUx1WiRxeJFfGmKEi8hWv59+R9FXS9F/L7ThU8rm7kljM87/zS uLoVp2A2HYYpZD+YvkDz/pn5j6f+Znk6yi1PUPRWPV9MLhOTiH0GZQzLyQx0GzFgQDv2UK/5YeQP PF/+ZWofmV53tI9MvJovS07TEcOyVjWHkaF+KrEtKVqSSdu6rHtO8n/m/wDlZ5h1+HyXosWuaFrb h7KQugMBUv6fJC8ZDIJKNtxag37YpTTyr+QGsW/5Pa/5d1G5SHzJ5heO5kblzjja2dZIIpHFeVWU 82FftbVpUqsdfRfz8n8gL+WLeVLZLJVW3Or+tFx9FJRKGr6hStR1pX25Yq93/Lvyq/lPyTpPl6SY XEthDxmmAopkdjI/Gv7IZyB7YoZHirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirs VdirsVdirsVdirsVdirsVdirsVdirsVdirsVdirsVdir/9k= + + + + uuid:00f1fd98-495e-bd44-b268-b8880363acb3 + xmp.did:780d997d-afd8-4b97-aa36-414f05e6e621 + uuid:5D20892493BFDB11914A8590D31508C8 + proof:pdf + + xmp.iid:7ebb1740-d9a3-4fb0-9dce-f53709484738 + xmp.did:7ebb1740-d9a3-4fb0-9dce-f53709484738 + uuid:5D20892493BFDB11914A8590D31508C8 + default + + + + + saved + xmp.iid:7416aa0b-1f3c-4aac-9922-f431e8d00e3f + 2020-01-05T18:18:51-05:00 + Adobe Illustrator 24.0 (Macintosh) + / + + + saved + xmp.iid:780d997d-afd8-4b97-aa36-414f05e6e621 + 2020-02-17T22:56:51-05:00 + Adobe Illustrator 24.0 (Macintosh) + / + + + + Print + AIRobin + Document + Adobe PDF library 15.00 + 1 + False + False + + 25.000000 + 25.000000 + Millimeters + + + + + AcuminVariableConcept + Acumin Variable Concept + Default + Open Type + Version 1.020;hotconv 1.0.108;makeotfexe 2.5.65593 + False + AcuminVariableConcept.otf + + + + + + Black + + + + + + Default Swatch Group + 0 + + + + White + CMYK + PROCESS + 0.000000 + 0.000000 + 0.000000 + 0.000000 + + + Black + CMYK + PROCESS + 0.000000 + 0.000000 + 0.000000 + 100.000000 + + + CMYK Red + CMYK + PROCESS + 0.000000 + 100.000000 + 100.000000 + 0.000000 + + + CMYK Yellow + CMYK + PROCESS + 0.000000 + 0.000000 + 100.000000 + 0.000000 + + + CMYK Green + CMYK + PROCESS + 100.000000 + 0.000000 + 100.000000 + 0.000000 + + + CMYK Cyan + CMYK + PROCESS + 100.000000 + 0.000000 + 0.000000 + 0.000000 + + + CMYK Blue + CMYK + PROCESS + 100.000000 + 100.000000 + 0.000000 + 0.000000 + + + CMYK Magenta + CMYK + PROCESS + 0.000000 + 100.000000 + 0.000000 + 0.000000 + + + C=15 M=100 Y=90 K=10 + CMYK + PROCESS + 15.000000 + 100.000000 + 90.000000 + 10.000000 + + + C=0 M=90 Y=85 K=0 + CMYK + PROCESS + 0.000000 + 90.000000 + 85.000000 + 0.000000 + + + C=0 M=80 Y=95 K=0 + CMYK + PROCESS + 0.000000 + 80.000000 + 95.000000 + 0.000000 + + + C=0 M=50 Y=100 K=0 + CMYK + PROCESS + 0.000000 + 50.000000 + 100.000000 + 0.000000 + + + C=0 M=35 Y=85 K=0 + CMYK + PROCESS + 0.000000 + 35.000000 + 85.000000 + 0.000000 + + + C=5 M=0 Y=90 K=0 + CMYK + PROCESS + 5.000000 + 0.000000 + 90.000000 + 0.000000 + + + C=20 M=0 Y=100 K=0 + CMYK + PROCESS + 20.000000 + 0.000000 + 100.000000 + 0.000000 + + + C=50 M=0 Y=100 K=0 + CMYK + PROCESS + 50.000000 + 0.000000 + 100.000000 + 0.000000 + + + C=75 M=0 Y=100 K=0 + CMYK + PROCESS + 75.000000 + 0.000000 + 100.000000 + 0.000000 + + + C=85 M=10 Y=100 K=10 + CMYK + PROCESS + 85.000000 + 10.000000 + 100.000000 + 10.000000 + + + C=90 M=30 Y=95 K=30 + CMYK + PROCESS + 90.000000 + 30.000000 + 95.000000 + 30.000000 + + + C=75 M=0 Y=75 K=0 + CMYK + PROCESS + 75.000000 + 0.000000 + 75.000000 + 0.000000 + + + C=80 M=10 Y=45 K=0 + CMYK + PROCESS + 80.000000 + 10.000000 + 45.000000 + 0.000000 + + + C=70 M=15 Y=0 K=0 + CMYK + PROCESS + 70.000000 + 15.000000 + 0.000000 + 0.000000 + + + C=85 M=50 Y=0 K=0 + CMYK + PROCESS + 85.000000 + 50.000000 + 0.000000 + 0.000000 + + + C=100 M=95 Y=5 K=0 + CMYK + PROCESS + 100.000000 + 95.000000 + 5.000000 + 0.000000 + + + C=100 M=100 Y=25 K=25 + CMYK + PROCESS + 100.000000 + 100.000000 + 25.000000 + 25.000000 + + + C=75 M=100 Y=0 K=0 + CMYK + PROCESS + 75.000000 + 100.000000 + 0.000000 + 0.000000 + + + C=50 M=100 Y=0 K=0 + CMYK + PROCESS + 50.000000 + 100.000000 + 0.000000 + 0.000000 + + + C=35 M=100 Y=35 K=10 + CMYK + PROCESS + 35.000000 + 100.000000 + 35.000000 + 10.000000 + + + C=10 M=100 Y=50 K=0 + CMYK + PROCESS + 10.000000 + 100.000000 + 50.000000 + 0.000000 + + + C=0 M=95 Y=20 K=0 + CMYK + PROCESS + 0.000000 + 95.000000 + 20.000000 + 0.000000 + + + C=25 M=25 Y=40 K=0 + CMYK + PROCESS + 25.000000 + 25.000000 + 40.000000 + 0.000000 + + + C=40 M=45 Y=50 K=5 + CMYK + PROCESS + 40.000000 + 45.000000 + 50.000000 + 5.000000 + + + C=50 M=50 Y=60 K=25 + CMYK + PROCESS + 50.000000 + 50.000000 + 60.000000 + 25.000000 + + + C=55 M=60 Y=65 K=40 + CMYK + PROCESS + 55.000000 + 60.000000 + 65.000000 + 40.000000 + + + C=25 M=40 Y=65 K=0 + CMYK + PROCESS + 25.000000 + 40.000000 + 65.000000 + 0.000000 + + + C=30 M=50 Y=75 K=10 + CMYK + PROCESS + 30.000000 + 50.000000 + 75.000000 + 10.000000 + + + C=35 M=60 Y=80 K=25 + CMYK + PROCESS + 35.000000 + 60.000000 + 80.000000 + 25.000000 + + + C=40 M=65 Y=90 K=35 + CMYK + PROCESS + 40.000000 + 65.000000 + 90.000000 + 35.000000 + + + C=40 M=70 Y=100 K=50 + CMYK + PROCESS + 40.000000 + 70.000000 + 100.000000 + 50.000000 + + + C=50 M=70 Y=80 K=70 + CMYK + PROCESS + 50.000000 + 70.000000 + 80.000000 + 70.000000 + + + + + + Grays + 1 + + + + C=0 M=0 Y=0 K=100 + CMYK + PROCESS + 0.000000 + 0.000000 + 0.000000 + 100.000000 + + + C=0 M=0 Y=0 K=90 + CMYK + PROCESS + 0.000000 + 0.000000 + 0.000000 + 89.999400 + + + C=0 M=0 Y=0 K=80 + CMYK + PROCESS + 0.000000 + 0.000000 + 0.000000 + 79.998800 + + + C=0 M=0 Y=0 K=70 + CMYK + PROCESS + 0.000000 + 0.000000 + 0.000000 + 69.999700 + + + C=0 M=0 Y=0 K=60 + CMYK + PROCESS + 0.000000 + 0.000000 + 0.000000 + 59.999100 + + + C=0 M=0 Y=0 K=50 + CMYK + PROCESS + 0.000000 + 0.000000 + 0.000000 + 50.000000 + + + C=0 M=0 Y=0 K=40 + CMYK + PROCESS + 0.000000 + 0.000000 + 0.000000 + 39.999400 + + + C=0 M=0 Y=0 K=30 + CMYK + PROCESS + 0.000000 + 0.000000 + 0.000000 + 29.998800 + + + C=0 M=0 Y=0 K=20 + CMYK + PROCESS + 0.000000 + 0.000000 + 0.000000 + 19.999700 + + + C=0 M=0 Y=0 K=10 + CMYK + PROCESS + 0.000000 + 0.000000 + 0.000000 + 9.999100 + + + C=0 M=0 Y=0 K=5 + CMYK + PROCESS + 0.000000 + 0.000000 + 0.000000 + 4.998800 + + + + + + Brights + 1 + + + + C=0 M=100 Y=100 K=0 + CMYK + PROCESS + 0.000000 + 100.000000 + 100.000000 + 0.000000 + + + C=0 M=75 Y=100 K=0 + CMYK + PROCESS + 0.000000 + 75.000000 + 100.000000 + 0.000000 + + + C=0 M=10 Y=95 K=0 + CMYK + PROCESS + 0.000000 + 10.000000 + 95.000000 + 0.000000 + + + C=85 M=10 Y=100 K=0 + CMYK + PROCESS + 85.000000 + 10.000000 + 100.000000 + 0.000000 + + + C=100 M=90 Y=0 K=0 + CMYK + PROCESS + 100.000000 + 90.000000 + 0.000000 + 0.000000 + + + C=60 M=90 Y=0 K=0 + CMYK + PROCESS + 60.000000 + 90.000000 + 0.003100 + 0.003100 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + endstream endobj 3 0 obj <> endobj 5 0 obj <>/Resources<>/ExtGState<>/Font<>/ProcSet[/PDF/Text]/Properties<>>>/Thumb 38 0 R/TrimBox[5.66928 5.66928 76.5354 76.5354]/Type/Page>> endobj 35 0 obj <>stream +H‰lS»nÃ0 Üý«Á2)J²´Æ +:Ô[[tLÍÐ ýý’zØuxð–Žwu=§æ£ªàG!_n ˆÆ%ÈX@«æ¯îíáhÔ½U‚î=eä…†dätïJøëØBÊÐê>•ƒ¨?æç¬Fšd5DMÕÒ”ø% 1ª 68št§ï;jWÛ¡¸ØI…Ä2SÕÙ{¬U6GP¸×{–²È&Ä@ÊúS“OÑD€Øä[ +¦®¢-èÌ…2e—QȬ¾&ã×`ÈnƱ^aáýfþqkvÓ2¶³›C'N0üHMu¯0Xª˜ƒuãŠÊdP‚‡†¬ƒÿÛçRw†ßÎÕ#bT6ˆ†ì84¿É8@·ÙCl‹h—õsËúy[{Ó"Ÿý#µ4ÝÍp˜0Ps^ÄJü!Õ%;ÁÄ…žÖz°ÛF¶xÙÏÝþÀå¯#ö¼ä endstream endobj 38 0 obj <>stream +8;Xp,*=fHmi&Vd,M/)o4a_?A> endstream endobj 39 0 obj [/Indexed/DeviceRGB 255 40 0 R] endobj 40 0 obj <>stream +8;X]O>EqN@%''O_@%e@?J;%+8(9e>X=MR6S?i^YgA3=].HDXF.R$lIL@"pJ+EP(%0 +b]6ajmNZn*!='OQZeQ^Y*,=]?C.B+\Ulg9dhD*"iC[;*=3`oP1[!S^)?1)IZ4dup` +E1r!/,*0[*9.aFIR2&b-C#soRZ7Dl%MLY\.?d>Mn +6%Q2oYfNRF$$+ON<+]RUJmC0InDZ4OTs0S!saG>GGKUlQ*Q?45:CI&4J'_2j$XKrcYp0n+Xl_nU*O( +l[$6Nn+Z_Nq0]s7hs]`XX1nZ8&94a\~> endstream endobj 33 0 obj <> endobj 41 0 obj [/View/Design] endobj 42 0 obj <>>> endobj 31 0 obj <> endobj 32 0 obj <> endobj 45 0 obj <> endobj 46 0 obj <> endobj 47 0 obj <>stream +H‰|VyTÔ×ý~# «¸¢â2Œ(àDD1*J ‡â‚FEœA@aXFƒJ¬6q ×8ØjZjÆTC¤¨ˆÆ£×Xšª j¢¢'U›¨Œ"ÜÞ7ÐsúW‡ßò~ï}ï~ßwï}£‰“N4Më3%*:r`äÂ¥é©3³S“–˜Ç[2š3­Ž ö@ÍÞÏÓ»qx£­§Sñë=Îv¿vö€ß÷t?ÚQ¼DܤƒøHoé/C$\"e’L•Ù’¨ùh‹RMÁÁC††·Ü‡m}q܃C[Æ9ÒziýÚzÒzÿïºa-óBB[ç‡C‚‡„#M–$³qúò«9=Ç8)c¡%;Ó’h5›‚Œ‘K–§¥.J±æ§™sÌÙ˃Žl©9Fsª5ÅœmL4f›¥r}¶Ùd´f'šÌé‰Ù‹õå^“ÿÏVFd,c\Fªz›nå`Ž11Ã4˜Q,Ž]Z–fX³SÍ9Aƒ'LŸ±<Ól 3šÌÉÂ:¯÷¶ª–Ó´o´»ºwtÕºóm’8›õ³õv»›“}Cÿ_žÙЫo(²ûz7ŽnØêÜP¯oݸÕùó½Ôû›-.ŽñÆøÆ*ÇHƒúÚX¯O·ŸòVÏŽÙö-.^ö}Ðz­ù7d‡®#dIÞï!B@Öÿ2ËP +œíT aÙ\ÝßÒaW°/dÐãH§·'@î·ø»ÖB¦\ÚÛ’5ï5°¿é ã¬(rKôÀâº)À¡ ="Íz'ÔBCù·Àw›ç@BŠ&wʯ€+¡YKoúç5k­ÀŸtÞ¿¸ b1¾þ) +².ë+ÄÍýǯô*_n„fÍ 3ddîJ">vÒ÷àO;V ygÏ/±þÉ-Ðq÷‡ àä•/¹öIð­ñ(pé¡:.ÀhÞ ­fN +ë2òÀMˆ±v×xÚË¡™„V<Ž€ÈGë?$ÜœóÀ™¿¼l“^7LÜåƒ$H¨ë–<¥gD(dT”‡¯äuS䯖.] ‡ËFɾ;뜋´M>Ï  -(ëÝb€íA;àæôÎ@mi7 êî<ඪÌégàùZ«+°½ÏL—Œ¢S—ü$;Y#[ ^¹®hî„LÈDZVî¢s[]ÑOmsñXæxxî#4½6UqÙŽƒñúÈWµ¹ŽìIÖ0a¯?KÒ6Õ—& _øÿV>°½­k>'¼¸ô)d4;‹Ú†]sëY­#í=úAšÏLÒ3ùŸM§àpYÿìÍDzŸUyò¢ï@üH4Y¸b7d|à ×B=¤}iöE•Ò—ÌÄgîõà_2cu¯ã Ê8év~_`íÕ1 ÆŽaA•³Ù]Edgk ;b)eÁ¢N‡1=x³ýM1›ã™žlÙXÁõÃ.¬‚ìóЙè‚Øcùò­Ï¡Õ×E3 ±h]BصvŠ×þJãªÊzp+E­îuÑ$GñXֈἢÖ} + ǼK’9uQÍù¸r$bÌ»Ü.ºªŒéø§·T5Ï8õÎé:uY_˹@‘(nTÜÍØJšÔ âþhpȈÖtejeäƒèÃ"TC¶«Î™Fh'üÎAÂofq$$!p9$YQö”ÒD¿‹EÜfòh¦T~zÖÝ'…ú¼èÞRnyKÇ¿ü:ä×oOà`ü¥½3U™9q°ˆäÂÜ5±­Y\M¬/«+XÎ ¿*dŸu1×ÎB0yô«êsÅ€L óÞSJœ€IªÇï‰,“œV9ž¡wHxÞ^Hip äè“™Š+”æ Eæ‹&®(cÚøª†Í‰k¼Í$”=íÕ‡«We•SÞ—‰lÖ`K’›»€Ÿ•G,.»Ée¹¤hE¤›2£i·hm3ÚÙ|½ìEÐýα.•@ÞKwvFóùYalš‡¢@¯·Èˆá¯lfj`.iÐôÔ²ÜÀùqÊ û«A­$Ô.cõ’èr8GÌb:ÄügÖû)t Sø©© +(RRz¹6‡yæ<æfáQžô8å½]#†Q!ª!csW²³#’Az°‹¨{2ƒqð²~ðÃÝx ^™ÃgÊÏ*GÉ“,e®Ÿ¨ªÔ(N–¨FnLßFW}I¯Ð®¥=ç1°Ú•°mÌyk}or;0—dhÛŸ;æœJÕ|q¨Z~Ì3Àä¢Gó¿x¢yÕï¦ñÓ“/Cë¯L~I×:ȇßWÒ¯VöŽ‚ær¤=[ðˆÉ¼­Ž‹ÙªTqGö@ÞŸO›ì®ê1Âý%Üäp %s¨¾‹L£Ó¸IŒùŒç…6å)w ÍÕ‡aß‹›Y"¥ÖÑá}!õGB|ÔQ°âH{‡]tSfùуöôÛí^@ï‘jÑT2nÑôÎì’ÍÒ™“*ŽU)K(c‡½=TÒÝv› «ŒŽEósº%)–¥rØÛ£¢‰UƒO‘)Ð<ÖÜ£oŽgÊQ®LaEÛ œôwUÝÙ$0N¤=Ú·ÙF+ `¬Y°Û¥A_SÔiroÏ´ÂßÒ+N™NAu.šQe3Á¦\”;Q˶X«RÓ“›Ÿ@wÀ©/´5ÇôFs­*øÚ«ã ;±û¯ªia¨ŽÍaûÕô‡õk©„vïÅ×xΉUJËö<'OóhIŸóÕt²±pyI’¾é\q!ù*WP½êÜ ·wDHK™=ž*éïä±$&ů˜†@€"âzË> ªwÁÕ@DiWÍ<ÂW9¯:p}-]i¢Gã?!CIS ͤŽ4m﯆´©¦ùÕ«9ÎêéñÅMlXúø<}A#óƒWžÍ0uÅS‚ÍÐqNø0Þº”w³Ø þûzûÚô†{J‡Áæ’g³o²5ô´•ðϵ1ÍæfØËßXðt+ðô0tÐÍ wCç‘CÆ%xüG€QS¦‡ endstream endobj 43 0 obj <> endobj 44 0 obj <> endobj 48 0 obj <>stream +H‰|VyPTwî7ˆˆšT„ñˆxg€ñ D$ *HbQPa•uQ£  $^ˆçxQÆ#ÑD<+‰nV³ Q£Á+ˆhÜ-t€Þ¯Ç±j­ÝÚÞѯϯ¿îßSÈNEŠ¢ô + ›08`NzRbrTljblÜBÃxcòÃ"“U¡ÉKiòvÒX|-[ÝìJ^ì°oZÝ©i­óM·72º+œP;r¦îÔ›’¤ +¡©4ƒb)‘Rh1-§5ôm¡]tŽ)‰JƼÄxNç¥{y÷i»û¼¼ë^Ý}­w¯Wr/½Mîm{·Ùémß}†Ûî~6¹MÏû•—Mnó;Â&×°ÙÙôõ6?Þ6?:?­7‚kâqmÄâ4“!)M’<ǘºÈ˜k2ÄÓ,\¨–8/Á”¦fH3¤fX…VDµ‰iZC¢)ÁªÕ¦æ%Â>Õ¯5¥ÆÆ’bShòå?^çþŸPZ8„/íôäDy‹0A˜¦MŽ ^ŒÖ(sŒéɦÔDCÚ°·‚""/2hý´ñ†¹„^æhÈEú5þJŸ(kTÛhíÜí}í«Õ‡švë'·iî”ët§Ã¹Ž;­söéÖ”›g×”û|JsnËucA“‡Æ2®qƒ}c­Ú2βÁþàlM£¼7µµÊ-³,§­’Fùj©U'5ÒȳU»©¨mGVeŸe*óÌdÕ1£YÃœtö·„βgʯ"5SÑØ ë7{(·K¿Æ´Á¹g7¦­c'°ê—W{æÌnÑjfƒöw¬…a΃=jhÊ>Í´cãzf®‰Ö0EMmÃJiÅFhDµ<†ký"¦y7Êàã ª ŽÞ1Lº@'hxVf19tý†©ë¡z&MduÞ=¡´$Ÿ©ãOÌ­5³p1»2µÝ¢ó°ù[çfO‘6ß«6îæ´žÌá›Ï>À\Q³Ý +™k˯z@µ>ùÒó1H£\Ÿ‚Rj«à`sß(¤\µ‚ƒ‡[¸5.`¾µ¶Ý¨Mµ¦4’éÅŒV¶¿‹ÚúìÆ·½f\Ó⣔¡q‡™†U0ÿ³ÄµŸ#ðµÍÌUû0ÿ®¹Ì|" ÑsîeKHÉE¥·áÊxeì#óý˜LK®à ùÑþë 48<ûµFÑŠ!ˆ¥ÇtSã°1f¦ Û Jŧ÷myê»3Ù™»‰órxûKoDè¼`·^[¦ej·2Ž9*x(±$,¾[3ýlvAÇþÈTßšÍ4& ägA©5ÍGîfæO±!¸fl0szƒ+æJÐYÝÌ\ z·¶Ä¹»‚ŒËïe³rD(ºçíß1a4šgîŽÜך Wû0b6œ&ï.Vçqkþù‹ÖòòÐÔâ)&È'Ϭö01¸Tw®ü…RKGöƒ°ªœ©Cç= nvȇYáÏ£ùårãR!f'S®m˜!ß=–uò¡]?Vf:5±âúäÜNúì(S‡Ì,LcJ9óç¥ÌÔ‚àœËʯ2¿½<ÔŠG`YÝ¿N-´¨eÒŠ¸½<ùT­nS„À®ŒÒe/^„)õ–•sm¦3ë`YÌÔM¾øJ'&³ë¼1)Ñ/˜­ŒÁÎÊZ’ïέÏ3æ¿N’£Ä”ã˜&òQua6qåì¯~À|M:sMŠ¨¸5BEóä©í'h˜Y’d÷ª‚ÿùo4äÇ`7`ÏÆàÆ„ä¹ã%dËîFÉÜnÞ¼£Ì9 –2úp³ˆÞ|ýQM’á/l.ÇŠ™°Ê„ÖÏšƒhÇ…š'å€-Që™wÆ8D2v—Es&êTöÇl“Åâû$•qiU§d;J˜½_ŽÁw…=&1Z +Ñ;²È[肾^éˆ\¤†3Çï1-Æ_ mÆôó^99‚d§­¬óà âkc@8ïW†/7‡ŸpV$ÜFWþö)BÉåaufuóv!E•ï +À ŽPßÌ¥ˆ‚Æ"—ÿ›êj©²ÿNxà˜x!„S`‚‚©%°‰a†”7V K! ‘­ r™0º!$7ÅÍÚì˜"$Š±Y£€5$"\« 28XŒ@圷çù–[Í?üÆù.¿÷}ŸÛ ']ô4‚Lˆ4-Ž7ÒEs̶‚ç›xWÁ˜{»KÔŸ#Ÿ@züP)ÈR–»H‰ëIÈMjaĨ(f°Cóg¡U9N¢m·Ý“Ѭfh¦îi{_9ܲɊöîÿz$VÓ¿ÓXŠ8†ôÊE¦ÀdÍo +®t»­QTa¤7y¤ã JÉ; 2<'ÄÞ[ì÷Û.Rµîý-Î.Ãfp†ˆ Æ©¦ÿ*ÂÁ ª×zoÉ +Ñp“;ªþW©* +~ÎÔdÊ$Ô MÍ+Êu68ó;»–;äijã»!_ì@ÉL Æ–G5|ÍPŠM~V[9Þ~-%æÈÆàóY+΢ÜÀx¢¹À]Õ²ËÇ!£#e+ßiX¡‰ óM=0&. X†¨ CÁEiÔXUÒeÇÉwqŽlþ…Cy€7E(­? +¬ÊÁtåËþè-µšÐkeÒXI”ž2—nmkÓ6SìGëí8 v‹lì»q£ìm¿,òåw˜êbÒ¸D B+”ea5¬MæŠ2ÍøjˆbûìO!ÖÿÔ.ZÃ¥×ÎÐSO‚H“ +cÑy ŽKùü¾X7N!öf9N0Ò"ñ E)­0$k©û`0t0ÕÀ­!.Å¡K\ð꨺1<ÛRìÓÑY€VMZ lc!}´-Ò“© üQ•ò¥ CÊ Ŭ˽+Oζâq[[“ÈÙ=y<š-å* Sl|êð­ðO†L'n*?k†b7`g_JËÿ·–3§63[‚ܪìØ6ªØL3;@`de4â_ĺýw¡€f,ÏÆì[. ¯D}ºÐ üIÐFŸMÏÿŠr6òr Ãâ5àÊé] ‘¦‡ÿY^Ùxõ÷¶5ÀÝã+a‹æjötàÅF¤"åC‘¹2ç3€Ý i@|Š%]Ì+{‘èåå†6ê¿4° [b X%¦â-3A.UF×µ`çP\^`$Œ¢{zO{3~ôDãh7àT Á¥ã¼+ZÜFßÍì]8¼Pæ)C£Æ¶©Ì¼2{ NÚÛä¦) ×ýžæ¯å¿÷ïÄ-¼/‚ÌZßù&ôŒË‰ãf-ãBQ\p…8éßï&«~!#¾áq~Ó—g>ÈCX—km9µ"¿9ÌàGù‘)išæß©_ñoßóêsû „nLòW£hö²ZÑb¿êFÃi4Êg>óÑ¢:Ø6¹Zp¥'²¹™× :®…cyå8Ji¢¬ò¤Ã›x­`9…bó§×íСý¶i¥!ÏeaÞw™m†›t=Üú®ŽEÂìºYåÝxO‘£Ô†)rîÎä =m`/(ÿ­^?÷—FÂc¿RØn¸ùÁ^Ôr†®‡HÏxÌÕFû¾Å9Îñ“7!¸\°Ø®*m õMCqzš0±¯a|¯‰’¦IT÷´Òy¢ u”·ÖBi;°iNruÉìMXGuЇèU7EkŒL^‹~Ò݃NâjVéÉ`EÔàí蟰«ùIéÔr_> (9Ì)u o•ŒÖ‡óÏ`½cÀ”or(ÔY]¹:Ð ý›€=H}Ç8Š¥éô>çse[Eʲ­8WeÒýUtR=íÔQŽx@ +ž`V¯#›ÞoÁ²“œúÚG•û.£¨k•ãcI8ëQ}xk?ÔZ˜«˜ÇxS|µ_Pôµw Âzìµ’H5Š¡d•rhUt›Ý®–KèOÎ1­!¨eŽcÕÇDR[¹hhüÌx½ c:€Eƒùx`#ÊÌ ò-昼¤”D‹yq܆üç~.Ô9Íb^Qã»îA‹“ypIõ†±ÌË·ÌVXf¼-Õøg¼ûªÅÙ|6Z)Mæ;—Í7™z¼$.f·°G#Mÿ0wxñ· endstream endobj 37 0 obj <> endobj 36 0 obj [/ICCBased 49 0 R] endobj 49 0 obj <>stream +H‰””uTÔKÇtKˆ”ˆKJI,‚t(Ý‹»4ìKÇÒÝ%Ò¹´H—4J#‚Ò’‚(H +w¹÷þqÏyïyßó~Ï3çùÌ™gÎ<3óÌ„Y9El +@¸ ]äÕ!OÔÌ-@ãÀà\€ˆ¹¥+BVKK Àè:øOX×~òþ¿ÿWCa®–iHKL€…À0qÍY¦–Ë `ø5àcü€Âæk–Ë +XŠ]¶x=†äß ðš8¯ÙÚÜ üX€„Ŀ׽ƒ>Ÿ.Èf’‡›#aP§®¡¦Èünæ óD^{y8ÂËÅÖÚ  €d¡p H×Ë stå©:Yò€Äô׬cxc IŽVÈ?SÂÅ!þ:óè_úÃÆî¼9[ÂYbQ’PÒ~²+rA +SÊhª¦›«·HhÀ´†t^ôé ·'0±ß…€Â™kYæX¯ØY9îYq†q•p÷ólÝ'äãäW°Œ£…z„EÎÅèÄE$´%D>,–ê‘^|t*K)Ç%/§`¢è¦¯\¦Ò«úùñ‰•:¯†¼&D ®¦“¥[«7¨¿dplDa5–|ªmbõÌÓ4Ê,óy•y‡Å{Ëe辶5• «­œ½Žƒ©£µ“3Üìã’âš…,t+w¯ñhòl÷êõðõóõA  ö½ î é m k +¯‹xYU“›ï“€H„&%«¥È¤ +¥q¼ O'M¿zù3ãKæTÖ@v[NUnn^\¾o]¡a‘b±Tr£ä¢t»lºümE]e~Uü+¿jû×åZÑ:¶zª a·q®i ¹¡¥°5¡Íÿ};¤C¹S¤‹¥›¢û²ç[ï\_ÿÛ†w…ý‰þƒCa…¾Qº1¬±÷ŸÆ;>”L$Lz}4ÿ¤:%8M7ÌlÌŽÎÕΧ/ø}¶XT^â]¦X>\™Ym[Ëùâ³n¸!´ycsk«ûkƶóŽÊ·;ßöv{¿§ýpßÓßúIþsû ÷0ëÈõXõ„éäàôݯÔ3ós®ó‹’ß&—$—õWÆWW˜*ØÂ)ÁãÅ!è$Ü$Æ%¡!e$cºÁHNOAKIMEq“„—úâÖÍí ÝýÃâíÆ•;KLów§@˜‡YúX;ØšÙë8^Ý+çDspçñäðfßÏàKåOˆÅTC˜P°p J%†’D=ðè+å+íûÈO%$*©«˜¤”®œ¡’©šý8÷I¡Z½\£Z³^«U»K§_wLï“þ´Á¬á¼Ñ"dÙxíé¦Éö³]Ó}³£ç§æ–†»²º°>³9µ=²;°ßsøî¸ã´_G¬8/ºÌ¹N!GÝzÝ[<ª=‹½2½|B}½ýàþP”Q€z lH0Wc(EèeØnø|ÄPds::5&8Ö9îy¼F‚T"oÒídâä³”¯©³iƒ/ZÓK^&gd:fg«äˆæ²çQçãælÎ ·£kJÐ¥™e‰åá¨J*ø+ëêç¯kôj5ëÔêU”›š[”ZUÚ¿Ñh×é0ì|ÖeÙm×ãÒëÓò6ê]BÚ@ö`ñPÕpãHçèÀØÄû…ñûçñ?QM1MsψÍ*ÌiÏ›.ØöZ [JYÎZ)X-]«úR»Þ¸ÑºÙ¾ÕõµwûãÎú·£ï¸?¨ö@û¼?Åä5Ž Ç–'v§N¿àgçÐ ƒß +—üW´×÷‡3ƒgƒÿŽŸL¬C#u!ó¼áMîMáMéEåvAmsË”F‡V‘NŒž‹Aø¶£ÌGL’w…A¼Ì¬,ô¬äl¸l¿Ø¿s¬Ý›ááêænä©àͽŸÌÎï+€„‚!Bê²"¢¢ 'R&k?¾ÿ´3?Ã4+:§6o¾€ü¾˜¾T²\·Ò±Ú¿6úåÃúÄÆøæÈVß×ÖíÊÌoá»ðïF?LöžîüT;:ä>¢::>ž:©;ýeqÆvx^saõ›òwÝ¥úåÊ•óŸï'_E˜F”O\D’KšLtAnF¡F)FÅ|“èæõÔ­6š\Ú`º@z?äm+Fý;²L¼wiAh›y’¥Í–Àîͽ§Á)ÁÅÎMÁý›g›wú~_ Ž@Œ ØZH_XA,Ê"F)Ž%¾/±*9ù ïaƒZ:ýQ”ŒŸ,\ÎB^_AUñ¡’€2« +­*‰êÕãƒ'[j êão5[´ª´óuR1uà­ïh`f¨m$1æxJgBdrölÇtÁläy»y¥E¦e$Ôfe¥g-g#`ËdGbwj¿î0áØáTOC9;¸¸Ê ¹Ü¨ÜÎÝ¿xŒz6zåx‡ù8ùøIû³£ÈP‡ó=A¥Á±!.¡†aÂAxÛ‘ü÷ÏŠ³‹˜Œ}bŽG-ø‘Þ’Ä“ª”‘•x–`—G˜/™ššê›Ôœ¿ªž–Ÿƒ q¡_¢O£?¤0¥"¦§§ý¨ó©êªâ«Û¬Õ­Ð®Ë¯Ç°Ä±Â²Á³Á´ÂµÅ¶È·Í¸Ó¹Ûºã»í¼ø¾¿À Á0Â@ÃRÄfÅzÆǦȾÉÖÊñÌ Í*ÎGÏcЀџҿÓàÕÖ'×LØsÙ›ÚÄÛïÝÞFßsà¡áÏãäMå™æçè6é†êØì+í€î×ð1ñòïôMõª÷øZù«úöü:ý{þ»ÿÿÿ€€å´ÍTÌ?¥~òŒ”~i˜ž~L}ãÁ~cbAþ~’Da€dþ€ü¸~t‹yä~W‰²ÊÛ~Oˆ±>~\†´—/~‚…›|“~¾„º`éƒôC–ƒx¢€äƒßú£}%—âH}”1ÉX}%‘z¯Î}K•Ö}† {N}׋<_´~7‰‰AË~Àˆ-ψø¿|¢°à‚|žÉDz|+›®E|[—£”s|«”¦z} ‘æ^•}wO@¶}ÿ-Œ~Ä‹É÷ {G®uÞÚ{D©zÆ{]¤Ä¬Ò{– f“{êœZxÚ|[˜»]ˆ|Ï•M?¹}R’‹<}ÆŽðõ…zªº]ÝYzŸ´Hħz³®‹«|zð©=‘â{L¤Nw²{ÀŸº\‹|=›>Ó|¼˜v|ÛIô8z/ÆrÜ z¿;Ãbz'¸sªMzd²6ßzɬqvÒ{D§[®{À¢0> |;Ÿ| óyÑÒÈÚñy´ÊaÂIy¸Â©?yð»açzY´ÎvzÝ®Æ[{^©‡=c{ФÓI{Rò*yŒß„ÙýyfÕÓÁUy`Ìî¨Vy”Äâyþ½’uKz†·Zi{ ±Ü<Ú{z©%žzÈŽíí‰~+Ö~ˆ}±¾Í‡}W¦µ†0}3Ž…„}HtЄü}ŒZk„›}è=Ž„Ÿ~‹‹†z€ëɇ®‰Õ†£‡}½…¹†!¥~„ø…ŒÐ„d„*s}ƒôƒˆYƒ¨ƒ<9ƒ¸‚àù…w„éû†p“øÓS…w‘u¼„œ¤ƒõ‹‰ƒu‹VrUƒ‰ÕWÿ‚؈|;,‚뇔ˆ„{‡¨èR…sžøѲ„ƒ›ºƒ²˜;¢¡ƒ•:Š8‚ ’¡q)‚PCV÷‚Ž:4‚.Œ–8ƒ‰ŠÉæÈ„ªªÐ2ƒ»¥­¹‚ñ¡‚¡?‚U¤ˆîãšp £–çVu“ÿ9S†’ ‚šŒçåc„ µbÎÖƒ°·µ‚Rªê ¹¦.‡ÕN¡Æný ¼U€ãš38‰€ó˜8¼ŒAä/ƒ‹ÀÚͬ‚œº‚¶’δzžô6®ß†è€Ó©°n1€“¤íT\€e Ø7Ý€tžXT‹·ã)ƒ$̯̕‚6Å;µ˜e¾Cž€Ê·Ë† €i±Ýmw€3¬SÆ€¨V7M€ +£\®€l‹GâN‚ÐØ»ËÚæÐN´ÄÈa5€tÁ…N€ºzlÕß´ÍS<º°H6Ѽ¥*ôŠîÝ<’a|˜Çkz|C±VŽ²|šÇ|ƒ—‹·|IkžŠ‚|±Ru‰ˆ}26Ž‰'~2‹ÖÞÛF‘-†ËÆB…„°*ƒ„o™²‹üƒ‚ƒŠ­‚òjŒ‰‚ˆQ^ˆ£‚@5zˆI‚`Š˜ƒ†Ù³‘$ÄŽ"Ží®ýŒcŒß˜€Šñ‹&U‰³‰²ijˆ¦ˆ|PJ‡Ë‡v4v‡y‡ú‰V†»ØG›’Ã.2˜{­‹†•——Š’ý€ˆè¾hS‡æŽ¼O[‡Œÿ3†ÂŒˆ(‰„ÖéŽJ¦ÁÑŒx¢&¬$ŠÔžs•Ò‰h› +~æˆ2—êgK‡>•-N}†o’Ì2Ù†‘Á N‡%ˆÿÕ§±°ÇÀ›‹â¬ªöŠ>§w”´ˆÖ£A}⇤Ÿ\fX†§›ÚMµ…ݘù2,…Š—ò ¨†KˆÔ3»¥¿’‹g¶©ò‰Â°¦“¾ˆ[«¤} +‡0§ež†6¢çM …_Ÿ¯1… ? …—ˆ1Ó£ŒËÆǾ³‹ÀI©‰^º’ç‡ô´I|B†Ì¯dö…ܪwL‡…§e1$„¢¡: ®…‡æÒàŒrÒW½ûŠ°Êñ¨]‰ Ãá’1‡£½S{†z·|di…‹²ÇL „½®g0·„\ ð U„Ž‡©Ìû›ò{[¸“™G{!£ö–¸{ ŽÞ”`{&y’E{xbie{ùJrŽÚ|“/cŽ5}~ +~ÀË:šâ„ý·f˜#ƒç£•™‚üú“M‚Kx+‘CØa|ušI~÷.yWã ÏŽ›ƒÉәߎ­¶—%ŒÂ¡å”˜ŠøŒá’[‰‰w!^ˆT`ƒŽž‡^H˜*† -§ŒŒ† 5G…èȨ˜ç˜Ž´ã–=•Î §“½“4‹»‘rív†Žÿ_ÒRG±Œf‹û,ދ̋ͯŒ…ßÇ|˜,¢†³Æ•„žúŸ{“ ›¬Š„Ò˜ªtìŽÙ•ô^1“ Fî‹Å‘Ä,;‹'‘¾<‹!…‘Æ_—›¬¥²²”õ¨Yžl’~¤J‰„J tŽS#]¸Œš'FA‹4—÷+®Š”—;ÛŠL…PÅf—&¶ì±É”€±Þ‰’­ˆªÕ¨šs@å¤]Œ0¡E±Š´žÓ+:Š›ÈÖ‡SS 𧠨ŒêjVPp,<XQ)‚XVl€(Js•&ÂJ!ÒûK{é½@B€ÐEŠ. œe°íÙWqQ䆓³â½ý~3ßÌ7ßÌçF£HI^ý\¨¯§¬ÁïÓ¡ƒåœ+j&YÅöÉbƒdMLÅÑÅ{#©©úè÷)Fø£ÛAŠ;q²ïT0Œ±_à ^¿£9IݤšxÖº¶Æ¢YFh“9Õ…ÆhX;Jõ²Æl¬ äÀín+jÅÄ¡®(Ým,Ö¼Jƒî(Va÷V/ÀÈÄaØ‘”@ÈQ~.æ8_]±Èš7]ñÕÕÌÃãõÚgÕ|ÓFÕ) -—/ªºMH—|­Š¼øP´œ8™%èoFxàCÿ­<÷ªR×l® AÒ^«™ ÷QÊlÚÁzÙrJAUžUÿá™ÿd*ÿùüîNî$iÜÉ #Ÿv b7FÚ*4Þ‚÷ú¯ + Ëû§pv€iâxÖ–¼÷ŒÁIªão¥‡ìžËÝJÛ±á#ç +í¾ËW—àh…Ô‰Šlý"ùkx wVjGá“Dzˆ–ç*¸ÇßœxŸWÁŠ:Æ©d¿ÙPÀîæ$¹üΠjÀ4W·Mž¨[ÎÝ,]¢Ê«$ˆ–ÈWæî$I×&®åNˆ¬‘}ì7¨ sØþ»‹1@À9‡TÉŽ.íEÙÓzN¾Dfº*uwr þ„úØ ˆ *ª#Øùòë­,¥hØ…Ãð"ä8=”³NÍ ¡%ƒ=(‰p¤â…QuÃ9søLÓ“(î&Ãâˆwì¥Ú¾õ?±‚ER AHi.RÚ~ Ô„Ë6õ ØÑâ¿:Ò*tД3*»–¿Û±ôx#ç‰m?r~3×û2ÿ+Êwù‰¾šp¸ÞÇ6‹yXaœTOÃ#Œã +U‹Â¼<·_)ÂIø(»Œ}¹ÓW2SÎX U€àwü õ¦ M¨–f›_T‰)•úà“Ÿ?k–YYF…ôK8ãÁu;J$óÀþ*1T…>,ë#h›%TÓ,…QÛ¥{[ëõsˆ:˜ü9󅼓¼&^!Õ“˜a£â@Ò!ßÍ" yð +µ´.—÷Jl6mçHj†uÁ,béU6£+s©ì ýhܸdœ-Ê¥ª}…wiÀ-s´un=0ŠÄ½äi-_ˆ*)UÞâ_ˈ“âÚãb$ôöna+;ϧTø;pçpA7Û¨¾¨CÈ4åÏ.*·Iߥ›a‡8õ°MÌæm.‚þôACiü“€7»\j|fi³¹Õœ¹•Ô«)Ö÷]Þ­°jö¤À¡Ê„ÃÑâUò]¡3(íž ðwhöJÐÛcÉh-®4x7–“öh׿*P0¿HëŽL‡žŠ®ëž‡Ú¡uê‰Õ¾°…Å×Ú,Ë{ÏBz}§…8¦v¶gÊgŸÒ²¤d[û!XTZçZ.ø·vlµA°¿g­Ñ +{;SÂm¶`vâîØ¿`~È?g¥a.œ +3žÃŒì{§­Çæ†L¨^–»¡¦ÔÅåW€ƒ¹¾ÍY¥eÆ4õ‚]¸Lû7oÞðýák˜õ¦ö!w·™™ð«Iý~»Ir»a^=¥Cë#ZÀ»hçÓ`Wï‡u}p¨Ãçê)¸À"®zœ7ff&²3© ’ß$ß©ôF’ø‘ÓÒJ8Ò¶5ãùÞmµ +u´®Râ_,^V¹àS&åaR´~PfÄÜLL_Dw¸ž*Ž‹`\Í-¦9]qîõ®¯¡ ¦Ý –Çô¹T¸Ií6)ÿ>u6 DÝ`eÍ¢/»–¢xq¶Y%¤9ÖÛÊœ¼;«Ã¥Oêd\˾ÊP…&eRËzïç;¡]¥¹‹é.íRµ<oªÊΡù]öP{?¯:¦ r̨\˜µÝÊ»b õÒ¤â3|ª×íâm ¨s¢ØŸîÛÖW°9¢¨ñµæoZt]Rn“ÏÃ…\½c…Wö#+…n•I&õgϽØÝy¸œAjsÑN‹06”HiD­±¸È'@ÿ¥ðõJ+¦ša5V~€cRˆIµõÌ«ïvwtUÓc[3+?F|l(ÑÑiÆÚU—žøô¥^+O—?Rí°Þs©šÿÿ—1ûHqilÑè$Wþhü›û™=¨ƒÀ(ÎRE +ØÁ1¯B›¹vÑŸ¡®nÛ˜F/±ã BsßG³åÄÄÃMœYø«ëç9>£Ü–3……È—qIôƒ Ú£±¿5ƒµ¨ÕV‡Ü_À1È£¿Î²œåiÃñ¬JiXû0ÛWV–ÁîH[8g„«_î/ü¡£ +n3¥ `€ ¤Ðþ38A.|«f|ј0Iõ½6Öbå v«%Ó&ý ;Y®ýã¿œÕÒ„#ç‘dÿ–D.)Âì.p‚'ãï3ËJî›12K[DÌuÉ¥$s8·I<ÁR¨i©mì£J·¨8Ž}†ÿf€Ó ²åDJ¼Æ€àºƒws€!ÃÊ«®ŒÄr—æ¢RXÔÊ-\y^Í*ÓÌLd'¨é›²9?) "Øqé×^…¦5µ/<4þ§i +¨ýQ<ŸÁÑÇ +›˜;/¹¥Ô±ìJB"¬‘ü7þØtÍšù©,mºóXdÚ¾^ë;ì´žŽñ&sà`«YÑ"à놠ÑYŸüŠ™¯{>ÆŠÕ.õßÀz^48¯‰e!¹ôR‘6}ØõvcûöìÆMiŸozî²Úoñ0¢'“=˜~µ¯Âi,3Ã:?-‹©Ý?oS,Ùõô9áÎüwß¼„µ¹þ#îÎRçOaƒìÙü£˜˜—ô;Æ ?àþäïŒåpïÍBäÒ­À +±ÖžI̼üO´ ÝŸ÷e#}Ô¯èN$í\êŠl?¼],÷ý Å·y,ÜÑ>&РôŠîä©øq]Âyhâ0AqñâK)ÄBÍF¶“ÒcH:ñì—-h-ñÇŸcȵêf)¯K9T£ã127]çÑä÷q·EjLˆâí•<>hÁ‹;ù|ãU +Ÿþ¼ø×à”dÜp‚G +Æ«½`¹&!…Ïä8aðÉlû³›`83>Ì.‘òq„ŸÉ‚ænç…ŽÚÀ¤Aß9 +;èœ ï ¡‹­ê`¨¦H†ÚBÕyåg¼ Þ KBý ¯*kïã°—2fF„=#Ÿ OMã· éeT? +m­òTmê_¡å“ãOBÛŠV¡¸<ɆF('—n3u£G~†È®#Æ7Њö9•Á[ÃÙ¡`NísÞ.°P..콤 'Knpƒ–FÙ‚\„? ÇB>ƒöÆ-àî±Êõ`’ñ†½¯NÂèWßOŸOW«BƒªÊl¯f°¡xßìW ^ÐÔbžÚú-_Œx&*/¹ê(Œj´•‚µ_…=߆󑊢æŸzF–“´`éLd¯ßE:»¬—SN¸±Ê”@S 0Óþ¢3•|TÖO¹Ko³kÈÄtëßo}bF†Ùz$4á«â-ÕÐç,¨.mŒä'j*J¨œ|)JË6²Bµü¤‘°P ^Ã3ewÜ«pXúÁò.þ”*íù,0ÓÅ7xP­Ú³Å:2¿XOTç21|"7ùÄ=Ç0ãžß´©ãŽy}ĸB)Hä[èöžÝðFs´ ²òV¡+Ÿ±ò̯+Y(IŠ•™(º³‘Àx&Úá9JAIìÏã'tXmyœGÄ=œX[8éTK³)¦2œí©“<ÇTSRüv¥x£ã€lÈ“GO¤|Òg‚/÷{Ø>ãºÑ4Öù/’gËRçáŠF–ȶíà&æAÓ5”š2Ü uЯ*B<óiò뾘0Ògµr+OÍåA¸¾,0Ÿíî™-ŸbÅu¤g[ï\~¨-ôÛÙÐ4:I>幃A¾ÕuF‡ÇžÑ§©™ué¼DÅùº)B©,©*í?nÀ` 'qQIzÁ—KÖ—ö4Ž{ áB_g‡6Ÿ8­#ªÊ‰2.Aî$69¤!ÄÌ’Ñub1&Dð3Qxƒ"Þ >ÉnÞïνxüVG¿&•±TÛ¨ü†ö’Ó)©sx·d-5ºÄKx‹¢ÊÊàߣD&®1ä§ÁŸÂ±”¾œjðdû€GjJ•Ü|¨JÚ¹{ÅÛZ¢‹— Þ²Þf6ó½˜/vTñpÌ„ubšÙ ¼…P ½mBU#g«ëåB©gË·’)‘×-*ÔE +ar†Žæ>Ý>Ƶrn®[É­äFÓ-I ÊõByѸPå”=ÕþĶKâUC w…òG ùDéÁ}óØâ¤íñœ"¿µvN¯à.p•]ò]QÊÿ8uÊYµí{Ö#q¯éCÃáv}saü·¦x_o±òyÂiN™rÞÚœâ›À(« d8aÅw™2CöQç}Vš8ÓUWO\²g®……æ éÓ\«Ãôyk—@°¸d‚ óc…ê”Zñ‹t¨¿š9$ÜÙu +p†-œàú1ÏÝÛz(þ=Ôf) +‚vŠë¦Ä›9øØ¡Ö2ƒ w uûþç…¼çïתÑõ#è…­Á{P6„+DÉËq3HIiŽû·Ù%„ûBóC‚’b¨!ÔÖÔkc5‡&U ):X®$ÜŽ–ºˆ[®Èb‰2¤*@ÀPkcÓ˜‚dÓoT©B_L1Uwi"É)ÉÌ=2#ù‹˜pI9, RêO>‡T@>è;¬bn¿Ðø¢€DÈþPuCý«ë›ŠfkÚéã^´^ü°\ÀŒG~•  »o—LÃRc¬HqÜ®=-8^5OÅ„µy*9‹û:-\òg8:T<á?*ÞýŽ´C;¢¶[‚µ·y¿XªÍïµ+±•ÙÊI©;÷lRLß­“$Dõ‹vYþTQ6üDyƒ€VmÖÛfy%/sIsƒ†mXP1ªLռȭ’¥«òvow)„QBµb™†ÐÀ_L¢V˜wuÅp§õ¦¶eÄ—šõŽO*Ò“|Î+é]£(uH¼×°4ÊW¸U‹õ.ã{ Ê4Ó\ÿm.Q¿¿w‘ª¾ÒÕR~MAiÃçÀRz+%µBô‡ýóÈK‚ëzçª?'à{Àèñó kÏÒ‰ßaãêa§{ºèÛH]ÅþsX}dŠÁ°°a~ø3훧_•auƒ¹«Qz‚ ô ö‘¦žú˜VM\ĵv5I0ïL¾M£)ñºÇ„€pžæÂ1¿ý:5¡Ùð,ˆ&ºý©á4¨í %¦®Ã!$}o±“®cªÞ¤ã¨Aí]R^x„º‡Tâ—¬M&/BÔ:D¥wAêŒë2û4öÝÄÊ?šcîd&g]5¬b”¢’4³ôëa?«úèßû…iÐÇ»„ ìïĈÔý.OA 6Çvfv™sïd¡õ(5yTéÃHÊÍ/Põ”=…(¤a…›;zUs” ÎbÅWµã¶xéDa„)Eìʼ¤ $«sgÂPJár¿eÊYè3 wƒ€`¨cƒ™FÉo0|U[j5kÑçŒ.•5³Jç¡à&ª†åø°eŠT™Èo‘r …¨ÃˆÂ´´}I l­pàjC­á–û8cñ‡Ì5ÚJ=gç%U’®oó¤|L5çç­8E" +Ùÿ®ß[Ak]Ùíûí„åJÛó‚͆áVBÆMí"{íNrÜòãæùQÕ¿òihЦ@€Y?ü 6³ñ^ß«ZWÙ¯î]Ø°¼cØ‹h¶KSLj:>®O ɲ…Õ÷.þÚáÝ°™µQŸ{5mm<Ù·?^Öv"ïÌ}ÙÑŠw£÷9«ðOãØ&šv…ÄXÎ7‘km[ È,70nÎ’7|÷éeÙážPÒÖ\I;<±çuë^`u‚!ËÍ$P´øŽ…B +ET9¢¤Ù²FÞW¬Àc»ƒã¹ám$úµ="G +}KÜe‹š6±~Ö”G_å³ÒìMËDÔ¿µs`± gî]£v¥p-9§\Nôžd{:´:Žgv¶HÖ_3fC;}ÐÆ“ PŸƒýNƒËp—H¯‹ídŠU9uÎ;ÂÁ´9$8wÈô ÿÜú3†rþ#¬AÄFÔiD1únŽà˜Ô-}õÉtþeÂÜÚ“D´í‡HÒ!5$Éš,IŠQ:¤È–RÂÜÏ1Ï3ÏÌ<Ï7ÇÇ ãj†1Œ[ÎЊTªÝmõ¾¨WwzU»xßù|öøþøÕ¾+Ö„{×úÈâöõW¿–>öò®p•ªœ:ʤùJRqY®ø¡^Éôê`ǯª<à~ó‰jûØ!mœr.ÜB­+‹Ù'«Ž”OyY+«äfN*żt ©WÑ$þ¨W\½2ï°Ôù½M_ê½c[ëgÕøñÿªjjö%WuU{{­,_¨°pêWœ–n%}P¬I^©WÑ|nˆ;c!¾p°…éu¼cʨÌN!k#¥´å)k„ì¯sdŸµ5nøÂŽû6Q{H10À×ëUì +œ¯è‡-£"{â ‡TZG ôˆRØ,†ÍɇjࣶZw8j ICFöêÕ:½b [ Æ\+å?ˆ +èþ™oA n'a + +ÚôO¦j0ÀÕä`ê%µ|¶^é/si×_¦XÇ£ýLqiÔw]–Iç©Î­÷D"J¤î‘!y½!ñÔ¶®nˆ0²Rá zå WC¯³câcúh™üÈÅ«KÒ_R [úÓ*)! OSo‘©u©µ¶OÕ·ÓÜŒWÖãíze¯WÞCΓña½²¼ìÈÏ’Lê·Í÷/ÏQB´o³_“£kÇ/cg£ªÊ&MV?ÇÿÖ«5zõÝ !gÇôÌë"?wœ–PÍš—IÏQ"´Î§ÈP­AdtE)q4þ±jŒðúGÁsƒÆÕnñ»{"” ‘óí}e®ÔUMÑrkÊùzvi89C}´ø®XóLB1W¾".ê•^M ”ÔûÄût?WK¢LÛwÕDP]t£U‘æŠ1r»J«ð·ÓÕ¸KÎÿV¹—ÈÿGM;phÌÕ·m æ‡v>èÀ-wgØõ©ë¡FNý ŒÊcIÜPþ#q‡òW• ŽÐËI –˜;ÇNáÙ¶þÅAÐ)ŃÞH~¡7iÛ tòhlƒ~ýÁ~dz²YË CûþåÎxŸ2>*c&Ÿm‰b›‰­ä{9f¢1X*²L˜ ß#>àíú + V@÷…¤gè’¼]7n249=MÅÌÞìK% ¬;™’,ÙÓéœøFÎ\j˜ ð1ÅÑkl·Zå‚i¢×ÓØŠ’áíôœ²Î¡.|Q¼…9˜ŸÐ°¦$_.!“Ë;¤Ì¿¼l€ÎôEË,€ž²ûÉ¥Di­ùõ‡´¦êŽ}D‰É3^Êa`Y5Åg{J=mãìɳØéËyž3¸¢‰C¤M'¼jMê£-iŒÐ¦ÑmêÜ »n5? ÌSJÙE+U~ ô;·ˆí™Åq.t¶Xd÷¤Þ~œ˜Í~p*QÐeS¯¤%·.‘ŽÐ‹œ"Æ°ºöBs¡ª…ZÏ6-çîøÄ•6[\d;¤^z4’`;64è—¸ùú¤æͱw¶àô;ùŒÝ|ì+&®A©f¬LUàŽ3¬å¦åŸXòT»ßm°åô–¾)lãFí'l óVæɺÃgcGÙObßbÉœ9ª;v \ºCƇL,Ž >B?ÝKGC¬·e"°z -@¼E´É£³Hûù»ILp<÷5¥À‘­ƒÄ'ðÐÒ‰¤èôàÞ$>ÜÖ8#ê„gäLÑ2®mš Áæc«ð¯Ü꨼1 èÀc‘ ®ðF¤w)™P¡ì+ÑrâkC q’p/Âu8#å!î*g‰…°ÈPaœ`vïu¡Ÿ@¾åo¡H`"Ž¤þ:üz–‹Ö_•‘Q<,DÒ>'Ó…©ÓWP“²ó”§ .ÙÊÿ¾`¿xåW˜Ä3±|!6õ… » +£5„æù Elœ["Þ,0Ê eØòµÙ[Ozò‰Ì0~lúUO¤›+ùÓÚë&x£ì®kPù²c|uÉÙ$kþ.™?Ý{Qp""kÉí÷óÃÊrš6ÿisVûa‹ÄÏ=~‚@Wí_® +.<Ë7ª +­Å2Ë#h?c~¥m'r°ÕEÂ_ªxs…ë6‡ò¾øÍa»‰¥ÝÙü±¶Gµ+øš¶K 1¢þ4Œªä‰L^kUpø^^ù•_–ñmÓS^d‘Ш…§Ä'º­ùàµ>µ»Û}¦à5¶¾­—ð$:Ï„!EîÏ[«búJx&Õn› tº(ÒçmÀ;ZÿÏsF5u¦qX´.¡Õ‚£BqKPÁ € *£‚¢¸ l‚†„%{¸Ù“{³'÷æf';›,TT,¢bÁŠâhë¾Uqµ2Z”¹çŒ3çýü;ç}þžóüóT9Ävw´ÂRñR;GDž + Kû*ÃË/@hUvŽ„«…‰¯$÷jœ!@ጠÃvyבmŠû´,W²|-Í¢ –^¥ Õ~€Dô„²_Áô†­’"Æüĉâºàø#cØ禘Ï*íX/‡¸Ïœ“e>Ü|õXHÍù;û:˜Å)Ý÷‹d9gÆ–â4àaBŒÈŸÿQ4óEÓ»w‘,C +Û¯B UÊ#à>ÉSV§$Lì-íÏ5gˆV ϯ*¢BüüÂ#‚ß}¶ npþÒtd½­¼ U˜$Dõb&$^¡\^Ò&Z"/˺+Ü-}¼Ò%è‚Z眅:}ðû9•‡ÆA‚ºYu§À æírTÜlP0Ô"šî·â~! ÎÍš*@5Kâ?óß«Zæ-â¿PÍó÷˜³µ=jô³>—܈¬[üO?)¼a5û +®™›÷ñ?é¯ÆWóÓÐUs»ù‘°yÌ5^(ge›${C­‰âÏm>² "¤éÝG¸Õ£+Ú$Ø踿©—Ï«&¼æ XwÎÝÍÓêÆãÊ8?´Ígž‚,'Å=Ò"‚·—–/xÕúÏÂNþƒ¦îM)üïê'Ôòöº–ÌåÍEFÆÔq§rfÔ CįQ9ZY‘$r!ñ¤Òê6m†)–4¼ Ö÷V®9 k­Jˆ$#…Õ FÑŒê…X†³Ù¥úCŽ”pÐ[ç¤èģ)C¥ŒS¨;rFP#õIÊm„K£G<ƒÃh/ÜÈUÚ-,C€ÓO² kOKžYYµ;èMH-¾t–þ%û^NªŽÎ¾œB€‹Ø7" +´ãÙO²ají×X†_84ÍV(N“à-{D)´éF¡0«$½!àoJþÃdv/_øõ(ÿÇ€ñÚUØH8ÞB§c×1•·ÅÉf¦b5u­Á&ë*®Ò½—nÛ2s ™«G4 0:¼\=Y2è߯ ”$bi”8亵Mß$Ò™:utŠNÎ)êÓEh²¶Dhªd«j£21|²*Téç¯VûË°îÀÀTª5È®M7-´^¢èSÌø¢84Te›µhRÒ¨jé[ìUîGþ»U±²Ÿ1•ààK{“Nh6vÕgÖ¨Ñ+Î…G?Ûõì` lÝ”$Rq-ß.Þ¦2ÞóSòeÍ8pSÕ–ïk!ÁXÖþCÍ\”z0µ0 nlhÉòjÂ<~Iª©®‹ý,K¿r²L„)ÀIM­ËàØ9M â_ˆùõc+"vҜݤôL©í¹$>ɺ¼’zÑü¾j>>¢îXå9,ZL-j‰«Ibk¤×ȉ8÷Ëš?vÎtÀ”òÌëx”žš‡©ÒP¾IO}„_ay@Äæ:à ãñì|VeÃ6Ö覫‡ubd/eÙ3<Ö­¬zÍtžÕeÌaÝÁ'˜cØLaM +Šlz&,f^ª_! ì?ãlâÛóŸÛ2x2¦X’yÎèåÅ„Ü3Dó㌼)Ø\?ÈyÌež ~°ã4äÍOª+9$æ É +™á´øEˆV¿D<ŠO0ä‰Vbj&¦¾mLBÎ2Z܈öb9êðU¿È;nµ©â6L0 ƒ+–êoˇCrÑE.~µ>TüSØ“Ô7X¬³‰®?MMå!ö½†Ô¼u–Oèôt›ñ’÷P Cbt;´·ðièñÈ•Þ°Ìãaøº@gWÙÛ#Û@ë¼ô4cœ9.Dõ¦æo‘ z2>M÷5¶þi—~Òu0¬ ¶qºsæwî®´QÃ9çǸLt–ýì‚Ÿ‘Mz)>©ûßkÉ£ûËI÷;º”îioï"’²›U)úÆ]$YÔ†L >á$Ÿöíò$Tîÿ¢¢:gUo$÷öUK÷¨Ú,ÕCÙ`sCMAJMÄš×KŸ»C(ÕÁg]‘õ¸Ù®9ÔsÿUìGß0?“ˆ‡­Lóž5­ÅÌÙQM%Ìì”0O³léç5&Üéè`ÚÆ‘1,ÇÕxä'¾šä{ˆÄk+èmYÉ}“ÕßË-Jîs#\ÿ¥d‡“ó:Øi/àNûKõþÏäÂ\8©H½£›ŽstQ#Åá-­ND¥)….s*ŠZÆØõyžmÏnÏf›³Í\†1lØÅÂä’{¥Ž(Eé=õVGW¥Û9êsÞ:ïç“?àûów¶½’ÇŸœQûZºsCÈÕ6ªôAò1óöìƃ‡ë6KÌ@8ëõOUYå^ŠÊ`‰ßì7Ÿ„¶¯Šóºù³j6ù@£9?,yŽ‰¢Ðt4&Ë}"ÖT×ç- +ü\Y&kñâëVÉî»x—ë…£3ª¢9µô1ÙµqQ±=ébÃeMq\—Áª¨¯Žêî©`/nņ|ƒËþ2†ðÍŒJkz¦DºmÍ«ŒIR4ü\~5NlðÔÖ…›ôÇKÉZ]TÉC¯3ål•Ì…©…Dî3jSS©)’tÍêWw$IXß[›wºV Ÿ +WT™«úUòŠw^PÙeU±‹®ô‚hÝWEµ^Ø“~WÓcÊhŽÿ¾s ±s£õI›g‘ù¨ƒ¶`Šÿw…¶gäs (€5£ªè×ßmrâÑÎÀæ] †¡ ÏB`7JfåAÞÖ°ÃaAÝ3Æ“¥ïG³Îáê»þ?{¼O[ ü?ÌxËjø/´ÄæÄZ„*7¿e…xÍ÷XÎzà Ά¥î})¸ƒCÎûð?`ŸœKã‡cŒM£ÈúŒÕŒ¢´&)¢ð°š¡YÞ5õŒJ]q':]$ƒØžÖ]Yv xÖ(¾ƒŽµÐı¨ò†H1Æãe½U¬˜¥¬>Ì_0£b»?*ñ¸¨Žä¿bÏÚ÷ç¤،²•èæôÜD”±Þ;W´xm]|Næ¬7UÏù13* ;¦è.´=¹ð>ÖÒÂSÏÃœj)¡CMŠü>”½.¤ÀeñI£¿ÜÛ1²²™/QßvÝÐÄýÜ6T¾kk+Æœn\\‚F£F‹í¨V#§ˆ¹Xdˆ–£eº&~ûWEùÜ7á"Úbjª°Íúu‚•^I@ïj@ébQ× W´»kåò8ÇöŠwüÛ_ÕD …Ÿ^Áz‹¸ÙÚ x’Z‹KA Å_`‚T}Ú] +xÆ}ÃЂM0Sõ,r„™ùV+ K¿×O&ÿƈ´`¨;­E{irf0F™]©— w86fŠ ÿÏðfm_8ÌèƒÍc3„™ß÷V“<)¦r1¿¯pˆêÀÇ +h‰Ès|pј!QÁéP'ïÕ‚úÞÊ›ËÏó¢ºÇ2òrõÓ¤eÒ×j4Y“ r©î’À, ÊrÞ?4‹! Uø÷qð]fÀ(œ¦*&umM+ª;”1® +-°•cœ8òC¹êÌj¢L=L«Î1þTDJ—º»7ÅÆë>©Òê²Î)BHå*£cúHY}~ñxI,{é©Æ7‚W…îûjWÖÞ§…ʇçãh¿g»_óÀ·YovÜMKiÖN>ü”˜ QÕÖRÒÒòǧ}È¥Aû–Qžj^¢©G ¿s› yJG"ñ?txt,LýÕ>«Öp°±_‘˜>ÞPo$¦Õ^<…%}¨ÊØK¦D”ÔS4¥ +·*S’<Ü–Òyñd;’šÔéIJ»Ó~J®Mn>ÉÌȸcI6ðu¯¢†É–Ú˜€åä©Ši77Ê_’5WŽ2' 9­øtÆ^}/ü˜8%wdáÉó0kšò)¹…ͦñ¢F9ÀôÆœk—ëÞihóà3úSh›ËPB“UÕLz¦ìs€±’'0®$Yá/L3Áª‚—oø“ól¼„ƒ|‘Éf ôɪ\AW#ësiŒÞSè-ãO^ÛIÓÌ+Ú3åÑ6¸Àxasíì îø…@MÜä +¤A h­ämŽ4™5VÑ-âî' ѵÈ1¦¿ð•S+ ž» ~* £%~kÓ¾ïË×ʉl Ø*¿ +à lÙƒÄ=ô3¹þ»_2~”–­OgP³¥s +˜C¢‚þÕÔcº¾ÆdÚú²[ÚaÚÛ’{<Ð¥ùƒjÔA {! ß²Û“¬Èñ;O'Èá9+wEHŸEÞÀµž¡&†J¯ÒVé?fáiÓº j0¢5瀶bhW”ZxÝo=”¥Æº 0–ˆÂz‰äöhK5å’m¢ä•oçÑv (Y€Ouô±óà¿t¹;‡ÁËe=¾ðRÉ*ÍïyMVõn²,¡$v:•ÄQçÚ³EãÔ.›yŒVlò;æsvn·Ö,ôõWi.[¡÷ÂÉ¢@•¦­„¤34SüD_!“ÔMú›ßF‰>J柣ND ü»@$ÊYÀ~³-³’µïCÎMu (+lBpБÌÈ^úâ#$œ~÷2è /@Ì£ë6 3nðhÛ +ž;÷ª¡û‘Ûª.âþ—«¬æÞæŠ3F¸¿q3ã™Ü\ÙŽvÞZnþZ"/ËvNF”ÜüÖNŽJ2V{œ#ΚV¹sØâÏÈe_ì‘®àTˆöa“8C¢°!ΗÌ÷>FîÎL¡ó\ÞþM{û—ü5®ìeH~7;FÎã Ù ²AäúÁ³»¤—B?³ÿÈV¬ùÂYš=Û©‹»Q i9ÌJ.sÓ¿c%–è•FVb‘dÕ¥iL–º`Ûa)kD麛=W®Á³Èœ¸œ‚ãÍ \nÀèçÃeÕ>ŠN¸X7Ƒ†2Iª¾Yf-Òto7±¶«/®~ÁòUýè„as[š†`W›®*v3_”`~©û‡æ:kêÌâÑjêR(" E +*Š€‚ +è´¢e)DDIØÙss³Ü,÷f_n6Â"¸€Š:hm±h+Ô]Aqñ•ÑQq¡âSaž¤Àç9ï{~8ç|³~bÌh6âG«îíZÄ ×N\Èh´ü+„Ë(E3§0ï~Ýùô–ÞÀ†kô–T‘MGºÎ²¼èüÎ1ü:zk›a'LáøG‚±¨‘2‡>ˆºýÅ,gt ÉÎîX&¥@Ù?e%œ +ýœ¸ˆ=@€ÆIhÆs¨ˆ²á)H…ÞU§OeX^mÈÁ7R7Ê~Ë,ñûê,é ñ\jí´±»¿J„ÔŒfÌͬíÝð¥ð8!*¥]˜JR:æ‚öWâRÖ]ÓMˆÉš” ‹PöœZ«ð;¨¸JÃNÞ.8ɦ®ÏÙÆÀ,Á[¶r*ýΑ¶]MˆòŒM"waX)Lbjd‘`ç>:ÿ?ü¾íù|:?uƒ>^ÒÏGÁ©û$˜ÖfaŸâ.Š +Ê¥_àƒS‹ø%²EDð–´8½ ¤J¶Æ=£Ä•K¢Àâ©{ƒ·À´Ü6r zþGÄãGêò«‰  ‰Þ óÆU‘ií<‘‚—ÄK’gçå"÷¦¶^ €qŒ +ü£ôùI6±°¬±vP¦¶‘éWÜy^,š†u¯c/5ÚÓ@¹:ǹ+[ùŠN¬þ+ðlÛiÁ{ÞŽPò#^¨Æy†úìïvë,ñ±Üæãþï¹-ÚÍNÕü˜ѳH×⺣<Ö¡gäx ­ÝVÈ</·Ýænb¬äæ6Ðýë¸ó´³œªÎ¡ ¤+nhB˾â¾PÑËoT(§W–æ#ò#ĉTwÄZU} ñ w-„ävòÄTç½½Öç-9Oá­ºHIãz)¿®Ž®ø ï zí9äR'·dÁI5ÃÑa²ÎZ©ïGSËŸêag‰ÕW=Ÿ™.÷P1Ùœ y?³¥ƒä2‡òÂóX¾)rÙ4VaGº¥òåÈX¬ò©æËBe`9üQ1‡ßÍš@8ü5åŸÃàÉݤ·ÀÜäß$×ÁÏW?‘Dò}¿”zò†ÿ2‡*È +ƒŒÂÌ÷pt¬ +ü°;Br\Ü•ì'> ¬Þ-övø÷C¹NeÊ”Ûô²ÈL-ÊŒ•qÔçK×H½”¯r Ä7ÉIÐ d<òŽ¤ù¤BðgNelB^ÖƒRγF2èÒAÓqCR€&ätŠƒô³7ß„ü´{" D9š·Þÿu)—òCð­’w²¡æ1úˆt³}?"þ¥¾'Ç[Ý7oÉÌ©«~ž1…{Ÿ>Rìu*ô ‚íÊ–dÌCãôlÉÈÁuøtqf‹2[ÍlÒ~{S4Ã>±J$Œ«÷÷.nQ¸nlåPÝ#üxÛò])By`r+ÙwÞð²âçLH?‡˜ºñ¹VD:º|«æiU·G¹þ~Õªÿ+öå&+RµÜbö gPâÑ>Êú}¡úÆêàŒWÚÔ¹÷k–QÇ–]WSkqÚwÔ×ÎZ +ÔDæQ§žd¶¡‚‘V„Óídè24¥Œ±ÄKªGÌMËv«ü˜U35«˜KJ~Ù4&j´’w·J àÑ÷*µóy¡;˜êXà߉˔ÝÀOá÷£@›û5•hw)Œñ˜´•ÛoØ-9E:¦ó_ÀÓÌ‚îo¿¥òƒæ&˜6#¼Vþ£(ѽSé-te$ íפp}ô4Õ%Ò4m¼rn¶z‰üôöhe4‚KX*¯‡KÑð÷î2ù9‰Ê©à~Ô×ü'Ǥ»l|O5ÃB† +§;•^§ jÆ㛑Qõ`ØexHý³;±JË\*Ë`lú¬Ë´KÄh¦×k +&”ƒ–ñtùˆéF|(²Ý8VÇ¡‹Ü·ÁR×€§:ϳû´oÛG*UjSKk¨ÌnR¥“ï±gl¸ ÷ÛÞ…-‘6¹ûÉ&¥±NÅ—´ÍÇÇÖÄ7Oâ4”ÑrGm´òO[du_T’×vYÖ{‚ ÌIyØ\ëaR Ky¶¼&•Pï7ݪJ)éløƒ¼"—Wÿ5Å{K¤å åÓÚS_õ¥àå¦j0WSW;¨wèixêÆF1Í^lÓО伴^£'¤1ï¢ÛÖbŒ%ôOAÏÐƬÕXÂhq)Ló7ÀŽj¥}=€9PXŸÎ=‘“n¹`ŠŸÉ—KX#Cù…A *7{È ãjœŠWÜ´TœByÕÑö¯‹uf×›éÂñd=úA˜¿f±Á]蔧ÿFè…íÕ=à_u*`¨q›²‚·¥+î_iÝ‹\Ê^`BaEò|ÔS&Ž¹¨Ÿ%Z® ‘a´8á—ª°+Qˆüg½Qÿ[ñIK«Î-Ëj¼£IKr2T¯cjuñª=A ´ʧØQÍ"7—ò²{Ù®“ûê×*æX|,YƦ¸¤zêˆñÑÊѽý¢Ž‹}ªÆˆf:÷jCo[>™]×ãx^¼ÒìhŠÚõílhNrÓϳEDkþªcÕCúÙØǪ ת9Öýc —ðîH›t<)…Ó}ÛzËÝ!ÇhE~DBÓ³Ê2ßS͆Êòi{;éo•×u…˜IøõpÉ??ç ƒ4™6Ùº«ê^"©¹€Ü±¾1•Rêû¸±…’<í“-–êš65s²jžpCµ‹óÝÚSjqi6dzھİÆç´ˆ† 41Ú.·ë$Ã5E©Gü9ßãÇ:Øï=µ­oØ÷Òbšµì¾„ v#»Å[éÿx¬ï¯¦ÀAFë+T㳌€¢Œ³€Ô(C@RQFöº7¹7ã2€°I$Á^a$ÌEqƒ >µ.ôAEõµbŠëõiÿ€ï÷O0‚ž®Œœ]Т™K5ΫPÛŒG„´æ˜ ãÖ ÃéÒðžZªdÖ¨J’ºË*¼$dôýò·’ó ^ª}E¾*Ö¤Á>?‡°©‰ÄëçÆ„Ÿ¨áæÄø¯­$d«ÝO”£³ è_t¦l‰%¦Ô$^7¯Š[“KüñSú‹ECq´zŒ"$]Ý*óûµB]øÊ}›ÎWö zT[Rk­"‰ƒç®å®n¾]¿EãçU—Yv¯ÚFUãW\þBÙí6£-RBè^M¿ì´e¶2Bœ4¯èÇ/wÕͺÍhú4EèõkÙ5Ë–’<1òU‘¼Î[¦táþÝD°Å>îQ!˜.kR¿Ï涧ä7˜uÆJµ­cû>òcªÂ +lûªˆ/ûüi^¸á3;i÷°š‚éÚÝ0ƒæsÜèÁôÄ€­˜ZÛnÃSÆ +¥»ÀqW7ÏN³“p:ó([ˆ½Ý5Ì6‡8·½„Vùi•AFÞœì~h¹9£PÚldüjì2îdO +²+íÄñŸ¿6Âü1Ÿ--üß1Eú×üwvø¦ þ=JCH«šW¼3ž’4Ü&ŒxÝñ½8,¸Ëà&ºëãÐÔ#RcŠ3Dåvzý6‘…RS„¨yuè´_N/nm÷ÙƒØÐvTÖÃàòÖ¥Y˼?êåRF×°KzÁnª¡9ó¯ã•ÉþQ®æ4ùgœC×^Ù5l`ºP\ܲº€âýG£&ÿ¦¡Þ«`÷² õ±9ÏP¨ÒñÔÒžÙ²XÜÊÎrÕ6Ô«Œ +V4,ùÖ{²a Ø„ÑÖý\tcY`]lÎÇ¿Ô¾¿a®§°r‡é´¯Ø=bèÖ!ÁÊ&ÖÉûYÃb Ó^[\ºaYt­$w +¥êø[ÝÄR¨)¡§§iÛìº[ô{$™ì7f"¥˜o ŒÓò“¢X‡‚p +»Àz¶BzðÎÒŽ'hºO|ÅŒ4ǧ|-•já +:ž•É}Ì´a«%Tvœƒ5ÛÚY9QK¦ üd0 ?ˆ$˜äćH|õ#òuäD3µ päôh¤éÔrÆdì@,@XmíÝVKY¯É@oò„Ûu‰°([µš´8#!OM~.…7SoJn%< çI¶îdZ‹ó=ž1ËD3‹!P#4÷£“ö(|:]SYd…„_škG’äõ²ïRh¹+OtÐB²«÷%Ð/eýä±–á&]·hऽr5¥slÿor šQ¾¥êÎ"F”,bÕ“÷*2~®£:ʼ÷Šiõ®[ˆ´©¼«‹ÌtéÂ˦“ŸíÛ~M +ªUé6§DUÎeõ"udPO:ª¶Ç]£x+¿ì ¶GºÿB½®˜]´€q:óÊ¥—'ý:¶-ML3\ÑF$ç7…Kà3êCÄô*sü2µÜzÏFÊsõ'÷Ôû¥ñ ‡Ì¼K¤„ÞsŸ§æÌÓšŽ¤wER§” Ã!`%aTÆãH—êvçR¶W¸õSPÚÆ…TÆòÌ}FVArB«[ŒïSS &ñ™}pù`Ç ¦~ˆãºÓ_íŽàÙ1{Ý,yãàªo„‚ àÜÀŸþ{ó30…é[ÇbŒ K™¶È:wœ3Ùã¡MnÞÁµ†e6òsz/0OGò°" +Ãœ3NÝÃ|›ó/Ð'ëèO¬-R_—1Vh&׺ N’Pz8de™ºî å‹ŠZTH;X‚†ÐåŠQÊ6Æ}™ñ˜+°¢ 'h´Ï_î|È‹¶ÆCc’“˜¤©u¨ýHù¶j£BA,·NÛOS«§È{é3ª÷Ñ L’è `]Ñç³Ì1ÆÚ> Aº¯Ç ªÚrxÓ´ü*E^ÿ.¢×Ø`ú†Š“Q5 šv{`=W6뼟ñ\9aõ®’ÄvGOÞXc& võÈ1äÏÆw‚~êÖæâ0Wÿ:ÊŽ~µˆfª–: 0¾/˵‚§%þm äKRKåAÁüšÂï‘ÄcˆR %ã ŸP“#CS£éߥÞfÚmD¥5ÎoEx–…1‚7B«¬ðæ»0ìóÇù<&ÏæßôøYdø8"1²üwÜ¡5˜Á TaaJ3p˜†5œÛ¹î7îA>®‰+ç…ñœy¨”Iž–Má¯ã½ôcðuüð Zd?ÒBê†k1ÃËÄx-—Šr·sVˆ†9s¥H6p]DÎGâ¸g…O| y»„5ŽS¼$a´E˜`$íLs +[•¤YmÎ Ê~uå8Áíp`6*I ß•Î`S8‚Ì»8s™nŽû9O3nX¬OE /7f•^ê…lËbNÖ[®PBµF¦O¬‚.Ü9¬€ó·ïZç¾_.Í5¡>¥F ›õ S¹µÌ‰µR×'}ΪѬ`_dÿXš|ê{´dâÐHXÔ¾3šQlZe7Pã©R¡ªq¸Ø´³O5¬ºOkZþÏrx5u`aÇ‚:‚£*`ØT–)›¨,¬ +DPQÊ®²²°dß“——¼ìûJÈŠ ƒ£Rk=H+ +*­#u)£èèh§Õ) …Á)B§6ü¿sï9ß¹çžøïHªZGòµzçG’†ÍTø"9ªÕ3hŠD×ͺ ³Ïs¡îr|ÆÅbã4þÅy ¤$”õTK ºá•"ù$×IÚÖ~Î$v(ˆBìÖ#].²ïqiÁ?­C°¹N ù–ú¯ñ~úÞ±Ë|ìíÜ·àLcOÀnÎö¯T~ø³ÜýäþvxjºÀ̦5<.ïÇf\K<ÏÞ2pô:CpËõá•Sây,66‘ê>|zŸC +Œ“¨ëE +T)ÔÈf/À•:X1È}J+Üç>¢½Œ_Éý…~–˜éQ;Ù^Çõㆪvs&¸Û¸>œ.®k“7‡ÆyZþ˜SÃù:‡Ë©ãœ£rØÝ–ÛœçœåÆaKaŸ³”!l–è.g5û ð7ÜKv¤0 ì!;Ú—­fï´îîe§ þ¼%‰]"XëTÖ –J3©aÕªõlwV²j=v†å§ ”αÖÉÞe=bùI/ìög…H&ü†™ ’:§²°˜g,(y 27°>a™b°aÌ8ýËÒ8fŒöVV®qßÕÉŒT‡ùµ0†ãNÕöŠ±Ðù‹ìãɉB¦‚ÑåÀ`( š_"f´˜o!• Ðt}WgüŸ_0}Hµ¸¬X Î9»,‰QÕÓÈxÏØÚ=Ž~JÙ¹§x‰>Ö€ô£ÿÓ±eç9úM«Ý÷2mFõ¥Séî)×Vk ¹µö-eZF«FÙ¥btøg0Éì¦O?‘DàžÇ%7eyÈÚš6þ‘WªÎS¥C‘ÒyÜeäUS}Ýñló`a‰8ï‘®i ˆèg"1лJÂ"ô|P¬KÚÒc¤,¿$¼Žì+Î&ÕÞÕPš°„vê–´GBoj_t4I òvq¨f熚(ñeÖßCÔË!οbÇ×¼†^°SŸ†bØYi1‚Óì¨;2ûˆWä`/7u¬h?4 +®†Šï!Œàzõœ@#(˜ãóT ¿œ¿6ªŒ ^ó¢ð!§R S”¼#Ð>E/áSÁq9¥z_ /G%Óˆ0Câ9Æ[Û¼‚@”(Ù©”ÝP•é À, }ð¾XŸT…Ok‘‡p¼µQÈ«U¥G6 ª€ ¯x2¿e,¸Ú>¬áÛ -?Ï­°ÊQÞ¬Yzð/ÑTº·è5ÌFLÉä†^`ƒtÞ©‚Ì3ó\#¿¶»¨¥¬ê̬Dðª:¶,ŒvÓÞÏw[mDWÑ¿¡…›)½ãTB¦ÉZ½‰Þ`0Õ–`3tÿBQËŸkkðûs4ë1yÉ ê`ì\è¸Þ¸ÂcV#þ‘z`XÄHhwõA¸0­Ú†FTy¦úqӵܫ*F˪¤%€¥*Éøµ/>Ó9 +ÖgS'µ"b'zL=Nª)c¨‘s×*æÈ÷“bä¿Rˆ¡ëä÷)W<ü#–S ²µç™›)Kê÷Ìý £ª +&¡ô”âLã¾\¹Œ9WËtÌW!Y1󲫬å«ä7i*ø%”ÕþêúwJ_°½ä¦Ü îÌé–¥ñnÁWJñ„!pÉåþ³ä-0éT`¿:K6BÆ+»¥SõÅzÉl‰¯L,~“³J#ZL´HBEeÛ߈E÷q1 +Ú¸¬ÂTD}é­bB;º*OÑTCÒnÕlŒ$OYŸ¸Qœ®0m·‰z7ÝoŠ„9NÅ» |hý–DV¤[V¢’eÆÖ©b7É£Y¯ÅZÖ¥H¦Çlþ¤Ûä~Iè)Ü»¬J•5§ø¤ÍòoO–ÕÝ‘ù´©%(ûŽ,¾hÞZ°GøÊÒ¼mR˜d´¹ë!¤ð/NEWutV57z;ÂjôÇÿj¬s·ý»^’^lDçǾ0-a®û­_a®ÏLíØŠówÚûö44ç°b^³ppi‡úÌúô&nÓXì ã¾æûþuÆ»-«Ý‚ ž-cÎY4Œ½_Œ´¦¶³šg ?ÚjºGìIãfHõ±ú ¤%ÿÃJÒ‚[ö%Ï©¨C6OzvWÛzåÑoZ©tËA¦±˜þ$õ?z€°ã;ÝÆؼÅØéFT2÷/+˜å0…™ñ@ßÑ@S<@>0bð¢SëuqÜw;j4SÜ'°/4sÜïÝÊE®Õ©(P[¿øú×V‚^¡5ÆŠH’õk„g/´Û„«wø©û  0¥Ú*ÜìÖ­ ƒaËjƒyBýÍ5ÙTC› ÅJ(¿_©ÈFà4©òü˜!ÕmÙ, RÕÊNº Ë?SœŠü¹9³õ :×´Ê–Oèÿf¸“OÐVê×"ÕµÚ‡1ÕÊí,V)Sâö@æ._ +Óú°#ý‘Qî`”Kõá¶ò|ͨ%cï˜j¨Í/&\™Û: [­øFƒtû^Z"ªqÕÙ¤Jm•ë™ŠjMar×—µ`VCg +ªžw" ~><¡Ã óŠ8Éièú½µ}×XåT8°Ç³QÙ†VY<…Ä<ÏJ=áÀEÀÛ7G8v6Á¼Z:¢%¿·¶hBòò94^™Ô¥m!1¼ˆOD>íp%¬HüÙÞGè‡ÙðÄ/Û`rqÑ;¨±N…mï~MýÓñs§\©‡/žˆ¢Zh:•(¶í¦¥…MXžÐ°^FÛ.꜋‹.–Y½¬þÏs}5™`aŽ Òö(ƒ(X0ˆTˆ+JS°‚ Š4©&¡¤~ù’|iB!! !)$ô)Ê°Ž‹ ºØWFÆY]EÁ±ë¸Ž3x,Àó˽ç}ï¹›å|d´ðcâ +õ“|ï°i-‚¿0ÐWs…÷ +Q_Gå½pRjŠyÓ0˜òÑ׿’t¶©¤øj²ÑTÌŽóԊD1·ëÚ…”Ú›NÊ:ka? ¦øç7•eê“棆k–Ø_µ%]a;ëזו¯F«=Ë9™Ô-b= &¿óMm0-­vDÅ'^Çj´Û+µ¡/5(erÀ^õ+E·çL F1ä$¨1KŠæ•×WE|fÔ×O£õFMK¬º¡¦ömèí:ƒ:1`»Ú¥fÂóƒŠXýЩMÕ*i€9ÈÊ +l?+Lw?-NxÕÃ͈Ôwɳ\C0–óÙ瑃f ÎsÒŠë·M;iÄ‘`°Ê$èöµµO»“0zúÁ*RÙ¹œB9é@Â"´k¬5ÏÚíÛçvÀü~•.lð³B?îugØ]Ãedº¢†…è8J³AÞjý­Ù͹umÌ.­ÕD›O–ü^^ÁÕv:‹y;Á³skeÄæ+,LȶvÖ²­ÅíàæÒ¼½Ø®¥™Ã‚ÁdÃü_¾ë5÷ZüŒ¥ƒ;q®#k>ÓêÇÕ ¥MU\ƒÛJ“”«¶{l*ÍŸ¿’Ò‘¯3Doéü¹èyüèÉÂ"UDcû†¢ÍÁuÍÏ#HŠ)BPäæitèì½/ vÀ`Ó_êSÊã{Ìeù5mpñPpyÓ=±-2Ýè[Úëæm¸+v·Ï6*.WÛ¿©ÉSÇ”áñ]ã +^DMk„œ,2ý.#£÷ɲÝ\õ³Ò!{®^âƒI4ßÔˆåµ.~°Ã§ælDc‹BU\bò«"c äj¤Ûvý‚ÊÃöJGÉ|ÏH`_ÕÈ2ÜrÔÜÉ넧ëæH»³Ñ¥ä ¸§tøŽHH®†BaGŸ :‚ú‹ýBÖfšó°{–'ñª9 +[ˆj¥øaЧøñe +&hè´°z6FdÄy?¢>g¦Û‘x&él$¦ŠÙÜ^œåÎ:¬¶Áæ³^Šèøn¶‰úŽx-'-µ¸’û]èO 5×⽜äØÛÎ@Sä UÞÚy]°Tu à_,zŠáWPT„|BJ,É•}`8Çß´y?pŸ7gË¢uóŠÏ\JO(_ØâŽvOUue4•öÙ+Q±¸Œbi²?A.jÞÿ´Cxy“˜´R¸ÊÖJì駥þPÕÝt㸲rðƒTôúfd½d$ÖºâFR>Pâ¶aºL·ñ'î´ˆv÷¥¥äê2M—Òë*‰¨×µ¾TÕ]`WÖÝ*ßcDÜ*åûhøA¹e#ï"ûɆKO9öJ“ùíKL‹Ò2š¹JÍñçø( KgK3jÔ‰f¬Z¶÷nLåå5‹ýo•‡M(½_ø¸×>éFO‡‘—ÚÓ¹Gi}<´ÃÄ@w¶¬#Ndº­áÙhoo4öYÜ Ì¾¨FÙ¸2¬…—ºÁYÔAƒšz$ÃW±„Öœ5ÃÃCoplâiÆÂ\ ³ˆÞ3Ä2‚l„;…òaá¶<;šSç?B»>‰zü“pr§j–Ðs m»ß1tôZÏcÌ¥Œë{¹sì”/¡‡þJ{™Äcü*Í#»3ûóÞ€f–Ï¡ne£ãæhúœ-»>Bc9SJ ÿå"¬Õ¹O8á'•8Þ€ `yHÉϤu¼-œ*`”· x[c')OyÁ»ÖÐ\x!ë¯Qïñ­S9q*;ÿ$;Éd'=–NYÝÌ ,|ܶ3Û4§¾”ù±q¦T˜=©ka%â´õ™h±s¤€ä¬ººÖU¯X7Fl«”[õå oµš1apuxf¼9µäQ¶Gk4³;e +˸€7è‡ß5ôîxB:¤y¿î¡¬Zd¥Í«,¼`2²—?_Ía[0Å~9iY Fs®3öÿ˜g¹× ¸Ã‹9u¤¾ ò<,yxí87¼þ© ´1‡‘‹¯¨JaÆ¥¢,O€@/g½½ÐOÙã”›Ñ94 ð|.]»1÷6ýè'Å^Ô¹@1'¬èp:XtŸ±„Á€ðŒwL,j–ùVÜ÷á¬Qv@àwl{έž­Ì±ù¤ò\õ?R^ç½UVÐ\¸GIœ+¡­¤¯åž9ÞÏðäÎD…0×æ»ø3oæ¬yÉdç[R<ƒ""ˆ’" +.´¥2…}”´"!<ý4t¢H~(®-rñç2¢æ5DºH@ùÜlÚÀ"K”»““îæ¿£,“¼/S}ÊëÓÎÒÂÊ"+ ïÅ~w ¥™«£—F}V dRz™,¸¨:Èw&?­ÚC~Fq¨ôJ}JÝ¢ð‹˜¢ÊJý’i¤´ÕrjzEÒgUÿ#±Úp]ZFšÖã%‘Õ+Èþ [íPjewÄVjlõÊíW©7ªà«wRüœ/*žð¼C%µ%íj…òG€xÓ @EFH)&0_ýËõáÕ•Ç|Xuˆ +D¥¸àRNXAÐ\0JSŠ¤£H‡é30ý½7åÍ›7½3 C•Wc+–ÅU#Ær# aQOL4E³Ñ™?às~¿{ïïÞs¿I§èªÖyô?›y>ßÒ’LŽÔÖ¸Kd-Þ£J1Êvñ*fH ¢6§àh¸æÞöñz²+~BÜOó:IÝøQqöZ³¡UÕÊP±[àU’åD#ÔBMË Ó>$Î ãz|”ð?Ìë^‘¢Ìó• º!J0ÌèW8µN¼  ¼WÃzX›òfÁÏØщ@'hƒá<ð¼ +%sdŸRÛ”eï[þ$z,¨åZù2ÁH5ŸÿˆÊ[&Ht LÁÑ ‹UàOà ì·¯Ë<Äu–ºÉŽrEðá¾€{i¼,8+ןwM2ßÀO–œ\ŸÏ7I8Ïùi’l»2Ýewkv"9kÔr6±ªŒU\¬RšÍ*¤q¹oäGCxxy¨‡„;€:j™œY°E)a»Ô²Î°!Xidÿj¸” q(ú ¸8ÎSí“Ð=œ÷Úl(ŽPíø9Iueé&¾åk)«­‰W1Éþ¬Ñ=k˜Z¦b;8˜zBÈCŽ³ùƒû4›«Ú•a¾vþÀ?àsuú¯UuPÝæŠ\Vf»>+›5Ð2ÁŽj&…PØ6»šuR»É®Ô! +aÑ+árù‘Òkô!¹o©4 í«É`¡ÌÜ—ëP)fíô%ù¬VñœôQñ‡T™èFÂ(ZÖ]sŠö‹,”ÔTR‡|O)O?‡¨hŸoÑ# ]¿6yÄл£)ôéOçU ,FœéÙ ¯´›ÑE})gŽsá©ÚÙ´GðyÖÒ˜p/kw~Ë–»¶I'Y¿Ù;TdÀÜg‚YÉUž•Ò'áñƒ‹I8ÿ@Fâ*ð‹ ”8 $¸I¸à+A2((²+yª8O¬æÏ‹W‰»È—EÄ {Õ¢ýbÚWÕ"@œ}@‰C׌Štâe®Y¢¢gv…Öˆœ•üHáÅùoÂfE`áea±±gbN›_¥ÁÇÌ4Ê!/¼e%¥³ÁÐO;m¯ÅÈht§ÄWv6¨º·ú›´[©ÛÌiô¹˜¦åÌÅÁyF˜yÃËÓ4Ê”aêt V„]ÑÍ auãƒõ ¬#ùëÌÎ쪭QÏYm3rMè/q{Ž~äÁtjÈüD»¢ ×7†Š•fàiÓƒ¾É· ‹Á„èºÀê ¶. =[ôn`4æŠêÈqSháæBéžrÐx_º5wÔ Ï%ÇènÀQˆ…ó‚ðš~x“'G[ ×`+qb«]Q›2Ô¸²iÃ=UGnŽ~»òç¤Ú‹JÏ(¬†£à¬ýAݪÈôd«Ç ȉE7ªÍKÊz +MÿÊ]¡ã’ˆš!}ïæ j–nh-CÕ¦_èé­º¦Ùç䃊ûíŠò›a¦Ù­¬DÙfrÎjï6–$-Ó4ôn®UõZ×F)¯Zpï•×ux'º@ü]U•ïÏ/ìÙ³¢Û¿Ø3íUg`iUì¡}…åÚ°ßÛUÖL¶»W©–Îuœ¯òù+ëÕSïØUå‰î[¸Ýû;u•¯ÓÌû¨„žXJP“v§åOâÅ€©–{$•KFÛ,qƒQÏÕÞr¨uÏHìÝ.ûúÈ}iÞmõ”×ÛfZšh~a¢æåtŸ€ÛM—訅BÇb0*€ÛiW­ÎÌC䶧µ‚¯jZ®×mÙÆn¬›[nKfi c¬+.º&oV.£ô&Ê­Æ{•ÿ¤5›_°s¬9†dë¸mÿüIëA. Í*sÑ5: ‚1ù ¨Å®Ê m!â¼|f‹—¸l'Û6#NÞ +Z§Ä>øÿó\ßoMŸkÀCZ8à)* µ€bEE@¥§(2ÂÌÎ7{“IÈ" $!¬„0a…=+vU©ZÅ`-x´E½ ŠJUǺ +êðùå~®ë~ï7¹TÕSîs¦‚V6·÷¸ÉÏØØiŒ1=2J眆Jhê@ UÍ•æu¤;·¶7!³0 +ß½Ù\Ó醮õý¢ý¬—«±ƒ%-;Øþ=.ÒÜeÏ/©TÖ7DŒ$v°{·.Ê«“‚–µÂ|ÃZÑ®³mcDÖ²+-C¤Åu_Ïï{¯>ë1H±1]ÿ"—D^n×R¾ ÞÙº“:êëÖÜE3ƒ[²h9¶»¬ÏèÂÅ ‰Ù7–TJOW+3 v«ÂœLimc @ï6'³é[Öcì`Ǧ8v§­ª!“ó÷b‘RÝ{•Ð1Ï_Óµåòu‘¶Íoù³PµE°2Øð\@;4"›mOÕÙ §Óÿüm{·Ä ߺE1Ñd‘ÄA‘¡’}C=W²¼®¾B¶}[3']\PJäG5ØV¯ò‡mnY¡G XyÊahdÃ'J„[U~ v¯óWæÛ…WoÌ]¼Wn÷G¥n½R°¶²9H7ÑѨÔ¡Au Ú1¢v£ö‚ëÛZmˆ]lU§rñÏTØV¨A +sjÊ6lŠhm,M†Ôy•4Aˆ–À’*0¤æ¯ÂÛv”J–²RÈ?¨ï ˜Ä´>ëîÄ2C!*Ë#±q0êMJ!:ÅÖCö‰ÜÂÀRÇ|ÿdüF¿a?Àµ2ÎÝ‚ÅcÙh3¡«dBš‘z¨ŸSIÎt?¸%‚Lý›mïëF[ÁAÚãxÁY‘GâÒ0mê;GY1űh%[Ÿsጒ@×9é q·_8ùG>r˜ íWó¾nêå¦Ü)jod€E“…z¤Cö.qúJviN&¶IfÅÔð8b›øgŸ + ñŸv|ïs÷‰d¡ò©%:uTÉÓÛfü‘û—è&òL®ˆ0Ñç~–pï¢èÝË.(R½ŸâU +; _)§w%$ò‹Õ/à÷ Ýt#é« +~ö#œu`u[ëwø.–¥qÜsï“¿Æ‹ååYìü_•-þ‡Ç*'̳ɩåßk/§––)2* iø9¤¼$é°7fU‘zÏfl¡“×cô9}£]´¬,íˆWYCÌIëê÷kSÒ-¡§t¼y7>‘T!¢ž˜ÆÌ Àä×26ŠÔ¶‰ÓKݲáç mÄ&c‡•Ó£h’¸£Œ' Ù..+œupCë6Ì&@j“5Üt«¡™døëÌåêP–0«Ÿô–=ŽÙI™Ë‚Ä–Óú¹ä +úC¨{Þ¶$tR:(Ï­uO¹ÖR4›ÞÜâ$=ðjl¥÷¡Äuq—1?פþç9î”ùSèi|cåõqÎF!¨_z^žSÂêK}¡Ÿ`d¢%DÎT íwVŸ>Å;à¿<É'’V=(è5HÁ%jú»à¸WMÑó±…VÆ#àß9ÛY‘D2ÕÊÖ“Òîp~¡žˆžá~J ÚÉ}ÎD»]ážgN¾Süs•jJmƒn-‚>,v¡g&SÔLëlê#–’ãíÆ>ŸÅÝ^Åiææ¸á8®ñÊž®°%Ùñª4'ÉùR‘’JD“Ãh¯RN0hBëA0ó”(rÛ0K+úaõæ‘þ’²MõÀYÙò|"±E¶G¸ƒŒ”E_R^ÉvÁÏÒ4²/ì¢?”ùm[˨•y¬N`ØK/5[™7«1äÁ€[G„Ø’'¼ 'æ铯RªGöËhqê­Ú]Õø>£úiI‹±Xà +5€'”\ãGBŠ „ć…ÓÉÎdƒ^u•ò¿x +•¢ë[¨^Úá%e¸‰ ¨Öªúp»«xEÀò +À œ6…%!ItãÞ¨@ò•Ò¾#% Ô §¸¾ß:¶é™*‹h$rßà7שð׫55‰×ˆÙæÕ‘'I×+6‘Ý*ЮwÈ°¢Ñ%U”#¼›zDá+JÈtàü šBùa²UØ• 6âíøñ}ñ¹uOÎrÆç7¥ñdôÿïåP˜À›Â þCu}FEuæa7£RVÍ"KS‘ª‚‚T‘¢Ò20 E†éåNŸ{§÷^˜ÄlkìëÆ•$vWš(¨,¢F7–b ˢÞ¿ÜOÏï¼ïyÿ÷¾÷<"_–³)“ÖÉ.kîh˜àÀ[n ‚9Wñ?gÜçÚˆ7¢ºyÈ™¾*¾œôöÓ¼‚uA@ ¾OpšáIäRùŒrPý(ó$e[i<ËH›—±¦££¼Ø/€\ßt®/ýâGõinQ­Àaˆ@ûšq–Iïaî¯{èYÊ’]Že–°?D˜§98ß™¬çìéy©­dx,¥8¡?“½¨½Peí1ÚNˆWŒ¦wAíi‚N,» Bçà=Ì%P—K©ÔÄò~þÒˆœGò!OʽÑßR=e’"!Í]:™:BûIê\†¢MJ1ðj Gò›K)b 'xL"W?D\GêÔCÃÈ{µæÂAÊA ?u#U Þñ•ªÆÁãèUJê´òÆÃǹ— H{ÁF[Å5h’ÚÒ[N6˜á){((ãÛ%Âø>ƒVªYâR +nñÖ#ܼ°ë(a¡«ºFìqì&mqü3%—\g?ñ%ÙÓ†ñÙM5«Ÿ¸”ø¶X‹D3‘b$ÊW×ö Ÿ‹¡öÆ¿‹5&Í”D4Ó®Kƒ°ãc²Øአˆ. +úë1Zëšo +^`ú~¿`Ç6z ëq¯©Â „ãaX‘Œø½Ç°ø)±ýӼ܄'À¹84 n"Db.ƒâÊð¹yC<K¹³ú d§Í},ð§õ{œ*h® +Ú¸»hè>¼¹ôwMv^ cö¦8ÞIÆ»õ(~óÆj?¾’¥ +eðo²®y†¨l/—‚Dl5¯€úžãŻרãpùy1œùÎܣܵü‡«´Ü^004€{ ñØÃïí.™·%CÔA2¼ù2ë¡dÿWuìõ’šœQö>‰oÂkL<ÒÍßòò‚Å5.Å¿È iñ”f¦¯fh³7S­ñ-ßÉ|¥^–³Š…T¡jX[•wCòY©*¸sG^1­VeÖ—ä+À˃€˜…åó·L³²·3“ —â/2y†èà{Œ†¹ì+ò½.Å;Cçt‚äJ ¢}¢þ Ðáô-³¯>Ù«y6q<ƒÁ³Í ý–£W±·åx¬Añ_P¢Z? ‹Q ŸÞy¡ÀËÍØ1ì>yîÊKö”Ð\.ë!¾O—qÈM±î² +0Cî¤l…ËçÓ];¤S¥k)ÃÍ=RZ@Õ[É·ô5…ù‘¾JBe„Ç$ÆÿNi"ã0 -úìR4HœÅ~€9.☫|DϸahÑ·-Í)²r~"êåeùoMòæÃÈâþôÝKÝ%4 _7ý"ŠÂ‘e +QD~Ÿ0Täæ.¾Œ>"x*õO¼>é…§…ã.Ey+HVy55R”ƒ•Ws•éùÑÐEk*êPxEGBü¦ß;(J³ Xâéµ(8h©iqãmh¹‘^™ Í0`óö€}ú´”_APWµDèÒüLü£Z¬‹]Š<–·4âÁzGÖ¦`Œíoy©üZÃR|½u^ŠŸg™ôCð˜F#ÌnŸr)ÜÌVaåô5ëƪwˆ–Ššó9³­nój®yIÃÁÅtó¶Æ +xI«®1bªIyâ>}•‚›-A–ðÚ¯ÑÁæõOShãšìKF¦xµŸá6xq†qQ +Ž3“°SóU„‘›\kaÆþ椚̩ÌDi©~ ·?{‘>¾J¯3mátßÈðZƒ„øtªÝÔ»]ªY §‘N¡ju]äÉ’ÍÚï©QYílZZÂsˆNÑ´Ñ·À“´Wé>Sã§Ý¥0ÕBÌÂj»æëËâõ+Ö7ëºÔqìÒ„fU7û»ð™ªmì ¸·ºœÝ:Õ8ŠÁé¿^Ó;õ#ðeÕ¿Õ+ ‚â*,Ä_ç©ì†ôñCÊY3ì®â´õMåÿßSšªU*™¶ªLùXÆ.jQ†È–g²_I¯ÅWËJ5a"9Rª†'ÈC¥\y׳qH)ÒåVÍUü¢-Z®Ö.Î\+ѤÆ/—aÕÃenš/|F¥[•¯?S âPkr¿"Ô +^”YÊ×>”•˜ÝVŽH9 &yaŠòùI‚ÖxýQÕ<èzU1\–µ¥ +Ù½þl§*7ù}ûô±hu;Twfa^Çåúcn²Îü†YS q;)oZ¹uÞG!öuTÕU¬Orþ§›lk;ÛHF´-Àx/,uþ sÔm¸í>fdêä}é+] +U×.oÊ.ýÕˆù=·Ûq»-y¶]†[õÌv¿iáR»ßçîgëÄÿk*ï`/p©êþ¶LBuéû±+çºÍAº²ßÚ@‰Â[»)‡î·&P®¸ÇYQÃ?æù˜iåm/¤‹K,¶Y*g…u€±(iÐ2¢`æ½ÌØ€VË"f¨ûJSsèÓ=çRU@ë÷»7+ÄËÎ>d‰ãÙ’¦§¼s‘m¦Y¼áš)–wÉ=U?οþÇ3D¾´Äó‹í– qjv¡é™8<ñ…Ñ!zgØ)ºbèýì^ª[$zð™RYjTõÅËÍ)ªÔ¬1ãcå?M…2qÙ=½@éæ? ”Ü£5Ûew?SIfƒq´ØÓD7þÊú kò\ãL24A<D1` (T@@+½“7{½Ùd°IØ 3*8ŠåœÕ:@-ím©âq´*îÊå8ràýþü><÷uÝÿÿݹã\•‡id‹ÈXmümÍÝJ´ñ¬×ÛJÇÊö’²¬"§ÏUúžjb'm_êz$bï"ì¶uOì÷ðßbûM¬q_'Ñis¤äͼ?M#mp_=~müù=ÃÄ°­§í+È¡ëŠ:¢Lùâ;48lÈþmbå3ï埒-mÛ$õY|H—™nÚú]ÇcÀkk{>3Ç×½} »Ö1Ár†@vÿÁy:{­EÍ©Ô¡.öÞ¸Î,爮¶~žCH{kâsÆvš_l‹æ¯@š”"ølûORÉ."0ÞƧFl´]]Càְ˧²Å¾±ÞMÍfˆü‹i n´q”Ø˶QÅóð{Ö5ÊìàÇÍ6ef÷ e l¬¹[I‚€u·ÔY_(i«»&;¶Àª×t„ïo¾¢é ئ±ù¬¶5•°k–ûÿßýÞZ§ü‘/”¿ jÀÆîj‘Àpíî~Ch°Å⨿íãÜ䦿ëiRês!Gª-…¹Ÿ«”Ö ŠªØ5ÍõÅá ËÕ +Çàâ¦Åå&wó«òïa7W”ÆAÆ«X…æÏU÷î²Ûr8„Î+}E)ÆoV¦ÓƒIÃŒ}ÀqZlúÛhˆ<Ëgw® +àˆ¹Aæ°ø?ï=‹ê$ù6-ÁÞ¡|,)!<¥*‘ǘ*z!­•³8í߀ϸu”ùPpÕÆD|èÅœeÿ=s˜m4' ²¹Ò¢÷ؽüYaPøOZ(ù„èvj?VGÜgxI=V¦–-ƒ̹¬ u¾MCJÆëÕH_é-Cª]ö‹ÔûB~‘2A\¢8°¯*÷E8PóTª•Î”¯To¡ 9/Õw„¦Äh¾©½“ž¨‰Óýˆü aƒß£šbòy\Ÿ¦'ÅF,ÕŒ…o%¯ÌwðU/åÕª—äßnëMê*ÁÖT Æ‹{µ5ÇŠ¦ÅÖìÔ¢TÒ9L·¡;y _fXÖD\¤ïóuÀ¿Ö·AÅêõ:x«"¬)¯V%V/*´¥]1•ˆÎ# ŒÎÄ)Ô‹Šžä@ìX¹"ÌSVåÓ…“—™¡4u¢.Éfˆ?ÐÜUÕ¹k%¥àNj´ù¹È;cº~?]Pۺ˄áWßÞÒŒ=VÕë“1ý +E© ºÖ»qd{qÕ׉Ï;’ +²N­Âæô‘–¥„ÇYH‹d×fttcâ– Çì»â#&®vŠPótQÖÚjd¡©‹ 1ùo˜ øù­ìR)ʽ@}”Áï<7õ ç³&—ßè8³w¯àyóyÒb¢ÌH¢¦04·èôÍ‚@>ðo` °•‰µœ~î½Mž<¨Àa9‹‹úÎ(ÉènŽ…­°c9̼4òß<o˜–/ˆÂE1”Ì÷â“áìÉ:ïã¬É•¥Ô7e¢þ ®.{Šó“Õ‡ˆPi WEî—Y±Ž´xùÞr¨è +¥EJ…7ˆTöÏæ¶Ù|4ëê'¥r41—œ“„ãæžâ¬ nÏsÆÌ#?ÊCÅ›hkòº6¤/Ï»¿¬——7›n Œ áHIT¶ƒ¡‚c6èß±=ðzz!ñ?z·¸_)þºc¼)¯uÚe‰T»®}nSê3ÒØ&iq4r¾‘¬ŒE¥UÂYÑX\ùKÔ¼ì—88IXú`}7yIi¦× +¢ôSëÕiG›¼‹r3æ8EiÖ…ú$f ú·ÚÌl+n º.¶’ð®*d}0QeÊó:FŽ¨œœSµ¯S”í†Éô°Ö¯äSȺ–8æÔ~‹%;[Ú˜Œl0~{‰à^ÿÞ KbV+æT †sIÓÛx)èoe¯øÏqÃÀra5),k±xUõÝ°‰Q"—ØYNîפƒ¬¡ÙܾWu8GøQ‡d!Hû9í´2”'Âˬ31cÂC\ïà!Q-ž;UüŸ9£ ¦dÚZ¦oá8CÂN’T1+¹hÚŸl-?63ˆ‹zÆ,å=]ŽàÛAw7!üz6·û*HŠ„H*[|Cü‘¾_@m¥˜ô¬s2Uôr]^9ñnµüÅÂÙû0¡"ž°PuTó’t$‡#:HõÍù@¡Ð±jyúb€¯~°=å™›±ö*‹kuÛÄUçŠæ¦QîŠmÓ9æ]'pu „¤—ÚÛd€ºSÛ–HgiÛ¬€‹6r-ð×»>`Oi#çTÙ2´¥"-÷!NSn\ z•$™É¥SÔC%“QïèÉ%;‚þ¢O»ö±z‹cçT)!ûM½.wf².PàŠo¨1ŸæU=Bœ¦l1åFá©#F0HD“\uÌžrÚœ*¹™uÜjQ÷ O5·òu8–E$®7:"âÉІ¥‘ï(Uu£ANägulWàYEãœ*ZÖ"cT\‰õŠk—öáTx»lŒx)™$Ÿ¢<ŒhTÂè',Ê·À —ãêóÌy³={V?$¸N€)ÃDëÉPŽVl Žàìà¿Ò]:é|ædø„Ìãà"»Èíw1*§¸=3*gB'æãòë|–'#ÐsB±FáS^PR“8†?¾ +$ mþ:ð¤è@®•Ïöl¡ÆÚ(蕉9 Ea,·_ÜŽiàÇ€/O IýÂ4ÂaÜßS8!ûÙ%UJ’õÍý«à8C¢(”&2¥J:ð;{»ÊMጫ~J¸ÂÃådméâ«rFW øjg°Yý?ªë;®©s8Ò€€Ò´Š€Z6\Fq€D,²ÃH Y'{“ÍÉ$a@QÜÞkÕ^½÷ºÐ¶ŠÕrõªh¥Ò"jÅ}+ˆmÁjÂôßóy¾ŸsÎû¾Ÿçù½Ó™Mµƒ°D]Å_CJQw’)Áê/ʹÀ5õ®¬×´2µsµ7§v†½¡}§Þå7Ä TëVÊÓøS¯†€6~Gú„”oLF¢ø YHÀ©²ŠKmÖkÂv»õ¿~öUÝô.+ýjpâo8Ɖ$Â5û)Ô\R‹‘¹²´¾(ùàgÝ–H¾m}ìw”5jœN) ++¶¢£‰›„ÛïüŒp·vO[n™ŽHj©ÍŒ%=hº• ’Ï4‡^"‹ýl’q:iƒ“Òç%S,˜ŒPîÀûË=ªÆ‹äG°±›²Tü²åï” ‡B†ULR8LW÷˜äêÒÏ$GÅxLcLSƒÀ},ù?þEÑ;ð8Q¿±Eª$?\.”e£!&¹õ¬KKûç¥øJ¬øÛéG„‹È7°ˆ|_ ÿÒžl8!>Ë8Žè“(Y«BæIúY·`[å}ì®.úB”Áõb ѼT–²ú$¿=U8OÉŧ yˆPá¿-x¯ð$¶]ú¥0Æý_Âù¬ +ájûˆ(³“sO¼¤À‹ûH|/=wKRŸØÁ—ƒÁ•¼ç`ì p±túl>f*ºÓ¡œþuU‰þ<‰=¬T­§s®(·&zpK”½A?sLÊo`N0M¥q+~*m¥î-¥‡~F7‚^ÈôÓ5惬H]ò${žö|›-Ò¶9Y&í=X'¸V£u+^°Ï–Eñ m®ÿ² +¤·Y/ç0ŽX® c˜ÍïøAæùdPcÜü_X˜ª ™VŸRx­6²ŽÔb¯|Cã6‡å‘éÚÆî´^FeC]¼…¡oÀ-¾F?f7Á˜ Q3éVÌ>Íy­Fsõ•£y]ŸÝ¯M©F®½¥íÁÍŠ¾£kã^NÕ¶¾¿I¥’¹öë#F›Z‡.À7¨¿Æ†–Qêfã®eÏ«CJ×n®;AjˆB JFÀ€æw +îýmÔ—îê6êÕt¢”Žã(úI5¥beE‘•¨l ²×X•ôè¨Q•…´¨ÍŒôõ™û i,)…6QS ƒ1zJŠezVBfžì Û¹ú¬œÊ¹•/¿Ëí HQ8¸9ê…SnE%Šoòþ‡-“4ðÇŠ±`¤`,£Ü)ü~u¸tŽÈyQŠ“Nˆº]çvØ­p‡´¯š+e„"“x©ìææNñ6yä*µøœ©”,“7Á$'äx×\˜Îç”Cü‹ÐQL¿ º[8.d@}›çïCõÉE)1â‘àD?@晹—ÀÛbîÄ$ó?7£ + ‚Y¦ÞMÐä• N­|ÙØÏÒ _ÏTd'wa£}†Ó0Zð„÷“ãî¦ùü<ûÑä9|•Ý3Áµ¯†Ãé–—3~o=§ØY>Ûú¬l0Wb=P1‰ÜjmE ‚¬XòR[lÍo¾uv:.öC=æú;.Îa.©œBÅ™á˜S[þnºWƒJ3ÇŸNì1='\XrÉü–8á»Û²Ÿè:KìX÷»j¶6â‰e †g΀aép£´%z"¨±K†1ê¤ï.c1õɇzÉ­°G´TàRi’VB“eßÔòþë-)K@iͬ­!÷uì@_ƒ`»&2ˆq«ê u¿p%P——õ +áéS­Ð§|NüWP´ !€ì¢o-t_àš Šƒn…y­úV|¾Ø¤ö“è³êe`HÊêE =>\½‰TÇ€¯|ÑêcÒŽ™kˆIS¼T!‹”…ª¦Ì%Gu,%[IRý'®ëý““Áß+#Tç}mÊëê•3ú \ªï/Êßê¯df)‚õ`Š·ü•n2Ž#ÿ·î\°MŽÖû–(ªµCQëd¹6f‘—lÀ—qGö®žµvŸì²µ).Z&²æ¤w¬I¾ùò¿¶ÌTe{Ú‹¹õ­¯JQéæÖÂòÜ•Q­ž•¿E\m•`¼p–`öÏÒ´ìÁÚ\éz[•v7OV¤o9ÝœQõÓú¾¦}ÕØ$SSFMWÐdÂÜny„ï˜u£Ñà:¼ ·*éoœ[“ž3Ø ÅëýO ¬·FRJøˆã0ÙÐÕ¸›l÷ô¶õ€+­L+û&ò†oÛEÊ+dŒ- +­@Ô?¦ý^f›E¿ko¦oó\fyÉÜíJ×Óó«8Åz‘‰ÊΰÒXmi Ëö¿– -NwØ}‹…‹„OYÏpz&˜@>ÚÕg¼ÝªHcþ‡ .«Ã «×]7MŠü£¦z‘#Œf¢ŠàÃæe"“g¦æÎ\ÃÂaã°ì@Ö\ãqyºJc™\„¨3Ü”·‡Á Íò½ðý†r«'W§QVüEá Dè|¦ÉP½L½­‡sõ\h_h—í…#ôÐ9ÏZ-ÍTúdóÊL´>˼!õ„îWS/bžn­iAè3­ÖÑ.1Fx@Ç §3UNåN^nýPOZñ¦dÚ t<¦jGLyÁâs=‚Š³>»vW¾O&-8ךs§»hvöö‡eSȉ•`wPU_´cÛaÌéÅ™Ý=Õ·}öm`«<<ìƒ$•+­»UV×6Ì6dòÖìÁ¤oº88{ÔÝηµçºzkG}Ú»®Š<<ê7Ó\õÒÓjvg!5M×Á!®wž&—GmpfS¬ÙÎg€·O3x?Ì÷Ø +wZs«LRûqê/ë~lŸK]QÓVÉع¿õ:ÓoÑïmùÌ<Që'ÌÃÆ R]çAMXyÀu¢¨ º^¨ƒÈ©¢€œá ä$÷}ß!ä 9LHÈÁaH8«µh¥¥Ê¡rTtD-Å*ÐífY]]wu¤®Š¢²®u[bgÚÿßg޼ߛ÷û¾Ÿ"î÷ÕȹÚÔ I7ù•ŒØH”R·7ŒH§B—Hðu»£d¿të ÷*µá²²=e¢ JŽ¯t­jŽ|먾 á¹#T­¾•ÎIõ/¨¥W?þ{úÎ¥¯O»^ëŸÆ'º`ú·‘£õv½'$»^®óEÖ=7¨IÉÜßÖTF×2˵”­õ7-¯â^Ô'Zú"[x ;ìü“Åæ[U‹7ã–,©”þQyWrrÛ9†õE†—6cày'I§ ÀgIR“mŠ 2›¹¯Z½Q¸¾ý´ +ç{0K”,è^ÊH©/>€>G’@l`á—Tîë=FZ‹àÓðnZ¢H ¨Ñ³$mžÂ¯鵩K¬AÝ3D;w³¨Å×7⾎ԫÅw·‘^J<á«È`i$ M_¤xÐò8w¿ˆU-,ì/h!Ó»pbPê÷ 1²ª|*¾k _U¾;ìÁ£Nè“45‡ü²’”jûõÍX£šç_€:Õ]¸‘$ %¯Í«X³+éè óÃMiwzô‘z{7í”Ñ`fOEêÃù5Fo´hÆX„}»fLÏ}ƒ¼k%ºJŽq¡_b£Œ_†ôAÆ5ú¥4WK­àÌÕ»ª'h?É:lÓØTHƒmm. ÞmÕ&Æ"öX7…rÑãV7à²Þ×ïžßl̨b§]r+• ÙOë¯pKó[œ{0ƒEÃuwrf ÓµçFaëjCCP§k®ÆtïM»Ý»’Vwô[FRçû(¥YÙ-Vî²¼åÍE8£‘™Ó Á»ÿ™à€PÜð?¿)™p>ÜÐÍ›ö5˜ #ÿTÈtäF%‘ú“ÌÑ3ª qhkü”Æ ¡;ã`¼LVOp¿œƒZìÛ“. ºÛj¾&ü\CÊ¡ <¿*Ùgü!½°r)JÌü‹¼;ȳ–&x§K0äNÁ\“úB¼&æ­£Ô³¶‡ùï$¥bÔ7fùp¹è¤t(Å0ú±HÉ2Š€—ª3¤Ó²G1d?źµûè +ùbßVÖ†|­°®ÿ­\[æ†w+™tj§j?b7–¤öÏhÄ«§wJñCmÐmê#Íb.^V÷äBõ–ÂDR¹bÑ8¥¡E]4œJ… 7LGÏc.Xd£°à¼/ÅaÏ&ÚŽè ù@›é¡¢«˜zQuÖˆ4TÒq¨i˽èèbË• 4ù3˽Ê~,ãyýmoθ³[ü 0þ ˜ +€l«} ûÞTóCÚuäLõBt 2¿úZÐò¬ã°WÙ>¤´EhÃ@+[‚Řüê¦yŠµÈÝ0= +ósç¦U"r]á;îû]÷(üºÌ{…Ó‚®¬eù ÃE=œm˜òa^2þ'FKv¥~.ôОmŸµ0O­j(›eñs¶ßº´€ ÕPk*!“þ3ùIËBЦŠñs4å{^§|{6ÏÆk\* à}XÌYž¥Ç å©ú‰DÆ=A %ŒÍ$’hǹW‹øŒÇ‚ORV°Ž‰ü· ²ÜâÖUB›øꯪ¢¦rÏç+C°a6¯‰ ¯ ‘ãK‡ÀÔ£eàä :ZÚ¿u6&»à?ÀWË&ïk‹).”Ù]%¯ä]Ü,l”b7MX]Þ[H"}ªìØWL)RI·rÉfõr?Aâ­ÆYÈ&íI¹~_ÂIBåë$Ø{XŒîlÿZ·°·—X¯E¾&|¯wø#ùºßþ؆û`ˆ¥_vºß¢fã–õØu¨·æ3ù—°füÞõømæ¨È8—9Ç?ÿ˜9ð£ +ÌŸ¯ë”NûÕŽ²º`çjz1*äÛêöüíè.Ç@’ë爎܋¹ì`øoåÙ’¼J_™·±™+-—4α6@’Ð/DWEÞjE}HRæDl¹‡†;Y+ï öÛÏz•®‰/‡–…þˆÿ1†DçÑ“(z)oι&ù;éÝ.§4š¸aZú#Ùg—êsÍbÎZ+úX½Wi;ç<~†næ"¯(Á ô´µ ¢MŒ'‰“b6›·!G ãúùlP<á^\ÌÙnM8-—-aœ G+dyXPä^Ž‡s:û¯•÷0q \p3bˆ¾ññW¬u.æ,Rå&År§„m„òž#<„HeÊĸìvQél‚˜Ã.ËÀ±ïJ>«Ò›Þs)·àlej…(‘^ Ÿ¥,—=…/¥¡€¬FVù’ø6fjùðÆ;eð‘­²x•â%ºD³‰Ÿãk¾%!FW@aäæŠo2QT‡vs¼ §5‡Ãhí0ðŽB¦{âUò÷H—iGCO˜®ïãzL' pb¢Ižq”¼Í‹+'_1…¯¤Lvà +‰‰ÿQ¥ÁAÕÉÜÓ%ǃÄ$Ìû[H~‡}{†1Øf½KÔÙ²Â:HmWS +µ¥Ã«d}Ð2w7ñÔõá j¾¾‡­<ø ½O¯›Œ­Æ7ÖiÂ2‰GêÖ;SW•Ý’Ê!Ø@YâsœZ~ß*âî¦ÞPÆ6îx¤QþÜ¡Ž§/9iø7cñGªöHV‹fÑ3ÌáR>K•ÌüŸí2jêZãxH"Z‚"²)ûvH€Dö} ‚@ز} YÈJ64ˆT(P_Ý(*¨C]žŽ¢mi­öéSžJq·OZÑg­Aåé(nœy8}wν3÷Îÿ7÷œï;ó?߇‹*x"Dü’Ö6²Hae¢Z +Ä5Kü e +tE=H÷º·—±ÿš\Æ’·W¢8 Žù7¹¡2ƒy÷èm¡ü]L´ËŠyô‹ÚÄ ªß1N<å8͸@û±û±“ù:ï> Å>›Ë6p·„ü›ãÈÓ¹à¹<ÞAÐœš*ìµ®‹5M +àåN5Ðøƒåg¨Á³Ü¿ÑÝ]7ïcðDþ!\Æ÷¢ÖO¢Û6Nê(©·•bž¯‡H´õ‰éÖ²r¯t1gž‚“u¡ÿNÝ'w„ߢËeÎGrºÍuŒbÞJ1îÖaÔ#øgøiõ|™ÑGcŸƒ"½ÐÀÐ á'xù¾&ÚYH;£¡Ùª4›T1}‹Ï®;cÚV*¯‡™Ü²w¦f”™´Æ|œH2;ÛR±Æl›Õ{í‡ÝKÿ²*²óGÜùZPgìÖ׸z *Û¿ù©?Ê—ÖÁ +¦×“:ÌN£”Íæ9u¼ö”•¢L7Ûo©­¹Û|jëBñjEV—QRªî!Ū¢Ú;~;ÔZüZûËÚÝxÙ²ž +ì(Åñ†œ¦qìƒê’ïªÁE蠟ëV¥‡Éñ7å:b¹Ÿg3ìh\yˆœ²¬gå.:OšîÇé«ðÜ0ˆ7ºÒ¢›¦bÞ4=¢Nø¶Hdto{¥<ƒî¾¬çWZgHåNR•üRZ\á¨às†W*Zxˆù Æ»QÍ®ñ™l<ÆI³‘Ts|—õâX)‚…c?®€p \BÁ —ÉK‰àuðç¢eüÁ|0|/aì½hBÈ|樕ª—ÐsEG±¶ÌMâÁüs¬Mâdû‚Ä?ê*G,õ°ïHÞÁæ…ýRÀg®[)|å3…¬CëQÌå{0b•‰Iט%9*–ùXÙáÝÌôR‚MñÇU)+ë^Ü ¹P,¤põy*šŸ~uR½Hw,r„~L×îõ;í_º“°vN§øˆ"¹í¿—“‡Û¿Ê]¤ðÌ//S{Ì‘‘êͶË^¨Áæ0X 7Õ4¼’ªžÓ㊈Å#úÞ’{Y´<mg¨$…Œµ5`·ÊVs-*l¬Û»¬gÆùr¯’iŠË•[ šÉÊöL¹–X#DEhgq!N-_׸Îêc YP‹a ˆ!wÖú¯Ê|Emí±"¬ÒŸž¡PþDŽOUHSðr5¸a»+[ý– m×þ• +äWh¥*Â¥{I/1YwûôJyõ׸jùkú@p[³†ñÈåzó3*RÝeñŸñµRXwq3¯0´©Œu%­BÍAÅ’%\NÐC)šWí’'5ð꡵ +&ð™F+U,ŒŒd5ò…gR "JãrØVDB‹SDO]V‰[EסdyVÓƒ•1,+åIevù"þŒì`Ê‚WrwÄKa¯œ¸Gø…|—óÞÆ`¹Ú%+TˆVR¥C¸Fœ÷œ{Ys*¥š÷Zó5â߬±Rùe³s¦ Q3 +ÅÉöjáQA¬4Ó‹<>ÇÆô$ù.7Ã$C$p¯ç¹ãçõ¼Aã)h©ì„îéJªè¹ewáTÑÂ*Fmž´èK™g-‹ØlïŠm„Ê*{íö{•¯–ºÑv\ܲžsØJa>3_*Ý‘¹ÙüÛ>ÑîVµ5ü|»¦æœWG_Ç>ÁâR÷óR_YL¢!ì´R…Fã›jz†§ Sû5fÚO›2Í’<ï¶Þ `¿¶}I\:ÁõXêi Zk³R–—H*‰Ñ4„‡Ùè[(ßxúÔX›á$u|I9̺TkV—ÿ…z––œ×l£_‰×¼g¢C½µ%¬*íw¬XR ‘õnY)N.õ9+•wZñ[”ºE9ÊľW¡øŒ¡J%ðw«p`Nj“ ˜[ÅÊæ.Èb|JOsd“W,®ùRÓ~ø#Å* Ľ±yFâdwC‰’pÌûˆ*‘L(8ÉOeÅò¬lL±ÇËž)A›ÞÈ Š·vÜf¾ˆ¶F¶’ʹ.¾£Kn•dë~Aò¥¾º]ðDi‚ã(iÔÕÙ]YʯíJ½õ™Þߟ“?‚>Úwó[ä¾¾Å7ü†ûKK“6Èw"ËÊ!eýç°DÈp”ô5‚µV* Ý3VEa{:KoEDôôcɾ˜ÞôJš#¯oOU4ä“—š4¨å¨ä›l¬TjF·k…,>±{SÕ?ý»SkÁ>‰ÝSu»×ïÞñ=Î|­ëj}à€‹Š­T +¿SU÷.nkç.ü«°“„ƒÞômâc‡Å®)RxØbÛTÈ<ÀTÕV*y Ã™<+î`R‚C³;SÅ^ýŸúÓ0û-ëitp¿ù<È—º2I—Z_0ñÈ¡¶VVKHWÛol¶—­9“=ëfdÿ j…ðb€¹%}D¹C¡ˆyã{Ásø‚I*”{ZL߯›1šÀ½†óâr`n}+©D¥îŒÔ×_•*áUzÄ3Êð¹ôÀº}†i7˜§7‡ÿ9_‰kµÃjxÌLË+u ¦;¤FxLë.«mÖm×áÔQ`sK›zñÏ´¸ø¿›K#—> ¥&ÁôñõÞ—xiB½VÝ^Í\sË3Ú_X»è€X_ïرá¤ÓC˜+Ò­j£|SŸû kžÏ½ƒ|j|[ýŽúßX +ΆÓBL¡ûÃ.„?Ž\ÃD˜‘C±ãq÷ãߢ7nŽO(Mä&™“¿JO½Ÿ¶á˜š•™ËiÊÝ–w0ÿ¿ë÷ÃIÝJ÷LM,NCOYPoQ†RœS²TÉUßVöX Y#Z:[Q\f]x^Š_›`­a¾bÏcàdñfgh#i3jBkRl^mgnqozpƒqŒr”sœt£uªv±w·x½yÃzÈ{Í|Î}Í~ÌË€Éǂф¿…»†·‡³ˆ®‰©Š¤‹žŒ˜‘Ž†{p‘d’X“L”@•3–&—˜ ˜ÿ™òšä›ÖœÈ»ž­ŸŸ Ž¡|¢j£W¤E¥3¦ §§ü¨ê©×ªÅ«³¬¡­®}¯k°Y±G²6³$´µµí¶Ú·È¸µ¹£º»~¼k½Y¾G¿5À"ÁÁþÂìÃÚÄÇŵƣǑÈ~ÉlÊYËDÌ.ÍÎÎëÏÕоѧÒÓyÔaÕIÖ1×ØØæÙÍÚ²Û˜Ü}ÝbÞGß,ààôáØâ»ãžä{åWæ3ççèèÁéšêqëHììðíÃî–ïvðUñ3òòêóÃôœõsöI÷÷óøÆù•úaû)ûëü§ý\þ þ´ÿZÿÿè§,„¿ç +    üóæØÌÖÞááßÛÖ Ñ!Ë"Ä#¾$¸%³&®'ª(¦)¢* +ž,-š.•/‘01‰2†3ƒ45678€9‚:;~<|=|>|?}@A‚B…C‰DE’F˜GšH›IJŸK£L¦MªN¯O´PºQÀRÇSÎTÕU×VÙWÛXÞYàZã[ç\ê]í^ñ_õ`øaücdeeÿfügúhøiõjókðlîmënèoåpáqÞrÚsÖtÍuÃv¹w¯x¥y›z{…|z}o~dX€MA‚5ƒ)„……þ†í‡ÛˆÊ‰¸Š¦‹•ŒƒqŽ`N=‘,’“ +“ú”é•Ù–É—º˜§™“š€›mœZHž6Ÿ% ¡¡õ¢æ£Ø¤Ê¥½¦±§¦¨›©‘ª‡«¬w­o®g¯`°Z±T²O³L´IµF¶E·D¸E¹FºH»J¼N½R¾W¿]ÀcÁjÂrÃ{Ä„ÅŠÆÇ–Èɥʭ˶̿ÍÈÎÒÏÜÐæÑñÒûÔÕÖ×*Ø7ÙDÚQÛ^ÜkÝyކߔàœá¢â¨ã­ä²å¶æºç½èÀéÃêÔëåìõîïð ñ,ò8óCôNõYöc÷jønùoúlûdüVýDþ/ÿÿÿØhÆ 2 +R e r xzzzyuph^RE7)4=@?:4 ,!#"#$$ù%î&ã'Ø(Ì)Á*¶+«,Ÿ-“.‡/|0p1d2Y3M4A566+7!89 ::ö;í<ã=Û>Ó?Ë@ÄA½B·C²D¬E¦F¡GœH—I”JKŽL‹MŠN‰OˆPˆQ‰RˆSˆTˆUˆV‰WŠX‹YZ[‘\“]–^˜_›`aŸb c¡d£e¤f¦g§h¨i©jªkªl«m«n«o«p©q¨r¥s£t uv™w•x‘yŒz‡{‚||}v~oi€aZ‚QƒH„>…5†+‡!ˆ‰ ŠŠ÷‹íŒâØŽÍù‘¯’¥“œ”’•‰–€—x˜p™jšc›^œYUžRŸP O¡O¢P£R¤U¥Y¦_§f¨n©xª‚«Ž¬œ­«®»¯Ì°ß±ó³ ´ µ8¶Q·l¸‡¹¤ºÂ»á½¾!¿CÀeÁ‡ÂªÃÎÄñÆÇ9È^ɂʦËÊÌíÎÏ2ÐTÑtÒ”Ó²ÔÏÕë×ØÙ6ÚLÛaÜt݇ޘߧà³á¾âÇãÍäÑåÒæÐçÌèÄéºê¬ë›ì‡íoîXï\ð[ñVòLó=ô*õõööÖ÷³øùbú/úôû±üfýý³þMþáÿqÿÿÙ T– ¡ +¤ Ÿ “ ‚p_L7! ðÖ»Ÿƒ}tfUA, ê!Ó"½#¦$%z&d'N(9)%**ý+ê,×-Ä.±/ž0Œ1y2g3U4D526"788ñ9â:Ó;Ä<µ=¦>˜?Š@}AoBbCUDIE~% ô€Û‚¨ƒ„r…W†;‡ ˆˆê‰ÏŠ³‹˜Œ}bŽG-ø‘Þ’Ä“ª”‘•x–`—G˜/™ššê›Ôœ¿ªž–Ÿƒ q¡_¢O£?¤0¥"¦§§ý¨ó©êªâ«Û¬Õ­Ð®Ë¯Ç°Ä±Â²Á³Á´ÂµÅ¶È·Í¸Ó¹Ûºã»í¼ø¾¿À Á0Â@ÃRÄfÅzÆǦȾÉÖÊñÌ Í*ÎGÏcЀџҿÓàÕÖ'×LØsÙ›ÚÄÛïÝÞFßsà¡áÏãäMå™æçè6é†êØì+í€î×ð1ñòïôMõª÷øZù«úöü:ý{þ»ÿÿÿ€€æOÎXÍ™¨~Í´Ú™~yœ£~eƒLÆ~…j…þ~ÀQ¿€c=9€üÅ~|‹4äµ~c‰lÌ@~]‡Ì³š~n†fšØ~˜…C‚~Ù„OiZ/ƒgP®¯‚¡8€væú¼}6–qâû}0“’ÊË}>ϲ:}iŽ^™“}¬Œ,€ï~Š ׉_L‰p‹´²‡K­-~~,*éü„~ŠÄ&E()D9ÒvüªyoŽw¿yóæÍ=ÓTS3ÝwI÷!¦D)ŠJ²ª%»­„ßOBvÿ‹ïwýN6ìÏ4àø;ç>ý¿FVWíñÑm¬Ž +Sý^ÖD±iéÀ*÷¬bPk‡ÙÙà†ÔÀpØ©È?³%"È1ˆ#!ϼK`ùµŽL±<ín-eª2*þÀÊ+Ý) X䥂C@µv2l Q?ñþ‹í(=ì0Éqåç½ Mzï«ÇƒIãz·¶¹¤7MEïY;ª¦ä Yµ¥@K (×-ì¸\ó»÷Uðù¸Ÿýžºõ&>©røäðŸIª^»¶ï“2ôò¢ÕIãMe;Ya•àÛ"·ÐVà®Né½,SÙ;¸²oª_%sœáD;»õfÞÆŽ. ±çìãR?l ;0Dq¶>´™á8z‹DÎKG)ò3oÁ”+Á&ï<4ý§@nÍ—0ÏEÐÉO¨9ò4©‘#ÖçÒµ°ð nƒW9 Âb¨å¾_Þ‡7}B¯2±yËvú„è/Ä…JH삻Ȧ³Õp$±È«Þˆy;ØÆÈÕÉǘ¯fþþoè™”¸F¨LsŠà²I¦Ž‘,Kh€W2î!¡A˜×jHE^°Ï„ØâÁ¨ _ÛwÑd¯lX÷gÔgÁΩr!jU)þ[%ÊB¾†\D¿ÄCf‚pû <_€Ì\?ØkŽ,.©¯ë†wäŸÈ²ƒ²²¬irJ·Œïä½R›žñâÝ=>0Á+cvØÕñZˆ{HlÓlÏLÔø×VAåc™Û …ù‚ ^{6oÌCÒŸÎãSõˆäÙ…ðbÈã‘ï:sz à 7ÇjôP@ÝQ¯ùÚÕË;[Øw‰g“|z3Ï0Uq`!ÏP¶Óîž-~|ÜôàX·3ô°+°z2lIÑ’¼¨:ê_<ãòÌØaîXþ’S5\}úçýEÜ^…ÿöîGêÑè +ÉXÞùJ4†^¿Æ¬w¤ï¤/€ûI!5 +Šbnñ#ÙF§Œ‰Oìüý®æÈìŽ`.Ü™‘-âJ\Õ!gZ0¿ +)ýÝ¥q A߀«½O’°kðVÂô¬Ã^B™Ûï ™f8KĈza>p-Fð„þOÚJ*€ŠÆÉYr(º—¨".À°Ož˜'š±ýºqÚ䦨ÚéfÕårC¡üRJ'–dc~¤‹híÕ!€Î?‰`ƒÔÆ}WÑzBd;…hѲG¯„ϲåm½T •ÖSAi©j9š<î „ +ߨ×ø%É@`æÅ8xL¢®T­qÄ—=¬,Mk $hJÔü®ìöd˜ôÓþ€x_§r¢Ì°gʱÀ­ht­G,ÒK±ÝytomVK0õ¥¢¼XÇ?R<¬J%žämqþ–=Ð ¥]Ù›¿“aÛò`sÊ Ç7ÕàÕg&Gr§Å€ç?>ÝÄr&Åz`øb>&ø“ôz¶Ë%¿•sxÛbwì®&å{ô~¤Ú…ÜÈ]"WÁR©%¼c"z•D “¡ÐãzA ´Ýýßr¶™Æs!Ö=jcf]­‡rm“ýA¦¡NJl$ÎäÛ’™#Ø‘ é>ÜëøwTfáGF£F69¸9<×µ.ù'S³Z*¯Ëº#½-ýJl.ÑàZÆZxä‚%m*Ø|ìÿùÁí ño± Ç2ÐÓ_TÚÿWÊK4™eRsu33'jRF–B¾ÌþüWl|ôÿ +ÝíF§øgml0L×1¥, ©œ—¯Ïy¤+•HuÑÌ2‘‹f;Ë[¸T½0BEÍÊ{Ž:‘¯Åqn¶ø´àõtoÑT†]o®´”•–úkI, + „îLgV_R:­KÏ‹0¢dêP?= ËÆv¿ÀEÌ·Öƒ(M4èm”‹šÖ\—ùT·k׉o–ƒ´”‰,ôHœ§=³Ãù”‡¦Þïé¤Zµ»w/³®E½Iñ-LQ¦ÿµ¢[ú©ùÇ ¾8¦F/˜gÖ–'$‚?‡[òçu~fÄgõÔîhÜXÒjšñÝš-³ VIñmíK˜ÂÕ€,%išbÁŒQŽþç*e9à7‡·ˆƒÀ—®ÏèWK«‹üÜM™YiHtÑXÆT÷¤ÅBUD”ÚúwÜ-Å4ïþ9#i¯Ô—/ñr]£hGÞˆÕ/¤ + +lD2 h§Â‘Œ%TTòT*FÞÃédw">ö­âGüðY?é"ì°Ï[f r5ˆÌÊŠ4€Û`ÝùTAoº‡4H5”rWôS¨8ÂÖXy˜ ;$YrŒÿÜ'‚q ævUPñåV&Š×çèÌ4mù/5LJ¸òEÊ:•ªSË7Æì’HvŸy..ø› økâP¬X¬¸Al…¢â`Èå¥ +Ȩ,eª‘: EÁ$@B’KrÉå².!{A$AÂ,ÈCåY[EA;|Š TJkU>°ý¸4í1aÕÆœdžcT.Ð×ÿéîUs Rã&ùBc±h»R¢)¿‰’¥Ä +† Pd;‘ÆÝÊŸH«b¯‡ŸÄl?1;_Ñ:i»^«½mùMh9Óœ+,—xê+(‘‡j3ö=P6uü>§¯a}Ú&¨‰¿bß (ƒúÒ0ô€®=ªá.À<ø’2&îmÎ%ë…u9¶’_ª~z¼Œƒ•­L½å!îS`(ð6ÍŸ>Õ©Váú”l¼ûW䨸¤m5y‡pgó!ì2¾·<îÉ PêR%wCý>èÅuþb€v…Õï«bšFñ.0¬¯ÃUKÒ$áK¾;¤Ø‚ÿPà,ž!ôrAÔ5­Ñ%\×ÚvüÈò"Ú +[2Ég”wãõdx©ŽJú±æ“:”_Ú'ßEÚš_+^CÚ˜ÌÜ íŽÞÂI! vüÎ,­¶V7—2UJ§¦÷Œ÷ìL­‚N¨ITUÒK×ÉŽIy/R+“=+(Ö¨§°Œ²vÇð6™×â°Èø·!Mܨ²ñ ¼‹@P¶B‘%…R-›—-â3’ì|4Ý-ÊÆþ”ï˜)#Í¯Í Òwòƒ¨á.ËܘŒ<Ç;”ü b»ä#‡’;*œ…>$eó†˜G£Èì +º>à3ÆêïÍñæ"íÙˆ~A•ÉZ¡±Ë$xOU¼¬x›ä äf𜓜x;Ù¥QùÐ h XÅ(îZ”¦·–ã²x=É`dšàÆ 8…b‘†i¿•dç, ÏÉÄ!îenZ +b°èî /šÞ²ÔÔІ‘þ ßÜ2ÁôPß0~‡ “+µ›1ÙbâaŽŠkÜþtTÉö ð ? g›)¦Ô˧¤9ºÇ С¾`.Þ“§`>'4ºä\DRdí‰åÜPaxԗИ°‰®¥?i|Ü9,¾t §¥Ä´˜q]•Œ"¼ë·m-¾9«O™D Ä'ˆ«¡ExÕ>÷#BãÄÍÓÎàz6¿ºõÉNkî%­¬tmÒß6BDzV®âQ÷GÃq,2ˆO:â× yÔ{i¾îHcy[]èvòaËZÚ÷TÃ5 ºÈ¨±R 3§ä4Š5Nß@qü‚G!ýfYXr‘º³ý{î3^¼ëëM7HX1­Ÿey«ŸƒÁ™õ²ëôÖ8´¨‚¶7Ò™;NðßÂü•ÂP9†Åtn§/Dê=ÌÅüñŠ}*÷ðIƒ:œý2s›Ì‹%‹óþÜñG{êŸÄ¢7©ÿaìbÍ“œæTÓB•m…6ÛºÒ4JZmüIçÀ׶ªFÑ×”zé\F…D*ríE²yΓðÖ„¿ Ì£ÝV¦µ-ï8ˉi±Ú#ˆ7•æXmŽîZ®LW:2 +$Iâ·±d­`UÄ+¸ƒíæßzÓ3„Ó 8"}×åY\úE^û\Qñ¼íܵ‚³¦)<&uZ«!FM)V×…"çÚŸ}ÎÃçˆ&aÌ€/éíÎå Ķ» ‹­¶5ÄÏ Öÿ¬›O546ƒPWä눤0ð ÒfŸGlEýbd¼c ­´'œÆªžrûŠÓ¬[©ò{K(ÔÔ"M/y%•“Á0=²Ÿœâ»zFŽBx}霚{w6{Y5¹í0Ëóº%,ËÇ4ù0œR}Ô“´vTôp>¬K@¥fùR¡$Ê7HìU( ¸ÞÅàªÃ/1¹¯0’f<,È÷1äB§S>¨ý˜Ù¨RI3#&ì&ÿÿ´pßaÖ5j¬àé•Å1€Ð9#yTõ®õ±H†9cùIÀÏû[ßÎÕ§Œ©jÙ¨’UÌŸÃ~á¡?óýÄÊÖ À+Ý7ÀÞNŒzMÕ`k†|-ækËqJÞ}(Ò˜2¨œçþÊSØÁaÓ¼Gài¿ æ;ຠíÊbË:`uǤayU“}T“€ 2÷ÉûòFtmâÌ”%OpuDìÁ‰›ãU0m~L-_°¨·±µ:ÛqÞÒW¥²gÜ0~æhuwÞ-] NVº‰rPå =<×]x;Y×ýÎ1Ëiw@á­í8,áÝÐnœù™«\(zºÆq²¸ö‹ø˜„b“ !$zB³§&5d©øníÈ6ç1Q&ß &ô «ÊC¯Àu÷ÐŽy#«À†­c%$7ý]w'z\½‘×0Lük{8 ú;ªËfºG‘Sè —Fx¬P‹~ž®Kƒ’÷†Êm %t3ÒM÷ö€êcúúcM(¡ª¹bCÙB·§$ _Ú œÈJ±,âÿË@øÕœ †¬%Ó¸Zš;ó‘˜.6„’B˜š)éPé˜é Tï~¿û~÷Ùù:_÷«tH·NI¥TØS„‘c„ÖΤ5Ùýþ_Ø3¡ðšÂb¿Oö6É-[o­ Ã7ÿ$ý–Œc†nú•ú“:¸zN‚q–³n¨E2~Œ7\NíöTº¨ö'ë ²"[–fTT°Ë^2Fþ›©í©²&µ¿+¥Ì—ô®ác5r~Ô•¿Í(ôìÑ÷jæ÷é¼ûl 4´8mWDC]X¸#Œä×<˜¶ñÇn”_ ÖT™¸ 45 øC0 V€‹~ mñ€&AGçAø¾­ã7w¦¬Žø@Ïw‚«ØóŠ;˜½ÖQ8‚à îQ³  òä´?d‚ä9¯€÷#1ó·yÊ•q¼_eS]y|ždÌ*Ø&6Q¿ô3 0J(¡WG>ÂHêãËN§ vøµ×Ag÷¯+Ü[éo:y1Ú‰²GümªUVá'p´J{"„¥âíÞM@3íåX­Çÿ|Ëñ*ñ¿oÆ™ÑÞžÌ%sfJ¹<·Ìß”§ù[ÓþÎß-0R'G äiÞ++q¢ãNPFæ¼\ÅÖÔ&ÞíàXT~yýkÎPÉxÉ>®¢àŽÂ–—ýŒ·ƒ›þç ~u2LX'»äP î MŸO±W· ÇrØ© Z?qöU·ïäü·\+wå>-”q}y/sRÂQQúú÷„ÞJ‡Ó@737ÇÊîK­a[tÌ·š°»›„Eû8X­¥,œ›T¾ÈÑñpý•°¾!ëã‰PÁïêVûK$³`ù¹üΚì×µœbÇu~*LàìlB¤z-ì÷<ËR&,Žœ+?þAP«]²oœÅèãLâKžÙ 'y¼¦¯ð ¼Yý?± +~”t-"XÏnÃv&Ãô­°ç„?úøÍÀVAìG³˜+¿‚™¢Ï7¢WÑûÑh¤KüµDâYùèZÄ%4YF¶g£[öÐ äÄC_ÔMæ6Ð…LJ®¥!˜¨)¯9ÍÕ!6ÔÛ-²‡l?¯@œ±W …Ÿ³?‹t`k¦;Ýé½ýæpŸö}ý +P»ç†À>Šf†{Ë’i8DbÒÃ̯Mp/Ų›FñÊ_<`w½[ßUèq®°. ÊÏËY!é'i7ÓL'‰ ƒRüz$û‡§v]²cÁ-äÞ©%HYÙ~“Ù•­ªç Ï鞀ws{)WðaÖñ˹ÐúÔ‘`è¬ÊùØ{¨[zÀÎ ö¥ä“Ï¡•ö½Á¢Z¨& ­èËz +-ù† ƒòU‰Ï@uÖBP.8›jz …Bä£{G tϤ1˜Þ•q# Üßò¸^×oÍ2†ªN*`®D—èZçm錞cß@QYîÕ@ÕÌðÄOàÉÁùžy`þ†Å•^ £Í)ÓH¬?€?˜Ês %Àý¢ðÀJð@öf»à-ëÞHˆ%ª{#}Å™ìPKn@Åu±5w:=ŒYæX9ýŒôÒ(5#p ü9#ôô½AÄv(~”-Ñ"]íQb'ä ¡y¦Ñ÷a +­¦Æàè‹ÏÉ'ðÏ玣· +¸ÅvO@¾Ù%ö7_ß*Z-r*Çé~z Õ‘ê 4ˆŽ!ñøwÄBp‰°ÂõG-q.Öa+Ãî¡cÃÃ"wmqk=½¨ÞWfB +k^ƒ²«0‡ø¤>n‰puŸ5àÝ㞃= «m]0oüüÊÄæ-1ô·:Ç’~ê³ô%ui¤„¢;ÕpÜVœ”Où/a3’î;0†oó½KܼL·—Å6Ÿ¢EÎÅõd@þZU%¡{ òñÔô‡±^Ø Í°ÿyÌO‘íV‡NHLm®¢©uƒ?ÑuM¡äB•EQ1\úIÙOéu¸iÎ@L–’‘ï7‰Nk\ÝÐddƒ [i‹|ª¯í‹ÆlRÜ°¢è3"²ú¾rW^ üˆ +¹1ë°Í9ö~±(Vì¶ýZQjsf¶ü•b÷5~Nlï, $LAE ¾±Æ\ù–ÝûY†vÄø¡‡3kŽô"³*ÆÆIežö´¦.²gj4uœä¼D•’k"Õ*TÜ~~ˆ¬œgˆ^– ò›~½¼<|ƒ1â­c©Px7 í‚kFÔ84‘K(/AIƒ\%óH©âG;‰'¡â6‹¾€æ ½à`kK’…¬ï +Ôè¸Z¯J¤äAÔFqµŒKšqÓ$5„GT´è#.öa<±ñC]”s¶zOŒ£9ÇÔÕÄ@yQÑ’í-–D¥RPÒÇÉßGªD{˜Ÿnš¦ªùoˆ«^Â7é°PµëvU±vºƒ²ôT_F"éT‹Øeâ Šñˆ^‘9ùì¡^‹9®¯É(Zi•í*³¢”JŽ|1¿"Ë]º˜ýœ.˜g:±ÆE¼TIÄFzNõË÷%T„|ƒk&ñJ´lÅÇãIùõ3ÊŒª+År^¹qfŠ”SNM‰‹òX»™eÝÆ $’vî{ò…ꢫ?I¬Bð-”º-Oi×0iX’oª Èè‘šT\£¬Å.%kR&-?(ümš¥=ó€Ôþ?~3ÂJncu ¦/·mS<ìøÃ,»ÙLeØH:ëi¢_+MSVüaù kò@\Ѓ*ÕÃ+w¸­qDS!h”²e +ô4€–9"(hÂd}+É—½7Œ°)T« Þ b¹ÇªÔªhmõê`Y­Õ»÷ÿ ís<ª†-´,@˜­Í‹ÀŸ‘þ¸ÏSCg—ZwÓñN² CUëcªÜWš’š¤Ê-JGm\Q²ìA]Q†]Æh&D‹¶0±íÐZvnw %’õÎá0†°zºÊMT“XÈI¶ÂÉò:¤Ól¿ŒÅ<›7åaÍ` çù™5æP` ±Ý°Dh“k?Ø(ªbþø Ÿü?²`Fº‚gÝÏ—ñÖűàîy¬¸À=î‹4EJ‹í­ú0 GvQ³O4À©W²‘Šd SAšnMÿCäÄí€ +Ò±|`ïŠ7ø_·ÎvY—£¦Io©«ÙRÅyYqE¨,Dò;É&Q‰\éBÑxWì¡rÀ‡­v tÑ Û[€¶Ë\"]£º©ËU¬ÐØË‹¥ ʤÅâwÒ髱<¶ |Mc+…éÂåç ÛZê¬9Ú(WZ¦Ê³Í°Âäf“ïø¨t‘Ž~X)†Uái—á?¤m±ð;1 pš't.t-SSUn;Qñ›à¹Y(sÑžè§Ð*ÊMº8=÷œâ +BHZ# ƒ–GcDáSŒ¬ä{d'Ù,UÚt†£‚lÓ=í´,}¼*–¯vËcrÓ+Ð]‰(_ý1rœÃ˜@?A[ã˜ã±K£Dl¡v'”oî>=¦ëÔ’‡ÕÔÓ•[‹µ¨?úQÔ ¤ôn!ܘå€ÚÊeÖÞæoø…ÆiÇÜéB]²u3ùˆªßPzP'ߧ–%•4É4Qw‘ Ñ L7@ì?;ˆgSVjgoÞhoùpê7í´sy‘ªÄüRö\7”ø¹äˆâVÔ%xL| 3¬nëÛ|å´2Q|ûí-ÓÜGo¯tž©uÙVÖ˜³Gkî}¯©fdã'å‰Ì°yQ/;^¿Ò+bÆ#Û&™~Ìôæ„úÙŠ2‡—¹¼(ßÊ<•c 3ß;¤n`9ðã2[Lqztö.˜ÿ)ô<äÍ×ßlJàaËnìæš X>ãîÉšÑ×pöòTÖ†‡ø)Òð$œDrƒu:5¢zøjë,|·~›û0T\~È>®*,6Y +]è·7E9!À¡7ùëÂ;aˆ—¢ÕuŒ*Àñ­8Y?ÃäÒ¢Úã#ö±¦àWñfÅiAö~ª\âûÐmB\ø$ôžOÒwDh°E©•16:_«ºçÙJä³ qBšùR%–Ÿ*Xð÷ø3 á!‚ýO»Ëþ:Ü`Iª»è¤š©o¬ßk×ì2¦+õ™}šYñºü'1ß%Y õG¬‡°ÂPMJ{rK ´²Ùæüwà˜³¢žµæ_‘æ µúL¶&N¹‹è‘óÑ øNñyA'…Õ³mﺾo4gz"ëv;L® j•eΆ %ÆœÌÃê{š¢N¾S6òÿU'*ÈÍ@†dÙÀÚjNcÏÍvêo^=‡Bi ­ŽÉ7¹95¹©Ïlµ€AÇÀⶫ62ý7ùIÒØÛÅCçkëyV_}BÕË.I=ëYR2¿ÂU^ÿcê°ÕÌ~÷oîß\Ƙa3Ƹ2Œ«è@e»ÔæU*Tl©çm«cÕÓ±½ý¾Ÿïå~í x˜¬¨„–ÿn’ÂN¾îú«ÈÚïûU¨Ÿ)o`Iχa]é¯ÚþÀæP£³ÐFÊÅšVTC&ÊÏ£Öà¿‹Yè=ßd]/æ§Ã.ãó.FÈBX´s+$íé=­}buMÃ>‹RWm6“Å–6á¢ÅFX ÷5ç½x{v*ñÇØÇîýj;‹zv­²<½¡¥_~‹ú•ýA¢”VUJω²^IjˆQ…x‰ï½¼uÂQ¯oÁ=l°K§_ÎÚÕ‘¬EÒkZ\4³¨sêqU7¥ÔvOa ëJ?ÂèÂQ)›4C«ƒ^ŠÅÂì\ç¤ñk[{»Á3½¦y¿~ÕõÔMí|JµÍ'g¹¢4Ay,$µ0œ( j§H†¼lò:Q"VÒ‰”1X¡í&eº½©»°ƒúí© ùs)M•Zœì(éW ×|ÒϹÙ\¯‡ò8Ñ8&ít³ícýp¦ÓÒ”ÞÞaö ’ê…Í”«•‹ô ò­’C¹›CŠ GU®$^îfb¦·³|8u´ÓÈ̸ÇÍûÇ&áÑØAÖü9kÕe€7”;Î㥦ì…æñk¢oÁ›ÁÕAv¤úò´…Õ0o5±‡Ÿöyœ'ð‡ò—Üø¹ŠÆ€ÿâ<ä!îÉûÁ¹HôÎ÷P’4þˆ|yÆÜCÈ{_³2eî§ÅlTrÉsôâ*¥·Idg_à¯'V +Fvz|"ïÆž¯¦Ò©n2=µ3[ï°"s¬¥ŸÙtÕ ù}Nâ8«”ûž`†ùñÒÌ1`X¼iý]àx¬£½(®U2\o[v1·d%JOO&rØ—´ß0ܵ9³YxÅݨ \–´€¼D‡m§¹ÖÄ]=Hßjíovfd—¥˜)Ì:ÓtíbèdH9\”ØÏ›®YÉ?«8°Þ <%þ}ÈçdV‹Û•hšÁnuŒÓ»kV˜¶3+ª¥(+µ$ŠÞÁ-¼›¸Š‹ë¼#¯ð£Õ‹®‚QÒ°ÁØì·ÚåЖžÙãX@ßâh-NdðëÜ$Ìa«š>•M3¿¡q—œ"yÿÕè$À[YÚ@S¶õšgÏÓ=ÝŽÆÚP‡³±˜1·)L<Æd×"´döìrÕ•sÎÈÝñžW¬í ˆìDJÿ +tÃýbêÆ µcèj¥è*º!®Aq +hGÐ|î3j zŸO‰ôÀ¶öú|·Nƒ +»°*ªÏ€› òÂÓðÔ%2#ÐÇ“™H¼7!¹ ;v¤¡‡'ì;äéOð­ôëÎ~hµÄ¥î%”,v6ÄBÕ¢ÕÂTxŠðDv"Lt¤Ã¯K"N#œ¶.ecÍ}fÀ‘˺ŒçdÖ&ƒTÙù R¡øASˆ;Yq!óþ¹ö–¤E,ƒŸ‹ëü‘AAúm?~•±çÜÌÐ[ý7ªÒ[“ö> \¦!ýB;U¬ø!ˆ)/×À»¥¸ÿC$NøþÖ$ïAå³uüe–uU}ü,3Y'äß/JÀœcùð .8_[O¨Íá®ÐùN-<"N¹ù™·¡awG¨…mý¼_+•yj~P™]Å¿^\y¼ XÀÚ,r-¯|àã’’›¡Ü³ííã<§æÛL^T}½ö,Ï^eDRø»,êãn€kqÖ%Àë|r,ø!gÿJx´±×Î=~ép{"·î\ee÷E«N;“·ÃžŸá=©òÊ$øöÒ{q@Qá¦í_À†\?¿/иLe–´>­u£ä#²¼M²œÉÑpÄ'Y¶Æn²_e<…qã¼…¼±íRa8pÖLB“=ƒ’(àYK—[l¢«`BK´´‚½B#4;cŠð;HñS’ ^±íOA<è·J°¹~¹I4,ºÖì$"‰œË,B\HV'&fಀ/pO_*ø¯Û_‚_Ã#¶¥à&l”ôWcÂK B«Zí(ü¥|Zú½à b“ê+|°ñKpTœ¶ +ÿAr3vŸ*>¼õö§ð>É„½x\œ+¨0lk¤àïôçÍOðÔ¼`ÅöFÓÀÓcfupê.Œ®øwlCÄnÍÂöKJIi]¨ïšÀÊ&Âðõf£©³½äXPA·ýnÍ1Ú©©©FÛTK·ÎBoI!èÑÓ®…øæZ£ý f°)~‰¹XÞ“h™ÎyŽÆ¾9ú ݨOñC5§¶´¡‡&Ò|´T2«óÖÓ²¶¾ón˜SLB5eD0ºØ:‹³yPÂ;…Ü(ìßwéÓ9m¡¡ÎªnßWhŒÔåÂKŒu¾¬Þ½{Ú`”£wØk“ØùÈÉ +û‘kH¦éÍ>*çÚ²éÈ1ùö£ ¥wÏp5õ´Èê…êQº¶àªÝŒß$Š;¬ÌÛòLvvJ1ƒóf¯›ÔÊ3nž*ÙäøTŠg@oO#9|}•¯ª˜?V0Mù5.‘Û€zÆ{" NÖK?C”_$ PÑ&B̆e>ˆ(q´ªIÁu›‹ò¨`«þ£|oùb|ã_²0l2©Wê‚ßsCü¦Ü´LT÷Iæa?µf(/+¬ŠP¹Iw‘¸B WÊhÿg°ÌšH ¼»EiÝÅ®´ ®(G6 +¢€®‹¢" À +"(®H2™Ì™™dfrß $ˆxàZEP>ŠÅ£ŠºµúéÖóC¬ÔÇÿáû~ì׋EÎF½:}< ï\{ +š„‚ƒ¢%“àø± rHÐ6N$(ß«Ná·˜_%ó1š¢«ƒÑ]²2Î:$ŸÈÜØoƯ-†8È¥ I-qt;ù'kŠã¾TjJW^Â}ôkÀôŽfQUr\ùuî¦lôƒNkHná«‚æH¾‚÷õ*‰W¶ã¯ø¦˜Æd6™¸M2 *{¶®¼`ÌV%¥…VR£oJJ‰²À÷`+"èyO|s8ÙÎÃñ®6ÅVÌËy8‡ µ:+¿‡˜;ÄÐ9Ýî´ɨÒ=Ú.q“qŸ‚›Ñ=¸ôàÉ¥­ñ¨^Óöw÷ld¯‹ÞGÁ­å;<¡bShÚ ¨ˆ®(÷ÀÛàŸ±%]Û çªN™}uAܹ² õ`MV󨬵—?gê=ò&¨o:R¼ÉãüÒ(£tWS-{66Cð¢S¥ø ‰«ýšÿ”¤U®ÆÜfoEIã½òhzEœ'ûÜœ–¬&úÁQÉ8,Œgÿ]-2tœ×%€ÁF@}S|†èQJÄœ¦h&S콧†bor 2wàqò|éoÀÃÈÏØ/À$Û Ĭý7´AÒ£±êŽIÛMXIc>Œf–¹äH^Î2®Ø`•zBÂÈ³Ø ÅžO€*×{M2MoRŽ0øi†¦¸ü:ªTï~%Ë$œ9ED~cÃj<}‰£ð${.œ-ù—+P]cœÐ=VzëÃpwz\SÍ;!?¬ìØC:èGÛF¤ÝòÅI×±¿q‘YÈÅž ݇>;ÕÇ]„‚¶ý†m§SÌ)¼yr½¥ÔEz©_nË•²aI"lµ|säGºŽíð‡öªº®vm§Âßµ¤îØ_7e]Ö­¥>„ÓГýÿUý)i:ÊøD΂ÿGº}±V WÚ5*ßÞ{Úfüà?Ñ +ë(¯ÿ$Ëp\)9D$ZYÙr|§¾öçç(¨4DÜOÊH¶»äʳ ;Ü«òévÛ±j‡xÒLr_rû µµ©;Wi·Ô nV¨|ªRé›üu«ÊdܦÂ;þ@ðÈÑú–ç YÖNþÁl-ÌQôÈïnJ¦úȲ¸cä/øÓðËø14™µÑC:Ã'K£&‡ŒÛÌ•BOÝJ{ß´z‘fŒsWñƒÊ|F-§Ùq2˜ì ?Š¯Á}Y[pXdY<\‰ävÃ+ÇM{Á¶i©rš¼8µÌ~í£LJÞ¯´ÇŨ ávlL:ÀÚ ?@Ö¢ÌoÓ[gŒ`¶²}ý>?Ur‹Ç›I2¾ËL•k.Æ}GpI8‰QäRV%Ü‚L0/PU³ ûEÕñ ?´É¹TÙÂcÍÛ¼Ûf¢HÓësÖÄ^QMò½C!º¤)Ã$ ‚; Òej¸ íuIy õWïêîË6„ÏÏ#LÜÆMi9ºÄ¦Í±å«æšPœ*ŒøHˆÊ˜…¢FÉgˆ]Ÿm¯¾ßnÝ+|“·ÄX$Z6K'Ú»OñQÔJÊq Üþømì(B~—ljçÀSu‡Z áÅ¥–bhW®ÓP"¹žz@³U’»V±¼JÈ΂\ÿ,<\H»¿Až ö·5’çë¶ÄO¥afÎÔC75O ¡þ±ó˜UÝ®¸xà7€óÌõªF›õÜ>QöóL‹ª~:Ê¥ÿ#ï]ð[eTSì2»Œ%…Ác• žüÔÆ~EWמgØ9ÌÌiíå÷%¾3W4ÞŠ: }Þ¼0Ä_ÁX|¡¢-ðƣ鵼‡„‚ÿV”u×8H{»àßYêF¸"qÄ”-´F95E!L¸èŽ/ŸÈÁ3z„—óLw@éå“"‰œÒF‚Ÿ²Rm¨O´·éQ&õ[Ð#¤ŠÎ¿ö–ZÅO¡î/©xðºË¤Öró~9žT0ä0b³³Ü¬ ò4±ÁPß‹bÔ>_nMFY%÷ý<ñip +Ê;R¯xÑðá@ý¤|‹ëŠüqj#ßlºjù?Ape¢Ü[ÇÛŠíx¶{ý +ÑsäHýt-bM—#¢²¥t8fÆÜß5ó}sßcÌÁ0†f0 CcB¤KèRê•mc³EÑíµÕÖk÷ýÿ×¥ÿJH×[ÅۢŚéžãýMOÔa±î†N¥$Ê¡Ë–~ &(Ÿ‹$~çÅ>ø°žü–tÕBM%^i¦Õï3®¢Ï§Ef8UB ô¸ƒà™ß'`-èicIaͨ“ô+°¬ ¹دRž€î†§´= Z¨¥Ÿ‡¢È¾ÌèÅÀ=¸5ÑU#ÚÈ5H«îþâRžûå¤>njky/§¥¦Œs6öÑH؃âE oLº†y‹ÙþìCßGØà/¨ñ?QE%Šá‹Fâv¹—MMz)=ZáB.ßçÏ¡Æ‹èÄ/ô¹Â•ûç3¦ùÎíûO¼èˆ8•Ý5&éY¾ÕõŸžKìÕ¬(—STî e‹ÒðŠ¶ZV•Ëð‘x'ôxaVø4ÔËÉ*H®]z~¸h~ £i0d,ÛKëý8ÍäCZyÑ{j¦êCF')’b™|x´…ñNJ>ÇVÞ{½0Ùêeí#|S—¥ÛEì¯1bç‹›*_…RÚË"÷Ñ–ÆÇ37ÊÝ€ÃBßÛâ“oξìõ(p¶3_<Ý¥%-ãèt±·É« B÷eÐòtßÆ“·”px H¥ÿuRuɵ)H?§mü©ð£ãŠæÁf@£IzËÍ‚qrgM_æõ«þDü|Ceû +†ˆÓ¯_–ùw•CÕ„YK/¼–Ô¨ 佨/²“êY0ƒ¼£ý–yŸÕ̸7Í.]Â*ѳ°þÚa Œ!ëd™[ëm ˜9­„Â#{-ô;˜Wà[¡¸Ìï ·›UŽ$‡mb?ciŸ3¸Ø˜s’™Œqê6ÿÄ‚TÀ tùÖ }ù® µdl†¨êÇv¤å­{ÂF©yt/Ù¼Žtú„¤ÇÜÌ°‹‹KŠ™Q8Ü°„ Nº"þ‡½4ÈÊ»ûcü'׸NÏâs6ôÿI½« <ó–fWÏšvЕ*§Ò‡ÁT² –ï9á ÔI#Ò{Á ÑÇmVx—@µ. ™åŽ\¹EyT³©}€ÚWå*€fÒ¿S¸Ñµ:'ØÀhP³ofŽ•Ä§/7ÈÞnÛ u‹3Ö­FèüÄËc”åÖȶT—úÍ5멦yòŴѪàý“žLÂÄiÃÓ”€¹¤%vÂI‡¾Gæ —É’3îm?PH-k« ©;?ÉvÒbkk€ôãÕ‰y“Œ^ýÃ4o B[Ž*‚BoÁ¡åR 9¨§Þ^Fñtþb¼Iyd¯’š¨W›™$Ú«Ú–¼ÆNãêT3óVYzl ((ñmƒ‰"Uïa.\˜vzŒëCˆ5H8Hó$?sZŠ6Žœ¢=:ÈhhêB…!É8 +`,ä g6ô$cNúŠæ!LÄp©<Šb<ïÀ™Nz;¶0y%€ )°è"Î «DÜB@Ž ;€.aÿÒ$G=ØW+²ØïØvÑFöv-­‹ý…Ý|d%ºŠÝ¿ÇîgOŨÐÔ;$KF“»î²lbšu#«^$(ŸbÕ ¥‚,‡ ”jdMð[sÃÙkx÷vÿ͆¹ïc–³?sÝB–¡fl¶S ¥ÿkø€ ªMe͈Ly˜i’Ã3òTZuøgÖvñàî#¬áøV'{ÿFð,ºË8+„5Žå%\©ŸÑY`kY o¾­ÙC¾ˆ,VQÕ"˜B½k”5WjØšÃê aëx·­ÐhÝkË"è© ÕA³Æ›Ü/ðŠŠd˜XöÃ!xJ±ë(}¶~ÏÚ&¹|–ÊÿËé íh‰®?í·¾Ò.„òê¸ñøÿÁXSàªçÕýqX×}|Ö#CiÁchÙK™ÈVF @ d’·w^ÞËNH L +jkÅuÖjµ­³ˆV´JE)ž­£Çý¿swmºü^ ´«VQe¢’^*ð…¡7”cô¸·Su›5Ô.›:’Õ5!{3°A/—ýu@vÉ?êaD§åUNCþkùŒH2)ú 1¡z%­9ì­Ué±›ý»éÐÊçvò¹°—Ë!ÆkšÕ0>][#À?¬wÏsb‚÷Ä,4U²á¤_ fà)…ýEêÄÛ* uä6Go7°6ɵêèù¦{¹¿ Èæ'C¶É‚Ga‡â+Á¯ðRUõA=±@ù¾5_ªrgs1OU¸ŠGÿ£*ŠƒÊšëO¨&øÂQÍ¡Á4ÒÜ%¸¥©×€°nl¡c=ú%Z¨ ÷v¥Y ÿZe‚ëà¨4í‹? eC‹ƒÁ—`…àØ Þ_‚ªÙwvĦ10áKÌB/§ê*BrâvÎ4ÏŒwMèñ  0ù›à¸rë“ ó³–`ø¤ñ$½Ðï’÷C‘ÜŠGàa6;g-N_&É° .` ¸`ß0üØùM/s\¢Pœ’MƒfóªŠ`p‰¾3ÛìåÖÆå $‚ˆA7° iÚç —î¬c±û(y <ÓNÉâÀù·ò6¶ôe/ü ëcÿPOj± mê+”YЪCËzÏÂXa-…¶T‘Ñ`ao¦t°tÜ._ ,qgõªO˜ïÄöªI]@«f"µ ´?4|T¹]ÿT7[úr¾5+í¬D4UffNÕ]Ϭ¦ç‹'¢Md’dõæù¸YÚã%A'-í:›x–>œj˜‡”±Ó Æ5!’U¥iMSDÆ-rBŠFL„É&¿ÁÚÍ^:O•ãò…F¯-TüÆ4Šw ³è÷ÊèÒõT©²3c q]µ2ªRÙôdó/3²µùU›\;?åãØUp=ÔÀÔ@Óbê +TYRJ¦€3éÝøO )*+sWÀu¯.˜ê[ìL6—Ǽ§ÝAš. Çê·’ßhñ‘‚oN_…=Cÿˆ|€òHW  Gz}ýžw\ÒÜ2Œh{?ÝUàÅr_סä,[<§™¼4ÛDmˆD‘ø〷ðòú’C/ÍFÇlö MÙr_ò‘¹¾ög"ÇP\T‹MñIiÿD­¬wä$™ú=` öÆI¯Ó ü§}ÓßíÆ6Ò.íÃjYîx^›†ÿh}]ø¶Ûø"µ]Ëßÿl +¾«8"ӽ΃ǫöLúÁ¥ì"êHï”Úü°k›è:^Ö–T¢Ïm©©éÈÆøÏ·.À‰©^—@1Š~qxT¼ÅÇlùUä¾æóÕ#U7‘¢Öü5º:ÓöLÎE|4â™&W2Ëÿ5e•¶xzãß*̖̆;õŸâÙÖMâ0™ÕdoØ^•lpm¬ÍëâaIòSö7©kD#Óùß'ÍŠ$Ï"lL­?båA˜ÑüDI§¹ò«•NÝmEhž —«8‘¬ÔŒ*ó"vÒ±ªEþßýª»ž¶ ùÝŒ5Zž5‡¤‹ ¾`zÅ~xŸ[«MN&Ïaî|Äbª(÷ÂÇ$Ïch¤ ±øãè|cq«)Mï‹_¨ðá¾Æ†w>bSО$ ýé ¿Dòp«¾ÒzŽ!G@o3ÇñƒÈÃÜÉa]PnÑN2);K4 U•´ö"¨p+q ëð7bÒáùùÒóËLíÖø…a“y¡$‚04”»iCøc9“Ì(û6ñ>E3aá{ˆ Rä¡ï0`Ý?às0Âí7y9'`LÔq·ñ`SîécLr&MŸˆP.Ú½áü,ýÒ_†rÄÚu°ÙÁå/÷FÀõ»º°Æ=ÜÎÄ=1ltËÅœ ô¸9>1Šl× +›ÁKX_ÿt+ ¬ €=‘çÐ#¬Ø«Líø³ +u¶uWçKìñ¢Ø̹– u)±F£@Œ†´ó»ïû…jÔRÎý_$ Ð®ÝYuBÅ›öGõbQl+$Ö»·,o8“qÜl¸Åègš!) ÷ÛÁˆnî2QùãήÒßUÎ>YtÍwŽ(Ùþ¢^ëù'Y¦ÝòÑغŸ!…Ú %à—GËU¸û9¼·ù¾¼ã, ¿Ô&>¨YcwU­± ¨Mé÷Éj"æÿ“Zòo6VÝéWF9Õ=æa“Àl mÄyù–Ö¬¿šnqA/2æAäIºÌŠ¬Žiâþï +qÑÔAN?!9NxlÅbÀO°¹Î{ìeÙi‡Y“Q½Ì¶>S¸Òô€ZŸîª‡ .&ŒsÃÞ¿búÑj?1_ñ¡Ç¡P´ÃékœåÙŸè¿°x²`дY»!í¸n”ù6f®VJ•?ffãoýš‰n”ð0¡‰°šÒ6l¿)ó±7ØB¹u‰yMAƒÑ¢˜&×mæ>>ÃNjÔÁ#4J%ûÄ&|žÇòE]ÛŠ:íÒiû2g¢0ÀÒio*ð6zXŠÓÂø†¬h +æÒ‚÷Ò3;üšñ1"Æã2ÒÔ+Oô?©ˆ¨Kܳja½÷´ðÿú÷èY|£‚nßMHŸpüA/šLsI5§•cu¿*ÎDx„!W‹ {|m¯pq%ªØqeh¦rÁYb¢Bt M7»uA-™ +w%5»”,xë+ zî!Ί}|%­¿w¬pÈ©™xe¥Xx|Y üy$Mœ}yòA—Üzè5À“{ù+=Ž}5"6‰~‹{άq~„p^¨Q~Md¨¤~*XŸÄ~,L¹›U~S@é–Æ~“5½’ ~å+f2T"ˆPÒ{p«U…Ip§<„ŠdG£ƒÓX]ž¶ƒ'LzšJ‚˜@À•Ï‚5·‘)¬+‡ŒgI"Û‡¥€ó{ª~Œo³¦eŠ¾cþ¢,‰dX؈ L;™o†»@¡”ò…}5³^„E+£‹¶ƒ#‡ízЩג–oy¥»ÃcÆ¡zŽÁWÜŒ­L˜»Š£@ƒ”Eˆ¢5·¬†¥+½‹„¦#N†¡‚ÁzŸ©O˜ïoK¥/–Šc“ è“ÝW©œˆ‘ KÕ˜$ŽB@W“¼‹|5¨%ˆÀ+׊œ†#x†Aƒqzt¨ùŸ&oM¤Éœ9c l˜ÇWŽ›ò•Kׂ‘‚@V“Ž5³Ž¨Š‘+éŠ@‡)#š…õ„q¹£hÜf«µj’[Å°1lmPʪÿnpEÐ¥ip¢; Ÿs 0ò™Xuœ'£’éxzÂŒr{‡qD¸äo×f«´pÈ[Ô¯qñP䩪sNEñ¤tã;=ž%v¬15˜x™( +‘®zÄ W‹W} q(·¢vsf€²ÊvÉ[º­°wLP̨OxE뢳y +;NœÞz11c–Ò{v(_•|è ׊b~mq¶C|ÏfL±o|¢[y¬[|™P£§|ÃEÒ¡t};O›²}›1…•¼~/(¦~ä!E‰§pÞµƒf&°B‚p[Q«/àPt¥ÛgEÁ S;Pš¦€è1£”Æ€Ã(ãŽÄ€·!£ˆÞ€ºp¸´,‰Rf¯Oˆ6[Bª5‡Pc¤á…õE°Ÿ`„ð;U™·„1¾“ëƒ*)Ž‚^!ðˆH§p—³y`f ®‹È[>©fŒ P[¤ŠAE©ž—ˆŒ;Z˜ø†é1Ù“0…U)FjƒÒ"0‡Î‚op~²õ•7f ­ð“![B¨¼ÀPY£_ŽEEŸé‹Ü;T˜\‰…1æ’ ‡C)kŒç…"d‡jƒpm²–šÙf­r˜=[M¨,•1P\¢Ç‘üE£SŽÜ;`—ЋÔ1ð’'ˆå)}Œ„†"‡ƒ›Î¡mfú»ènìi=¨µp­kq”êr^mœ€µtoÎlVuÚrX w¿tDyßw'0É|Yz>̾j´qźjlÁrä§`n­t“¾p‹u0¤rnvgkbtgw³WIv~yCtxÏz›0b{x|bÊùh§|~¸´jÒ|¥èlä|’^næ|¶~ppò|ój\s}AVtu[}–B×wÚ}û0z¬~lÉ;fׇ ¶øi† +¤9kD…ëmh„5})oœƒviNqê‚¿U¥tX‚BEvÿ=/·y÷€VǧeP‘{µqg¦‹¢¶iåž|l‹»{õno‰èhLpãˆ(Tâsu†SAÁv@„Z/ryX‚Æ_d›Ö´2f}™¡}hÆ–ŽMk“/zámtLgdoþjT3r²ŠxAKuœ‡I/8xσ´Å[c&¦³5e¢[ }gÜžrQj.šxyýl¥–†f¥oD’ˆSŸr Žd@êu‰þ/x\…Ä™bp° ²vdÜ«Ÿ²g%¦”Œ€iw¡„y3køœyeøn¬—bSq‰’@—t Œo.àwý†UÄa÷¹ë±ôd`´RŸfŸ®W‹Øhè¨-x‘kk¢emn)›ÐR§q•\@?t@ŽŒ.Àw°‡ZÀ‚tÿf4¯uþh¦åvÿjõ‹xxm0xŠyosekz.qÐR|{itP?Ä|Öw-Ó~zK¿'rÈp{®sÿqÔœãu#sŠ„vFtgw£wtu½dx¾w*QÏz%x®?E{Äzb-Ž}¯|X½¯pÑzŸ¬êr'zò›ssj{@‰(t¯{™vxv|c£wy|Qy +}>ºzÓ}œ-R|ä~H¼(o„™«Yp‚ƒþ™éq݃^‡Ûs=‚ÁuPtº‚;b°vS¼PPx <>Ayû€¶-|0€º»mŸŽ{©øo˜p‹‹z†r‰èt?s“ˆ^aÌuQ†âO¦w+…T=Ôy>ƒ¨,ï{“¹’lu˜S¨Ômü–—oou“†…{púùsGr Žlatq‹ÝOvk‰?=tx†j,É{ ƒ@¸¦kˆ¢ §èmžÜ–‚n’›l„–p—ÝrxqØ”M`Ws¼¯N“uÈŒï=&xˆñ,©z„·÷j׫˜§4lg§ˆ•ÁmᣃÔomž‚q¿q0™å_¹s*•9N uI_<âw¥‹6,z@…¯·†ja´è¦³kí¯í•)m\ª|ƒ2nà¤Ïq)p¥Ÿ _|2s§o„|­u]Ê}@v¬LO}êxT;"~Âz-*æÕ|L°œy(xá¡*y«yr‘ z$yù ÖgWT€aË¢¨Ä®kTd@D\dPPp-HGÀ„&]¤30Àô¹½ß;s§Cg(†  1DE²*nº6ܵaïzê*«&šå<ŸÞ//6è± ¤O?ƒýQ}Ð0$ 8 _„·{¡Žˆ[w¨ ¢/«¾!f›UmxrIŒWBø”´5À(Öµ¼Š)2 ØÏ.$½Ý5U±o¶}Zƒ!O¹O“·ªæq‹‹mªÇÌõÔ õº>’>P‰3 ­Ä¸g| ú,ûXñ¦“ÿ`£ÏS$š>BG”‹ çDÇ•u†”#êiÚï#§þÜŒ-ý`­óœxJâò!wÙûÊúÙ…:‘Î(‡«‹ÁŠ`[HÑW¥¿eQÊ2U‚áFDƒº‚‡¶`à|ö™§:CÍèd¥õŽ2Á~Tv­±Êkêè¢dEeUb2¯Ì½…èp ʠÿ†¶£½~íè[ô‡Ë@›šQd€³F!•œ7•ŒHÙÙ$‰ÌùŒäÐÑ Žˆ…Ú#—Èd¿Ltæ!BOáKš*áŸÌG-i°ÙäC–ÃrƒBú.ÒUålmO¼>Æ †,Bæ2Wü<+Õ3¡6¹7¡›µß›íÐ@µÑ ´)¤Û &KO¶ 0ÞûÏÔOþ igmúŠ82=DÈ É4FB[†ÌÓ!AãIb4½~—¤ü£Z—í *†â¤fz\½ÕOÚt®æF&”ˆíÏÁÓN&3xôàFÜê[®H–jûŠýz°¹&3n14µÂ¼böMá ­zB¹Ð!Õ Ÿœ|‡®+¿å +/—hw{ìûV³\lÞs—ýT¾jçgæ?Ò›¾ú¼â©ÛŸÔu «ê¹®¨D­}û”.ƒ5ïʺÄ(wM’ °ýÒ±=ÉL·üÄÎjúe³o(u\ý›» yÚPµXû³üžæƒÆ¢8ùp2¥¶¤©23Î2"uhì0 Á;ý(3˜àû-ybÝ·‘3àÑÊÕWd÷‰sFÂ@wÖ É,¾É8#åóè¿!ÆØH*9¾ã)iF^ +¿P7÷½DšgÛÄ3Iœ33D_Âè«ôºâ)ÔJœQNdOÌm2‘tØa':‰=ÂûÄJÜê.ÆÛ±¡ +sÞ`d³é+úuu—-Š ǵ‰i—ȵ\L +±kw„á/iƒð®&GÜ1|91É:Hÿ^ÙÈ£gWü¢ú@-µEí¤¯ifš?Q®·F?Â/óKvüßÅ™„MØkzÔ݈uNÖ0:ÓŽ3BJ]÷PU@âÕ׊VV’z±¸D‹ñPCÿöãØ9>R¨ÅTl‡{=EŽY^ScíôyjNËå9Ûþ¿Â6b~mwj[™íŠ Zl'±d}¯°[¡YïÞŽM¢:tU9‡±—óWI“-#…dî=ÓsÑ£½S ¯ÄI‘KuÊÆ·6i/JO{×sýŠ{®˜c@6o°©ŠP³U,Þ'9cV–~ÉM6²åíI½Qš1ÀWÉwoÍ×T+ã¼ml³ºF0‡î…\´O­·d­È?Ío䉳iº¢4çÁM™•4M·C%ŠHÑf©M[×r0pÑ[Ï€„‚pê|R»Â’¥Ã/Ld/_c8]Ï×Àó ùYpFøKM(ÜøŒEwoŒ@Újjê£I0/¢¦Àkad[™H’>Ùþ|/Ñ“ðLóŽð §¹|0ð0S‡ïõVRŸ±×‚V2C¸Ã¦¹üaˆÑv”é4x«ö,'LÈÓ82Ã'ú7âô¿&n­„Š&CÄ¿ñÎÍf]9é-f]i{Ÿè‹ÌT÷¾¤¦à×aéÎ4EeNÙŸ±¯¬¨äή"“VöÐ_ªÇ”3½Úµtõf6š‡ú5¥ÙÒ·µ¤,®  jP“úÑ6E‘«±x)ͻ֣UüSu©@Œ×6M6ødµŽ‘Àõˆ½®¨“FÔÌþ›ÏV€»SˬGÀÞØŦwÐÆ û†uÐyÿ@>.õŠåTȆÕ×éVäOdj­?#驺syc¶¿ãA)w,zl<æ¨ñÿþ÷Ù€»B–*€7Ši€j,\‡©€P#„õ€;}}™~ˆÝr4–ñˆfx”O‡"Zh‘ †NMŽð…BeŒ@„(7‰—ƒ8,i†õ‚A#F„aN}˜®ÎqÇ–*Žlf “‰ŒÍZÛ‹ MõŽ2‰HB-‹Œ‡†7ˆß…Ç,y†Yƒý#pƒç‚9|½˜–•q—•ž”¨eÛ’ï’NYÆ*µMº}"AîŠíŠ˜6؈Uˆ,€…Û…#’ƒ„‚ý||—´(qW•,š¦e•’s—ŒY¦”!MŒö¾AÇŠje6ÇâŠ,…}†Ã#®ƒ5ƒtë©PcèjO¥ãf=_`¢rh¡TžÐkH¹šîm¾=o–Ùp2¾’ŸsŒ(ÀŽHvÙ "‰øzbtu¨5k#j¤¸lº_-¡$nnSÿjpDH©™ƒrB=t•ytn2Ý‘Ov½)yL žˆÛ|t¦¼riŸ£Is ^ÙŸµtS±›ýuSHt˜#v­=_”.x02ëyÎ)B‹ö{›! +‡â}~s¬¥Ùt(‚o.wþº]^”«©©`c’—˜CcHl†Vf+Ž;t)i0Œaèld‰çOþȯµ>tsw…[-òwn‚¿¹w\-ž¦¨®_A›®—Mb0˜‰…ke#•SsShA’!a7k‘ŽçO|o‹’>#rሠ-Ðvö„0¸±[D¨n§ð^a¤Œ–‹aS h„ªdLœ%r›g{—ç`˜jå“ŸOn‚-=ÜrfŠv-µv’…m¸3Z¤±ê§p]­ •û`®§Ü„c¤¢r fØC` jR—ëNŸn’b=‘qþŒŽ-ŸvB†wµ~o®`^¥£q&cc•rfBƒÇti qõu£kÚ_ôwInÅN1yqØ<¸{u8,-}py´mjy¤”nÎlp”ptnR‚Þrp/qsÏr_;u¨tMžw§v2€ãû>ƒŸ@?ÈnÀíòC)…HKÑ#èEu·ä$þ%à펭û¡`^>[» +ø(?`~¤^xúÍ0¬¬ÈÏ_©+“OË´§v&"YÀ˜DŠ>sÝ5x'²±]¢¹£«~¨Ð-ç€iÀfð¡~…>NF" P²ØžÙñ^O—ÌGî# » ëÇ–´ªî ëª0Í<”7íÓ† 7 :sÊÓÃXL’‡!kݱrÂÄçá×x{6ÜR¦tå"+·¨@ö‰q£ú*§7k—1Ç÷U誘Y‰}(­Ù~\HÝë`Jäž‚æ“à·\áµâ¼ +±ÒÓþ5ç£2[{Fõ;‹On·–çÛúݦÛà *Cæª{2H<¡è¬N-ßS´º2ÍUråÓu÷UKm¹³5ųBuœ• +}£·ñÏÄ3À!ãJ÷H$‡œ#h^Qv¾0ªqÓUô·ºY:‘Ê«ªa¯Z=V.ˆ¿ }VÒZ¦Ÿfsôy îå½ÝÃÖ§¹MîßÑP¬8Û:Æx-kîÕ¶(+’rÞ©ãªGãSµIå²Ð­€¥øºO ø¹ùw÷ðiιþ9јy»¸—€³Ÿ’—&÷†z8,kÊ.$†äxì=rmRMêï¼ÎRŽ€Øíu×Mb;d—íw0yÁ â2Ö¤˜Tý{¢œ¾§—¨WÆŠñ6m|ˆ+±8êEC`ð òGd]íÄMm²µ"ˆæWürS禜D¿ò²~AêÿSƒ‹‚»° ¨Ä+ïG6W#èÿjnA>p€ÕuÂw0ì‚D(ÃMHOÖB$õ™ŽüŽvKÑ»X¬—{Š½®'VáíÕ' 5ôc + +èshø]T4ÚIÏÌ ƒÿDµG§Ã£TDÐ(2BNlz9“eB_ Ý«.ë#­J³UÿÒbGŽ³ºÐôà¿É°€Œ¯¢ú¡ îPÌc36õ ûèß…!Ø3Š¬ü•?ào/Ë¼á ½¥4Ta1l-vKWZ§¢’¡õæAÁpšÉ¾©éã<„˜‚>\©Ð©æðÞ€kía8¸Z5$¯GÊï§çd¥W#‡À{{à¨ß¢ßÄ! ¦eÂ8l&ÓVé¨lu4õèÊš‡ @Ô¸Q®žÔú¥½×÷WJ"­àçû׆Ò쎛’àÿò…)è9(©6gf ¦yÙ'ô¼1?·Jöî¡L)­ábåÉ¡ì­¢ü­©l’º]4ÂLþkÛ˜ëPpñuîï²¹ô)nCA ÐŶ+2d‹ØõEHÊ'æœêHøm&Y3¹uó õÑ·ÁÕkïѽˆ‹ýÓ­Š¡Ž1‰ênÝ]ú_Z—<Ú®£RvÓ›‰ðpjÊm9GÝ‚–Š¾§®À#j}d£ÆAù-uÚ í¡¢¡û +¨0”\C"‚°ÉdhK>Ù…¶‹ÈŒ©Ù¸Æ:IF“qž\BVhFˆ'$—[Iœ&3’—äæ°B¢™tÙâK\ DåÄ'â`;™I [¿"–ð%„#þÖôNã‡÷š\÷¾ÀëI +|?·a×ü8+ñÅŸ3"-AûöשÕ_ZZ„K±O%u6`·îÄÎX{cçͯw1Ø ÞÙÿ$¾Ñè+ÊÁO±M{›'±øšEØ],¸j¹z6¶¸¼+§ý°~¢ ›Qœ½k a=â_ˆµò/E ¸—q¦ÀŒ¿bVškÐÞ&S ß7füg\"Å&]ÐÇKOÑ:´ %ije¿B>ä%¼à™j†:l=®ºT1e~ ª/ðߪg¸ ‹”ƒI0^YÕV) <õ^ÅÏ‘ë% +·Õ¦ÕQS-WõÓGpaθDû8¥ß 9•DÖ‘ÕƒðXM'Ð +ÕóUÊÈJ•]Iå"­—mtª¿euuE²)ÓÌ-3`ŒÒŒù SoOŠÓÕýŸ£™«™‚6Ju@$¨Z­ZÏùǚ᧌š;œþåoŒÃö–üúaëõm>Ý„92)@m¯{«Öêþ†¶¡š‘»”>Õ-ÆV³|¥°³— À•´WUæ>rß$Ó²]ªqّ¸ÿÆz–EYuÉ”ÅÛ>üGT@èš©©\Þ'ßÇ}ÑšGÊý¡9¤mp.d.@L4c&,·”r;b ӂãá îdýlúÚÎÍt3Ñݦ©]Q<äbžÌ-w °ô©ŸNÂkÃð” kÍ búKâ%áï´ÃíH»„“@ j™à"ŠW4ñ²sfœ‘|Aêa{¨à8Âc%ŠJ@bœ W’Õ\“þEÛÔæ':EhsÓÅ™Ø=®}âó9fǹú¼T¾ÍWÿ !3ß”ô% פԘÝ]YzÄ€&XIkWdPÍÙŠØb§]±9g‹ý bIêëiù ¢Æä“Ï$ õOþ1wu_)¾‰xª®S$Pî)m/þUI Ã.mÔpsf5œUwl}oyøhÓ 4á;=DUóIKSž€DSÊjŒj:?è2ž*w¼0P²Ï4‚¿oýŧ+G„Ë4O6‚jµeu˜æ ÙH”W)Ï›=öƒøݮȆŒ¢sœ51û  oº½ÕkæܨaÈIÈÓ½Ö’WoÐ0Õ%>­ª#}?Vþ5NÚÏÁ_ÏÛØû r}¹ë%é7¥­ +ßÔ¼‚Ñ{Ä!`åD}°üÂK_á4ˆ +‰!ƒ¶ªQ\üîHÒ½¼ÏzÈ”ôHçNÚ>«uA-^á²°²bg¦¹%+kÌ5‚ž°8ýWâ #ýwi+‹¯öqéÇ0ÞîŸk…hcûuTT™[ÀÖÁÎ`î5ØZ[›`ËJ¯ &º¶œ-áêvÍ**–öcs0”®Î:¿-Š7•Øôo‡3G„(ÖZ!žd ©¡ ‘z Q}v°x“'EÁ¬éð}èà–aQ#*ÚÕ'–Áévi½Æ·|'iÞnˆ’Ž…˵Y²çø;½eR{ñ‚îEÒ1ÌÜv–ôiúk·Y¶èTË2Ἂ¬æ4o—/Šõ;äªðKšù |O cÿê +Rr_´ñT—Ó'›Uät£Kyγ•zØa“L™= z”s#k–)Æð|O¿ŠèÄ€ŠÜ‡­ý:aúxÓim&ò™ã¼&^c½®†ÂŽoIÑ“` +‘¦îWÊñ8Ï2K/ÖױϬ˽ßòŸñ^º¯i©ÁùÊáp‘u£€óÔO£ßè:JD­:WtG±Š<Ü8YJ] + Õ„Ñy¬iZPí-|xm«4×Är¦Qe¼`þdZ´H ;4°SÉìí¹X1Ìšå`¯±wªŠªŸp¼u>Ê7¢ Hô²2ìã%¡C‚d>«zES³?«É+éæ&ÓÎeð{™\ÄQ>‡Ý+)ú ”^T9ZîPàäåF­ê†ëü«‰ðV+ú@Á£¸Š®l@ A ˆŠB„ +r‡3„„“L2÷$“™$ €x ¸¨ë‚Õ*,^-àÚ·[]ëù<**è–R®ÚíßðýôIˆnpdkŸ ŻΫ ‘:ÈÃà„£©‰Cö‚û­ÆÐâ>ÛKXi­õ<¼_ŒTTŠ‡¤Õ–¼¬qùcsØ.žJmZ¹E›ÂŒ¨„Æ:ó^΄¢œúhsVIbm8tS”äX&^ a*ÉŠ‘ÍÚnð^Ým‘îšãæ=A2äs§ó^»mICca|k‘é°`‡K±…{©"YÙ¡:nf¿,Ú±šW xØ_n~  ª¨ +!fÓç¥üÜ#ƒ ÞäA¶É§oÖ(u´ +gį›Vgæ”·ÔÏçE)Õ?nî/Ø  bd£éSûu3ßQ·¼Q¸–ùI»B`×\áC!ž’ÄdÑ +ÙPÜ,ÎÀˆ2QC³—ë[PŸ¶å‘Ò»µnª`±žRŸ”ºƒ£šXYUé^í”Ò'ý,|Y5G 4-}Ä<¸Ÿí«kÀC÷ûÈfÞŽoÊÛ†3¨²«¯«7‚á%ÍRÍëôå/4ç…ä!=öa¯'‘mý»´fUgÉLˆ•¬(CfU]@::& Ô +¬wo²¦ß¿“ÙD[D$ú”<ÏÞHÜ×½k”¨ÕUÞÒ*Iï#;He6Åi*µø80C¥¤ÕTÎN/h%ø u£hvLµ5'‹Æ­s*=%s+Ä:V9˲OÙ%ç›û‹ž(LWÓ–¨sÏwœÐn0~~ I`¼ÙÛñFÚÛ嵃v®¸¿&“äK^U÷*^Ê6U>,ŠVœµ{¦:T5zG‰Fd„Ÿ„šìx|“×4ZšÙ²ÅÖ êuÍ'úʦœ¤"¥œWw±°[þ°f^ª'0X·cxÕ…×Àþšˆ2ª›rKìJÛJáD»Œà”·¾“Jm®äB®|CÃ=ç€55oc/ƒ¼ªàðhÐÏNÁŠL9õ'0ßjIÁî.ÆÖᔑÑÂëùÿ =$!_û3²sÛ^è>pX0µ]SÐc¸„†Ô¹`giœ9ˆ¦Q?”+©,OÄ|ekòÞákC)6b«ŠÞf!),ÌM÷î§úð¯jQ­›ì‰ÉZ¬FÖÀ_û¤Y[-ÉÛˆfiv&¿ÃþmÞHø!‰¡ÏÈÿ`5oõ—I¯šxu¿d€“P#ôF» +î”P&ÒÍÇàÓh_2ˆnÁnm½‡MsC¯?’™¬…ºÿê¾wøƒäÏôOêºtí[P¢k+Ój®ènæöA ú»Ç¹ú”­úHßÚÏê§Yº*£zÒל`˜L﵋TL˜ø0ïäü®ý³1|w:4Å4oý™¡¥ð(ºÅ%úªøò±jҨ̨5YÀJ_ÑÿÔ|ÛÑ©f¥•ôò´y†l0û‡Ê0—±š•DúÓO+/Ê.5ÂT­"»á$8¨¼[g)T`MHö³?ŒÆ“”Ú\»fިÕyL/Ø\ZÌüŸáúðjò@Î(­WÚ¢u”Ó‚d>ÂP"Y’d'$„$ßÊ—ïËì©V¬J+W·>pG‹ž[„ç^ˆºGÚ»ßñãªß2|M 5òòkÚci{‘ÝZJb«»ILF<†fB>òP­óCº­R7<]'äwÂçõ‹KÖÃúÜQXb¦Ãã*Š +Öÿ$f»‡~ ^¬Í„:¡)É]È•}‚pèæA(žŸ+…R ©´X¨zE©‚ÌÐ;b1tš!‚óá9ÚÝ ÈB”jü`™ dã>› Àµ!×L7g¥Þåh%³ÆëÒ7n·×…¬§á ô_Qg1ÃR2Ǽĸ:@“nè\ÖKžXù¦å°Ÿ)Â'€WIØC0hݤù!XL}†¾»É4œ‰l5É Vh2–°,ú–?ƒžbLb#(sÀyÌtk]:ibP _"ÏÈ2S&‚FþŒ ߆*:Ü/~‰·Ù5ülÒ6ÄÄþfÝ»¡ ²¯Ó óð¯©vÍô(l1‘Êïu’™;8ãíŸqžµ·ŒóÒi¿7mœL[Ú@¨ÅWxlâäg™ÑñË íY<€#nMDý«yYùZOÃEÑXê;/C<_í•IfG‰ªuÛúR›äÄOè…M­++cØ7šS«ò +4ÆŠaZ²÷é­ÔƒîŠœøÍÎuª¤í¶ Mߊ]–>]o°¶ÓÐ/ôm^&=ÂNhÌ•.®g*>d_ò$«¥ +]ókÜñßÙoj-¤½Ö]ºŽwðzýí`ùg`¹@ÝXRËê¯ïÖÐèžSZ^6Ãu¸VŸîø^×ïo«×ÿÔg±„~X—ñQðô Èæ¿®¡ïa%{s Tú·Æp4{®³ÖHLydWû)YUÀ&R?îçFDš/€'ƒgÑHÅ7yOÃÌG +âS0᪄ïg :¤poÊ›)·-.XF:€eÙæ¸*diÌåG{.㯙nwn.ètº±ÓY€½Í<’"³þ`ö¡ôâ7ÑdÒìsSC„»!¦x$¼ì©g‰ó:SîÚíøX9ÛÍY¶»Öá•å‰%r_‚']4KÌÊ ‡. q cÈYvã.㢂MrÎÓm½ÜúŒìÎ*ADbW냊ä•M1âDq½by¡Ô9 ¾þm­T'bu÷q…7¶Oñƒr€®÷Âé ßö}¢y‰XKˆ8‹œÜ`”ŠÚå¾®â.;²´ÔÀ«¿~1Kü}wù¡ÂÒ­³•ÏrB;ªÒ¶Þ’ &–6 åRrº÷*?jÄÅÒ䆑luµgðICkþ¶ñMú»|¥Úþv²œ®hùZY˜Hn8£V’×zšƒQ3N?Ý?ÃôÖ«zGPöÖø‘ÙÓ5¬|Noî(RGÐJ[Ý5ªô°&Hs)qq}^í&2Õý•n:z¹ý®þÇ°–kFmPÆ03;7ÕNÑsÛÞèi+ZêŒiÓ±úµ Ó^Ãòzçsã7ÑTÛm ,ì þŒzb–@Æûp…¾°õ2¸2{¦9¤§6„‚Ê„ûž/À=ä Ç4ø)šcýñ x +t&8…3µ¯Bõã-ã(;û^S‚éeÚÙúdSyÂ7yG^íH@¶Es­¹7<ëA–³Q|•áh“[ß\j‰²–eÿÚZŠÒŽy1|iÂ-—ÞM»']£ý‚š¦|§k!¾¨õ3h{ß&«Õm¹›5&[K¨iªƒK%Ú}UEüÞÚkÌ€Êåu hTñô[Ÿ*îF‰÷´kkOÆÆZ £e¸è ³œe€v]G ‰Ø¼;ÀG­¼LÉÞWݺ[ä“àñº…d;oä‡àošô3xòÿ‚°¦ÀêY{OEk[@Œ|lÄ‚2„€ì„ý²^ò’¼—÷òF6a ò¹9«uUQ[¨EÎmË'*u€WA¨»ä³wÿï:^WfA×w:¨Ržcºè $DZ9-Ná±7¬É×~c +» +?µí;”A¯34VfO× –ý–ßæ 5âœÄ*DvƒÿUe_ÑRqr…_ê°ü¨«pMv–‡]€ö½{ûÒ›[;¶õfÕ4¯( Ìc5Ú‘Gìùõ÷d›xþµ±…»EÒjOª˜®ü-n +|âš ë†g8žî KƒöٶŲˆ]ï{r3ÚJ¨(°ù?Ò±’µq…lá÷u;S7¢êÇqWA}ÅÇ°³†ÃÎé=·Åo +¢nÉœxgº|ŒGCT˜pŽT§aHžìÓÍ—O¥0áU`llÚ¤»Cçltîî0Ájþhý~±¯pÚ±Yú_é,xü'ù,ÉIU·jnÁ\Í[Møæ z©ÎóÆÈDBéb<Ô¾Ó]ò«ÜT7S0CùoÛ}ñ2õ%ýsÙF͘¸MQ«óÏ Å›¡!¤7füSÑ•&.!m›àôéFk´¼õ†(+OÐ ãºóâOÈ¢í@ ê•Wª1ù¦f­ÙôG Û0J¸èZ¬’âî-#¢=¾ÅýÄqËb>@@Ñg¤IxFzŸ|˜û½âÅÞ´\“E=ˆY®g6ÒatÀÒº*ÿ¡SYõŒÝçô5û—T9vh÷ øŽ Æ%2{}n€ü´}Iò90Ýv zRf‹¢›Ð8kOËʼ™¨jV‘oõ:*¡xÚýH3Ê_ 6ˆWWxç4Ç\;“5juÙêèK:«ã:iì²7‹rʶ‡»YAd§«~XÃâõ×:J1<Œœ¥²;îäeªî +(ú;èMÙsºÅÈrlÚªÜU[y5ÿ»¶ýävw‹(k +-Í×Olí—ÖHº«¢WeGã£ü݆L9s›¸ªè‚ŠFp6§ i&xëÁÙИp0üC2}ÉTxmÌCûÀôH#îѽZy—Ú‡†m{ìç+ËEŠAaî­ö‘ìWÏÓd¼V¨Sy%ÛÛ®™8bôש"SLL„¶òé1å4Ø$BsùÈ&±¿Bµ—j±ê±&©d@ãÜYˆÒ?O¬€+82}­£ù-D^½—†„ÎÝ’DÝÚ(ûËP¤˜Rœ„{¹ÔѬ.íús¢!Ê$Š˜4Žá´PüêÛÚ£ü§¦o\á„iÒ(“ÜÆëä#Àu"„»Dùž8Ï +Ф:¡]ïC­Œ¸€¼¥>Ð6àÞæášÚ’‹¶ÇöÜÒ׶û*ö±‘ûÈÂm@1GQm ÊlìOrus¬g#ö tk-Û¤²ò<‡Šÿv+lŸUÙw +]õ@‘äV9È9'ç¹Ò3Õ«Êè ¡Pç?#Ü0eDãaã¶ÃÕSœº†#Ö[%ëÀó™µLYŸø”‡Æ‰U‡U•_n¸¢¥ÊFÀ†η4FÙ/V[ØäŽ&+‡;Õk¨+å4e[D7\ì~YCm[†D¹¯úÄ·æÏÊó»ë4MÑn/ýé«9Ó0ÌÑéÆ:/[Òo¬¥`ŸK½Ó˜Ž(Öá +™ƒT™Þo…Ír M­8«ÍæFECw…LhT¯–l¿…ç¢ÂíÈ ¥;=í×ÑL×t¹Ì^r%´¢Ë|ÊTXN©£dñR3O>¢Ö«Ä^º°¹àž¡Gû)­ y®·¯ýÛ‚„2ãbþ+žPgˆDWŒB¤Á;TäÑ+ô4Q”v—¡§€¡{ˆ§…À9è¼µ;Œ¯°!f»é6~/Ä—|@Ír~’½EÃMíŠ$,<ª²`ÿ2Á‹¦+ù´oM­Ò¿$ȵk뤆éÄÆ)<$‚\nnu|”LXìÀ·´«¯+z-]‰:Ör"ï‚æ®åXê—º.KWü;øªå–YFC² Ê:ÃAÇ”+ÐIéU× u€œåŠ+íU>.+Í‹;ËSN@]Ž“ñ— ÏìãLUÛ†Èñ÷¶X»Kx»6 Í‘8=Ó*ðUµ±4^qÃÝ—Û¥>S韒¢+—Å» ‰eÝL®ñsf»í v?Á¥ímÆ!±»ñ'Õ粈€Yþ¢v0—«zæÙ’2GãîÏÒwTÄ1×µe{BªðHÇóMœ,Ø Ï&fr(yÑÁË)©% ­ßPÉÒùÉÍ Ehl§% +$EVDĶ¡¥Ôt o§Á \~6-s‡ð/€/²›‰EÊÔä 2<뤪tñ ‘Åù:¨ÝÚmb“Õp®´V÷±ÊçÈn(Q®7: ÏziZ¢“îàN½l*é3ÄÔmôiИ¥‹`® ß sµ‘ÒnX +ýUœ‚\ПÈb¡i0™¤Ä^Kcà=Ÿ!!{pwp y€ÄK´™H´ö&ôȘ/ùU¯DµáÆgõ#M@’êÁ1“¦¢“¡&®ÓÁyf_s‘IrÈÚùÚÅ”\ ýBcŸ×¨7¬H˜eßxÔXl¶Åtbuæ!†ÿhI +&)¥å Ö©êršbp›s³˜Ô;î²Cu GFæqÝ~~c6RbOŒñ 'l—"<Í–¼‹üÃz [ª”TÿÏ0}°5y Vá|E­W¹rŒ„Ñ„\˜2”aAA‚¬0 „¬/É·W&aã€A +¨åÅAK]מ ñq\k‹P­µžU´"ÎJÑ»÷?¼Wåù¶{¥¯j#¬'rG”^–‚ô$U¤Ù)~VÓHDäÎTup©áéæ7©ðe’ÖÊ⚊–˜R³"«I˜›^Çw0Ó^Ô+m¯âO©ïX¾‹ÖiM£Ái†-T‡ß5È°©'ˆ†œNÙ]¢~{e‘œ ÃÄr¢©Ä5Õƒè-wŽ»Aœ•…„ºÏ-œäV•˜YF~¨UgÐÖBOÙJt¼8yÇ0¶´¬.{KªèOÁ(Ùë¸vlJ¡ uÁSëœ0ü¾ÖƒyØkØ^?‘6W­…c+Ý +ÂC²½ÙlÄ]œÂEškoÆ% ¾õݼ§è„¦™“‰õg}“h0š[[¡ÑãˆtVÛƒw,ÑíÇU™£À^|}²X?†½Šõ4:a<X… sšá%˜Ã×Ù‡U)¦Õ<‹@Z§Q›/ç[µ6ÓóÌ Ý.ú ÿ0A=¹fˆ œxãIÒ—³’Q¾lä3\¨P©BoJ]Ô´ã\>[3Ô²õ­ö‚­Œ?,·ôÆЛMOyIÆOi>§ œ'»|“àÄ2ÓökŽ¤x¥Ío»ç6‡–oy*—¸Zo×9‰—XYÊýi£¾ŠŸ”fNP?ž1…kÏð¾ £€Á *ÙÐã_BàïûÄuøpÖ²B[’ š”¶Å4XŸÑ×õÑÔφ“¼}äãë ‘P¼¿•Ø73‚d"ÎdÙ®&Ä<¥ÕÐîăTô>º–üx4ñY´…"ƒþGðÑXF%N£góÍt2®õS 8.h¢ªpq¢„ܯ#~Âð2‰Hlò’eÒ¢ü€(j =äû™‰~ðn$¢± Y9P²KàÚÐC‰/íq䢘Ö¢&lÁŒâr³èSøÁ1åË|ˆ8Óû+üìûýáÛºþÇp5q ¶Z­«‚ô‰(õQÓ¸©AX‚‚!\Ž$$$CîsrL2É$™LîÂ%,‡ –*OQ–uÁ«OÅ‚úŠBuUX뵊]‹x®¶V‘î~á£nš,ÿŠ[™|ÏnCÖ +-ÀbY@ªX?(Ûe±92í"Õ¯)fÃmˆ€6@>íÄ_æ|ÓXȼ ½ÿæL N§+VJ2¥v&¿Áœ’Ç‚•ÙgÕa:yÊ*=>ˆC¦,ê½……zq¨¡©øwΣa™çáVîbP$©àßÔ†3H¦ ‰ìµ* +|™tšc^Œ7½Cûv›ó¼fÝC¦›ïºUʆNì\ƒAœ X)ŒM¢×ÈŠ¬ÅQËr’K{¥ãÄFÛeÍ"jùó%§§h·¥C¿ŠùÀªi¸24¿Ô.$ÛÒ²–ɹ™DÑüÓ®Â?2ª»ðÏ]HMtî—Ôa¡¥»PZé¸+CØÍ9èJ*™á_r%QNÊH®4Òrõ{W)þ |Õem}˜^…e «ÎÙ» +¶.év–¬ö_.e'TÞ)ñV4û¦(FéïoÉÿUgzfðÓ0Õ=ÛrûºÆ£Õ[(hÃØGjKÝÝÒ¢žÚy‚}¬÷¡%ë€]ÁÊŸ%ö€(yì­¬À¿0Üóæ·àÒL1Á½™sÚR1w^N³JÛO÷7ö „ÌÙ†yÅoxõ™”OÅãÕ`òi0) Â¿6Tù„­@JŸ­ŽJL#†Ù×´©²C‰¿[!ì)º«9!ËÊêw+“Ž™î@,Ü&TŽ›Qö0GU5ÌËãýaãò¯ +ê5\1(ù¢â¡-è9]³‚¶¶¸†¨›s4ú±Æ1y3¿ÆyÊ©ý©À/Ì ÉÈG䇫~IÄ´41î¬ñ_Þ35g%@‘ØÉ.1N§” N¸Ì¡þP°ïi'„7ó×ëŸÈ4Û˜@rÂzË8äZ?ˆ ìêiþ;Îfç +ÑcÞEÃNéO‚ri@ñDüœ¡Ž“ï¤úu{Aî6.ѱ>1óáë_Ù:,¡ ƒûJf?È/•Lèí¢CòNÉNÙç*E]Ù­!ç½×ø ämqú=pñ)²¹¿Ýî +œc«F±M¼Hõ´Œ?¨£b;t•%› 7órÁ~ãû´£ÎL&ž3>µÅï°žÁ~6slD'9ã?´ÿ6š‰T­æÏ™‡¥^ 5÷;Õ +k[ }€Î»ágX0^h™q$WâKJm3ÖqV/fÔÌ”¿Æ&„í|ª}3ãø¶½1—ªèsÌO[­9ï¨"6Á‘εñ6 Ç9àK¦ÿæ+´|dÔøæjÒ8aÞ&Ák ËɈŒž=9wåUžÍ©ÏÔ?º¯ƒ®Û¿Ó|ÍáµÒÔ0,Œ’«¡lug™³z®äeU,}*Ì ”åe-þˆÔ^u„ïG¢SÁëßoyˆž7ž¦7æñbC#Q½•ÝÅŸánª½[Ú,( õçl•^ 6¤¢ !ùúâÊŒø>ó"ï:Ïj°®èbiq¼‡„¹Æå2š$V1¦\$›åÝÇ•wŠökGÔ£þ£îQÊ“Ž%´[ÿ„ôÅÎ`çѽJ¦ Ή `·¼]–ªæ…+Yº)úu—!*ó5´Ñ(HáId„Ða¾oEâlw1¶•7£ïÓÈáhY³xЈr—ÈãM·èyªA39S¢ÝcLYgèBÙ„*d‹ŽlQö·¶ å¥ÖÓP/ð…¤Ç…m¶ðòl)ÅÖIëRÆ`i™ÿ?Äž‘¦ôAû‚Y訌ó :§Íe‡»ët¹/Ý Òyüsnç¸ÛM ­‘º>dìSG¹&HÃP³ëÁe*p‹ûÖ:éê´v°¾FëòÊÓ«´}9àà|%*öC…‡d•ÚŒíàŸ•T¯»ám ØýžÎ¸SVØÝký‚q¬ª½Å~V÷Q< „×f±Ï +ÓC‚B¦´'ÌøL†HÉ?— 6¦û¶ÇZWzªÍjxAñ|õ+cs£hi„#aÝ43¾ü ËKÑZr?Ú'H:‚µmø2ÇèAŒÄ½ ÙešÐ­d€cÐM^ðkÃ^þCÖj#,®¤ž@DòL2Ëâ‰I~tHGÇ«íøJÌ€ó¶eñ Ô W`_qÕZ•bµ¬ +„"pÄpß„CH„æ I&Çd2÷LÂ)x‚ʪ­°*j±XEtJ×J]«EZ_=@±XžºY¥¨íî÷#>§(§Uûª÷TªÊ#tgåÛE Àíª¬ÌU¦O4E±Á]cD®•ix`FfÁ¬åøw0b(½”ÑU +Õ•Yâ®ù]sAˆêvjßfh€w@A,búÆx#‚iù”ðu+•ÝE¹†Ì_÷X™¯x˼U-ÆEŸW'šÒ_ë½@ÿØc˜e2b1(·ž h±^EN±Ø +¬`V´[@-k…¤b½’°nÎ_PëáÅéeÚ:øÿ60ŽŒ„š³Ðñ€èl–u-'\õåj|Dþme;±®tHÞGDæ˪&ˆø´‡Õ¡D!ߪ¿@Ðá—M…Ä?Bâ=ër›äéΕt<‘GHß8šJd öÿlûM¹×žiÜlv>Swžo2ŽYê©!Ö;DL…é¢Å¾]²¡ò®†’±ŸÖ˶íRÔÖþœ¬f;Ë·¾Ò-rü®0ÌÛ첸ÛR}Ö"‹¨?5#ðÍmk+3§(¸¸­(.ÏõÇRÄÝÉxP{K$ ‘™ê~?uXÅ m‹ÁµÒÂ(U$C[Kží·–Il9v˜®ŒLæ"çF¿À«]C2qƒ.ÍOI®6Ž1žQÐçªxã É1i™QÑZxlµe_³Ì)O&uZõê±èCÈj7$6ôøÕã} âA~««8zXþ°ÜÓÀ¯âm¿b|®nÍ^i>¢]œäfQêë¢ùÖBchÈJDj^ðû k‚æ½]èr¥ou‡ö#I¸î”îšh +8ЂTc£1)±Ã¼ßüWÞ+Ë-Ë*îkxƒuße´I÷~€ßÁPEŒ:À¼±LR] &‹‚t-¬^à*$MŒ4-µb¼› îãÎB è¿Ÿc˜œéŽ³ñA9ZµuÿKíDÛ„§«§Ñù¬T}–•pËp;dz›…x0w +ú Þï7 ? r™©ØâŽlJÁ§ûÄÑU/è3B®¥K3hÿ f@ jçm1©¨Rî×–D*Øï¿pù–©ÁÖ“ƒ2ÃÿOö(VvÔÚ +n¨‹œd¦³úmMAOßëˆá¹;í1ÜS`§Mà÷Òú-a6 —)²ÂNßË›,ž£_ +ßl[có.HûÑ–%¶Å–Ø´+#]l‡åcÙ¶ŸÕã¾ö$ ÒsÔ~’&ªÂÞbâ~In^™Yö6-Šìª¸ÊŸ£–É/FŒRˆêa` ýŽçÛEi|à•o Îò$Ô³¬¥h:)=’kÇZvö¥6ãÁŠg|V'E´Úë;ÈRèûˆÊ¥^ýt\"ZWÉÊ +Y°»ânN'⢒¤ü†LiøKÑ[ºž!6bؘƒÿjnf¯$= + *™.Óƒ¹ÆöK›vIöchP÷*%Õz˜ÙÚ‰,1-ŠpGsÀ¨ÀDì8D±C7x†¡&µXš¸Ó8e¸!j5kLÌì4ð–Y›ü Ä·&Xqˆ¾ÏY¿LA)$•]€sÐ_g‰^.®[“…³Æfx™ ×Ì{’sÀÓHqÌ ‰® ¶Ž£¿Šöo¶“à݌ǃ KåúˆFa­a)1$Po×’×™DOÌѸþwèq?0$×°›ÑñÞ®xYZòNÛâ8$ôÕ8‚ _Ù$ý`‚l·cZÁ­6ŸðÝ?ÝȇY´+û0šH5z‡á‰”kQ}Ö!•±¾~QQüýº2ñ&P{BúcH˜ó…|ó7g¢zŠ9^sy”×lïÎ÷Ûïä­u^ÚA¥ œ;ÊRâckUó>®)’§‡¬vÜQá 8ä:oV¤cƒñ‘ÇsûKèÄö6û¨8#7Æ>^nNk·_ª<wÒ*>ÙÜmç¨Ú¹3¶§Ú"Ψ­ÏÅ¢lÝ`ª§ÂÖ D#ÔýÊîö”¤Þ£7W-ó¡#Ôã›óhŽöî<ãLÐqf6«Ÿ6@”ç0}¹þª•ÙƒÄiÍÆÔhâ­ ¶• ÕÓ›—“RÃ$÷0Ùa–pž’s–¬—T9¼ÛËŸú sJЫú’lO¬˜Iùw7±A8j^Õßork‰lèç17øx&Ôäe¤Üñ˜‚oáó U°¹d!SQ2ñïb+¬Ú(k…m\5†ôø/ÃÇÐ>ÉDÎô:G"DxA4 >…¹èXò(² â× 6b³Ü-X>ù»à®Ä*þ'qÀk’xOºäOXÚ+ë{è5íž| fP|~NE‰ãÔz®EÉy?¬’|êSãä-Æ2¢<“3¾÷}îñôÌ=ö‚‘`·[‘ç~³£´#l²é®Üt¥ÑG¾—P«§ûj_º ëœ_ÓÙß·,ˆúÒò›Ÿcñä®n±$kŠ“aš†³²ÁMê=U¹lMíQ‹÷"g¾ñɆ 5iЉì5Mó%7R›%q£þvÞLýS¹G˜[]]ÕãMÖÚÐê vKÍsàw‰ã>Èõˆq|Ç + 7épÊL=º³#.´®[ÑÌCjϨŽ†^wÖUOl’Õè€Tv·CeúÚû¤½Êöè¶Íÿ]òŠj2Íã0–uuFfÆÕ±®ŒÊªè:„AƆ"E©*‚±S¤¤'_ +!„ôZ:„¢ˆQpt±Œ4»â7Ÿrv÷윽Ys›ÿ9ÏÅ{÷<¿óžFràù׃dË+G1 F†~ /b¶m1¡&ªÂ&x“¼Æ,Š ^ù LtZn‰·D<ót"Œ„7º4Æ"¨Ž»×£.9Ô¯¢m£-±‘6§ñIq¬5£¸±£•5.1gù)œj†‡ßé⬌¹»ã!0ýÊOD<%në…{?ns žˆ³þû±Èê8¶Y°œ…£F<¼p ›œárÆwPq’DºÃ!\ üˆíFìÌÆKA®´^‚…¡ZØŨ·ËØ èR÷÷ŠíœƒõEÝÙᥡ†l±a¨·¶«±óÑ?ÛøaZÐ뵘lt—U¦³tÅW˜zŒŸ…þ‡ðs1¶Ð͘iea1Íâ¿ï½§MŸ1ó«¯gÍžó—¹ó¾ùv¾åwßÿ°à¯ ý¸xÉÒeËWüm¥ÕO«V¯±þûÚuëm6lüǦŸmav¿ØovøuËÖmÛw8îÜå´ÛÙÅÕmÏ^wO/oŸ}ûøôó?tøÈÑcÇNž :|úÌÙsç/\ ¿u9:&öJ\|B"D¡1X Iä¤dJÊÕÔ´ôk™YÔìœÜëyù´Bz£˜Ébs¸<¾ D(K¤2¹¢T©*+¯¨¬RWkjjë´ºz}CcSsKk[{‡¡³«»çÆÍÞ[}·wîöÜûíþƒ>z4üøÉÓg¿?ŸxñòÕë7oß½ÿ0ù´˜6múô3fB|=kÖìÙs æΛ÷ 4Å|KËï 5~Xí±p´Èâ%Ð&Ð(Ð*Ð,Ð.Ð0Ÿ–ù<ÍÔ6çó6Ð4^Þûöûô?|äX@`Pð™sBÂ#¢b®$ PX<1‰’šžA͹žO£3˜l.O I¤rù¹ñO‰SŸ#?UB™P' +•B©PëàT;üê}ú *~>22 +EOL¼€º_½~ýæÍ[¨ýý‡““Íý g ,vó˜,òcy]âzFÞþlç(§‘}FVÏPÕq㫪¹ØÚJ6©AÅ$µ*ŠH¹·$ÒÏΡ™ŠÙÁì`v0;˜Ìf³Ã—9¸zL2¼‚ÆÙžQC|¼QàM5ð±›x§¤zA¨R+Œ­Ô” k*x½ŠGj‘sH%Ť^VaÒݼ¼´û¦r~…LÈ¡Ø3hœã5$Ø‹»#òÈìú2›…'$ ’ó +,ª¼F‘P].ÁÖˤV!‡ØÉfoÒèDc&2`* ÷_'ǹ{# Ý°w%{2º¥>ŒÙaQ“*Xª¯ SV*â5r1V/‚¸\‚¡ˆôä2·Òèd£©L9¸x~dºŸœà»E ‹]0ý +çôÕ^z[ÙAKm€°º<¬L!¯‰± |±¥˜tä³®,&p#…Aºe* ×aʽ'pBàré±Ì }¯Ü1µ·zw¾AçÁÒj$ª²° +±,¾Ž9°KÍ…| -—‹7\cÝÉLâ Sy r฾íRꈼ_½blØžÝÒ°—U¡KTáU|YB[ŒÓ3„@S¾ßJåãÛÓ¸€!‰Cì2•)gOç|â¥dgسò­‰u¤þûôºzw®¬2PÉW^R³d‰µÅ\=M4æ +æ Ðz•Oh'óˆ¦ò7Ã@önOPàðJ¾-äyõæ¸áV;Lg·]f¹Î“'¨R±”‘j†^[(ÅëòÄ€ž +y¤C”B I@l3o?å ÜqìM™Ãù±zÛ¨{·6ÀõöT©Ö‡Ï*?]FW^VÓˆš|¾.Gè2 T¡!YHl" Iͦ +~œ]ž tË‘·Õ¶Á:6^ìüm5ºÂàÃÕúò +Ï)ó”ÑU¹ +¤&GŽ¯Í’êÒ¥ÝU ¡>ILÔŤSKì` `›;¨‚m\¬oÀêœöÞjœ¨c{.]wˆ{]}Až][žUŠ¬ÎTà5×ä„šT9±–"#Ö‘¥$-QJÖ™ +(²…R;×7µë¼îõ®òn^¢°§ìœ†£¬ÌÚaº:VžVT¥•áËST@e²’ &—«‰ +’PkL”l‚ëvw¬ØÙ6´Ô·ì¹U8{`>§Û5#½õ8-EʦhcÉ5Iƒ–jŒ ¯ÆɱUxº(EU•¦Ê×ÃÆ–Ùu=X°U=±ôï¹uxî}{tjŸG +¹ó•Øš4Çaã™(=œ¨Gràõ(n‚Ë×âøqZ¼ÀTÞi–­ííÿvUíè‚Âɇ F7†¤ :&|ðؾ“ôÐôĮȬ8CLNlG\nt{BÞåvx~T2?²]ÑŠ¡™Êíî¹?Öÿþí:éäB':¸ÚÿÚ û³¤§n‘èA¿øýSè+ý€Ëw.‘"nG%…÷ÅPBûâRBz®^ìML½p‘zþ&*ÍT@æ–õ ÝmHÛïÿ®hÿÙæ؇¬ƒDcññì΢³¬&Z¤T_Wj ð5yI5•¢­LOÑ—§¤5«Èm + Ç ÇÒ»Eèâž/Åì`v0;˜Ìf‡ÿË¡pŸß;†Ï™Ö¾ØA΂‘}„ÚUÌl¦‡Kôô8¥¶SQC#kÔדtY”FUÚÕVErºAF̾!ÆÝâb7¿”ÏEŸ|üÞ{ŸeûÄ òöw¸þYÝì“ŒæE‘¾8¦TË@VÔ4U4²®<7©I™Ii—¥¦öˆ“²û¸š‘A(êýRþí@÷öûƒý: j:¿ã8þ¸öÑÎvÚî´®ug–±«Ö*t´âE@EQ‹€*—r 럄B’î;ä !„rI†C@V@Å]Ý_³¶µÓ‡äQøàýü5ßù<ùnˆÒ.>—¥UßWÃ/)³aÅYØ/-Ry%•F·¤Þ2"Æ  InK/iÜÈ"‡tôY£{¦p—8dþ|´Q\ÄxÀi'6Ä©/ä©U÷Uiø¯5ÇÛgÔ§ye¾bLYª÷(kÍe“Í&‡\1qÜ(h©¹-³¢®ÎEv;w©‹Î›6 þ!5kC‚(x¡H¹ö@ƒÀÞÖm›ÕäNêþ&וôy UF·eÖaœf5n\+#„$Ê,—Û¾Èìá.µwò¢íƒA€ÈÚ&ç¿T%_}Ø—ŒY6"ès¦ ö”9G°ª&†¯jƒ æÆ¡ü½R9a²WLšé„éót~Ï-‡·mï ‚ˆA˜’õNv$ï¥&©ò‘! ½2p„¶0t¬{z$›?5Z uTj¬–º]Ä ÐÆ`9ì–Âtù& f,Üùhâ؈!á%g¾S$æ½Ò&T<6n¼cK /¹ó'z&¼ùbÛp¹Î`F*õ§8bà( @‡š¢ˆH3x!}'ìŠ: yo8ÄI™ÿP&\ø»þPùËÁ†{ÎCÄå@RËt(³ÛéÉ“‡ÊŒ*rH1ðµ—¥‚&ÚäÐd³”x'¦McÄŒ¨û`$fü >”ûm|éSÛ~䃱Ø•¹$Òx0ƒmq]Pª­e&‰ iƒ#ŽeF6AWB~’œ8‰“QChiëT´Éž <|ÈÚøó¯ö]zîÚ[u*¾nz!žb÷gôö9òÔ’Ár3lª³q X«r3"· © >’’4SPh=m@ú£áÐA 8 {ϾŽ+\÷Ç•--íFŽÍí§Ç3a™£@ì4³Mõ6–;Ò©'¸Zûª–8JÔÆpjŠ­j6 ÛDƒzßQ0°'ûåè®ÜÕ¹=Á»;QÖéøv…ï„€ï(ÔôX¯™ºÌ ÖN#ÎÎ0-z¢“¬#¹‰}Íœ–2ŠÑÒ¢Èÿ¤ûã>ñƾ#óAhûÉw¶•8Vw 5áC°/[Àr«:mU†5ÐfÆYéýÕH´7H‚žìÄë)N¬ŽæŠ6 øS PÅýX¶'>ó}™<·¼5Ó½þe©~y'NNdtOäðÛÝ—dô‘jM‹ ¥§Z±ý̓x3ÁYðÄA¬™dE›ÈCM&ª-Ú€jG ÐoûÎõž¥Åßíõ>Ù’m\©-ïÆuÍ ÚZƒçÙTßÙS#%º”xG“;‚Ñ¢í8]ã0^ß`#ê‡Hƺ¡æ¨š¯b~Úºãnø×øÙAóë-9’õ¯*ºV÷¡ióéTR8· +–ö`'ªyM>¤ a¬ATçm#GÑ’ZVZãÆ˪ݼÊETDèÿÃÖõ‰_l ®}²mð»Ï’do¿8Ëz¶»”¶–PÝÎÂcò)¨ÙÒVdøjGÍT ³*„ì¾:YϪ ¢z*‚Mìò†SÀqKýPÔ}ëüôW7–öKÛ«Ov*Áo°ßm;C¹¯zœz­qít}ÝJ¦úöe¨òV©l±Š|e¡–ryI½t³žV2‡j)žk¢Íbè…³ØÖh ‡ú“‘‡?ÿ|º«lIl»N^Îmz‘Qr}ý\Eå£üê+Š¯—¬•ÕÝ«l(¼[ÕXp§¶1Ù”¿Z‡Î[m@_XiÄä® ±Ñà¿üp“övôfžyÍ?qé)œ¼Ë?GZä3Ã=•‚@WƒÊÛ =T³«µÕê 2lvsdÏrÚ°÷Pì±¢¤Þzµ×E    ÿ÷†Þ Ç€—qæ5œYòTp +yOC¼Å»Øæ”ÃRéeb &Ùìl§[GhmÃÃÍÔí¶b9£ƒMð˜%ó”>]8îóˆ!pÒ€Ÿ~æ{áñ’g¢¬ëkâlÂ’ðBÇ4ï2?È©VŒ±õžn¢ÙÙI³Ž´Òí6 +Ãe%2G-8¶o ûûQ²€¥˜P6n¾c÷ÀÇN/J/þF’Q»&= }-9ß>#,ê ò+å>n½ÎÃÆ™,ŠÕΠ µ´z,Í>3¾'ЄԒ´I£åþÍÿ6ôM‚´Óo$G‹¾‘¥×ÜWdáoËsÚf¥ùܨT:×jGyh““K²Ú»)k[‹×Leøû›Ù“¢ø†#¾ceA>VØlï ¼ˆ—–„©Ùo¤iEÏǪ¨2p˪lúMe.{J~Iì—T©½"”ÑCƒvn³c°‹æ5µ3ü}-¬’"ÃhšI'Ù,¸ÙþkHM"Dö[YjásUZÕCM:f¥ïDË‚ö+¬)U• +Ÿ²Nç–aìÈaâ½Zfk@ÞÎ é¼0›,œî"IBL’tr³AlÄ øˆ N9õVžrñ…:õÚ#ÝQôª1ƒºhÌaÎ x!c¹ÄoDªÜjÔ€E‚éã½òš_ÀdLqœi&]8ÓN“LµS¥“›íƒNI’äSÊä‹/µ)WÒï˜ÓÉK–lÆœ5==\[jTv]½Ù¨@(…WØKñsØm!fwO¸)œii“LÑÚ¤¡Íö?é‘“ª#¯tÉ•OLÔ=ë?Ù¯“¨¦ï€ã‡9Íë,oÚ9̳³´tž‡Ú2ÏâÀ¨UAÙÅPÙ×@C6²‡¬„-!d!ûž@ BB6²BØÄ‚QDÁŽˆk«§ãop¦Ï9ÿç4Ÿû÷úM§Üre²—½9ó¾*¡ßÓš›†ûŒ—RMð‹dÔ0WÜ:ËrçBÙ5*G1GëRÎBd; âib"P'dþh8^øý`Bõ–5yÏ•J\ ž¡¯L¶Î„*nWÎ2Ò bÞß­¦L¶)˜3tç*E&' ”sd¾r* ‹Þiˆ²„@sìì?ûâ/=:Vùh,~ß—‚½;{–´ôu15®àÛÆkµ}6¸EnA;øýxo‡bhéS$uÇ,N%œÃÉ•³8©jª· òã'€îè™×q/¬qåO=Ç`›S)ˆÛËçг ù,÷T™Àì­×¨ísïÖÞ=@o5’-zê$^ËšFk¸3(l¥UAä?5(ã€!ö4°ÎÛv¹ò(_uw1­fáf:Þw-»}hâŠXë©ÓKvz†q¶®A²›ÙOñQŒÔ NÏœ@ë:&‘zá$B/† Èÿ$Gc€*î8è?”ñÚz0û;ß——î]/ZZ‡…V’É#sY]°X&q×zlK×NC»™ìd P¶ÂGÚF¡ê½ÀµŒî‰ÞœÚ=¿¶;Îóø³ÓèjéÚ!,çz“5—Ï¥…+D”`ƒŒèCª°^ŒíÁé‘n‚á"áNJ£“f‚9˜0 2 ü˜?ÝóÌñÙâ­ßî}øɉÁí=y²­èΣÆòi*ùë‹m„¹JnóL€š„÷6M $_…še Aœ (êü$e­¯EUí£««½ È€áÓ^9þ±²ôþ‡þ»¢,¯>I–oGæs}YEßHBWÏš—‹hÈÅÊÖ¯®ÕµÃæ»ê朚YTwÕL3¯r×S1Mà—O“eS-ÂÒ)*d`ø¿[ŸûÕ¡û¿ùhhûÃ%ØÒýjßÆÓ£¥„Í“õè\$|­[«œX½RK©¼Ñ@-_‚ÓJ—Œ’oÐŒ+×›™Å‹8VÑ"¡õò™]ø?¸üå/&—ßûõÈË÷ÿ¬ØÏ{’˜¯d_$]B?É,kÜʯ©¾¹¡üÛ2xÉF5¢x½uùn#ºðsé¢ùâ +[°†ÁÜÆâòoðy«DÈÂs?ÿ™íÎ{hÀowóÁÇ1,°÷8 Äf L?ßðCVAÕ÷yE%Ï +K.?)-¿ô¨²²àamUÞÃúš [5¹[ðÚœˆºœȺìMtýùMì0¨€ðo»?ásÐ}*ðÏœ|-ÌÌ.Ì©Ü ×{JZV¸u (álIneêCô6“¿¥Ëâ%ñFÆñ‚Q¶×æÁÈìn”jÌÐ;\Mƒ{“’w ïÞ5üŸ6ˆÞ4Ýq@pê$Í{Þ{¾bK”‡XQVxÕ œ&á\^fÓôA{ÀOëò’»­ã¾m§ÁîÆÈ.”ÚéBö¦ç0b’· ¼“±@”‘ħ/¼d–?”ä4mˆ/’o +ËÛy0Á£wõA6kÀÇloáŒzˆ=vVätbd.RãCô{,“Ç„4¹¡¢˜]@°ÓГ zÓÓ4#÷¥ìLÙ#yüž,xK|…}]XÿÊCÈÂ>ØA𵲇Çi6‰çpàDî1Œ|ÜŽÒù,H£ÏˆêóêPý(@c‘€Ÿ Äii@–žûRqªô±2³ñ[eaU^ÈúFRÁ›6J§zšµ!Åäë` {˜ív'…ëà ½¶f…­Qú€mô)0}^(Þ6Rc$5 (Òr~P,y¢9ÓðwMî¶:Ÿ¹¬(å^“Ö‰gDHõD±ßÏ¡yØl»ƒÆñØÈ"ß0A4át!5F’5üblŸŠ· ”#@šœ +”)ÙÛšô+Ou§`÷õ™Ø;ú\ú mqׂªZ4+‡+'Å8ƒ¿‡bqu2Ǭ¬NÏ ­ÇßG‘†t$턧â ÞèƒôFïÂ7 ÉG€,)¨’³·µ©ÅO 'ë6ûÎbîögSoö¶/+øWu²°£÷ˆˆ–Q.ml°½Íc`rjš($oQM +ˆš0¨rIF?ÿiˆ¢¤#@ž˜ÔIç_éSŠžõ¥×>8Z7g‘W-[—ÌåÜ«½ J?&Á[Ìü–1Ck\ÕÖ°B†"ÌmÑ„;[ô¡Žƒ + þ©¡7q§áD +Ð$fýØtù;SjÍ–%qÏzŽ¸fÏg,ÛË;¦-°^¡Q`-}"Ò˜ŠG÷HºÚüvÞ—- ·35Slº.ÔJ7 øoÉÃ@‘ þÅ5pŸNgÚmwº³ÓÙ±•Ù™mu»£ë±*ꊸ‚€Š¹/#7H äNH  Ž@HB€„\ä$77!áP¡ˆŠx¢EÐ.øo´vŸÉ[öáóþßOⶩ8—¸¦¹¹bD>±†æÏ÷ÄßîΠÙõ°«)Q‹ðÚ6AYÀ-ía×WÚj™LGU-o¸¼F7k1FjÒ@3äééÆ\=Û‰ï <ä„'¾Š#GÞ™¾?±Øùu×êߎ·oí à¿q¥¯xeP I÷Éøh1ênzY=‘Wu MÏÇÕ¦ÝÌg¦ŽÔ¥ŒÕ'Ý(e]-gCGi.Š];^÷üå‹É¹Ï>ï~ñ×o”[?)û µoOÄP^žM!=†aÇ à øì¹tRæl69m^œrUš4¥\û O…Þ%–%Þ-,O¸]T›B‹›*sÉ;ãŽ?MÞúôw½+ŸíPmîÜÓv{Ö‚C)›§#H뉘ÕðÔÜ¥¸ëO¡¹)‹iˆ¤…ëhè£\LâC.á!ÿKˆ›'bç‰ù1÷ ‰Ñ÷‹HQs¥.™´ÿá·ýwþø{õÏŸï‚/÷2À·Tpü øc6ƒ#s6"âÓ×b¡I¯ )‰«©iñ+™±Ë°ÌèexVÔâzä:;â9þ –ŸsåYAnØS²KúG?ùvö“O›ÁŸÝêÀW{ÊÀþ£$àé…þça øR*½º÷óÕ¨è·É1‘oÒãÂ7²â¯lÀÂÖá ¡ëˆÄ˯‘WC^£¯†¬á ÁkhÐñš+óð€qf7¨ :êB|ëJÈ+*þu}Bæ2#½P“Cž«ÄѦˋ˜S%eÜñÂ*Á‘Ñ:Šg· c¥ChÜli·çµ)á +Õ`®FmË5©{òÌÛökï ÿ· ôÿ5„ÿ·!>s™™Œ^ ÃÈsUXÚt™9UJ厓«šÞ7ŒàYΆ™-PØß7 $*gƒz0W«î‡›Ô]yÛ÷¡él`\:XA>€s9ø7<î5'&c™E=ffÎÑÓ•DædyiÃx M8ZHë¥6°.¼à"4F½m IzÙžó¬9ÿ°)©d¶1»ú źŠF+)mjuû@a½¶7Ÿg°âDfFiUcÔR¬ÚÚŠÓX„x­i»>6|XþGÏß/@@+$âßâ k¯ÄaÙÏÅÑØQbÑœ0ƒö/¯n‚MàÒ‹Åí]%µ:c!רËZTxY§ §êjq4ÖF‚Ö¼]XÎöywÀ?çþÃ=5a'ïÎv:î´u»]»»ãŒµº¶u=©¢«,‚"È@n9 „$$!„+äàÊEä€@ÈAHGBB€p„„EA.±"( hAÅ P×ë·™±Ó—}ÉЇÏûw¾ +oPùE¼i„­·„ ×Ô‘9Ôq‹Í©¬[ üq)Q<\UØh.gêºY}‰°WS(35Qû•EJÖYj)zS µÝh/PókƒÈë¨ó<”^~ É'ü­Ú?aS„|¢‹ ¬´AÉ :8}F/¿RŸ+¶ˆ¨Ý|š®­Œcha +Œ 4±Y^¬´H‹´ÖjªÖZU 7 +Ûûìõ[C­ç1 ?w¨<}A‹wØ{­_ÜKýyÄ“ŽðŒû]Pâm¢p\‹+¿Ø-¶ T酟ˠäðŒRVYÄ[…tõ¯X;À-ië¯(iï³×Ç7[Ã9GPïq4zøgè‡6ŸØÍÎ@ø£ž0Ì=4kÖˆ \ÕcÊ-M±ANTij ´Ê*†AÂ+7V— Í|¶ÌZQÚ4Àf¶°mýl†Þd/ ²5ÔØÄŽ@áî +šÝ½Ö#ø]‡wÌ‹ž€¤Usri07mN¥ w¢ËŒ|­®!W¥’Q´RQI§°Šcä fWlerUôòÖ:Gg¡³õ&{ýÖ q? +”n. ÅÍ ´¹½éòŠ|fò0–rg$uÍšB¶ö 8†6œ¨¥9»A®$µVËŠ:¹bVoi ÏLŠ,EUŠ‚Jí@!Og)ªÐ›íõ±áÌ@êv4œ>4§=A‡[À+£gøÚ $fy4"nv,9r1™ÔgJcë:±5J-AYÛLÔð +:J匞Y¹‰*©îÏ—È­y­5¿Zg!WêÍöµ¿6ÈήÎ@çâºÎ@6,ÁGüÃîÎD†OMBÓ†F`ù+¢´Ù˜^-íÄ+*u¹j¶š¢/i¢u‘UcnC¥9K)ëÇ7hú³êÚúsÅz³½€ØÖ ²5È]ÍÎN ÝÙí­ÑÅûÙ°;äÁTd~>T©J”4& *íêo‡w‚Î}»Ÿ ïÙuóö?¿»¼zðXï‹cÞêçΑ’ggS¹+~™ô¥P2u.ž–÷3‚M˜ÆVà&³éãÄ*ä¥1Z,‚_eˆ“¯°%I#\iâˆP–pYRg/Pphý×ö×ýßm¿sãYÙý}ïÆ~'õkGˆä•s4÷ù¹Túj`ùÞ…¼ÜX~>­3‹e¢n؈™¼2ø4¹"yª ›¤ñ'™‚ø‰²Ê¸q~t¼Zh/ Úû5èÚùõƒkùòêƒoþfÜصOýa¿‹8ús߸FÓ_ø$“‡¦ç¬@3qË°<ôR ±€¡Âïd%Íç'ÎåÓnQéq·Š±³4fÌM+úçòÒ¨|¶½€îÛÏ׆·n›û|‹qý›íj°ëpå‚ChïÝ#È/ⳟ_€c×bQˆG0lÊ +û%=+á>>'n9;7ö^^^Ì=1ú.5?j©ˆ¹D'_X,¥D,–QíµnúòÓÑñ?ýÁtÿ‹/ÔàïßJÀžÃ\pø œò&ï ìw!‘˜×‘±©±0Ø‹¤”øgˆTèStZô*j€Ž|œ“ñ˜ˆ D„=¢bCáBÒ3‚WYx{oùÄt}Ë5›[ÿ,ÛwòÀéÀñ$ ¸Í4LBÞA# oaQQÿ…Ç\x„†¿ÂÄ…½Êˆ}IHÙÌNÞÌK ÚȇߠÀ× “ÖK’íeºúÙ'­sŸ}*_}Å;vÐÁ¾½$pâ¸;¡€ï™$pþ\,÷Ž1~ Á?$Äù € + +˜à€ ñ™! +9¡~òÂ|?Â}ÞSíØ·‚R—€éwpƒÿøá^@òž—·YH{ÊÆVDòrqQÉ"…Åž'VpoåTUÞ$ÔVÏdÈD×±Jñtz“t +Ý*›Bµ×M"{ä“i–ú1äÅúËèa¹=~oø½áÿض†R[ßÖ ó•Q!ïñq/¹ð´§e˜ÌUV.y™VH[(`²çIåÜÙÜʪY¢š¼Lå 1KêWiÕÄE2®c¾¹•9‡£rg0]¢«µÙD•¬g©Q])·¨ÇÊÜšd¿Æ]ѯµWöiMU}:oªç@õ:£vN¬?ćѱ£@”œþF˜qê?±Î.ª[cTà—(˜öy‘1‡oçM70Å“h~÷8J¦«Ðh.#lºQDŸ®¯Ò­·W¹õF”[¯­öÎÇ3j;àEïÂØ#@’¤ÇO¾•¤<ÃÊ~œª½Ç.;»ÔYKžïhêœk&ñ¦qtÉd=·çrT}¡J£ª°ú+zPU¯ÑX}Ψ®9gTÔözÐ<šçйð#ý€8:Èââ€<1õÝ)y/ä™%O$yÕ„ðÆevUëm:–>Cnæ^!R$£,Å@½Pë®í18Q„r™ Õ.³ºÖeîF»ÌÒºs&o|<°#¶A„DÝ1±@q4ù7剜_•éðÇŠœÊ5yvATÑ<ËÆPÇhxî0‰,íÃw*\µ^j4¢5]­ÍªD;­ò:§UŒqZ—WÝs ÿ~÷ÂðŸ€,â0PDÅuü‰·š¤¬gڴ‡ÚlIJª}³»âì8Maâ¸îŽ)ÔBU™ð,½¶QdRbÖnŒÉ&Á8ì¬ÃÆmpZ9.‹7>8a¾@ºÈÂ*2 +hc“^ë3~1¦Ü3ÁJŒE¨i¢é¢¸†ÒÏÆr!:Aj$“Uê†^Nà›ÅMr›§·s!ˆ…ƒìÌ&§•Ñä´xtþ~8踡ۀøÈ>Ð4á‘@sìWóÑ´Ÿm)9«PVÑ-kQŸ¶¬iPŽ¢8ùõS×Y©šFRÉÈ4½°•cæ4Kl] ÔI°C4<Q •à´zãáÓsàÙ¤!{2ä Ð……ÿfŠNxfKH~èJμëÎΟuœF^4”àÜŠÊ«ÍÑp¥rf³J@ëг:ºÌô6‘BRBd’ÅÑÖ +Am-[[‹Óêþám@¼¨ChØ[kdì†+>é~rÚÂ`vöä¹Sˆ!ËœCƒìÐËkØÝB¬DÈÅ+Y]d=­“a&Óø¶JD ˜„;Dlw؛ɛ7cû_Ãsoò æÐ` + y툈zÜŸ°6š’tk4 6Ö—è³7Z åí*Š-–ÕKØ¢&%ת#qèfB׆cÊ¡¦Â2 GƒìMT‡ÍÂC?€žƒ».ÈX¿è [Ž‹ZžH™¹œ‘5:˜Wît6è­¥d™¾’ÅU¡EôîFIҬŋ(¦ËZÇ—ØkxZ¨šÙÑ,‡­žé´z0=¦ç >ø=PØŒ~„?‹Y˜9=1žžy~4§ÔÚ«t–V$“a¨¶i°Ýx%Aé!jäLs¥LdEÈÔ¶r™ÃV!tZQ<§Å Ës`úiÀ öß ,{߸÷?¹xÄåÚQ¿ë·#/N§e¸Æ`%º‘‚zÉyx+ËUÞÙnGññ–z)ÆxVY­'i‘ºNC©V`‚k•æ"Ý|FyÎT&ó`yÜß¹ßî'_ùíz>°ûÞÕ#»n/Fï›\LŒ¸‘zÒ2•ïËCs/)ƒ¥Tb%Ó‹¡\8y¹£U ‡èšBˆ¯+€ú|Ȫ/°¸õ…:ï¶ç ðß{7ÓžÞ¸ÜöhÌoëí;A[¯®„Œ,ÇÅ8NœÔÞÌ(ÎäVÓ'O7µŒ•±—*¨ µÜòœ¨x¸UzjˆÞ;ÄWdõ(aCV%l`P™ãPyp<ñ¾õîï€}çæ‘ÿ^¼±gÓÕ•€ÃB‚kQG5wãaÂ…gèóÕÍ7òpØkÅ­¨Y¥lª–ŸhdŸ¾ÒÂË¿Lä^b‹²/IÄ°K§(ãâÔ€ç9Èw} Û¿yÝ÷ý7«S[¾šZÞñÝðºßh=(Lý0ì¸ð~l.}-±”¸’ZƒYÊn@Ý."–ß@´Á¯ÕP +gèSDFîd{Wöƒ5ÁãdŽË¸ã:nú8ä ð”¾ÿо\¿¼éo3K>^÷Ý=Ý»_½%ø%4…ö$&ø8±ó ½ªj%·¾| ŽƒßA +oÕ´äßĶå^Ƿî‘:²f©ÔŒ&íä Ÿž6-ïLÖzH|ÿ ›ÿúbð«?ÍÝùâÓ‘uŸ¯¡—[}U¯ö +^ÆÐ^…¦_Æåb6’‹QëYˆÒûù¨âU8úÔ2“¿Tݘ³ˆi‚-4á3ï4Òo“‰'çiÍ©·ºZRn +Z“oÊHÞÊÍŸ½sþóÓ¹©Ï?}ôÅçöW>ߪÞmÝÉ¿7ˆ +‚bˆï#’1¯e¡ž§”nÀŠ ”æ?‚#s"*a÷Q¨Ì{u5ék µi«xtêJK}Ê +“¼LÿËjHºË÷ +0}ùÉì…Ïþ0:÷Çÿ³[gAM vÇít»v§3ítÛÑ™vZuÕ®]ïÕu‘Cî;r†CDD @ !`BBHHBî„r;€ \BñÀêZP´X‹ŠnÅ‹uåß Ý÷ø؇}ø¾f~/¿Ÿ÷¾ùô76Ø°Q Û÷ˆá@BbhŸ\ñ™Y²œu¬èun^þR!êø lQöwLÖs6ó—±H-M{ÊÀ#ŸÖRŸpËRžÊ’KÊ“ÄéÖ7k׌MürMßô'¿°Áï?ÕÂg›Ä°kúS!"œ q8@&¡ ™ûþxæ±w¨¬¬ï‹³3–KsÒÞ–G¾!禼®:‘üš–—ôŠ‘Ÿø²® á%§àð’…X~Hç<¯é½¾vmñWkaýïÄ°uö~A…ÀýDˆ +(Dh>¤FåÀ‘Ø,ÈA¤C~ÐI)€óžo|J"”§&‰€ª´x ¦Å­Ô¤Ç®03bÞ×gF¿ç}P½ã­±M}ô3-üz­þð[6|þÇ“°oKî@Cø—' îë£éA©’¹¡ PŽtD`#c¡Ô{xÊ¢¢ä˜H¨Š‰jl80bÀ÷!sÛ'À<¸jc¶/q/Óƒ@” Â|ä +¯8ç-» ý‚QMxFeU>¦ðiHRæ|™Šý¯ãÞ/1òç°ÖÆ{—ð.ºK<[Ô'™-<+™AIgPW¤7 ¯K¯¡ÿ ÿg–×ÀŠÞ +‚„½ N ÉÑŸH]iDç¼åâÑ/XÂ"IY¨æÑÿE–°æ‰Mœo®Ô(¸‡µ +g‹]âYt·d¦¨_6]8ìí‚|ªpR~ =)›ÀLÊ}¬UÃz¨ÚÂÃ{@† yf4HsRAŒ:öVPRô¢¾‚°X[CY qè«Du*ܹr­à.Þ šÅY%3X—lsZ~= œ*UN^išÄ\U^,¾Út{ÅgPç5Ôy ÜÈ- AìEr(Ó£A‘²¼ìeQqᯜ°È>IY`ÔÕ<<)`?¨”ñî5ÂY‚^2]b‘ßÁ¶+§0gšn£Ïªn]T_Ç\Vc/«=¸Ëš³%>¶×Àöx[@¿šýA…Œ‚¦#I Ì=º,-B- ñøg ”ÊVmÍ<Ǿ_%áß­P‰fÊZewJ-ÊÛ¸Õ?Š{5·Ð#Ú˜ í%ì„ÖSr©y°ô’®ï»UC½× ˆØ Š¸Ý >ìšäФ'‚úXÖ÷Ê‚‚—Rlé³FyC§Ï×rØsT¶²I†¸0%Å­˜Ò¯ÙÇžëóŠþÝŒ!ÜW’ªîˆiÌë õüKÌFÙM¡vWéZ†ÈfC?±½­§¼ßÜ]>jq‘F-Vò¨ÅTyÎl ø¸?d^ƒ6bè#¿Sl0˜bÞY‘ÉK–¬£O̹ÿ4¢KftDòuE5s¼‘É÷px²!¦DÓGS·vWL•v‹“|Új'»mm•›¡ÊcÕUZµÕ£_­¼EÈ&Ð…mcÄ~0Ç õÖ‘’øÜ™™ñÈyüãW퇿kž:nv}Üþv ásv$4¢ðy4AÖ‹K磻°ž2ùŒn¶˜ÔÅÏÂuJ¨ÎüüÈUaDG¥2¬óª2´ëž2ôqwQ؃®º€RÛpaÿWPgòõ’ú‡M/ú ×uL˜nmÖXíiœµ³¬™vu:3éí_0%yNä¡I/ˆÉ´Q:‡8šÌÇŒ¤ £‡%bäPž,|ðTvè@™¢ûÖɈÎ^@qñ†«;$¸¹ËÝ8ÎîáBOGhO©ÜÛòtºòP_¯¼__ïäò—úrøÛ:!͆Ûi`ù=lŒ£Ài_(x1÷¤¥Ärìa q²µ#Ьó¨$ÚØÛƸ¶Ç ý˜ ˆ´$vº@²±°m¶³dx™‚8Ð$ö F{€€¼8ä +;»œ("½Ëa¼¡)^STÉó±çSæ• ¾–7‹½’ö +Ó˜>ƒ¾ÉŸAµdL£ ¦búcè!Á3쨠÷bUÿoø³¥m`kRŒ´S2i€ÈÂí@Šò1ÒÈ©lìr²ü>>›ñ†^˜¸@=Íš#KÕ+¸³øÚ´W¸+ülcÆ4æ–`}_8…ꎣž‰†1C¢§¸Q~uÀÖ6¤hxŽF ö0…l? È µy´;Hñ ¤!?ò’°Ë)|ò»$YÜ"3?ižVœ¢¡œå¾Š­HŸ!ÖfLãë„SØë¢IÌÌIt«xÝ#ÁŠ{ðÃâv¤MHù³!ý¸!ÈÜM@0‡¼cér¬?HÈ+ñØe.—üŽ%f¾NÈMžcœH¥–¦Í/dLk„“„ºÌ Ü ñV-Ç<–¾ÀöIûðÏ¥„AÙÃØÁ¬û±«‚Ô=jµ2 !ÛÍò}ÿ…A¶P€t…<´dÇF¬d20Ëé)ä·©Bæë$9KÃTr_ÑKøÓ”rádlMæ¡N2ŽÿYöÛ’õÛ=€Èî$d·Æ>“«Iý9wÈ«û_GÛ ²7…ë^Pú„6Pæ…ÑÈ%„¯È¨˜eaù-Ï\`ËXšÄÞLÜéŒ)jYæ©Fú’XŸõþ¦| ך3ŒëÍé"öç´’újroî/”ÞÜ&êꀣmàjÄv;!ÏÅNz™A1ŠƒàÒ +1¡+¹dÔ)“ôVÀaÎsÅìYV.o*¾X0N?'£TgÄÖå<'ÞTôZsŸ{óZI=yw)=ù?SŸ4О\¥¯¸ÚžÕÛ …ÎÆpÊã¨|Ž€*ÐN‡{­£‚?(‰Ñ‹ +Q#eÇMeX¿qåiÃÉJѳøRéSF…¼‹z9·ÜXÐFR¶’º”w©O”M´nåUzwÑOqÝÊKqOVgüþKx}E5åqcuÇî(ƒ:ãŠÊ¢2à‚ R¥‡^Œ´P)R @JHƒôC¨"BE0 A\а ¸êèg–ñnÖ‡}ŒŸ÷ï9÷üï9? ÷^Çÿ!HŒyY“‘ô”ŽÉz@-ÄüF*#ÜÂ1KÇóøcH9}¡b_ÉR×h2/s/gÜàõfÝ䩼 97y¹7¹ HóþßPå´ðÝö‰ça ÷±ŠçÅÚ0Ï÷Šè€WRXä3aìA *ýv=A)%Þ(¢‘¯âj*5ybf?ª¾¦7÷¯ Ñ+è@\´åMHƒ@‰2è ¾ü ÑÔPôã7€á¸]÷™ÇAPëeêýB<Þ*#ýæëÂËáq¿ +ràì|ôh%‰x…\Né/bÒzð|VVÎièø-¨¡ +5(n@éÅ +Œ^$͉°¡k˜ +÷­$»€ub»î +wk ¶ÔßdÎßz×ý ÉÝf¡ÍËîú¬ãóî0×û]1>“­ç£F•é)Ò\d7KheR«¨UŠr:[Dáòx%2Q5I%euÊè¤aYI+£‘tÒJ’^ü%Ÿ(G-÷¸i÷þl \~NúSíyäU¿ý“þ0Çß.FyëÛa‘ÃM𔋵d»CPq d»”&¢Ó؜ʳL,¢Q¤ådµœJÖÈ)­ŒBÖJ)d„BÖ‹Í$SCÙ ÀwØN»Êyߧ6×Æ®Ó6/úýŽ> +q˜êôëJˆhMIîlÈÊm–£ðµ"YÄ+¦qªËÙ &‹WQ%‘+ë¤Å•mò¢ÊËòÂʲ¢ +­”T®“Êõbs@±©¡ÂÖ¶‚º»@³ÓžEµËoûÜ­Ÿùº-Ð~b0üÌÕÞøð‹ê䤶挥2'‘ç—rÄ…• >•UÎepKªyÂB–B‚c^å3ûäX–VVÀÐIqUz1þ >7Ðþ±ˆí·åO;Aë‰ïºö¾tûþé¨Ï÷¿Žùÿ¨†zöÇB;»›~ICÈ›¼†¼F-¡¢LZÊ,Ó8G€åKÅ(^“4—×#ËáJ‘]­cØz‘9 ÄÔ@·Y¤ö›A£ýÐî°ë}ßO»_\;¹û‘ÁÓê–ÞÏnäz˜GßPLhÛ%¬®ž%lÏƲ. Iå*\Y±²˜Ž¯«¨Æ(ؼ™X˜%m§K»$i²k’ ‰^œ-!øãBs@©i뱯 +Ó?Õl·u©ëØö·ûíÏô?ovµÔßô9ªÑ†¸wŽD«†Î%HúS2ª{31ÈÂâö| +®µˆ†n)c!š˜5é*!/µQ)Hjì&ª†…I AªÂ €Ë |sÙÔPsp¨3ÝF›í¦…>›M/Gl|tûĺκì¾>ímÛw3È­U¨¸ÇN‚ÓÓ‘¥ý98üżbt±,»‹Bƒw2˜I‚j˜ºž¯Vsã:†L&9çZ&9°&óÕÔÀ·^ MïÑa½áÝ•¿ÿíɤõêé‡vë´Oî¼ëeÓq'ÐEy+Ü_hˆbhÏ'“GáÙøDzCȺB(kÈÔÄAzE¿*f Ž5ÐÎŒÔ0"4ÓŒ¨Þ)ft÷ËPnjø´Xû±o]+o?سBû쨅手e÷ã36Mœ$³Poö(u +vŽ0™š†žÈÎÉ2`òRõüy=¹0^G/‰Öñɺz*T×N Ók¨¡ã·©a#3åÐá™ +sÝr%¨Û¿ +¨­V¾»ºeùÌÝ Ë´Ï÷¬œ?²¥sÎiŸê™Ç1ñß“¬ÇA¾Ô‡a„ñqèûÉI™w3ÓSY*v†œ5Ã(€Îð¡³õ„àY51讆toŠ4ý¨0xòQ‘9€ûírÐlµ|±ÓWÓ†uís‹¯^ïY§~m»³á•ãÑKwæ¿|NQ^ùáç#B‘óqÑés‰ç’æÒ“æi1s¸Ìˆ¹’ìÐ9ZnÐ0/GûÏ·`üæ{ò|ç®åùÎßÏó{úcn[:6-›º¶2vk-äò»oV´÷ZÔm-…FÇC £ûq²Ñ÷Þâƒ4F¥cÉÑqÆ´ø(c jÄ&†‹Rß•¥ž}ËLó{#Èð}£Èôù½9Ëû÷®,¯×W²Ï¼3 ¨, Sý«!×ÇVCúהּ}ؼªîþMüG¬è‹N‡K?z8à–üOæ.{—Âý`Ÿbc?ø[BD/b¢>býÿSç»P™àýoö9¯¿„°3)Î{þ©J<ý¾#Éã}Yw:W@F4« ÇWAZï­Y¦Û¾æ‚ý[hÀΪ8,žvàë˜ +]Ô#ÄxAÌ7¤ú‚Ì€³èòƒ}@aˆ ‡zZ˜`CÝ? ÂO-É"Ü–#Ý>6›5Ú·Ò;²Ò2µ"{·þ+øvM%°Þ\ ì-±Àyp·I^vqàìñˆÿ2_gQM¦gÇ9Ž=Ç¥=Ggè¨ã>â(*(¨ÈŽ¬²;²H„„„ìd%| ä ,심²‰ê@EEœRµVOU–‚ ‚0Ž‚l*Žöéw¼o®{ñ»ÿ_¼ïó¾„;‡CŒÛi zC¢g нýíã|_Hõóù)OÈô÷m€;˜Ý 0Èʃ]¡Ê¬Ž›_Y4õ®²(ùÊ"6¬ÒÀö5p`ƒì63Àq' Ü­ðàc‡~„3‡ƒ!Ê>Gý þ¸P¼€~ÂØŽÀwr±³+ ..€º:ÊÍrÜN@¾»šuᎅEùc ãô*‹lظ +­àÕzÜHûMQà´õ xì¿Ýþ²ÇÂözAÔ¾“€ßïDkW p†¤ƒNÀ8t8‡@`s$¶Ç@fkèa;P±­Ylµèöë€én "¿b HäQƺˆêù¡…±Œoc.᮳cºÙ‹Ñ÷9 Ñýܹè1î¯1“ܸ—ÜÑسþ?ö` vë€5ˆ}w²äG!¸Bj¢/ðY¡À‘DÅ}¦éˆ¿S +È+¤³Ô÷ñ5ôwqŒåØ桽ˆ»ÁYˆéæ.Äôð棇x¯cÆy/q“¼1Â4o(v†7÷¿kHÆx®– õÞAûAn8ìx‘|Aœ +‘õ“Æe=ä1ùíıô.êóŒŽ$ó€³{5p°¹Ã× qÝ +&+ȶü0È‹9 †ø€55l eãæÔ„ßÄJÚtJ{’UÈK®?Mj>¡´"ƒäkò>ÒíŒG¤>EOâsE7åÚ™ôý+í©ò2Ý<àb )‡×ƒâØ×ãòä{ÅA¡øÌ1(Šrû˜ë·hH<=«fDÏ ÂøH:í™XÃNÉô±KS2jd¿Ðš2îSBïQº”w)½™ÝÔÑÌë´‘¬6úHV+c$«‰ið°í:ÈÄþÕFç-Pä½Ê¬¡,ÔþSY„Ër Þg¶€2m¤GŽkøq#(BíC²8Äzáý”Bé]NEz7³½•Ü’ÕI¿¦ºN¿£î ©/3†ÕÍÌau#kX]Ëy¢2x»VƒèÐ:ȶßyΛ¡Ôk”ŸÚ•Á‡?T†9¾­ˆ>ùk1`¢0)쉑Kx¤’P{ +Î]D#ú›Ø„t +J2:xÕ™íœ&ÕV›¦•ù³ö"ó_ºóìëê8CÚj7¬1R°ÉÁuØÓ² +œ6ÁYÏPå»÷sM ÍÒ¹½>÷×Éj‚ïèYÊéÇù,BOŽz;[ÆéRd‰:½¬MR h–g7óë5¼V]=÷†¾–{__ÍЗósʃºbÁÖàc ÒkA‡í½ENßBv?k¼÷|¬ó?0ßj7Óá8ÞH89PE +í-aàoç¦Poꤜ«Y¨ø²B#k–å¢ ©¥ÙçÄ5Ú*a³¾\pÕP&¸k,ö +Eú|ñ€>O<¨3çKb½ôXC‰ãŸ¡Êm+Ôyî~Þoß›æ`›©–°££b<Ö&„ü£œŽ»UÈ¥\5Š9—´é¢¦¬lY€VÉ‹TgÓ*uÅ©ç ’6cž¤ÛdJí3¥ýFƒt@Ÿ“6˜cv®ùþµ`°Åö^GK¨qÝ;—š}¬^] +´žh;c;ØåÞÛH é®NÂ]/eS®ä Ù ˆ¨V«”UfëÐRe¾ª ã¬Î$¯7èe—MZYW®Fþ0W-ï3ªåý•|@oΗ† lÇ1a ØœªuÞü©ÉmÛü%¯]ÓíþVÏ;B=ºévïB\pW-%¦½‚™Ø\Ìg×åKE•R¢S£y*“ÊUªÓ(ϲѓíÌEÑ_0}&í7¢Š½9 ÄÐÖ@žÍŸ  +›SõŽß®\tùn¶ÍcûÔu¿O:ƒ>hpý{+!èZ#9úRM2¹¡œÇª* KòåHž)ÍÑT*m‘N©®6¤«šM2ÕµÜ4Õý\DÕgB²û²¬½9_2÷®›?Bõñ pÞÁòÝ%ÇM¿u¸mžøÙwËÀ­€ÿ¼îÒuØÖLŠºÐ@#ÕÔp˜¥åBA^ ’–S¨Tü—Ï:§:ßã8þ³·iQªi£mŠŠlY"ŽÝYÇYœÅ9Ž}Ï^ãPd9(RÒ6¡Å ê–D©)L¥í†I3ƒ %Í8‡îÜ)Ûûž¿îüñüûóúãóø|¿'r2$E9)yçó¥W âro•¶?(}S—Ó‘ŸÕ) âÖ© CO§¶ÏÇ¥]‹ðãî%c7M–5Y,íi±Yñê!iËãF²yÃ-_RM-Ï»¢RÌ?{9,¤èRlŒô|RRF©$5õtÖÑäâYqE¥ÒèÂËù…7  )¼É<Þ‘×) â Y4P¢¸SFš“5;Êî/x´W³û©åòg-ŽÍÍ^f7ï2œ®ÝâÒ.ÔŠ¸ÅU!AyW££2*R/}8éBfzì¹üc¥%9¡gÊ¥AgjóÄ¥:ó‚NwJC‹ºrgƒECîzuœÛ6W·ÏûzsëüáæmsûÚw©¿~a®ÝòäÀ¦†Ç¦5ÍôeJÉmNÁ qæõȈԪ¸¸Äk‡Rb®‘„WH•Ÿ:&*»”í_V“Ë/»ŸË+ÿwŽÿÅ_rDgßfÏIkU¯«†‹[4Pe 1vGO}°MOµ»Ó@íÙ›½K›_Øo¼ùÔmÏ•Úþ³Yž'î ˜Yw…’úðÐÄÅFÇÜHN«M?x=Gâ_sò·úb§º:“Uݔɬy•É®|—É­˜¯RA‘b/+ôÕ¦êtUÿ|¸J¥÷Í +¥W¿mR}Üm²øN§ÝúªW®ÆÛ)6'Ÿøºå´ð|Òð’„ŠcîÇ„…6%ňÓâw³“ýŠ3ï\HeÜ©’Ð%Þw_Jè·{Òµ¿§1®Ï GV(£d2*uTþnÐVîy¾€xÕ«E´ôé«5öš.¬ýÝvmy·³áéN²¥ô5ƒ”þÒ’Ü.bÆ< á‡>‰üœÊo“DrZ³c}[‹âè-¨­U‰”ÖÆ$rÛËDòãþ$jÓû”Ù {™.¬TBí2¥·æ/^Ï#.SjПS3°giù{Ý’>'ÃüOó£¿Ñ’ßqÜbº…´Ð_B˜]Ñ\~g¢Ý‘&ftä{wœ £t\ ÷ꨎðèlŠtïzáÞ9éÑþ)z68±D W–oæÏZç?uÎ#ꇗ«T ,¸ôiÏÊÓCÖzÒNFé<,’iöQL— ¾—°?ЛÛéËìO`ÓûS¹Ôþ,W¡Ð}àœÈuàšØeð¶Øy°ULúÐ+véûSìÒ;3œÕ$~­S'žÞÓ šŸj·ÞÍ#*eß©]”o^T,7Y+·ÑO“;'Ê=Ì#e4Û@Ó‘/ã¹rdbO†,œB“ô&ËùxÈúºÊóY¤Ñ¶ãh™ŸÃØu®ýX#wÿ—vžÝ— +C3ê¹¢L<»­FÜ{¨FÜx©A\ÖT:÷Ï¢¯Û´²¿š®‘|³ÕO'íŒw7S­xã [Ö×>!r¢L„:{NF»ºN&º“&%ž¦²ÉöS…»é³T›éË´}P<4ÓMt«éç +/fô¢V™¸wW•¨kS%*º4ˆÒ©ÅÊÇ¡;÷ µRa¾:6Ãp`«®F~ ›0àcFÇÒþÖn´uF¸#ÚÛ#ÅÁG÷!×É +E$Kœs¶@…‹9ª]ÍÐ0«û·”‰ÚÊDù âT‘eÊØ8çv,ŽÉÊ`X­`¿ ¤ÍÞðØîÚN70Iàî>¡É~›Ú rï>Ä™["ÅÂé–fȱ2Eá>œ±Þƒ¬w£Òf6uw•ˆ²Ÿ ¢¸‹ rþ3W)Ë•¡«Í Ä0Òâbï +¬WSà ã— $xé9À[ßLkp·XB¸ÕAÛ̾ݱ†{´c7$;ŸC#äí@±±!ÎïšMùOŠù/ âX/A¤bÒAh)c¥Šë5˜Ø2Ÿ +ãEî0Ó"ÁZÛ+làò xÿÈ­Žj=”ûœir›ß$ù5w«ûÕë÷ ù/îU΢rèc3úƒÀ\¡¶Ë嬃hòD1w!Ìßav%8Ÿî?)¬b|ÏùÀ§ÜôJ¼ëØ Õs¦©Í~S”6î$ù oÜë=ï¿äO¼QÊï3MƤòþð›øŠ¶¢Ad¦‰pmÄ:éà ×fÄ2ŒÉ·@H°Äq$øKÜÁË¡€Sä æYeLøT²§éµ~S´zîµ™7I}§t ¾’_(¿h#‚t™ !üÊõŸ ŠÎNuˆM5e­xÇuHðØŒxº1b¹´Cp ‡= È¢‚{‚v)ÌKìiÆ5¿)ŸZÞ¤w=‚ö@0A}êÿúNø7åƒPFû,¢ÿ`ȄݾrѦ<`&è©ÂOÑl¢‰X+m$9¬CŠ›’iFˆg›#Zd‡Ð“= Ì ‚WÀ§„5ÍúÁoÊ÷*o’Q+˜ ßñ÷~(üF{.ú‡Ú0Fû0LÿðÞw$àsDüš% lgËgEw‡:Âv/@¼Å2Ú¿©.úøžb„Öÿø®Ï°¦î=€ãl.R«¨-Õ:¨{R¥U¬¸p#V†$BBÈÞ Y$9Y’$Œ0BŒaod‚ˆ¢ (PW+^!,EŠ^íµÖëÕûïyú>÷Åç9/Ï÷yþçwÎù}øq“zPù!€ q©ˆO±Æè( úCTö=¢÷gd þóW o#ˆoŸ_F¼ M"æˆ#Q/IÃѯȷP¯(7bì¸5.³Í 0w~áýB~`9PXT?ú9â; Æ<ÒqÀä„ü— +…¿'jïp1ÿƘ0oÐE¸×¨*ü«¨Fⲓ<‹è£Ì ~¥L!f(#Q¿Q‡P/iý1sôkè9ú•Ø9†= nÀmqœíŸ©ÿbø5Ð_ 4!Û€ú¼?PÄìÿ(Æ}ÏcžyËJ MKB¾$¥¡gãsâf°ü³Ø2ÒdLeÕNµE÷ÒF£ïÓ£žÑc~cÜDϲº1³¬ì vKœ}76¹¾¯'Pì^4û—Ôc«Aê™-àB¸ßÇ”è} 7bjðŸ6Ö#§èÚØqr&î)ÞDÁQa«é÷c›™ÃènÖ ú.{=ÁéÅÌpº°3ÜVÜsnCüsnÞ>@XíÈ\þ¯Vïò©?,† ¼ñ“!tÇ»4äÞ×Ì¡Y%éÔ´”jH#uìz:~˜’C¾C´ÐoáËX}¸:No\{Â5ìMÞUì¿÷Œß?ͯÅOó+‰Óürâ3»þn ­w’Íó€f×B`Øç ²áÙÈ9µþ½ñœï›¬HÿY}Là”–pü©Šuö¡Dˆ(0·9ZÂMFõ5ŸÙM.ät+yí„&A þª° ?,ª'LŠªˆ“¢2ò¤¨ˆ2%´þ€ø `¬u²Mà‚ßð%È;¼ü“éÄš·¦Ísyá~ÓÆè€QC|Ð íì%Ù'‘bzjb7ÖÆ2²›éæ„zj© –R—XMþI\Iº-)'KŠ¨b mB\@ŸḨOÚHß8ÖW ØèÒw~r–€‚CËþsñ¨ÏkËé 3–s¾63ÒÿQ6æÈÝ4rÈÍ6¢[!¶KÄ&¡–~™—Á©æäóË™…¢F•¤Þ"½D»]¤?L ›4—9.5²Æ%Y¬ ±=7páóHÚø`Ø1äï],ÞY1W|rÍTñÙMO¬çwå£÷eCº/0mÉ|Lƒ"ÖHÕô +Q§˜o\J¸˜hæ”KóÙ²ÖU¹‘}_žÉ“¸6HϵIÓ¹ã{nàù¸€ä î žOó¯O…?|ñ{ÉÁe/Ê­²U¯{P¶ë¶u¨''þL‡Ù KÀT«ÅÄ2…’^é¸fq¦ OhgóK  ^\ÏëR¤ñ©üQ¹Ž?&Ó +lLjüÉwxÇѬwÆížÀòÝ‚Å{ÿ«"ÐûYMвÖž\;TêwÓ}°Ë„ nʦDÖ¤³cËtB¢5YN7)S¸92½ Cš'NÃE¢EŠ¨C™,PªGI‰£ò¤Ä1YR¢ ²PàÑJ [ïr¿¬»çÿYöý¹š}‹'}ùKý±5Uçvö” ´Y°§/ç‘Ηg1c­é|‚I'¥SÔCRšà‚Â(N‘Yd*¨R!‡Z•2¨_Éž*!hTAc2™ÔÙ¨pƒx¹3H]çò}=@‘ŸçÛÊ]ó_ÔïY0Ö¸è^ÓÑÕ}µgwt•# cOU™ E¹ttAVÁ¨Óô©JŽN«¨S²ÄrµY&U•+UÍJ¡ê†Jô¾ŽÊEÊ1™Haƒì´UÎ@ú5¼÷ÂóiÞêþ¿Òí¯kwxN·ìö|Ò¹Çk°5ÈçzCÈö¶êóûëÊÐ'K­ñáÍÔ˜œ<^Ÿ-¢j3äì$½†/K5ˆu&ˆ¯-Qp5 J¶æš’­Qr4£rnò˜,AmƒìŒ•Î@7dÀïìK[Ü>TluÙ°Õ}¢s‡ûëþ oýtxÕ•–`ߦËû*«P'¬¥¸°¼B2Êp‘¯5 (ª\ˆÕåR' ÏGÑ&×w5\gZ×»Œ^ßì<|}÷ç½ÝW´wœÞVÛPr9꘩{.³œ¥+aÄ%òÈR‹˜!(Pq9ù„Œ<£˜’c…ˆ952|N§<>÷gÞ83mR²aÜ.°Ì $åráç¢tóï >ÎSWV:ýrkµÓí>¿ùW®XÞØ}rKEGèK 2ÈØ€9›ZG@$UÓb¥\‚ TDc+Ø´B-hÍâ.YÄK•}©6(E[Æ%XÓ¸$.wBlÀ÷×y;ó +§OU+æÚ¼Gû½†î®r꽳ݳ­ÿÒšÇ7õüèŸÛy(½¬n‰€)(A=Ç®’¨52:¡ZÃÆVf$ +Ì‚èŠJ²¢]„¨¼#Š¬˜EO‰PÖ)¡=@ò…Ð/qÖ¯ßÕ/qxÜãépoÈÓ¡ÿÞrÇΟ}=ê¼KŽ®+èñ3ôF¦ô NȺãÎ :ÉVMiçãð­ Ó’LC5˜ˆævDS7¬©Ú<›â†]~žQmP.rF/Pîåð¸mžÃ`¯‡Cß½yÝ—:6ýºÅ½âÑž%–ûGVg «½ ¿uDÐ fõ‘B)7ˆø^^Lìu)6úZ2>²ÇðÝuÕä†üc Ъh](VED$ +È*›¬²dý’/ûFI¡ +¢ ÖâÂŒb­Ö#ngT-.uGÜ·ºÎ0µn€ +£BŠ€„ê39½˜+rñ»þ?ïù¿ï“%ºRC]9œË»R·„¼zÇêU.y¡;w®;ßl˜`ýWâñqâþyâú-g¢á?cˆS­_Ùiç¼ïyÄÄíÏ=*³|Ë BKZä±ÿJO6>Ìbë›ó)MS‘XÑT*—4V¨Uj^cu:·ñZvc½–ÕtWËnêÈ`>èÓ³~íË´[ÇÏ9N;W/9çî¹'ž%j:f8ìéð³­#Âmã«ϲ—Lßâ¼àüviTv›:^Û–™œÖšÇ”·.çŠ[Wó©Öïdë1§u—„ÕV#e´‘¥¶ß´j•¥´¾“%?PØbªv$šk‰«õ4¢î¨mv!˜&Øí2yÒ¶šü]7tGºÛ8«¨›é›×Í Ìì/Ôt)£]º8IWN¢ «0™×µ’Áé^ËbvWrR»·s“Lûy‰¦cü„?øñ=÷¨øž*ÎÔË·åß âú/ÄÙâèm±ï‘ ±ãý$»ÍÞÎãKÍQîËÌ ž¹æTºÞLú¥ ŠeƒŠPÑ`F80+ŠcÉ_Ä´ŦXJãU$& U%Å ïJŽ>”=r25jä"#òC##âÃK›nÕD]=qäš=±§É‘øáågDåGwûï>ÑW!p|!Âݲ7=É^Jp|ÄøRùq¡^À„>(9!‹Q’…±( ÆÆÈHüŽÝÑa¨YŠ“1!¸Œ;±¶ÔÿBG.Äî;QõÈžX?2În ¦ÚÁÛ)~c3:Qè/¥Hœ&à r"ïd(|¡¡Ç!ó/1ÈñBá¼”ø…¡Ì?­ÇÈTúã`ŽÏGMGë bÏ ‚Øò Ö¶ÄjŒ·+„›}<ÓAw‘#`¬ 'p3‰ÅnI`ºÇƒœÑ´(ȦG@=# :dÏ ÂRÏÏòïy¨˜=[¼éØ9Çû}æ Æ¦½—­óß·¾ÿ„ V˜í‰|Œ³Óa¢½S„ðtä‚—D„}‹è±ÑHp GÊøP°'ƒÿň'úC>i>Ô“}¡Ÿ<9S|Pà6%_z¡ÌÝÜ=P5uvØ1Ýü Ä¡ÎP/r….É ™Ü™ÐIéHÏ@Z^(ä%Q| áæDðJ¹/Ü&8ÇX`ŸaƒÕÀó:Œ$ÏI¤¾á!µ÷aæ ²†x½ìa²‹;Â{KŽîÿ¤!ÎȈr…a±²93aÓ¡Õ@“ +eq¤åqýu1ÛSÀÛËyˆn-œÓ\°H°nðÀläƒÑfÕÅÿÄ裆XƒT?gˆo"‡ù¼aªÃHè i9Cé +c‚rY3aÒaH @FVÒ–ECþm<$›’ ü1Ôn&xÙ k¹àž&Áiàƒ}ƒV3æ ëêš#¬~Á{Pði¼æ Ú©aa5, ¤>. Aè C¸+–Ĺ!Ÿá<Š£2úÌ0¤DCµ:²õÉoe@XÍu€ ÞQÈS|pÏSà\€Ó(üÄn°»Dö{Ñ;rPô†o½ ,â'Â!Éï6@fÍ ñ§Aà cØ8ÄLÁש(äû _î£6 ú¼h¤¯L€j] +ä›™üÄhÂÃÔ'ê¸à#¿NôwYŸŸ3ò‚Çaù¢)X‘<Åä,“úa©&Fc42‹Q–ò)mkD±’í¦,’B³øgñ€ð´¤_Ð íÜ’½£ZäÝT§ü OÖ.Pü&6+%ƒª_eÕ- Ÿã•/ _'E‰µë}“4ßp¼±R4ËÓBoˆþ`,LÊ\jÖV°ûÕ[x½ª‚Å~±IvXÚ%=!+9§x-¾¦ì7+_Š_)[ÅïT-’õ=™YsSnÖ\V˜Ó/*G…5ƒf. 9sPlí8¥‘“P–øÊY^X#ðÅ*EðP‘.r`i^|onIª)k-ç­®’ÿßôEêÝÒ—ªƒòvE­ò¹ülÚSÙeõcÙM‹ì…¦YÖ“~Gþ>ãªò½¶Aõ^W—Ö¯;«”ÞÐú8"߇†UcPñ¾wGÃëøs-å²}«5á¦bcÜëÂå)¯òÖpÚ³×SÏ2«$¿kwÊ[4ûUÕ‡5ª“÷•çµw•·t·•Oô7T]úKª¾Ìzu¯á”¦×p<½×p,ctPY3dz;¢ÐÚ/Jý?GÅ Øë†Ê”C›È9}ëÅ~ÝkUa¥†˜¶ÉO¾^ÅiÉ[+h2VJﶩnë«57µ×^KÿYEsÆpI}%낺)ûŸšÎì3é=Æã=Æ£Úc®'ûþݨ6ÛÙ^Ž(²ö‹òùŸaS˜+6ÇLþX•4í}ÛËô7oçFyPë:}Ôo¥K’šVqî.[#¼¹´B~5wsÚ¥ìç {õõúš¬³ºÆSÚÿÑ]_QM¦iÇßPŽÊXPÇÆ2*:Š‚ +‚Ô Ò›R éÉ—’ ÐIh’¡%t!€Q"Ê‚h„ãx”wÇ#IY –fçÛoö:^ü®Ÿç¼åâßOèŽ×»âçˆí˜ERSâ"±»H¬ÃýN¨ý +8ÙáïæLAöȲ5ƒ…Ç,á2§õ_*\7ÿ»Â{û|ùŽ³%a?<Ë=õˆ?ÿ òJI ¾ÃäEÞ¢Ĩȥ˜¢Û…oÄwà:ˆ­Øäfì0E¦4à(²¤7”*ü²„°HÅÿw #­•jcç\ —Ø[À•§¾Y’ºX-Tylý­Ê×fº"Øn¢(êäƒ<¬Û=Å·?|#åjd7+'æT”ÐJ­À5‘k‰Är¡‡ZC¸K«&<¦K‰:Z%IO‘¨¥ä7Ù8é+ê6¤9‘³(8°.?b¾\å°ú­ì̺ù:·M/ê¼·ý, °Õ”]r`\ûsH¾×3A]iœÈ¶+üØ&vAB£,IF¯"I©rj%¥‹^N€Ê(ãP)õ5TLÕÑ…4=M@[ ~̦#½™¾Ãî3…+™}‘·Xlp\óJá¼~ZîþÝ#™ÿÁ‘ʈê’¸sªB<º+‡Ôš™)¿šSËÉM¨J)ÆW²Å¤2f=µê€P£zÈÌg¼dä1´P.SGÏaêiª!p2›ôo;Ò:È•Ú­Xª?f®k:a1ÛvzÍ“f7ë‡õ~îV…ï+9§,Æù´PsX2^ZŒ$=+AÄà‹S+È…)µ´¼äV(›­bòÙ#,^ò &/YËÈLÖA™ÉzÚ×ÀXäØHóf}g—!ÿ´ÆÖôüðŠ×mGÍžwž°œhsÝ:¢¸° 6ôÇ^I´K»(Ñ[^L +”0"Ĺ©1eY¼af>?½ŒœVMËä63®rz™\Î?Yî BËàr´—££s9zš!p2;iÎk#¸|·ñrÝ“ß[þaò[×!Óg=Ç,Æ®¹l¹×‚Þw³1øXWÍeçf Æ«VDÓÃË)ÑÂütL^Nn?«„”ΓÒ8™rFJF7‹q—ÅÊxŠ˜g°3´PrºŽž|UO3& ³S¿5‚ó·¢`±Ñ—ƽÆúŽ}Æ/®0~¬²_5ªtÞ<Ðá³··9ðh[C䙆šxO©$É_TN –²£r‹Ò0µ¡ó–»\åg'í9YÚåšßŽAóZð-œÕ˜M«¿O¬ÍÁád%LM59¦º…U}ƒv¹ú!â5Jº@©X Ä–¿1f¯GÁyëP°døвpÄ.O8öûó0vï9˜´ßf< smOÁÙvÿc»ÎÃbÞ÷8€fZˆpµ(%-Ú$TÔ,ÍÚ,5ÓìK3Í4íëHDuQ¤P"KÙ—déZN<¸9–ÇÅå\—ãv²updi“ä}Ïý{žïóú>¿ç÷Ïçýûþþù¾Q㊆€ œ– `´á>á7«ZN‘HM‰ùí$RåC©¸Ã†´ãGdaªî”øÙ+2Z‚ˆ±BÐ'pÁ™Ä‚p2ñS£ š¾úáHr]ŒŒ™¡°Ì +Áj· ¬›€yhðôCÓ_œšãƒK^Þh³êàybþ5bþ=©è‰´lО”†±# p¶QÁ•,Á[üí8XàG*èc–‚íÁ¸E_ùOó¡ãD¢NòC®³VNöš)ž¨šêúi³Ñ8ÍG§»á„U;Ûˆù·‰ùÿ&‘²_’HfPHZŒ!IñÓ!qÌ"ÓáE‰„¿mBì"Ì~>"íÁpðg¤#½!åù(OhgÃèè†ôÑ3aã‚ÕNÓQî4›ÇNÁBÃ8kãC{¾-„!v,t€’ê5×J‰ d ¤ù@T~Ù|p7‡‚µc1˜?‡#úHÍKAoí*áV¨© ¾"tÓ@í' Ó@ìƒ P{™ }dY¾7Ü` +bƒí u€:Ò ZŽ34b(µ¥ø@¼,¢¿…€¿i!¸ÛÃÀÞÖ¡%`žŒDô¹(0~¡‚ÑNýáô¿}„ïôï â‰úG6ï9Öý?CL ’ [(B [â=Ë q.Ш= 4ûBšqq„‹ÀßÞî%àˆûxØg¨`]¢yæ=¢;£{¢‡ˆ5Àã‡xÃó5,k 2ý)ùÛBlÄp'˜˜Î0‰\ Wx@cô…2;ÒU ._ QM;#ÁßÞ¸Ítp[à\û6s˜ýŒùÝÅúÊþÂêcc~æ€õŽöËpþË×ç’çGÒÏú{˜ÃœÊ˜„TÁ ˜e0è}¡Í‚ª ²5alZ‚¸º(ˆöÐ~›ß'¢‡øgYßb.³cn²ûy8=¼×œO¼Oœ1ƒì·1àv +À{*DÌ¿Dà[‘!Þ‡·-Ló쑾h ²h‘Å›ôøÙHÖú 1%:Kè°º8|H±!rPº…6 ÙÉèïcöÆa‰=Íù,ºÀý(¼Îëþ“÷^ø‚×%ìæ½~åwÇBx/¢[bëëE†Ü‹=!ÕÏ9¡£a‰š grãÜ‘©òN6š²Cûõ…á=ÚµQŸTUônEó/Ùö»øƒÜ?%'bºÄçø¯ÅW/ÅwâÁsñᓸØâá¸Û’âRˆ¯È¬C‘AåI†Éƒ‚L;ä‡8bå’ñXÉœ‚á¬!‹|n–>àsZzès~ÄÛÄ’¨7 ѯ4[تÜÅ>þsùQáSY‹è‰ôrìãø_ãÆ?ß“¾ß‘öÇ·K‡¥WäÃÒ‹ŠaÙy¥uÏ!CçNF +Ñ;óæÚ¢0xŠÃÇ¡„á™Ø|Ѹ#ùŽi{òKcmJqkjobMZŸ¡:­Oo tÄ÷gw%Ñ;×yª¼È}µþ¶ïw,pèÜ>ú·zºóÝ­B÷›äþ¿”ë/M¡6å°­\!8´¼D¼ß²^¾7§ZÕU¯«ÏüÙP›q̸%½5©:½=iszGRUÆgÓÆÌcefobEf¯Áâ +bƒ,g¬":ïz×ÄÕÃæË_Jמ Ûç¡#ì¢Nº¹ïv¥F:¯u£náérsÔ±ÒLvSQ¾ ±pµxWÁZy}þ&õ6K­®&w¡*ç°©2û¬yCöõäòìgæu9ÝIër¿˜ÖæöËr{­A"ñrˆ¾YLtÞŠ#¾os³éÞåeórŸùÉ`‡»{£&^ßÉ›u±6ÞïLµfáñJSdSy:«qM¿¡¤P\·ºT¶ee…ºª`KBE~Cbù²¦¤2Ëis©åjr‰åqòÿؤϷ&Ó,ãç%!Tª€€ ; (]@Š”Ð{ï:!PŠRƒB( R'ÒDD H‘*" ˆ °ŠºŽîêŽqwöšPagë™÷ȇßçû9ç9¼œß’‹s×ÙÅ9I$–(˜¤BÞãVK” ¬S%>žÐ ~íÒ"^õéˆ=ê7‘¸yÆ^a¡ÃsÇÔÉ`ýÑ&¦Eÿ÷‰Œ®šT·S•Y¾ÍåùAü’âðÚâʨcGùqå…'yÜ3좂sœÂ‚ùnÁÔî/.wÍ-XOâl°DÁde1Ì%ßP®D _™øý”*ñs:ñbhqИv£ÏN~Và¡qþt Þ`ë~óî¦xûv>ǵ¥6Ç_•TSq4¼¢üïÌÒÒºØ"Þ‰Dn±€W4ÌÉ9z)åpÑ}Òÿ8ÙEïÙÙGדrŽl°DÁ²¯@`åVÀFExß¡³JðdT¸=bH»2`#7Õë¦>"ØÝÛaÖÑk{¢‰íÂ?~л¦>;°¢¶0¬¤ªŒy¤²&6¿¢)!»¼3)«l09£l:å`ÙÒ9éeëìŒÒõ¤Ì’ –(˜Fî Pž¼yÀyXí–…—#Òð`\ÇôÅ/XËœpQèõÓí„™ž<cÓÐÆr®9‘æUÑt(€×PZø=/2¯®*æPmC|zu;+­êlrJÕ'¹ê&I˜Ì©Zg§Tn$¥Vl°DÁt²_$ X' Ú¤áE¿¬Œm‚Û“Jper7ujÜRzdÔYµgÐg穾P“Æ3QÖµ]‰Ž§SÀÝN€…A&&Èþ¼t.‹CË#I¨.Ç^h‹ñž›Òó´—Ízæ±-õ;XO#vÆ>‰Õc>N6œn¼’k°Rlí³rÌÆk¥ÉÞ}¥‹áúxÔÁåñ‚£ó“g.+¿98=úÃÉñá7Q’;¸Þ pa`` ãº4Ý£AõRP.ÜF}£CÉycJOÚÊ$ ]ã…¾jQÂPÍpa´vˆ¥ð:MÏ÷õaC/áa…©«oî,lßëøfÀÂáÍ´%ã?wHo, »×Ÿ­DY\œ$û—o‰Á±Ç4(^“†‚U5"kU—’ºfJg­Ùl‰YsVˆXóV^ R x»_Ãçmœ¦çÛd-÷·;]Þåé:¾ãíf¼«Ö³{ßl`ó^`h½>jdµ~ÙØrãégc‹÷"Íô t^hX¨x@@á¿Ä!ûƒü°`ÿ©C‰ýÓ˜ùÑJ*䣃tÀ'w9ŸO~[=>‡*¹~fnsúœ êð…³ÝîK¦ú¾¯ù;¬¾–jZ|­ûÎü[«–é·í=8¾ÓtŒñ#Š42Bö/’ýkdÿ@Ás€Ìu:pPâQ•ˆD-JêSýÑŒæ…6t7tÚ䌞[Ð_ÚCe÷!SÎ +ãö"g«f(š`¾’–*`ŠžTÝ=ª»ðœš.În×Áe‘ä4.ýÛùO¼Hü"Q(¡¨Dø£†˜'êP\Јê€æâ¶hC³FG t£›¡}Ja¸”FKé!kÓ.<°y'ælÑÂbé¿aµŒ&6Ëj`鬜:Ž‹Ô:7¢Óictì5A‡á=Ș0EƬÙ_l×WXÓið?M±1Š2¶qäÑ€‘J$¤Þˆ„:&$ôé*eQyPÔEY+:긺ë ì¢(«¢#ŠŠ Î~×ÊÅïúœ÷|ßÍ „G ÜE†ÀíòÁ 3N:8ͺƒã´;8OzîýÀí@¶5š­!8ÇÕøÞ&Àc˜G´ÂÍ®´ªÚ +|5;ÀGk ¤:{ðnq¯ã»Áó´#xö9Çgð¸í Äã€8†ÓßãfˆÓ¸/à<…æ ù.o½ÀuÜû[@²1?´s‡!píŒ@ˆ3‰§ ˆif ào^ˆ9°â-€‘j þy;Rf¾5@nrŸv' tR/ÊÒï2ï}ÃeÎû¡ë_^£®SÞï\?zqý€fLxÞ7$À¿ð½Ï>hš•p¬ A¸Ã¤N‹AF\2Êr6€hújÑ–ÀI²†€,[ íš÷¯tœ£Ö;ÍRZq3~.Ó¾g\¿ø^Ø3EÀ&ÿŠŸ$àß‘ßâßøNá_“Áí%Ï|Áý +Ÿ,ÈÖèÛ @`iRkCÙ½ÂÝV@8y5„l€ ±9Hä–:¡Òf†§¶›fìž +(wúĬÁMÒ›\?ÐÚñþ'÷¾ó?ëö–zÙí uðš:Lów¥~v¡Î{ SÀó‘?xýJ¯;ôoe;ºêºÔ5C¶B„ý"ˆÁ/ƒoSˆ¢¯ŸlþKlñYk3)N¶Ÿd;¾åáÆ9•®¯Øuøÿ´ºtž3{ÜŸ1þN|ʸIü/ã‰ÇïŒWŸ¼ÐçI÷èàs‹ >@þe@³Ð>ê™AængkJ—¥ ôX©ÛO]û%–³i2BúÓŸ¡‘Ö¯eìǤ鎣â—§Â2üøÕ„!nñ §Ýó1»Ûë!«Ïûk€tõÀçkÌçkÒ÷:Kç7ÀJ?(}\ œ[0·êƒøG}EbÑ=l Aåd<ŸL0™R‘×¼?ÀÜ8/Úò":Ìê©<Þn($Åé_AÙ{w…µ^ƒ‚fÒ-þqò ^ß5^?e€{›z…;Bíç½ó¿À›¡õñ€Ö#Z·h] ¶¹>HQçŒ@[ô!½MÚ®ÅÓé{–½W{­zê¿n4‰¿yX)Ûþ(6Úî~äç;ajüÍà<âµ ¯«Ò*Ÿ+õ¾ýâ6êEÑIÿóÂ>ú9á?½ÂÇŒ3¢qf·è ³KÌ10Û$H 0¾<”_†ú^ ê‰hŸ4 ]–­ÑÇ\Ü’ñ\w“Ñ,_³!5{ÓoªÀmw”rûqûqQÉ{/Ë3=.†iH}!å¾½²jϾ&Ú)iãd`OÀ‰Àˬã÷Øícì6é'v‹ØMû€U¬º€åE]sÿz=HÙ¤™[õ§ó­ þ<ä°èE!~é°†dú[6ó‡AµÈòZrˆÝå„Ü…øngcÒ<{¢r}ºåÅ”a´ŽÐ:Æß‚ÛX­²nN³ì·Iv‹× {Æ« þÀ=¬ãV‡§*8•¡ÀþˆP~¹™$ =ÔQï4×ûXh©ÿªÔÖp¤Üyñ£bUw +h¯eó-/©ƒìú’#{(ܺÉžq™äö ¥5ªŒ~4¢& A~”]ÞÉ­ ;˯ +»&¨ hÃ'ø‡Ãçøeòy^©|ž[ñ-¬ÓƒHÔ7“PïÌ\¯§ÓlÒ›(Ý¢÷¢ÂJÿÊ]‹î•»w£ˆºár>×¢/Kj{FîÜ•·÷XR¢gk‚šÜ´?—ZWL¯‰©dUE7p´QÇøå‘=Â’È_DÅ‘E…Qo……Q3‚CÑ:~A´ŽW=ÿ ¢ü1¨ï%£Þ™³û«höF»IïiÍV½ÖØ Vº™\-ó[¡ýSO¾xgWV¨S{zôÞæT¥gƒ*•|$1‹Z•pˆqXq˜U_Ë-ŠkhbO‰òc/‰scˆsâ^‹r⦅Ùñs‚ìx!„Þ!n꽫QßZƒM•}½üy6Tÿv¿n§áõjüŠþ +òºÞÒ€­' …;ŽåË›³#ñõû=ªÓT>)éÔ2U>£(±”¥I¨ææ*›ÙŠ.Q†â¢$]qW¢V¾«•S¢4åœá§)u߀`”_vP¯Â@cŠMjM±çµ¦Øã¦õØ`£áÕ:×åç«IkOk[Ž— +lZ +÷í®Ï—ï©Î‰#j3I%éi”Ci¹ô¼ÔbVvr/]Õ$8˜Ô)NIC5ŽÞCǼ†/: ‡;Ã/‰î1êÈìn&ýK¤ `Å‹ÌéX4jFt¢è‘M@-ýè + aÈPÁ” ÆBŒzVÆYúa²åLÌçƒYã…˜kÅÇ|kOÜbÃÁ¶X3ÁÙ±±Í~Þ&î±çÀ6r6ÜÈ»OúO’žG˜…6 ÅÉ DWŠ9Ôô¢¡Íƒi"”Ó½QE ŽÁÃh˜î˜Æœ ,Ü0Ûb*®e9ã·,'ÜaéˆÕ–S°ÎÒ›ˆ3c”’3°ñùÿ{¤ÿÀDúQï4H…0´)Ú§PüqE„! +¨<Q=0€ÊƪÊi®¨¢¹`Í 4L OÂtº=.¦Ûa.ÃÿÊ°Á"¢œaÕDíìCE©ˆ†Š™4TùÓQÂDU8 Ãc¬P™f‡Š¬É([åˆÒ .RìŠÁn(®žŽA‡ØÔÀÆÀSîxÖÛÉX·9ðøxG r09£Äçÿã¢ç—þ˜AæCú„Ú—ŽZ1µJj Ö¨I±Gբɖç„ò‚©8«hJ¿›Ž’*²µî\ïÁ'8(>M\ä¢øñ£'ŠŸotŒ b`”‚…‘‘Ö8ÛdÚLTíŒÊõ®¨ø;YúR6Ê*ÝqÖ~œu˜ƒÒF.J›=QrŽ‡’ˆNÞ¨äþ°¤›?(éãõKGy½Rä” àƒ…ï$èõ%”zQQÅ£¢ŽGC½Ž1þ Œ•±0VgÑñö•á€º¯œQ»f†Î@e‰;†íä b/CxbèQÞ¨ü$DÞ*ø,¿,’ß È ûä]Âß彂wòá9zõÈЧ[†¢—ò±0”OE-‡Šz cÉ ¾ L”Z`¢Æ +ãcì16Í£³\0j…ê +Ø#Ú­œaM™çzo0|?@uDЧjö*[¼>*/x}PÞô~¯|èÝ£|éÝ¥úèõBùYôß0œù< }Ÿ…¡ßc%ú •\*ÎfS1–¼wM\¦’ýH ¶Àt¥¦èíÐdrÏtŠÍqˆþƽ7j÷Cd ï½n§à]į7¼{´G}^kNútiÚD/5×D/4D¿j=Õ|ðýfØÿ‘~Rcà5ÝýÛuÕÔ™ÇqüIDÅQ‹¸âŠˆȾCHrsH Ä’„„}‹ì;‚ + +#x Z¤Ê´êiëÒZëÔ±u´ÕZÛ>sûxñ9ç¾|Îïß|ƒ–ƒûñŠµnø.<ŒÙoã±{°`‚÷ZÈ$™ýÎÛúkT¬åÿ"¹»:-´~*?ô"Xuô9µâØ"Zü{J«ÝS¤çÄ·ÈûÇ䱓É3_“î:Þ'/:._;}Núèæ5YöM–AJŠ"KA¶ €Â5à©î© Áí*#p£ÑL6¯#-»pͶ†z7Ó¦úóÚÔJWn]Rc£Ô&ØËÕÜSÙ¥î"•Ä;£HáÏ+,%p jHœüVJ¢be)®Q™Ša^bß(,Dò y)˜¼|ÌZ)qà®n˜­3×› ÁX›)¸Øa zÚmVµ´º¬­oöÛR¡G,KëÃö)k£È«™vÙ•ÉŽÂò³®|­Ø3­LV&–V™%ÍäXU?…¡š@£UwÐÕ(1Å¿!1ÅÌ(Z¦‚eÜW0Wi¦ Àx«ê6}=ÛA{ÏaƒÆ.'ãÊŸMê6ÒeKÈžÜ&ú!‰>Î6³!é$¯.Ý™[+tOªÎñfUùÅU”FWœ#ÒËûÈ‘åW‘ˆòyÌäÝ{R„’"µ¸äãÀwyÜÕp£þÑŒÃôõ‚ös 8€¯>ï°ZÓﵡ°7È"·›f•Õi-hgMoK´KiIuHl¸Ä7IÝz¥7½QëÑÐÖÐCi +n˜ +®\÷+‚k—{'à«B>«àº®´p¾€6¬?‡7‚êË{qeÃv†…C·d]@?Éß“v>ú §e“Лb×Ãwˆî–8Gvå»îÔxwÔ{S;º|)WüŽ[˜E?rÛ/~äVè¿’g9Øjfjk`°€Ž¿ûs€òñõ@=a…SN3Èw1_õ3ã"Ü‘Ðìÿ³]§QM^iÀïû†@Aö’…H ,!! „  aÈ¢ˆµŠ ÇÑÓѶÚN­£ŽÓjkkGfܵ"¨hE . ˆâq´­ŠÊj«²ŠÊâ3·Ç/sпÏÿç½ÿ{Ï{ž“¹ü¢sfW/Î=¾4Ìrl•4óØ:¹ùè&eÊÑ¢ŒUûÔ‰U'bª±µ¡r(ÆPñV_ÚÉî}‰P#>ëN„ŽâöWüùý8ÿ,Ö×9¢uõ ´º>üK½Ü~i]ìÔ…“Ü‹/¤ÓçœÏaåŸÃy®DU»D˜^»R”jý<ÔhÝžhÝ.·î•é­Õ2µA®·>‘éÎ ÉãNODÆÖÀ{Zñ\ø¡êÝü¡¸ƒoO#´þ"‰V7ÑЊw´ìŸXÔN)iQÓæ¶ÄOÍ¿jr˹jñʺZà“Þ\Ì25/æ$7¯à'6}&ˆoÚ Ô7}×´G¤m:¬i¾Œ=iƒc®Œ‡ª l²ËÛ:…;øwP^…ÐÖœ¡Õ´ì Ϳ㌊Û|Q¡MDäÛ”[œ}–Íè”fËpNµåºÛŠ<ÚzÇ·•1tmk|cm_³blÛüԶݜ¨»Ç¸ª»—°v®ª­«üõ ?ò6øOvwP;øÏ„¶á;ðõyœ¡e­ôÑ*èpA¹LdéRÒÔ©¶3vΰOèJuˆïÊvÒuåOí*vÑt/vî^é®ê^ëÙ³ÙKÞ³Ã[Ösˆ.í=Íö^cHz»’îa†¤kü=Uøì>ŒðýGhùwùK[šw‡@9©(ë…+Jïc¢Ô¾"©_LÆ÷+)qZ;Í@U=h²̦Eæ;ȇŠ#†J$릊‡¿pþ§KðËòi¢‘ +× ‘Z×À‘V7á«n7áË÷ìÅïp;¾ƒ¬8¿á]~QB–‡™úiÈ8êŠ c>H7Æ'´ã""zBJ*'T¤ü­–"}k „CŠ]dRƒ!—EöBXd/€•4øÂß8p¡Ü‘Ž~pÖ‘ ÍŽ,xðžñÜx¡5—qþuœoC(ç'u"4cŒŠ´à‚¢Á )…d $L„‚„‚ÄË.9t¤?$’\0Qü ›Â‚ŠÌ·£C™|nç ›©ðÕPÝ «}Ïfü>­Çù×Þågµ#”Ð…f!8w + +WÞ(Ï|Ä!Á‚…gñÁ5áq„žÅ ̤+Ì$a.9“Nð éë)°•BƒrŠ=ìH$$(ÂHˆ“-¥@´Ê¢õTP™i ÌwÅg­péZwlò„ðï¼!ü_tïÁ1 쨄ý‚Õb ¾v{„ý cã¾ãbðƒïh01Öd³U!$Ä„’  §€VaÚ8*ĤÒ@=Ë ¢>re™(>õù/}K‡ˆ ˆØåÒØ_œÀÎ2AR]Ã`O™’!æ¨tŒùZ +ÌWR`H€ýR~“ç«E$ÄbºP +èev0CK‘q'й@Ì7ˆþ«'¨þæ Ê- ˆÜîŠr\Ã>&ȳ@~ «aƒüÖÌ—ÛØ£òö+ù{X>Ê”ƒß€8ýràöÉ€7(q¶VH‚ ’pIj*$&ÐÀ9fÌ™ºÝ!v¥hÖâê7ú€z+¢bMDíbGd©*ýFU'9oTµœ×ª+œÕ-Πª“Ó§êç<‹åü®ÞSø÷ª@ÐóÎôÿê?óýIHä“‚ç0‹)¦¢‚IOƒó0æMƒÄˆ_î=®_ã3ª[Ï|·…5»Ý略œ3¤ÝËÔæhŽóú5gx/4—xÏ57x¿kžðºµ}¼ÍÿÇ10½=„c ðþ€ÖŸ€.)~¤ HÈ¡€EnÙZ{È4:½M³L5yŒ$—Ò‡’Vùö'¬c½0lô{¿•ûÇŒ¼§ú]ü^ýAÿn}•—î” SwQðDMЮ$øMÿ|úÝëÀ[:ÝÔAð8nƒÉ@ó,Ò˜ÌäHB>î#/Ú~|–ÁqÄ’î2Uàñ<}ý©¹Ì·;u »3ù+îãÞ£¤ïý&îÜOØp/¡Bh3œüÕPxËÐtÓp_t=ᙨÙð*¤Ñ€ÿK_Â걺IÀÀ&ÀÄ À‚åã³(œNBQ(e¼(’:\çð¢ Ź77ǽ#g½={ ó·ŒU[ÚZþmóß7S¿ÞHù!ðzÊ¿E-É‚›•!ÆšÐ+Æú°ËÆ›âºäñ9ã°Äjéi¬&"N}} ÈÀ{o®7sñY”ðˆ· ‚ÈáRʳùjû®âÄ)ís³\ïΞMÿoÞBVkN·Å²ZДõ¥°!c“èRúÖº´aÌ»Åç̇$VÓqéSmDéªìÓcYµi@~Ô<¡¨4ƒâVñJ' ïœs<”0,b¯KÄó%!dW©ÂîÁ"½Ãíùf×ëŹô¦Âböå‚R~]ÞÊ€s³> ²Îü*ä´åâSÙßINf패ÎÜ';–Q©¨Ì¨‰<’Ñ <œqOy0ã¹jæ¨jo&¨ö`»³@9˜= ˜…÷Ýyî>Æs,õAƒeÔ½"€x°BBÞZ®uh)MžÖ°ÐB¿XRȶÎ[ȯ)\.<9û“ÿq]§QMÝiÀß$ì((H•* ‚"›ì@V,¸Êf„5@ !LK„@Ø÷°Ëæ(¢´¨Œöh5x˜9Óöˆ2Χ™NGǶsçöØȇ߹ç~zî}ÿï¹÷<‡gS*}.1UGfÎ5L%w]HÒ‡Œ%MFçÆo‡ëŸû_{“Þ{’bw2ÞõNØfÈt)hßc£ÏQho…;à;±<—ì…5‰7öˆh~·8Úf™Öq‘›òÑ|^ŽÛåœB™¬ÒÓRßq–Â$M4”Ú2ÒKècŽ†w3.Gt2–";ImŒoI-Ì#uL$²9‰@›¶@ìa¡}/} ü(þþrÞ¾”9Á#™'vå<ÁlYes½$Îa¶èœóÅÂL· N¾ÇXžÀkˆ]æ;]ЛYÜ•ÑÚ‘ÞÞÊŠÐ¥M“šÒÉi÷)ê´ Jër= !Õ§#‘ªw"6C’lÉÄR€‡7%xxQŽ‡õ +<<©r€ûU˜Ûò`³E)Õz®ì¤ÃLiâî Å,·a>Ûc €çÕË)õëÌ“´å*‚u9‚6»¨Éˆ¬Ïœ ×e^£*3W¨ŠÌuZMÖ?©ÕY¿Pª³2Š´r}ÿìm€ð¬àob øZfkÕVðPaå~ÌRM é|%Qz|Ǹ$~÷(ŵO˜íÞ%ànãûê +%ZnU°šSOP嵕¹½¤jö8¥’=G«`ß¡ËØ_Ò¥¹¯hÒÜŸ)Ò<„üÒfA®%¼˜Ãz¹)¬UšÃ#¥%Ü«³ƒe•f¡îˆÉeE䶉ª˜íò3»úÊ.â ÷ÖÒ¼ÃMB¾¯Z Pñ+‚•¼Ú°ê¦9·›$åŽP%œ+´2Î-ºˆ³%âþ&âþDqŠ¨ýól¤Z¡»`ß—àà+© kÌàs•9lá¦Ú3×àk2­"Z*?¶ï¯Šû°«"yo«”u@+a{6ˆ }jKKü«K¤ÁòbA*hŒuEü!j x÷iTo5ªˆÿ½ˆÿ–&à#YPô>„e~L`C°&ÇÁƒZSXQ›Ár£5\kÚ—´Þ¸qM˜¥¾>Ê®»ö”SkMâmeêþúŠìCJ)×»ª¼Ø_V& *WÄ"5QXÚN”è©<á ½@¸Å>B½ s…oh\!B-"y3$ÃÞò0ðT`¬Æ½z¸­5:<̶ì†É–øáæP‹ÞFšm[ÉZUüGõJæ>EMæÁʪ|o©¼èHY…8¨T*œWyå-$®¤Ÿ’W6Ec—-ÒsÊFå”ý½¾Fï*Š‚"o†dãà¥í½R€J,48XÒá`¾Íf:œ`¬ã ¶¿-ؼ£…bÓÜtÌ¡¡ñŒ³BÍp“ק{œ¯Ëõ+y~šÒ@~µ,¤ ª6<_ÞÉ®è¥dULÐ2d ôtÙçt–ì[Zºì?ÔtBÉ!ä­\€oJžÈÑÞ©ÂÀrÚ1p©Ë Æ{@ßãŽíê0Óu’ðêöèÊÖ¸]r]²Ky뀨1dzX]àÃkúsTçƒÙu +BV­–˜®ì&¥*Æ)LÅU*CqÆP|CeÔü›Â¬AÈ¿!m†pÖ˾¨øLpí~WÐþy¡ ƒz{èÖïô1Õô·){ÚË»O9•w&î)íHÝWÔ–u° …㕧øå4K3´Õ!iš0†¦3"Y3JJTÏ‘ãÕ+¨ r|䄆ÿ‘ê‘È­^ñþ€žÃý:€OuWÑü)´ÿ ¢ý«{ôhsÁhF}pÊ‚¥|˜f+<áX¢?»›ßÏpáôe`÷äÊêæ{³ºÄ~ÌÎÊÀ䎆„öv™öáð¸¶+±mÔ3bl뿈±-¿ b¬î}FÏáqÕ»,v\DóG†ºÐþÕi˜íèÐÍÔÍZ@Õœ#HçÝ1¢¹Á\„%wöcö•Øí™—Ò.¥:3.f»$Íp÷ÅÏÝ㦥‡NNÕz›jñžÔû¼è5yËŸ>õ•?}â•?íÂO´q$€6†nfDgpG p =ƒI=ÀÀ@+š¯B;¨ôD7í xÉxK>ؼ¥0³ì›4+ÖÍ6Ìgí“Žñ‹ž¾žï|êº`ïñ…r·èŨ…fÚµþC”k3žä…eOÒÂ=IW_zFοõŠ˜C¼#fßw¯ÝÃv€™~€¡Q€Ži´¢ùr´ +oá€s¹+» kå †µ„c®L“V¢-ãïÆáãî&Ûž¼Ë²?f`;Dx;£ e»¨†jg²A»'Òз—h˜v 7|âfø½køg/] ·ÿëz Ù¿Õ¯{x¥`ÝÁ®)Í,@å €Ò[œß™@ÚC 8÷Ø’Œ®`ôÁœ6°§Œ4“ãÆf1ƳGÌm4c–5Åȵ4–ÚW+·‡­jv„®ö8¯Nî Z]ÚøäÉÎ ã÷Ž_;{  zàÙ ¤ à†LpA8"—4`ƒö`…Î`"à’½pÐÌP, ÔRFC` +ÅÀlŠÂ¥àzb'±¨&jÆA©'…^R +½¥4TxÑP®¤£—†ŽžQ ôHÕGÉ</c¢h­ºm6F·Ý&èVjŠÂrâ +µlž&š‰âñœèe +‡Ù#nÈ#DÎÐÿ™ +e¤¯SèëNC¥áCCß@:*"è=S½æ ,ߥkŒPºÉ%;LQRÌB÷ýlt¯$jÌÐý„Šˆs_%nsFÅœ÷ÎûÙ€ÍÞ»£9ÁísG^Ÿx<”“¾RH¡?1YLÃ)Þ„šŽþÓõÐ/A}u¨øܽW™ ×W¦èµ…žElôÜk†²”UGÉ·Ô›ÊΘ”]2‘ÝàÉþkÞ/ë6ë9hÞã‰Ü72´è–!¿K†–¡ÏXß™B51UHC'áOÇÀªcõqJ:ý¡ß2ST®e¡o¡ÙGŸœ?}ŠÍ?(ös‡•Ü!E oPq’7 hä½W4óú­¼^Å#^·â5ï•Ï€Å Z>W ÕSoÛ-¸Tj}'¨Ü润Êö–æ¸Ý M£ýuÍyûVÍM‡– g—ƒú/hF›5èÒDœÓ ëDdEa0–˜$L!g‘*¢S½i=ÉjÆËÄ0ƒŽøD£G3t¬Ñ9æ¿G.çߌøÒêzØF›ÖéßØþZd-t¯Ãm…ã%mÓ…Zçó!?9ÿÒârNÛîú£¶GØ q;¥EQ=QGÔN€Z À(òîM4LãfÚÀ°Î™êÑI©ç™Jz{z°Þý”X£[3ÓØ­ Ù¼kqyV—cWÙ\ŒYg×ýµCSÔÇŸ"÷8Ÿ‰(s9~PØ~ÔíTxƒ¨>좸6ì®øxx§û‘ð!IM8JE ¤úo`iÇ’7gŠ`YÏáûl;x‘í +æÊ©ßgêý[at5#‰}!UgÑ”¼Pp6i‰íé„Õ ñëêã6»ÔÆ-‹)‰>$©‰®“VG7yTE_—UF?—UÄôËÊcPö=±LìxIÚ ¦€dsØ0<Ÿ¯Z@{Ž-ÜΑR¿.˜Â¸œjøóì8ö]ºECF¶ .-×îDÊ +Ç£É_:NÚ ¬NÜ&ú!¡È½2~Ÿ´"¾RVwÌsÜ^ûâZä¥qOä%ñïäÅñ(ß“€òoÐk"Œ!ídc@1ŒÌ3ÞE¦ð4—wóøкXD]Êõcœ[lظ †]—lq|ö,ëú…öÕ™ùŽ3 +\+ÒÖ¹•§º—¥ìîM.‘}7ó€×ž¤ÃÞEIÿRìNºì³+©ÍgÇÌ^Ÿí3?*¾IÆ1Þ„üS8ÄÌ!9&¼Î1€¶<&Ü_b7–ráÊ2Wªi©½1_ìÍdÍIäUÏÏTfgÛ˜“ëT6k¹ë^ÝQIæÉ·Û<þ™^äµ3­Ì{{j•Ï¶Ô:ß-©ç•…©w•_§u+7¥ønJGŸé¨˜ãÈ=èCÿ<´çÒáÎR}¸¹ÂZVr y•œ^)§×.ŸjpdI«*/ŽWñyš`ÿÂÙv¥ósœŠç-q-š[ Ú5gdû¬BÙVÝ.¯ÍY¥ŠMY•¾2O(×g6©þ‘yKµ.«ÓomÖåZú>a"“Ü^.ø#ŸNúzðK\ü‚ g×8@ýž´£U+´¦Ëb¹eùÉV%y:»¢Eówåä¹n_°B´uþÿÈ.Ϩ¨Î<ÿîÌPDš ½H)#LQA£, "  t¤ÃІFpFš ‚RDŠŠ *‚1XÝQp]÷¸fƒ%'nìf‹‰=Gß}ýæÃsîÇçþÛ=÷WâR™¤à*êxåñ-^òønAIÜ°8î¼·4î†wQüSQQü;aQPø_B6ª€Ä1xüCÂÂTßÉT0^ª…¯Ë,p¢Ì•Õ_â­Ú-]©ÙQ¬×šnÜ”m^Ÿ`U“™fW™žë¤H+v)O­à–m«áÉRš½Š’»I¢¼¤³Þ’¤)ŸÜ¤ÇÞ¹ÉoE¹ÉD˜›BþLH$ oA÷€æ­26.Ê98»]æ¨pfõnªt–.ŸÛ*[;¯©h½Q]Á&³ê¼8+eî6»òœlDz¬BY¦œ[”QÅ+Hoò’¤u²SûE™©g|2R¯ù¤§>ôÉH{-ÊH#BŠàKÈ&àE20\—ß–±p¾‚QÅ )qXéÄt)ø*û+ü4öÈWëÖ•†VË"ÍÒ­ ¶&Û–äg:Jóò $¥\IîN^vNƒWFv» -ëˆh[ÖiŸ”¬ Ÿä¬Q^‰R²ˆ"øKž³0½T꺭¤bQ’¬Ö#¾¸•¿UÚ+Œ‘‹¢¥ñÞ,½ï-})Š.ú$Œ)" +&¯€{ÙÀd1pqð5õ¯£¹k7ÐÙ¨‹Ö&+46rÙ5 ÞjŠúšò:±^qí:£üê(³œªX«Ì)¶©Ê,ÇdE¡Kü9wkE5/º¼ÙsÓönA¤ü„p£ü¢h£ü}þOQöQQFø_ò,…ÞÕ2ÚƒJàõ÷Óüw ™fÏm4¶š£¶Õ…¥lªÈ›ý5¤{Vëæ5…d7D˜¤ïÞb‘RŸd¸+Ã>¶6Ï)¦¦ÔuSu墈ª&Þ†Ê.Ïu•Cü°Êq~hå÷üÐÿá‡)÷ +S’ÏxÎägz“7è.^ú܃Zàõ÷ìZiÜÝ>5ÆPt:2e^iûRuIÛ*­¬ýÒKÝn”Ôm¿7ÞrKsªÍæ=¹ö‘M2§ J—°ÆnHC§{pà OÜpÁCÜpËC¼ûßâúâ:ÂïšÍ=zW?÷  +¦þÃ-4ûµ ]@e*Ê{磤ϖ)ìsgçö.VÍèY97¥[¬“pp½þÖ®(£è±f‘)–áÙÖë:¤v!í;ƒÚê®ikwýªí¨ÛªýçÜÛnºî{áØúžØBþÀßé.^RÐÔÓ=¤õlöRMPz”æ¿A]H-‘uÌ•IrýÕbVÏ>ªu4BcÿÃuG’LBŽdš.X°æP¹õW‡vÙÚï°¢¯ßqyß7Nþ}7ý{Ÿ;úõ¼sòë& ýÎæíÁ9:ƒtþ½´þ}ºC@ÅHÑĶQc$Ž:2±£ž¬˜Ñ%œ¨Ñ@µð‘`u#´BF6ëŠ×_s*ÍpÕpžqÀp™éò“5~'[-—žÇˆíL.Ø¡óï õ7Çhþ2h½ ‚è1=D[!bÜY?.b…Ž/ç¯Q‡©¯Ôߪ¹r#áØicÁؤ±ðÂ#þù7&üsÄÔë,1›É7ôö]Ô¿‡ú+©_6dâLjË„\ÕDÐU#ˆ¯ÙcÍ$«&3“+Y+&ÅlÿÉõœ¥“›U}'Ô|¦2爦Š4SJM¯©&-©mÞÔ)÷ë:îS´]{­Ã½úqw‚èÍäx+Ð}€îíõP2 +dŸ.‘W€à)6nªcémm,¹m +ßï°øŸiÀšèb1„91‡ ±q‚áBŸð¡G|¡KV@›ˆ¡EÂ1—ÄaÉ‚:‘3j¤Q!9N¹À°ÉÄ,vP>õ'SÔߨŸÖ¾ŒÖîùXø°þ°ü˜êÔ¤N]ê›O}&ÔgI}¶ÔçD]\¨Òwa“% ¤„R¢)i…~=>BOŽÍ‚8º2ÄÙ™!.g.C¼XÄ~‹Ø®eë6±JàËl±Q”*Äâÿ\×iTS×ð“@H.!y`bÈ ´FdA@A™ 4 aJ˜"cD'(Š‚ +Š(‚
P눕¢Ï±´Úê+•ê‡§€â¸ß±ë}`ñá·Îþ¶ï:{¯uî¿«ÁêµÀ²I ,cÇé`ñ#Ö]ÅîÐÁ²{I·|OÿdùEëƒÐ?XcÔˆ©€{“< +8b¯µ3þ7*ðý5€®3â5Á.ClU4°-¥§B 8Û°::pöaÍØQpÚ±³X'v“1Îée|â 2F9ï#¶ôa[ †lA{ˆÌ·SÉ¥À,œsì0\;‹ð¹€ +"_ †h€@ª |9 fæÑ€W¬¼2:p·b5 àîa|áî'&¸Gˆqî bŒ{šø̽D|ä^'F¹‰aîñ†7B¼æMh¿äóXƒØt'î=çÜo¬) Æõ<<›yb*Ìõ¢Âœ p–h¬$8*µ¾ éãÂuŒÏä&â#¹ø@îÒìÓ~'8¨="he N2‡Ì7‚næÉ{Ìäsæsr˜ù79ÎzJ´'$°c}$èM³¿ö6§À3 +¸áoqŸ‰áy¸-¤‚ žÇüpÍ1q¼Ö‡oÒéïçä3†go7j¿qÞÂ|í\Ã|åT¯ó©IgÐéˆÎ€Së¹Ó9Ö3§«¬~çVŸó3^ç¡iœÆõî;þ¯N0½Ç ~™âŸþ.ÆÜ,²À+çâ‹wÓ{>uÜË[cÔ}™æ[ŒÖk×ú —•ÄÀµö³ùk™ýó*tžÎ«f=ïbõ‰tÿÔýS|lÚù§ÙÌídߟ{‡Ý#î×»-š~C§Ü;çÞP‘,ôIÂF/£ PŸÄÝ“Ð-‰ µ;j1­3"”¸Ã:’¤wjY†ÁÉà<㶠U¦Ç—®3k]RaÑXmu8 Îú`À~ÎÿVÛýþgíý»íÙï xk¿;`bÆ®@°ÿjç°÷ƹ7‚ÞGhPªþ–²ÐýXCt3Ž‡ºbçR/IÑÎF?®ˆÒm‹Œ×o —¶„)M…ªÌšCŠ-š–o°Ú·¬Òfopm}Pƒ]]Ðá;ƒNrkƒ~âíú·-è¯*xŒ·5x[—wË@à; ¡Mô4Vý;A Ý“è¶Lu%Ù¡ IÎÔÓ2Z{| Ñ* Ó=ó­~st’QãŠt“†¨óúµU]x©Íΰïmw„UÛo ÝÍ­ +iæm ião¹ä°)ä‡ïCÊC?ñË€¿1 fNKhø(h?/½2*êI¡¡[©tÔÊF6è´\DiKuÕlIò#šeËYñý=±ñFuR¹imŒÒbGtUµd5gëŠ v›£*gTDÖòÊ#ù"Ž ÖGœ'×FÜ"K#Ÿ“k"?J¢Àáÿø“A0M¬@èiB÷SpîUh îtº’®‹ÎdX¢¶L’Ò’>_³YáÍØ—ÄÚ©W›(5ÜždZ—nQ›cU!-ä”[j¿!¦‚».zûÌ5Ñ{Š%-d‘äŒp•ä_ŽjI¿£:ú½Päw1 À§Ãd_ŸØáh„áÌwWеL*º¢ÔDç•:臕fèèJ>¥Y)ÖhÈô¢×¥êÔ(ÂôªSc +“e&Iró2™Òj}‚ŠS_l_WÆ-Š­âÆÖ TÒCÂ|é)Ç3I¹] Ü'ŒË:&ŠÍº(’fÝÃ^ãzL›dÜ/ñ.<Às¸–ý?¶ë=Ê|ø÷¹ ƸŽËLÌŒË3bf0F‘QœPI…’.Š“­])’ˆ)¤èB[(%]ä²Û•êìn‰v·­Dm¯¶vŽT[ÑUJ—ßù:ûê¼´¯óÇû5ó×|žßç÷{žy¾ÿLÅ2°ƒ,œ9Ðîl#(ÒJ¡@«¢r³=Ùì,½u™!©SM’Ó£ÌW¬/I[`°æ²…©Iö RÒbWç8Î[µ]9'y¯ó¬äj×è¤sê™É­ê™IO\g& ¸D'g¤êþ|€ÖÁ³ˆœIDz±œÿÊPQ®ä ƒ¼<'*;o$³.gŒnêÆ AÒ†0ãeÚéf‰YsD‹ÖÇYÅg.–Æe¬°·n 7'];äXBV¡œJ/ÔÐ)£y+·ò—nžd˜°)Âô˼h‹¿çÎÏÏYh=wã2Ù¬ )vQÚ,y¤vËðiÙ%Š)Y‡•aYõªÉYWÐCÕäõo”a™db¨»ƒÏ„d€³¸ß`þ¡ÍØΠ…Û6îàAf‘ÒŠí`u± µ¢Ø‹M,§»hÇÁ‚íSb·E çn±˜U/Ž*H´Ž,X%›¶%Ó.ls>š¿ËabþAÇüSNÁù—‚7=p Éëw +É%Ž!9ŸkųxÏá)-v€ùû¶bE8{⺮`u©¬,“ÀÒ= +*¡ÌƒŽ/óãÅ–éÅ”„ f—L7ŽÚ=[¹+Î"|W‚hÊW+­Bw¦K'çÙÛý­¸’ ,:Áýˆº¹€í}ò€mD°õs—±ƒsë±ì¾×^RŒà(’shRÀ’X\)‚øƒwPCÅTŽffUò¢*'êEL;mV1ß$´b¡Ù„ýË-‚ö¥‰÷m´W¾Câ_^!S~\æ[~ uI}÷¼’ù–ŸÒÿ²ý¤q-v P…ëß»`G)@N9@ΡKÄÕ°Sk +³km ºN3ê<©ˆ:fjm0orm˜îÄÚüÚ¹‚ñ5ñ†5KŒÇÖ¤˜úUkÍ|ª·YxWï³ü¢ú‘WõE‘×ÑNѨª—âQ‡?óJw0ï%»™w’rf@Rɼ•eú%ǘג3LŸ´‘y)½Æ<“v2O¤½Ì²·ìCáÝ—î?éET6@\$Ȉ+^‡ZFRÄÙ"ʉ4qšA“á±ô‡Df@¾šyÃe2¯¹\¦+d^rÅì ®”}ÎígŸrGØ^®ŽíáN³¹ïÙGÜö×ÁvÉ{Øù[v9ÑýMNôîÊ ÿÎ_WÌÖˆð(YñÀkñt@¸#q?4ã©wêit¿Ëú¥óBæ™jÓ«LcŸ(µì#e>ûP¹÷@±‹w_±—wOq×¥¨áu*Nò:”ßòÚ•—yw•í¼[ÊÝ6å~‹Šè_UÁ%1øù/ˆ;æ{x¡Ñb ¾Ø…>Žö ú¿K=÷ ¥ŸŒŒ¢ŽˆcºÝÙ.÷Ul‡&ƒ×®ÉáýæV sÇ­Xç¶[©î-u…îMu•^›ú˜ÞuõY~‹Û%þU·ÛüŸ4ôÿÃu¥yæqÿx"ˆŠ*Ê¡™ív²“lC5Þ¨x €€ˆ‚ŠxŃ¼ˆJs`Vm\Ícl®ÑT“ÉÕf3iwšN»Ùl¦×¶™Ý´M»›nûîc·™µùã3ïûßwæ÷<Ïû>ß›¾÷]Ù„‘¯mÂü–‘¥ÿ¡¼„qÛîØN +`ÉTÀRi€¥°àyòFxºsî³.îq|þãr·‡Ûµ„÷¶ÕyÜßÖêyo«Õë­}Þw8Ã>·9û|nrŽol™ö½¾å„ïÊ–Òµ-ˤeÎ=òUΧ䋜o)‹Ìƒœç`ó¯À¶£ì"Ê&¡#Þ3©ð<#¾äÆ“´ÍðQZ2î½Ô\ü½äbÂ$•ÇÍD½×õÞ+ mÄk ݾKñvÒÕxùrü~¿Kq”·âf(âÎø/Ä]˜»p6âŸQÇÿ4ÿñ3ë` (;Å eû–í /rüà«*<æEŸy¯Ã»Ùq¸[¼Lüjfa9£Ôó +Wã})­†¸˜j&-¤ZÈó)=”³Éýþg’GN% <‘4IK:4›t!x&i5äXÒé䧡G“HÆÂ\È‘5)XèKX’7`\wÀrÜáß>xÃ> ÞçÁ]á¸.äà–©øKü<÷ ¹Å^ó9e>gx:ÒÉ,£ßñÌfÿÙŒŽ€™ õMî`Ð×ü‡tWèDúL˜+ýípúí`úýðé_„ïãþ+ÂÉÅÂÇÑ5ÿ‡¥ |¾ ¾Uˆƒ‡"wxPä w‹`EÄ„+â͸EQ"þ\!ÏýdA¡×œ°”8#P“§ó ”É܆€‰œVê‘kð¡ì=!ã¼½aûyã4gÖTøhÖ©È‘¬ËtGÖ]úïú ï;z6¶&Òþ +,}²sQçDŸö‡%¨óIÝà¶ÔV%~pYJ‡ÙFÜii~NÂu?V,ðš•'Š”äÃZÿñüÚÀýBsS` ô„9øƒ´¡¼}yt{Þ\T_Þbôî¼›ŒÞ¼¿1zøßDwó±5Q]‚ŸÑ_Zûµ|#x„~s÷Kn)ñ°¢$ÀU9 ”48UöÌ*·â§å©„‰Ò\¯CÒ"â’R²³Xí?"֋ꃋZBû ­´¾‚=¶‚zOþᨮüFgþyfGþ*Ë’ÿV{Á3f{ÁOŒ¶BlMôzà 4ƒe¨ë Îµ¢FO‡ å>pZ³`JýÞUžD/ãy:ùĽr y¨´Ì¿_ª Ü#© ¶•˜C{Š-4k±-¢CFûàêË(±õ^Ô½fjpp´–Q0f|7\»ÍÍ^âa3d{wUåûîÒ•øµi•M Õ¤© ©¯0ÑŒê]‘Õå}Qúò¦NåbWªŽÇT¨.ŪU÷¿ÇV¨^°+Ê1Â\ïË"€”hÐü¯ üù:Ôùеg=Õ“ÁÙŽÆ×pö¾·>ÉÝjÌô²Ô +ˆ-Õb²Ù ÷oЫ©Æ*CHµ®¦×µGhµ¶(M¥ƒ¡®<ÌRifc”š‹± +Í;Èç±JÍ¿ÙJ ÆZS¦Á˜/}ŽÎÃtÝZE3¸ˆrÏ î7ƒ®€.ôÜg&£) ú›7€­é÷x«9Ðnâz67äMõEäº:™±œª¯­ +ÖÕÔ…ijZ#Ê«»ée†!†BUªŸ‰‘êc%úÛÈgèý{¶´ +cɪ0æzO¤ï¢«ç2šÁ…F´Z¦Ú §£•ö¶`èmgƒµ}®½-ŽÐÔšæÑÐ’ãcl. š$¹,°Ò¤ V7Ö†–54‡Ëë­‘²ºhIÝf±ñ[d\ˆ)2ÞD>A¾c‹j1¯ó‡Û:´õçšQï´LtŒì°wxBOg tZÐf݈kêÜîVß™â^ÓÁóÒïµ–b²º]á¯jÓP­Õ!²SXIsG„¸ÙN/lÚÇÈ7O3…æy–À|ƒ-0?a LÏYBÆ6bŒõ>@ëp½à-3ê(ÿÍ.Ôûº‘ž7°ôR ÅFÓîßâêvsðÕ¶D‚®7ó¢‡ï£ê‘Ý2?YWy€Äªw6„vXhÂŽ¾þ®±¨Ü]“ÑÙ–³ že•É³Ñ˜úJ‘gý‘g]§È³fPìQÍ%Ãí§üLÊÿË^`}Åa`a­ÁQ íPDþ0÷Q ã)¼›Fal“7¼šLs:ž§ãàq&†3sàÞœ·æ0ªe \[¶À¥%ú³%ÌùìI8·´Ã¹ù s>ý‚páÙ´ï¾úðiíû¢ +à]ÊžQKù @Ä Ê?›ÜÏI¡oUÂ鲎Wôp¼ê‡«ã »6DC{=ö7fÁþf:47—BsëÏPßÞ uÛ~¨Úª`ÛvÊÛ?ÁöÖ lo>månV•¿¥±¿GãžAÙ 'ð&À¿ð:Œnœ®1Øß’BÓ¡‚ºC U§¶(»| è„¼Û YO"$=3!î™QÏ2°ž @÷ׄBzèæ=HÐÛ÷Úfº´ºXò0¿˜IÙñ”FÙã)Ûó_€Ëu@wPßlP ( PC2 …hÀèM¼ˆ? âÈtBô" ‡Ï¸A +¤ §äÜkSþRÚsïÓš¿Esž@Ùa”=î2`¸8·öí”ÝȨlñ3€q}ÛðJCè÷Hb >$˜L$)„^Šœ>Š8ͧÍÇéÐqZt^ñwKÆÐ-¨×uô·÷×D‚«'3®šÅ¸2qŲ’¬'›Év’A²—ç‘BpùaRNªH^É›ñB~ CòôËðXÎñHÁñPÁÙCåÿãnžànðÑDOµŒð£ZÂÀu TÏ Æ5ï2®^H–“ÉF²•ì"™$—°Wêì…º„=WÅ3užª›Ð¯¾ŽGênj6{é’ΆF.fƒ#W°'úµì‘~#{¨ßÊúô;ÙýÖ«Ïe=úÖ¥?Ä:õå¬CœÝןd÷ô­ìöÈNvÃ¥_¸âÂ…VW.ºD.ºrñ…a¸åûêh+iÁýœ ­‰ß8ú†—ÞñôJc=ßa} X¯aë2¬b†õì¾ak7lcwÝ¿fwܳØm÷ЗH=_2p5Y‚‹Ir4'jqb²j“Q•<‰ULž*”%Í'¾'9”°@f‰ÿȦ0n…¢ n2?öÛ¼Ø/T{cvØåÄdj²bòí3cŠµ{bª´1§t¹£ÛûØaG,wøŠlãºáx0”´O¥~‹ñgÓ4¥JñCŠªSGáèôñ(ɧ& –iiâý)s¥ùSß·Ù;e¡<'y™2kòJUfÒZõî¤ÏþCu™5}¦qüûK¸o„\¨µõ Z­ŠŠ€€ÜH!„ @‘„+€îû–Ã9¼T]Ä«µžuÜ{»ÝÙît§Û§ÛîÑm×µûÛÇÙ±Ò?>3oòÏ7Ïó>ÏäýxŽDöxGŽð#ûôGžóí¼â×yßù1¿3êK~{Ô·Ëo‹fý–ÆÒ_Õÿ»$òÞTà¹ßíT.n¤¸á²TˆwR7à\êvæ¤4œ3•g7‘œæp$Iå4–˜ë2’ wŠ7y ÄY<ûâ¬Þ=±¼®ØAߎ˜£~m1§ý[b~(hŽ¹-hŠýHhý\Ð÷œ`ýëÿÿ%lði<ðå?"ï»AïìtWäΰ)ø8“¾3éAÌqÅ^Îaù»QYŠÃpZºÓ€4Û¥WªsëN)ñèL®ðjKªãµ$µú4%öùYÇýëgu ç…µ‰·D–ÄŸ‹ªŸŠª“ž «’Øÿ—|ü1ø™‚œSÜP“kÐ_îy¥Îdú`ZµÇT[0žÂVFr2zÒeN +•K»<×­E¦÷hJ3y5¤YxS}k¥]|‹tDP%VHçEféu±Iúc‰Qú™Ø˜úo‘1•}¼ä‹ºêÁc%õ€¼ïª–ÞÙ9ôÎUs0“í…cšÓlÆfÓ§çveÅÙ·©¤ŽÍ™.J[}FG]z©—%½’W¥¨÷­PtðÍò!A™|BT*ŸË—$ùã½üS‰^ñµX¯`E„аŒ§t¿–Pý7)ÿr>0Oœ¤ó„Öc¹ åm@oî6¦SÊmÍ9`ߨIv¬ÏV8ת³\«³òÜ+U¯r•™g̬ó-Ílõ/VôÊ£¢BåY‰N¹¯ü€xBüK’¯dÅ„ˆ¾ä3šÅ_Ò Ü£Ú¯é€‹…ÀÙ"`’~ǸÎCBô®GGAÓ¢ÛñæGÙÕå%8XrÓœ+µ™®æœwcN‘g‰ÆÈ3d×øe7ó Ô}Â|õaQ®ú´$G}%@£~Hü‰øJ¢Q³bB”³Œ'RÚšƒÛyÀ"eÛèÉs’8Bça½+zõ|tÖ Ù°•iÐïâÔEØUÆ9”HŒ.%:»!_çY˜Wê­Ë«òÍËmäks{í¸H­=%Qi/djï þ)ÉÔ²b•–-ç÷´‹in—({ÖHÎWFÎGçÞ'´—ú ¹ì5Ô—25e;8•¥a\sIŒ}iq²£Á p)2¨Ýtú|¼¢b +ŸìÂ~VA— ³`T”¡;!Vè.J亻rÝ'Ä?$ +Kß±¢åü–îá!Ýýu=Í"åŸ!ÿ¤{¸Ks°HÏ_[p¢«"çª$ï¬bP[í‰*‹æšõ(« b –ÝœBË~»üêxmuªSv•Ò%«2ÇMYYè™^aô–•×ø¤–·òS̃‚$ó¤0ÁôŽ(Þôž8Îôq¼éKQ¼ñ[Q‚‘&”½â'à]êÁ%3í£…¼³¬Z‰Z:WtCYƒ?Š֠Ⱥ…ÑYwr´ÖpnvCŒ}V}²£²^á¬8¨v•Ìw—Ö•z&×Vók›}ãjúù15þ,ó‚(Ë-a”åW¨ê/ÑUÏ V]Éú¿äÍÿuºÿóTÿ©zò>+ÐC4å¤Å-Î(lõA~Û*hÛ7#»};£jåd´Eqm‰öim2Gi«Ê9©%×5¡Åà×\áy ÙêÕÔ볿é¨_xã,?¬ñ:_ã/øaÖÏùa ÿá‡Õ³ßãõ`ú>GùSÍ´ -ä]­ä=mä]]@^7š^/dõ‰‘Ù¿éýAõ‡0©ýœäþXnbŸÔ>¾/Ã!¦WãÝ[èÙcv ï©÷Ø×Ýå¹·û°÷îî³¼î%Þ®îŸòB:ŸòB:žù„´³ß㦉f‘z~š²tÐ.tVÊ.ïòÉ=ŠQ7¤ñ![äñ@$ŒoGÜx(3͉OäFŽÉì"ƲìÃÆòöŽ–9í­uÞ5ÚáºctÔmûÈi÷m#‹Á#?r>ô÷àáo<‚YÏå,Ð ÌQý“”}¨hï§Pv e猲c@¤b¦¼=%FÔô:ìŸÞŠˆ™]› gBgb˜=3)œ™ ÎΙîÛÓ»mÓUö?˜nqxkzØqëô §7§/œÞœú³Sàñ¯'X—Àc¯¸@ +v’ê§ì>ʵ’šº sH:D’&îuÃî9_ìš[‰s°c>oχ`Û|‚mq²¥2oÙTÌ›Žh3q6٬ܶ~î¶I»õ¶‹vël÷íÖÍ?á®ýÊní9Ö~íÙWœ¥ücÀÐíÂÀ2 NÙg€Ô9à©áž‹@ðe.¶,x pÍ «°iq6.aÃÕ]xãjÖ_Ǻ%Ö,eãõ%V/Y˜UKÌÊ¥#LÀµyFrí6#Yú„‘,þ/<爯°ß1Eù#T{'õ¼Žt´”²µó€œrcIICm×€Í75ï9`åwÜñ…äÎ +ˆï®ƒèîïí€à^üïÅ‚_¿û9ð}PŸVð Áûá)x=¼χÂëÁ_áuï¼î²ß1>F»H}o ÚM³´€ô+@Ü°ïå¿ lzxý> þ×õÕ¹Åüﶰlˆe£ DË‚((`¤W%ÑÄØ 1`A,H @DņŠ(Š< âàC‰-ŠO1D!âŒODQDÄ‚ŠÑïgã0¿¹³;Ë=ç;{÷ûÎ9ÍÁ¢F Õ9ÌêÔPÔY“Þ0­s C ¯Y½Ò¿#ÉDHþN„øb:Dó ¼X +AC¸†FrŸ<û(g3Jßù,Zû”=ÀXŠ=æOZÕÿ8àðÐû `] hêÅ%Ò+"ˆ6ª!¸j°€&;àÚ@à:5¨Í£©1Šnþ@hóm¡¾… +}k7¡Ýj æíöGK(þœ]À4ªû8Zw }Äë0ø`Wè.–Õe@~5ƒîGn™Ðÿ+€Ûj M Üé ´÷î:÷‡¾kÔRsôˆäG´ù?Î:©àô€=¡@ON}”DoÍØGû­=˜b{¦øç}Ðó`ј]$7®•b·“ïu)ŽŒb˜Ñ½5t?+àÕä…ðʼ¦fõ 5ŒêÁ(F?¶‘ÐCÇÊ?bÚ>`ÝhÎUÅ—`RšwÅ®`0„’oÉ4’H%$‹¬"ëH>)Äk£ »ðxŽCxŠjt¢©h误(möÖýÏ0k0«ž”QéÀÌìÁL‡€É¼ÁLÁDcÁ„“ÈO”WI%KÉr¼æW£‹ß€—|žóExÊÿŽN®¸ýèàþƒûÜyÜån¢ë@ß…ÛB†VÿëѬ»5˜¥Õ»<ÌûRMœ)OÊÇHùD‚É¿#3ðZ> ]ò…x)[‚ç²,<•­ÄÙ:tÊ6ᑬÒá¾t7îJ+Ñ&­B«ôZ¤7pCö×ä]h2ehT¼sEÁ¸˜Î¬—9å¡¡|(—î¶T—þ”åãƒ7š¼TÇâ©j +:U3ñP•„ªÅ¸§Ê@»ê7ÜQå¢M•‡Ven)wà¦rš•{q]yMÊüWÕŒõCÔkºp^øZsÆ{¯æ=fK±m•`½‰­éf£ë9/ºÇcë<°ŠB»åw¸­m"nhçãº6 MÚehÔ®ÀíZ\ÖnÂ%í6\Ô– ^»,¡Öò4j¬špÊúWýEwü ÆëÎøªÏ°~f`}å`v¦`ö*ºjñ¦Ÿ ž|ùîõvC«šu!¸ª‹Åå^“ÑÐ+õº9¸ [„ZÝÔ貸³º•ÜiÝzî”®€;©ÛÁUÛ”qÇmþàŽÙœàªz_âÛ¶ól_*m™`_&ØÛ‡ +>Áì)¾ƒÌQæDy P¡ó+K´ÙÙâz?'\îç‰z½?Îé#qÆnþ²›ÆUÛÍäŽë“¸cúî¨>;¢ÏæësùCú üýVþ}‰ Ò®B°×ÂܾUXfÿLXêÀD%L¼ó3lÅw¦Ÿé`φˆÑ1H†6Gû[¡ÎÑg\qÒÙÇœƒqÄy,wÈy"·ßy_é”ÀïsšÇW8-ìqÊ”;-ìv\#,sÌ–:‰Jœv‹v:;9ß:wšlqf’‚L²™äâm|ÚN:Üh{u® â’AŠÚ!æ8éb‹£nqÐm*Ýü¹ +·®Üõ[¾Ìu_êò£ Äe–p§K²°Ø**2,m7¬Ö›l1l5)0ü.É7TJ6ª¥ W¥ë\:dk\^ËV»2YîgØ`ÚÚ(vËšùFµ#9œ.Æ % ï‰}#úcÏw”ðåJFsÅÃcøíž…žS…[†Å‹6›-Î÷X Þè‘f’ç‘%Yç±ZºÖ=_¶Ú½X¾Êýßò•U¦9 ¦¿ »§ÈÖ¥ÈÆþÏtÙ'˜+m¿¿‘¶÷Z#PMŽúñ88Ê>Ö(óÕc§ŸE¾ÞÜVß~³O8¿qT¬ oÔDѺ‘ÓÅk¼6Éõž+Yé•"ÍñÊ-÷Ê‘g{å™fzmS,õÚmö«×aeº×yeš÷å/Þ/•©ÞL™:’™}ê•?>4sЬD}>ÍŸûÇP¯o”¢ÔØ ;ú`kÀ@äxryþ£ùµÆ`A®1Z¸òëñ¢œÑ“ÅÙ£ãL2ý¥Ëü’e¾iòtß,Ešï³TŸ-ÊŸRÕBßê¾gÕó}[ÕÉ~ÏÕóü˜ê=å©×híç(ö1:æöÓ‘»‡Ž™Ò Š‚Ô(¶Á†X2”Ë Ååd† 3lj2Æ|/N˜&I øIšê?WžâŸbºÐ¸Ôl¾q•rž1_5׸S3ÛX©™e°ÂâÇÀj‹¸ fòÄ<.ˆiÞSÐæGßCp" +80(§Ù¯˜®[èu^”¹ÑÖȉé‡Ì˜AȈñäÒ¢}ùÅQ‚…‘¢äˆXqRÄ÷’9áÓ¤³Â–'„ÍSÌ ûE–­Š ]¯™ºÝ|jè‹)¡Ç»Mk"-&‡1s¢ùT‹?pžjPEq÷§Ðì·®ÇÒ¼3NŽå±Z,û¦Ò¿qBj¬;·(vŸ<.@0wl˜pvLŒ8!f‚ÉÌè)Òøèxy\ÔÅô¨åÔ¨Lõäȵš" ÿÇuyGEu¦qøwgfd`€™ÁÅ.誈Ši*e60ƒ U‚RUZbì2ÇXYKˆ(nŒQ@M\)GOÔ-–h²®kÁ²nŒQ¹û“ã9ùã9÷Þ9s¿ç{ßû}÷¾¯ÖÙìbˆ<Õ31òŸ=uO\u]ÚD¨1èDõ[~:s[<ס='ËyÜÄëµú¨ÖkPž0e £P”0I(ÐûHòôÒœøy2sœÎ&3.Nž›Ô#56CiŠÉuXSìhŒ©vNŒÞ ÖGïÔÆGp‰>Ñ36úoäÏ_kã¢E Q¿åŸÃYÆ|Œñ7³ìjdÿYÏc¯?4Øb¥Ñ¥IýQ˜4ùI„\ãtÁlœ-É4„ÈÒ 6)‰1òäDƒ")!ÍÎcŸ /TÅë+bõëÔÑñÛµ ã›\¢âÛzêâ/“Nž¿ÒFÅ‹¢~Ë>‡Ó\Ÿ3þ¦T`'Ù”¬âuy²%&G,5õE^ŠrRÆ!+ešaò—¤š‚¤ÉÉa6IÉѶ†E +ý¢»¸$³}LÒRÕ¤r'±Vaܦ 7îw 3wY`¼ä²ÀðÐ%ÌðRf5áQý–‹|'€Ã&`_°Ô¥³ïå;€I 2ŒÛ­‚çî^Û8cGbtã8Œ²NÅŸ¬þi ÂkÜ­z ·¦a˜5_b­[7 ÿ¼W`=.¸Z¯ +®׆—×]âï4T[è]MoÉ:À¼‘ë`+×ÀÇ@È.Àß +LÞ Œýp? ÇÐf' iî…A̓0ðà 8è ׃SÑÿú +Æ{‡tè{È€>-fôn)EÏ–:¸´4@Ûrš–o¡9tšæÐ…·XÖr0ߌy ÛÑTÆ»˜Oï¬&`ÛRÏÀí3`àQ ÷19´­*hZ] nu…sÛp2Nm“àÔî U{0‰‚C{2ìOäAy¢ +víõP´7AÞþ%¹ÉóÇP´ýJ^u³qW×ó}@w&cNÜ„7sÞGè§×í80 èu +p>-ÀþœŠó*ÈÏka{¾lÎ…ìÂ(H/xArÁ‡A$üupÓupÃ}ÍDwPÐÁA;Îñú6yDžt³Š±3çæ=€ñSîþ-€ÞiôŽ¥w8½ýO.¼Õ±°û]’°è°#ŽÀ÷Zàr_àÊ`àêHD€¼)PY^ãKø:_À×KôõFà»ÁÁnÜ ·»)kàûp°ˆ9×}Î5ØÊøOô= ¼G¯æ;Àá ¿ÿ„·ã¦ø¡pKŦ@ üØ‹…©+pÇ ¸ëÉBy:ð€óxÀy<äK°“¾“Iïd²;ÿB¾$gºÉcîM|Þ ¿‚èžþWúÏÓÿ Ðç"sO¯’^éï-ò/r—ü‡Ü#÷méP²AaNk€§Ìɳ!Àó1À Ì/Y$½Š^óãóšóèâ†ëbà]LzWs7¢Óˆªþíˆ0"ÜÉDâKBI I&Y¤€”¡ Ux…5x‰õx-øÛð ø{ð?|Šÿâ3¹÷DM?ˆÎ} :[žK‡ñWO2ƒ1 ¼F.~EG+§ë#þÉ—áK¾Ÿó q_Š¿ò«p›oÂ-~#nðÛð™ª×T]¸¢:†Ëª^ô©nâbÿ§èðç +8Kœ!N˜×>ÞC”ÇðøÙ¾<O}'ãá iøÇ dÜ”Ž[ƒ¸á[„k¾å¸â;—ü¡Ï¯Ÿø­ÆE¿f\¼ ½ƒwâüàNœrg†œÅ©!×pò/Ñ3ô¿Ì94L`º½ô"Œ"}*/¿–â§@%žSüßÂçþãpkØû¸6\‹Kø8†ÞNœQ‚³³p:  +§jp2`9N¬Å±€õè ØÂÙÎy€éy’9ØÇt>`:G}ËvŒúƒm›Þ(/»½cH?ˆ®5•¸'ã©ôIp?P;¾¸2z4.Ž™„óc§á´: 'Õi8¦¶ã¨ÚÃêèVW0Õó™.õbf¿z³WÝÈtª[Ùõ¶=h/ÛÔÃî +ºÀî ºÇn{ç¹h˸_E›Æ â¼´zy¥ÿ’ÊÉ“ÉTb5À­É ®OàÐ7®?ÎO‚ßEOH…hq`âtì ÉDgˆƒé)`ÚCʘÝ!³Ù]ÁÕìŽàZv{ð*vkp³hsðѦàÅ­!‡ÄCΉׇÜ7OüZÒ4ñgIÃ{ÂÿYûÂ*w¤}? ¸Aåµ/‚zýPg&óè™2ÝSÕØ:¡Qh MÂÎP3³}ªÙ:5Ù<µˆý@SÎnÔTŠ6hŠZ4uâušq“¦UÒ Ù%Y£éâVkNq+5W¥õSŸH—OýQZ*H—yYêå‡ITꩤމ&ý8šùbiÞ¡'çH¸ûÃ}Ñ1 +»"'b{d86GjÑi`6D¤3-vv]„‹m /­ Ÿ%^^%^¶DR¶’[ÖÂ- Û&­ Û+[v\^Þ'_þH¾ â{BWG²7ù&”ž3Ò¿|œœ º)Þ-F{ôlM±ã±16ͱÑhŒIbÖƤ2«¢­l}´C´<º@´,ªL\5G²$jW3­NºpZ£¬zÚfyÕ´ŸyQG}*£.*æD=PÌŽþNQ-øx‘¿æyO |JOÜiÍ:D'Åm”ÇÖxZüѬS£Q7«u‘¨Oˆgê ÌÒx »$>[T£uŠj‹ÅÕZ¤*®Š›W+›·F^×êã‰kS”ÇRÎŒëU–i¿àgh¿U–jÿP”Æ ¯ðyÍj9nÒÚ/LŽ§]ÄnŠ·Òs·!I‰¦ä!X“2õ)!¨K CmJ,S“œÌ,HNeç'eŠæ%9Ä•‰’Ù‰eܬÄJiyb¬L·R^ª[¯(ÑíTéò…‰çø‚Ä»ªüÄ|~ÒïÊü$Añ&é \ÓÓ9 í£4{î±ÐÌIñFò£Ñ Ǫé¾Xn‰Z㻨1†b1Š©2ê˜ÊéÓÙÙ‹h–![\npIÊô%\©¾BZ¬_ +Ô/÷É×7+Ü)Û•N}Ÿ§?£ÊÕß&ž¿ñ¹zAI(^s?¸dN‘~7µ_m™ÔçS¼Î¬Jå°Ì<‹Íñ íT¥MAeZ$SaÖ2s +[–jfKS­¢âÔ\q¡©Ë7•K]¦*™Ó´Ì'×Ô¤È1nåíÆ}ª,Ó)âF?›é?ôý•Ï2 J/ŠWü<¿h¦³Hú]ÙÔçSÛ³žâ5iÔ禋P“ÞÕþ˜›1³3߃'# e1LIF"[”nd Ò3Dîô±Ó’/ɵ”Is,sevK­O–¥AaMÛÂg¦uªÒ-õK·\'žQü ŸnøŒ4AùšÛ´½´îÒï̶Ø&ŠW‹)—ù6s²†`VÖÛ˜™ŒÒ¬PeE1ùY ŒËf`ól‘Ö-¶Û\’l[)g³Î‘eZû¤[×(Ò¬›xsæUªõ„Êd½ÚÏdý·Ê”ù3Ÿš)¼BùšÏÈó³¤uØ´çÑÌ™KP\Kí×|ÊgvŽå9~(uŒD‘c< +r5påF2y-ãp¤°v‡Y”å°‰­9y’ŒœbÎ’S!3ç,’›ì«F{«Ò`ÿ×Û©Rì—‰§*½ý'^Ÿ-(_aðr…袙“h!êÔkS.s(§™N9Š]Qà—;yîIÈq‡#ÛËØÜIl¦ÛĦ»3Ein‡8ÕU(1º}‹ +$pöGn‘?ìÅcUkÉûÈ(‰b,% Œ¹ÄÀšJ,¢éÅv±¾Ø-I..㋪¤ Euòø¢Ÿ¸ÂÝŠØÂ#ʘÂO‰Çʘ‚—ÊØA›ÿ'“GHo­ÅtˆEÄ,¢þ9g0°—ñ°ÎŒŒò@X<ãaöh`òDÂèÑ2O +“â1³I›HçqŠãËK%qå•\LùRiÔÿÈ.ó°(¯+ÿ¾Ù„afØÔÔaQA¢â׺<ØTµ5Ú¨E£A¶ ¢ÀŒEE†qA&.TD0j]S4‰VmšX×Zc4DMòõ'á±>éï3ÌÂ}ï9÷ÞóÝ“Tà84ɬŒOÚOZ”qIÿPÆ/yªŒ_,*ã‰Ê·º8Âì¥o{PÄë÷z’NóýÜØ÷-gß‘¢ÄÔn˜’Ú“Òô˜ñé1—>cÓÇ £Ó§#Ó% iïJ‡¥-”¾•–,‹KÍRĦæw‹I­pˆ^±—4;D­¸éòÄ!:ùg‡èåâk˜{ëRž‡eÜ‹$“$Ó»0˜• +Lg«6)CŽ·×8cl¦7FgaTV?ŒÈ„„ìx Ï…¡Ù„øìéB\ö,IlÖ|ÉଥҨ¬Õ²Ye‘YÛ䙵ŠðÌ&rM¾æ±"<ã'"*ÂWýB[ +X—IYIoRðºÙ¢M^ËÞs=£ÂÐ\wÄçõD\žCŒáˆ5Æ`ã0DÇ"Ê83…ƹB„q±fL—ô7æJú·HCóvKCòŽviHÎ#iȆe!ëÅ×Ô¦°.e°.¯²I +ÿ^˜ ̦{êö~F`8[Ö˜B99c@±"‹uˆ(AxI$ %±è_2ýJÞF_ÓT„šf!Ø´zS²ÐÛ´Nèe*Kv +º»PrQÐ? +_H +Ä×ì\ la –›dð5i÷!݉tOÜÌø‹ØR r+ZáˆàJWô©ìŽÞ•èeE9æXèÌ 0‡¿eü,sÐò¾–Õð±äÃÛb—å ´–3КïB[Ñm¹(xuQù!ëbÏ#IÉåYØÄ}XLa[8º ˆ«lú5Nð±ºÂÛê /«ÚÚxÖFÀ£6îµ#àn›7ÛL¸ÚæÃÅ–-‡l…Ú¶*Û ¨joAe}uÍDì¤t#Ï#s½*Ÿg¡˜ó1÷á6®Jï Ýô×Ò¿ð­< pnPBÝèU£NþP6êá؇Æt³€Â>2ûï µ¿‰‹kgBíæC©žü•ÜfQú–ttR@ïZ°| 0Ÿ1ÏäO'0Þá6 z?Ðï ý €Ï'€ûQ@Ó86Ë!=¡æ¥Ã•x-~¤ ã0hå… •ãV>€ÚxèÚ¸¹Ú\[ 9ÆÏ/“‘Gä0ß+ÍÀ"Æ<ÛÊ5`¼#ÑßHÿa ÿÒý8àÚ¨ÚÅiðÂAÎu#œÇyÎã¼–âž¼ õâÅœ—äÏíœG;/"WXð¯ð _åB_-'{Ï9àÈN2¸ÖIŒ{¿šF÷(Æ;˜Þ¾ü™Ž^¯S€Ë@y\¢»|N¾ ×äÀu'^Ê5ÀMw6'ݯt¼$¿jV¢€;¼ ßáÅà. ñ=ø{\ôû\äû ôþr²“˜žyÌù Æ=†î˜fÆß +0^-½z»½ò~I8m|E¾&ߦwŽÍœŸ³>çáÁ½ñ‚‰ɤ¿¬ëDtò…Ø­;D¼¢éM"ÉP2ž$’ydIÆOÈÀK¬Ã äáä£Åøex†JVÒ“…;Ø€ÛØDW!-¥tUÐUÅñ­¸†Ü2Gq§ñ?¹Œãžã"G½@οèêÌ\¨!ªˆ“Û/ë"éÅo™ã8Æ9ŽÎiôͦë=z–ГÂQ3pk¹Mrpq«ÓUF™ŽjF¿g¹§ÑŠSÜÄmå$3Ù‘O"š%ÿCôpb.Ä‘h8®‰ÒÏ!x ‹Â×ÒÜ’NÂuY"®Êæào²…¸$[Š òTœ“¯ÆYù:œ‘çáSùfœ’›Ð*/G‹|š6üEÑ€&E Ž:´ãˆã=Ø~@ƒJDý¯½dœ j¥|å<\5x¢ñÄ]•7•\u‚Kª18§ú ΨÞÁ)õ<´ªßG‹zšÕi8®^ƒ&͇8¦Ùˆ#š"Ö”Á®ÙŽFõÎõ8è|\Ú±Ïõln/`uQã.b÷ˆ>ôûòØ<Þ·=¸Í||©ñÂE×>8í6­îÃpÜc<ŽyLÇaÏÙ°{þ ž‹Pï¹ =Óq@›‰ýÚ Ø§ý{´&ؼ*`õªÆnï:T{7a§÷ETùÜŧ•¾¢PÑEy"rËÊ]–¸½Y‚t.ú:ଗ+Z|p¬GØ{Æâß(ÔùMÆ^¿DØüÞ…Õÿ=Ôø/Fµ2vù¯ÄÿlTùçb{@,e¨ ¨ByÀa›î°P¦;+”~#˜‚ž ÅA¢PD +ß@ dü!ôXjYî?e©=¡—¢)PÆ ÔõÆž>QÓgvéÇ¡J?ÛõïÀ¬Ÿ‹ +ý”ë—`«>eú ¡T¿NøX¿I(Ñ›„¢`³Pl67HòCNI6…Ü’CŸHrCEIίx̲\fimc™?Æ×Æpöž}aëçêþ¨2„ÁlˆE¹a$ÊÂ&¢4lL†Y(6ÌC¡áOBa©oø/ÓåÕ}†ñç\öì)A55ŠJ ²Â.à®{]8ÀËîÂ.Ë.·]n r[‘ÛŠ(—­Â%Œ¦*X“èèÔ±mSÓ¦i3¶Î´vò!m¦Ó´“t2M3Mš¶il¶/2füð›ÿ9çËó¼ïùßž>f&m˜™J›`O¥fO¦-±'ö_æŽï¿É…÷¿É¥¿Ë¤ ©¿"¢Ü±Çø˜jÿ}6p/¸{ˆòVp¼\ÉàqéÀzœÏÜŠ5)˜Óhð¼FÓ+" ÓšjLjÜÌIMs"³•ÏìfÙ!v43ÌgNsC™óÜ æ"Ò\ç4wýY¿Sôe}¬èÉ~@DùÇùPCÇéÿÔܦ£î+äå;t/åÄám"Îh“ÉUc*7'sMÏέdFµNfXëa†´Íì ¶ƒ i{Ù~í0ק=Å÷hgùníKŠ.íUEgî…ŽÜ_ í¹ íyÿÚò¢ŠÇyŸ´î“îÝBÊàrpžŽ™e®CëÑmÀ¤nNèSÖgcD¯ÇÞ‚A}ÒÛ˜~‹éÕùØ#ºÛ¥ë悺£\‡nœoÓQ´êÎ ~Ý+B‹î¶²Y_Ù¤ÿ+ñ…Ш>B±Ê¨æ·I÷N1õ@¤œQDúäç,ù˜6ª0aL@Ø´ æ½4g"dÎGŸÙŒ“Èt›*™ ÉÁt˜UVG•–5„U~BµÞ$íåZÊœ5”·ˆ!òÓM^Zé{£“ƒ§&®ÚMpÖî€Ýµ6W&dw*Ý&”»‹É]Á”º¬è®g‹]Îêêá ]£ +³+"˜\ç”F×5¥ÁuWep½«2ÔþCi¨ýJi¬‰ +øÕzÃE{Rp†âÀqb€ÞÛÉS#uôÝé‰EuýS¨ònC…wÊ}j”ù¢Ôw¢Ï‚b_cõÙ˜BŸ›5ûšY“¯‹3ø†x½wZ¡ó. ‡¼¯ +ùÞוyÞw”ùõŸùõÿy¢_s‡j½J`‰˜&FˆÂOÔy]Ë妔7¯Giófˆ-»PìO…ÕŸ‹ÿ +f˜"Œ™1j½¿‘=äïdóüƒ\®’?è_Tä´¼¬Ènù"Ûÿ[ÿ®Èn~ ÈiŠ~Í-ªûJ#0GLÞQ;‰ºŠ;Z€ŠPÒÆÃڇŽ0wn‡)¸†àj¡ï2@×eE~W9òºŒ¶ËËätµ±YÁVœà2‚sÜàwyuð6Ÿü5¯îü¯îø’W·GyuÛ7H÷¢ŸÖé½ôÜJxZ)st~P@1Éлº¾ä÷oA^ÿnhRqp@ƒœP>²Cfd…J  U!#ä†:Ô¤‡z˜´Ðq65ô<»o`™Mø>qŸMéÿˆMéû‚Kér)=k¼Ju.µÓžÐFë‘Æ ÑDÚ5¤-õ–Êž[µÃ +dÄ!st#2ƶãÀؤ¥c8ia=RÃ|+,a_؉”pö„ƒH0»Ã§™]á ÌÎðM&)|IûIù7“4e“†Ö¸LZ³Äq¢h릶´Åc€qÈ=dL©“O ej=öN'â¹é$$O§`÷Œ»fbçŒI‘"ìˆÈØqãÙH+¶FBØ™Â3‘sHŒ\ÇÓ3oFâÔçHœŒ2‰§Ö8O1p¦Ö#ÑMÏ!Z¤-‘vÁ87 dRLL=ìžeñì\,¶Î'`Ëüf<³„Í )H\8€§µØ´hÄÆÅlX´ã©Å|sñÖ/Ž#~qžxq‹o ná=<9ÿ)âç þ…èCæ†h_$Í¢u˜Ö­ª¹h +П²fIH^¶6]àp)ñË D"ž\Þ¸•½„ßXÑ"vÅŒu+žX©EÌJÊ•AËß¿|ìò-p—~I|îâgÄ—‰PŸGÂ@ÕÜp°SÍ%giÎ9¤»Ÿâiò%Ò¿Lú/믱וPþŸë²«1Mãøÿ}ßSÑÇQQB_CŠRJ…SNéÛé“hª©¤¦¢”HER !„|ÖdB¾2Ñ9>¢¢eȲZfÇš±ƒ5š5Ë0ŒÏżó¯mwí\]¿ÎÛõžžÿÿ¾Ÿç¹ŸûÑš@ÒšCÔ€ eS¬eSªE؈éxèêxè¸Áuœ`E´ Dû994|Cݬ`®óóìµ@cž\ „0^_êºïœøo¶ €å!Àô(`ô ×$' ‰’ôNòRp’>šÙœ6³9m¡V†­<Z¹Ñ[9É­ ®u;ßáçyr“Ï÷»)ÚÀ½°˜É˜§ñ+Æ«f¬£´À0jÚRÓ¢ P6½‚ø€×76À +à}œ£óæ@»›R;àNlÌØ_¦ËlL:X;¸ù:8Ñ ²ƒu'íäF7yÛ€´Ü‹û€ou½ŽQÿ`Óô= ˜PSŸÖyä¸äÏä +¹*_õ¾6®™ßX°A¶æE—•Ûl–ïÐÇ÷<˜ï²ðßÍâŠsÒÉDwî' ®óL7Œ;áçà0Ìx½ï0êZSלV/Rõ¾"×ÉßÈ­þN¾'w97?ÐË=àG3àsò—Ǽ´üBOÙŒ<ãÁóœÅîÝ‹*à%…_꺑¥þaуBF’qd‰$ñ$o‘…×ÈÅ+â%–â9VâÖâ)6â *ñ5ø»ñõø Gp-ø'.âÍþƒoàéîï úò·Y]>ìˆ C‚ñ+"ð/Äà’¨•N­yÔYˆG(¢Î2ꬢÎ:êl¢Î§ÔØÁq÷á´¸&ÜÄ9¦î[þ<`_áGíâ¯äz²¡)s¡ä“ 1'‰#Þ`uý©Î¦Q/žZ)ÔʤN§`uŠ©SJÕÔYØÂ1·ákìâÔÕsÉ4rù´áŸ.Òáf­£ÿÙز~/È¢!ÿêÓ‹7°§î:WáG„P3‚ÙŒ¦V"uÒCþ‚¸Š.Ïbê,§Îêl N%Î3_¢gpˆšqŠoZ躙Y<Éù8I•ï +õ ÷᧾dÁ”¹À¹JÝQŒÏŸ¹Ó0’©ÔŠåhIô>›žK\êP§§±­\Íœ““ÌE×Å140M\íts :á´Âk4ˆ2JÿCæ–’¹Åe.éçFžâŽ`†¢ ®J.¸$A»"gѦ˜ŽV½84ë%ã„^:Žëeã˜^.õãˆþRÖ/ƒN¿Zƒ*4؉zƒzìïu u½Ïa¯áwØcô3v¿E­‰Œ=ì 2·Ò–˜,wwÈ>®õ6Âå^ýX‚ã´±;šMÆá¸I(M"pX2 ÊqP™Žze6>Wæ£N¹ûú”bOŸrìî³µ¦Û±Ãt?¶›6â3³/QmvUæ±µ¯Œ-ýxNõ°‰È,+? bIa‰»J.ðùl?=´™*qÂ|Žö]?o°ý쵘‚ݖѨµœ–)Øn™ËyØf¹Õý‹QÕ%*ûW`‹U56YíÅƇQ1  ë^Gù ‡Xmý«¬ånÊzxjÃ3”%ˆGÎyg–{GöúöÀÑFhh:ë!Øm3;m}PcŒjÛ÷Qe‰­v±Øl—ˆMv©Ø`7v9Xg¿kí—a}9VÙoEÙ{µXñ^–nAéà+Â'Cî %¯…bY(z‡Ô¿áÊø=þ}Übi«§Ÿ}zØ5Ä 56øt¨3¶8zc££?*ðÎ1åŽÓ±Úé#”9ÍÄJ§YXî”…R§<,u*B‰S™PÛ“÷ C¬ñ臣ì±ÌÓ%žÞ(òôC¡g( +<'b¡g$òµÒLŸCR¢Ïi†ïmE‚ï/R‚¯üÄ.®ø§©¯ a‚õÇóÎÇœ|â+¢ÐW‰…㬰@=óÕ®˜«Lµ?2Ô¡HWOÄ,õT¤ªc¢N’ÕiB’:[Hô+ü–‹ñ~¥üvH±þZEŒùNíÿ„ÈÒ»\bÜÍ¡\‡l{¶±«àóJza»ŽÅ|—7ÞóÇ[ +ÀΘà‰´_¤!90I“…ñB|àÇB\`¦˜/Æ.“> \/EÖ(¦TL :¥ˆ ú–<&¿J‘AòigŽ³ÝÙÇV£2œ9àóRz)d>rIv°!æ›cvˆ RCœ⎙!c1#Ä !aˆ ™ˆØHÄ„Æ +Ñ¡IBTh†0=4WŒ -‘¦†®•¦„mSL +«'-Šˆ°kzaøù–ÈR“ÈYÎA#[Œ]dóû¼ëÐK½ä3Ùô’f€´ ¦Hž0‰$h\§ñF¬FhM0¢4ᘮ™ŒiáÑBdx¢0%|–09_#Müë2‹ºÌãøç73Š¢(à‘Bj‚+Š7&‚‚Š +"ǃ0#2L*Œ8©‰£­°©yð2×T¼2E¬Ìõ$³\³CtÝ2_Û®í¦f©­«™F»•m³o^R¯Ýýã=Ìïù|¯çy¾ß”-–´Ô}–ÔÔ–”Ô‹ÍRR¿âó¿-©)>óÏœ"3¥ä*¬’{ž$³±efªEim4%íå§wW^z¤éQÊM¦ é ʶ&ËfÍP¦ÕndX§VëL#Íê1¥Z—šÆ[W™“­›,ã2öZ’2ŽÃ¸cI²þ>ó¸&ÞÄ÷ý6j!‹<@<…MsùûLì)À.gf€ò2ÛËžÕU9YÊΨ,[Œ2m#eµ%)Ý–®´ì¥d;ñÙÓäìbSR¶×”˜½Â<&{£ytv%!»>€Û–Ûóh›ï!Y>óq4öM `,e,xŠ°¥Ûòùì˜à¯ 9Á²å„*#·‡¬¹ý”f¢T{œRìc•lOÕ8‡MIŽïEà,‘²Ñö¨%ÒÐeÒÆÄF´î+¥ÕÍÕam€Ú­k§àu!¦ u‘ +¬Š‚áj[5Vm8ìªòպʭVU‹å_µZ-Öm—ߺCò[[7ä·¦A-Vÿôsèy¡\ÄÛ¾HJÇç1eRl¥4Ý^kЯBƒÔn£Ôfs µªn£–ÕíÔ¢:D~Õaj^)Kõ`™«ãeÚÊổÃf+]M"«Ë·ìƒ“4(…Ûð-ÒwZ^ÊÝ…Ä;ÿiöâr) Ÿãž“¢ÐínwFÔÎ[¥àèï’Zîi&sMk.:ƒšâ½B8ô‘jiÊj¹pk¹xjÙäµu-‹×âHíN~sÎñÜp¾ÑRb]ŒÏÓ05g9à§#ž§Ñ܆þ‹èïA¿V +xEò{M\0pÈ°ãvÆŽÃ4äGÂhˆ±ã á1.ÿ:û:6zI®Ã¹:bQG,Ž½Á ¸¥…Ïr/¬’òÖ±Ë>¨¦Ðí[#…½Œ>šA‡¤VG%ËëhŸ€·š8Ùœ¨Íh[ém†“ÓiÊhÊßmlÒ‰GccPÏ¡_ONêIt=‰­ß.ýœâ󸤹ë9_ ñ9é%Îtz²ÎuR šþ¤Ðà½gàl€óf1Ñ3¨] &;Jw•>é%}Š—iB®PWf2ÄPäWIðU„®Ì«8rõ´f`ÖDböª4ò05€nt;¡xZjñ~“ÞyÀlý þ—€ÒÒ§p>³HŸûK×o“[ÔÈékòrfä>Ï7vßü’Ý@a5쇃L½í ¨‰Ð úB,$B&äé'fÝš¥ïåÑ¿´Hßêi5¨\÷µB÷˜u¿Öz}¥Mº£íº­=ºÅ¼û¥êtCïê:Æ~ή±Â5Vûìÿð)Ú@C(ô‚ÇÑMà©44sÑ›‚Ö ÝÕ4棱XׯuSè¬Dg-:Xs‹®êE]Ö>”®ßëc}¨‹Xô+]`åF>ü/j·h Ñ ã×ÐŽãð ½M@'_\h¸Ñ(Ac«{)ÓezO•è<‡ÆzÖ߬“ÄâM½¬7tT¯£~ kâÍaý¾ÿÁG)ùô3͉sþvÄÏ0ý™X\ ç©‹³²¢cgµÉh<†¶ê<õ¢S†Îot„Ú8DN‹ª¡*ê~½•ö’½D¸¥Fö4ác‹ûšQºl±/Ë[~øˆn(1ŒÀ¯Á¬0­dt²ðÅíSXy:n¢]¢Wµ%è,W-5ZCNv‹]zI;±bÑØfœ×VÓ U[´ÅâÓæfÙ>¶Ó=¶ÓlíK5äÈ9cnEžÚé„©›Žý‡ézjò>ãþý¥^¨TPnB„HÈ…’@B.@!BÂ- !"ŠBAQDTëýRu¶ÎºÖÖεgë™Ýéz¶³Óý³ûé™Ývvºn«Ý¥]öˆžýñ9oòþó|óæ=Ïïyžâîª2|kµ¯®1áæ;n¬mÆõµm¸¶¶W׆p%f.ÅŒâbÌ^œ™ÁÙçpæùeœ^w§ÖÝÂÉõ÷qbýpü…GXŒ}Œ£qQ,M¦¶B­ö!µ¹ïRÛ}›òÜ[‹;ë“q+67âD¸§Ä•8.n0ãüÎnpã̆vœŽïÂr|'ã‡p"~ŽÇïÇbü!MX‘„‹8œp‡ßÂÁÄ1»ñSÌlúÓ›£+¦žù,øeµ¡àj÷w¸´÷ѽW6­ÁµÄ\Ú”s›xi³§’ÔXJªÆñ$+“±Ü‚ùd'wc.¹“#˜Ý2™-³˜Þºˆý[Ïb_Ê7°7å ìI}ˆ‰´O0žþv¥G1öQÝŸP{WH{¯€Ž1jm—脶÷ ¸}=ÛÇÑ]8ƒÎÂc,PxuÝb¾¢Ì'úký…µ‰¾&Ñÿùˆj~GIÿ¿‚F²(£}‹²LÓs™1ì.ŠÃ¨( Qvˆ 0 .EŸ¸!q‚bzŠëÐ]ìBg±âNt÷ÁW2Š¶’)ÖZ²À¼¥çXKéMæ–¼C~Êš%Ÿ‘¯X“$º¢™|@5ï©—É)p¸œêS–=eÀå‹”®Ç d#ú$éJrÑ#¡K*C@Z¿ÔŸÔ‚v©­enxË:à) Â-‹°fÙ$sÉæ™Sþk”ßà4Èßæ8?&"ÿfE”9äO½O5_×Ð{HcÏq2KcØ^Ê2F9†)_¿<Ayºå)(²Ñ¡¢]QŠVE9¼Ê*´(Mp+ëФtÁ¥lƒ³¼ å;˜£|‚ÙUs¬^µÌlªë«úùˆü‘cQÿ‹®QfU=õÕ|ÆŒsdLÑè3NY†)GåëQ­F@Ÿ:­ê,xÔùp«ÅhRËáRW¢±Â€† + °WzPWÙ [å³jÆ™Es€ÕjN1“æǨ}ƒ|Hþ@þÉ1j¢O°'PÝ›zà49D&ÉNº7@9zˆ_ÃЦ‰…G³ ÍÚt¸´|4j áÐJQ¯UÁ¦­‚­ªÖ*;,:7Ì:?Lº>fÔ±ý «Ö/1½þ*Goø6Ggø!ù=G§ÿ‰rôO±û4â\§Q|‰Ì’ÝÕ´oѽ =?eiÕѬ©_§> †TÔx° +`5”À\­@mµ¦j#Œ5u¨©iBuc:ãNVeœfZãq¦1^áTïr*LÉ#N…ñKúåTÖ¬`o+&à™&£¤ŸîuÒóh¥<Í”«ÑƒzSyÃ(ôŽCèC÷ x¿IÞeùÞ_3ç¯LÐòVÐeî(»Ú%“dˆtSÍVÒ@uÍ´ªé[hæ§UIѶ +ÒöX”ú6¢¸# âD(ò£Ð¯Àv¿B¿ ‚€ù/ò½È ì?0‹œÀ2²¯€x€mŸƒçÿ¼Ž¯Y¶/ºâýsdœêõ?q»éð5­€¦êûi÷ë¢Ý§g„½ñô&#?ÈE^Ü`!ø! rB*d‡ à…lØnFf¸Üð2ÂSH/!-|©¡ûH }ŒÔàŸ‘ÖûÒz¢+NR­i2Bzé÷¶'Õ6û€ª@m’ ÕïòW7‹¬¡Dd§€;œ…Œá<¤GDH‹È©DJÄ„­‘$´#i¤›GöbÓÈ"6F®à¿\—yTd‡¢"\¶ \ÙT.j:Z™S:*抢¢‚ ²ª ‹+\Ü—Ä\REG'·r­IsLçè˜:Ž§ÒFs§±´qî<z:ýñçpï÷{·ïýÞ×-{¿\³ÏÉ-ë®Ü2ŸÊ=Ãú3Ëð³ÒÑLVáh÷Gû5֢]2¤PÖÅ€Y’O~+y8«u»< +¼e* {a˜Ü +#åZØ~/cÑ`¹Å˹h²œŠfɱ¨L…52î–}Á'2|#ÃœïåÿLyV-J¡! ’‰wìdb€ö›éR,)r¦–'¢?WòXÀXb''‹³-&ð–ƒ%PKGÙ[̲³ô–­¥¿ZZF¨…%Y6– 5³Ì'áÕRévvÌ’+4Ä»ðxªB✓ÐM˜JÌÞÎ&øl.@ÝÀ…è—¢¿ýå’c…­l+eSÉ0º’w¥/qÁƪxø«hòU4–jŠ«:•¿q¢jŸÙû>ç¼€ÍÍi06“»@¼ÿ0›{€Ï]1½ºK%ï’‰5Õe•dX+µ¨aXo/m` ßàÆ£ËP¼;61”Ö2üÔòð×Ñèë†@Ì:X»vò¹ãp ¾ã{”•KO"Þ£ó¥˜br€Ï¯.–ÂË¥ *ôÑ4­—œqÁ~³d³%dÔ³Õ³|à$í$;‰GƒñØ=€‡g~IÞƒs{ˆEc¿ÿÄïÓpnk*>'Í“†•|þ]%5ˆ¹!èúðqw4ê¥V èîBÉB%}lÀN:èÈPÎBpØ$aIø˜¡øvg9Îãs‚Ë~‚DŸDè$Á<É'ø¢ =óâóëïQµÜÁ÷%_BfjD¿d{=¾ÂÂÅYpNÁ'ð)|FLN“›3Ää,19ç%]`a¸ˆ—B.ó(}IN®PäWʤ«8x§®d±8¡DÊuè:ú1>¿JŒ;¡ëŸ‡Ð§„[oÒû ÎÂp¡‰‹p ¾„«p¥ñùùŠ…í&¹ù§¿t;î1(Þãá¹Ïå{@}> °nâñp/§œ›p_…®Ðbô?Åê'vÌ5Q5Mß+[4[ÿR‘jî³cÞÓr}Ç®{Gï±·ÖêEsS{õµŽ²Ã^Ð þó=Ñß9ñ×›°Ê `.àAèF¢ÛÝþhC+qè¤ê¶Òõ­rÐÈC£H_i!eœW®kªÖÕè2[ïEíBý ¡;­¿ðɳœt†Ó‹UvÐZ‚£þ+“~~vÔuGóMôéDç]쟀F3ÐÈA#bÃŽ³ZÌ™¤m e²‰î |öé??æ[±#(Fé×XIã ž¨ñ5µ¶h»HÒÜKS?´†àË(4ÑH¡ÓИÁé9häñb4qæR<_©ZÇõÙ‚»ÉÈ5bå.²Ô@dPÛù+^è?ƒûjFıؤóÄâsbñguCë ×|†Æh4’¸š)Ú$/{±£;vk.ç—rîrÕkµ¶‹müµ…O×qR-YÛ¤k#™Þˆê žë?†;@ÇÐ_e‹Ÿ.hza}þD Õ­¾è Bc¸>ÀŽر¼lÃŽ­Ø±;ê”Æ<Î-ÓzU‰­å«ùv5«¢ZV’áJ*­åçTÀ®Ó®)Wû ×êxËç­À€®+š>hv@ÏÌI½Ñy _bÐŽÆh4’¸ÐICg†V)BÎ^¤ŠfËUÞl–ÙlÖ›F-n~T––—Tj{O¥­žªÄŽ÷²‰\åë´·ÓhÓú÷Òîh{õ¶NÚÖÜCµ-ýµ¡U'­³ë¦5v¯k•}?UÙV¥ý­0īܤe†-5LÑbC¦Êf«ÔažJ–h¡ã*Íw¬Õ\§Ý*v:ª"§Ë*p~ 9.Ï”ïbU¾ñnñÜœoG‹åÉÙE»ßÚ†§{Ö[¨ÆÉE«Ûh¥s°V¸Dh¹±‡–û¨ÌØ_¥ÆwTb©…Æ-pMÖ<×I*v®"׸jŽ[©òÜVj–ÛFÍtoPŽûGÊ6]T–Ç}e´~ÖŸ™×üh¹¶¤DÙ(9ÊI‰Q&1·S¼9DqæpÅš»jdt/ î«aÑ44zˆ†tÓà®cÓ-Mƒºåh`÷yн\ÿgºÌ£¢:Ï0þŒ+*"àŽEP”E†a†eXT7Æ( +.Çšb´¥š&Zš¤q_cÆŒ5Ö¥1Ñx¬ñXÍÒ¨ÑZMÒäD§? æøÇï\†{ï÷¼ßû¾÷Þ÷É0¾¬tã^ƒÍø>|ß‚ûšñ3ÖÁs°æ1þTG9y™%ÑÝ41ÆW…1~¨ü˜PåÅŒV®1VÙÆ$Ù6es”[ ŒØ2¥ÇÍ”-îWJ3-Wª©AÖøMJ‰Ý`‰?f°˜¯r¼îŸ1¹ 6Ó Œk`TÃþ7ƒ8¦“bŽEqgò–ÃÔO9¦Á²›†+Ë®ŒøhÙâÍ`Uš9K©æq²&”(%a†,‰ó•Ìpœ”¸F‰IÍJHÚc0'…+ð ¸ ‰?ó:cx#Ô%S˜3ù=•|K!1å'tTN¢—쉽•‘è/[ÒP¥%”5)J)Iq²$[”œœ¡¤d‡-“”`™.sÊ\ŧ<+SJ½â¬MŠµî2ÄZŒÖËïbS‚»•žIÆð•°ªÀ ¥ÄQÎg[Ú)#ÅSi)¾²Zýd±Q²5D‰©‘JH5Êœš¨ø4›Li¹ŠK+R¬mªŒ¶9Š±-QtzƦoÔ˜ôТ¨ôKïjŒí0­¥¹µÕÊ;1Uªe ¯† +˜Êï‰üßv~§Û˜7m]•œî­ÄŒ~2g V|F°â2Û-c¦Y1YVEgÙ56«@cì“e¯Ðh»K‘ö•Š°oPxöv…e† +³ÿGáö +Ïr+^eý†ti)̇Œâ¥ ÀÎ߶LUvyWMP*§¼ªªÕ½j4ʳrºUS·9×ä9û¾ºÏ~ înÕ¢µf@ ¶p¿‘¼WKžXÕN/`^‚õ¥ ¿Šÿ@‰£‘8šˆ£)‚¡a3/™fš«‘fl^˹-ð×~ä¢ñž**Éuvï4Ï{Ž¬•‚W¡nŸuè£Ù•-thF›t2àK¯ Æ` ùØÊP¾y;ÃèNâØŲ‹ÍnþÝ{7‰ÝM.v7ñÿ7€\ìºÄµ7TN‹(WÖRjÀžÇü–g€p­GŸË½_–º¼&h'Œƒ?ìmãMØ×^Úï!½…A9€18ÈP~ˆ!ý0q¼ËÒÂG°…¾…BAè‹·l†ƒp.ª„|çžµžç‘ì7ݾ\æ½ýGšûà‚x¯£ð`K:Ž9:Aœ$'§©Íß1 +ÇG fó:GMÎÑhçIòù ÀâçÞ†ã* ß¿ç]ÐÈ{€Ý.ù¡ëCûvy¬ùHç8œZZgÚ8 ”Uçàø|J}®Ð'×|¤/ü¤¯‚¥ëFé„›ÔäkŠ~‹»E’o#v{n¯;tkÃü`Œ3¤ãóõ?M—NñÎÒ=üå]üå|îm-Ó-­ÐM<æ ­ÕW8Ë/ñ™Ÿãt?ÓºªÃºBà—9sIߦ»•‹m|Òêy= Câé­‡ +ÐÉFý¯{ùoèk•ê:þòKüåçøËkÄñOâ¸B—õ<õº u:¯ú˜æ=KÑ[Dp\§¹êÑŸDáÄœlAOЕýúpå@öÌÞF½=+ä¡1ɤÞI *ô!q|@#ŽÓÄq’8þªÕ´Ç‹”¯™–ÙB)÷’‰½Ão“±ƒdõJOòXÿÜWGt½Èaö€Þ(öƒV2:™hä³£‰:FG‰ãq¼K]k!íº„õ—³æ*íWm¼õWxŒvjgv‘…úBÛõ­¶¡ø˜­m1<„{ð/¸H.΋“äâ}ñž"Ñ1±++ÙYëO䱜LµËY–vÇNâØAÛTúuÚLMþ¤&¢Ø¢MÜñG2Ó¤OÕøžË<¦íóŒãŸJ‚‰pßW0`6Ø`À`6`ƒÁÜÀ +$¡&!Is±MÒ4I›&kÔf]zd=Uu«¢®«¶µÓŽvÕ6µÕ¤ýQ©ÒºNÝ:mk·UíŽvÞ·d­¬Þ÷÷Úz¿ß÷ðó{>ÔI}ÎRþ’/Öÿ‘xW¼%^Õ½ø¡îÅ‹º7ÈÓZÊ¥c×:š´ŸæïÖü\—Gåãù¸&ßdQû¤qXóžÔÍ<¯pz…ËúÕ}šá^íÖÝóÚés:ñóÒþ’DÁïv)^‰VˆY =·HÓ¨5¥K¯H:Ò©Õ »¥Ñ. fƨ4&¹$åã^íÇöKcEÿ’»ô¹Äi¹;¥:©Ûp\'zL»}Lçñ5iÉÞÞTx{Y<¯ðò”ž¯+ì='Í$éeK«D³Y¥ãäž5ίéàî¨ g£85Êé¨0w®½•“k8¾vÇ¢±}'G×ÝÃáuW9¸þIîXÿûc~ξ[Þc_ìÇ,oˆ°÷ÿ¼£ÐúS…ùçÅã)z­(Ä]Qxùº!šË·¸'&™s±yÜ[Æ© ÕœÜØÀñ-ÛØÉÊÆŽÆ p8nŒCqSÜ7ϸEöö³l8ÆÃyn7<ÈRüìŠÛâ_gaÓûì4~Êcä+ÞTh)çæ«æê_ÊÔë4î’·3 1œŠ7r|S+Æ"-4:8àbBË ~ö&ô²;aˆÛÆYLœfWâN÷²#é(Û“Î2—t…ÙÍ×™I¾Átò«L§¼Ç¶”O˜J|ÅkzýÂÃJA.©=«çyJ-ä爼J6p 9™å”\v§˜XJ­b1µŽÛR›YHõ²#-Àö´si#̦M2“>ÇtúSé gœb"ó㙲5ë;Œeý„Ñìß2’ó±ˆ|Å+ +çO›ôúçÄ =.VÊ%?{åewV,‹Y ,de°#»ùl3³ÙÕÌäÔ3ãa*§pN7“9Œçnekî4£y Œäíg(ÿƒù(¸FÁsôþˆPá;„Šþ."7)ŒðR¹î .‹ÓJÅŽ¨].ƒ%ùY—ë˜Ëg¦ …m¹„ K˜(¬d¼ÐÁX¡‹Ñ¢V†‹ü õ2X4Lq˜¾â턶ì¥GIP°äݦ‡è2=K ôeñ6þÒ¿‰ˆú7¹Q×¾H¿”úÔ_ÒØNy›•—™’5„Kâ/Ib¬$“SC¦rM6úMNúJÝô–úè)í&X:@wÙ8]e³øËo§³üæs´[®â³<ƒ·ââ7âCñ_Ák‰ðœÒ«6Ý¥Gı ±Yy™Ò¾Lh_Fͱ ™ ˜Óè3çÑk6´TÒmqÐeiÄoi¥Ó⧣"D{Å(¾Ê¼U»h­:D‹õ,ëxlOá¶}ŸfÛ¯Å_Õÿ\DVyFšW”fœ•/ªÛäk\û2,ªÖªŠ'X•L—5¿µ˜«™v« ŸÕI›ÕM«­[žêaÜÕS4×,Ðd¿—ý Ž+48ž/QïxKüEýÏDd•'¤{©VwQiÆ>± fô<¡ñaùë¯QÍS³–@M5‰øìxí´ÚKñØ+qÛ4;\49ÚpÕvÑX;HCÝ$õu;p:÷SçÑ©ñ6µn5z¢q¶¨mIÄÞšNuk>¶VÖ¶JªÚT¶¹¨ðz±xƒ˜½#”ûf(ó-Qê[Áä»—’öGÙÒ~C¼Îß(ñý“7²Êý_”eJ¿÷¶À¼˜ƒ"(¼¢YåRƒÒâZŸòþö X;ŒTv¤PÑ™ƒ¹³˜òN3eÕ”úë1ù[(ñؤ80EQ`…#.ßõ°xž¼®×È ¼O~àS +ü‘U.¶ê.HgIÜ*­1Ñ'üÒô´ký*•j;Á€Šîʃñ”“(éÉ`KO>Å=&Šzª(ì­¥ ·™üÞòzûÈ MÚIvè Y¡»É =DFèÛ¤‡~&~OFï'döDÈwKë ´Ä”ô†DP´K·IºN•®ÕAé÷‚©?Š¢ ÉL!w(‡œ¡"²‡Ìd U“9Ü@ÆpéÃAÒ†GI™#yd›GΈ«$ ?KâðÅ»$ }ÌæÁÈ*wJkY©ÿœôÆE¿H·M%ZcØU¶V¨ö‚¢QÕ[cȘ06‘HêD:)“y$O–°y²‚¤ÉZ'Ý$„ýbcxšMáÝćOb_O7ù²xÃÄGÆ?#~<Š´µÎi1"ÍÑ¡5»UžÕI»JåQÙ˜ôUžä†!}z I³±$ÌmÂ8·™Ms™ÄÏ`˜/6âæØ8ïeÃ|/±óÄÌßÆúù£¬›¿HôÜu¢æ^díìDÏ~@ô­ÿcºL ¢<¯0ü"‹"2  +*ƒ ²ˆ5®"(Ȧ((ˆ² (8* +Ž+¢ŽË©â‚©1n&ÑDŒQc“Ô%§iŒ[5±Ç¦­MjÔ¨IÛ4©Ñ4>99=žÇ™ÿgþûÞïÞû}ÿ½ßÁTÇ:+Ñ+„HÏ–s©ÃÍ'ì'- Å;øq?>Æ›øqƒ)öSè&ÅÕªtÞÓûüâ"Þ_Ðu•~ïuú Ÿy®®ú§zè±üYWzÑú+±øTXG¾OÃ~>á/Â~ö«°¿ûµØ·b-v7RÛ(“=z›B>C,Nóÿ)V|«íú^ÑÃÁ‰ÿóá)¶P+[©]GñðÙ9DF¡xÐÁ!‡úáNg‰uÓ%y³Öab QhŒ@#ûÉØÎ`›ä°5óõ2yi£>ZUýƃøq@ëÈÄVŽf*ã%Ž”cDåÇËu²ôX;õØ;Ùúà–£äM,Þ$Ç©‹WˆŽ™5Ä 1 +û㱟ŒýLV˜ý<½HîÁfüØ…;ñ£I+µÚøÿoå—[°²‰ˆm${•»ž +_v£ƒ'ý l©SŽ#§º8ÈiaìÓtÂÑŠÆüNÀr +ö3¨¾l4ò´?6ᇼl >UG$Öòo‹Vãá*V°‚Ì[Éèrª¹žª«cÇÕ£ßÁ_ºKïs¬œ4 Íg [{/Ç^³º£g¤ºÐ A' +áhŒEc)hd²²ÑÈcå³Ñ)E£ +»‹µŒ«ZV¾„È,""[Ã.¨vº­ê._iós°wr#í ÇkGý>>wp½•ãe³‡³l®­wòUƒS Vw ÕJçhYG¨Þ%Vu.‰ZꚢZ×L-qÍÑ"×|YÜŠTãV®j·ÍïZ¯ª®ªèÖ¤yî-*s?¦R÷wTÒýc•xJ1›”l×Dó%™G(1,V a‰–¦øAÙŠ /иðrÅF,Ö؈‰lÒèÈCuR#£~÷ùþ=Ø;iAo mÆ +Úá…1Ä +ùžÇ½lü˜Šé09ÂC“"ŒJŠè­ ý•ªøˆ(ÅEÓ¸ÈÑŠ¯±Q)5U£çkTt©FF[4bȽ0d»†Ç¼¤a1íó|Á÷§`×ð!víòS\7”yŠa&äpo +K#.Éø“ÝM Ñÿ£ºÌ£¢>¯0üdQv•MvD}†}GEepcÄ `Ôˆ\“Ƹ ¨‰5q‰¦iFk¢¤U“ظ/MjzbNsšÖ5MÚSÓÖTk7ãôIOûÇsf`fî{¿{ï÷ý¾×K9‰YƒeIŒPfR¬ÌIIÊHJWzr¶Ò’‹•–R%SJ½Œ©MJM]¢ãj%7)ÉôŠMG”`ºŸóþ¾’ŒŽA¶£õ,Wà}ÀžÌzÞ×@¹óy>¯9©ÎÊJõT¦q”ÌÆ¥Ãd2Ž—ј T“I)&‹’Ó +`²’Ò§)1Ý®„ŒEŠÏX©‰gÞ­Xóš`>·xÿ7Åe8Ù†V7ºm\›3¤™0*ù»òùÌÊë¤ôÇ”‘á.S†R3Æ(Å;[Ñ9%ŠÊ©VdîLEä¶(}t Íè·à±j®Kœ4l)w)·‹W—že 7Úv2ílí {Emgíó¡6Ãk|÷m~snãžæ²Öé0Š(…z§²æ8»E B×°Hò~Rr_&¹,çÒK8­p.£+©Å*.]«¹ðtqáèæÒæ‡Ã­‡Aïaa=°§ èGϾÓÏw1«]_ð»¯5 Í©PFŸs([Ú\ö!kŽ\ˆ>ºZéõiÄJÉ©mì*si-ñµä±Ž<ÖS Qòè zt!êãaØGOú¸>jÑÇbúº`;Ÿ’6úÑ{[ èV‘fõ6S²DÖEÊÁèV¡¿Fr{Íõ° ¶À6xh¯¾ç$íà2þóÞÒ.æc}ÙËÅc³±žìcÈöÑÔ}ü¿¾ǹÀ}7TG½KH1‹±IaÍ1Ôyë5 ë¹‘ÚoÒz vÃ^xƒùá2 +ûá†à 3ò#jr˜Kú‘ yô3ý6ý Y+0›ý;Š“ég6~¨ÉK8“ÑN[Á °æÐuÌ?£ãEÉ\vih2@oÂÑ!Þ‚ŸÀ18ïÀIúó59ÍŒœ# ì• </²W/RôK Õ¥ Òe_&Øå“*F;íDjÁšÇ’¢7»¬ïàæ€ÖñøpjÀ”ÁY`›ë\‚Ÿ šUé +ü‚þ\eN>aF®Ñ—ß2£×éÉglø ÛM†ëæVéżu§õØ ïuÈ <ÀÆÁ=”wš'-Õ]UëŽêõ'üÔmüÔðu¿Ç×}›úœr Çuvxaù”Æ}‚kü˜‚}¤Ó¸Ý_ëC<ÞωüÁá <Òÿ74}t÷WEé/JD3½|t*ШÅ-6¿‘ø¿•øKˆßAüNâwë}üÝeâE|æy\ëY"ƒ·qyW(ãõ®Ð2Ç9ö?9<„{Î=õ;ô™Bõ¼î¯¨ÅDz¢QDüJÊ^GùtŽ<ÎÇ)òø)y¼‹³|‡XËû5î<Ò\‡iÕ0w´|ÑòG'X4’ÑHG# |4Jô’Ë=¶Í« ãOÓÜ»¶[;iì$Nb'Ž'±sqb×±sq“ØiRçÒÄMš‹Ó6½¥Zï:íÒum·U¥]K+T(L\¤Mh  B€6þá&B Ć m0Ú‰3?Ú?~:ßwü}~Î{ÎùÎû¼˜ýŒcûc/ßËÔVˆ0³î¬–Ö]ÔbÎ -ä|Qóë_‡75—ûŽæòþ©¹üO «op”}ãý©æœãú$Çì1Æs´4WG + :œkÑ¡\»öçÕi5Ï«½ù~íÎj%?¢LÁ€– F´X0¦…Âií*œ×\ÑŠv­i¶ø´fŠ/hGÉ‹š*¹§É’×5Qú&¼£”á>dò +éö³e¤E¬ÐãïÇi׸?€-Ye,«Æí-5j¥ÔªåÒJ-–ºµËЬyC»æ =ÚiˆjÖ׌!©Æ”¦iM—5a<¨íNjÌÄ®1]ר鞒¦×”0¿¥ó»ð‘F,YÚ¬^F÷ºƒz¯’ø«Ð§ÝË}†c~‘±,lZ§s±æLf¥Íåš1;5mnД¹E“æ¥Ìam·ôiÜ2¤1˘F-ÓJn\ÐÈÆU c>¶nzRqëU ZïjÀöuõÛ~¤þ²?ÂÈ>ä.š/8™lجÇ>Xæz{˜f,3ŒqÚ–¯)«Q)ë&Û³ÕiÔæUÒÖ¦„-¨‘²ˆ†Ê´µ,¡xù„Êwªónõm~LÑŠÇÕk¿¢ˆýsÚâxUaÇà +WÞ§ÍÒÇy…ÞEÒüi—tÈ-­À<×3ôM2¶s3îÈÑ6{©’f ÛË5dw*n¯× Ý§G»ú=Š9bŠV©·r\‘ªYm©Ê(T½¦žêO©Ûù¼‚5wÔUóŠ:k¾oÇÜgòZçIñǘìè"Ìr=Iß8ce^F˳HƒÕÔ_mUÌéPÔY§^g£¶8[®éR¨&¢žšAu׎*X;­®ºEuÔT»ëŒîËò»o«Í͉[ÿ=µÖÿ–ö¥?«kh=îRü˜‡io¤Þ¡˜yd,}®èTckDžÖ¸ÚÆTß––Û¿G.Ljÿ¼j7Tø2|[ÎÀ/ißW­ÿ?Õ³èœ@sµuÀ~NBâ£/ ]Ðmþ|ùü5ùÍj ”ɨRCÀ%w{äj©®c@µ£ªéœ‘³sEÕGTÕõ”*»^”£ëeÙ»Þ€_pý7úþ­Êάžæ¿øÚY‡jN~î#?÷„©¦ÎyºJäšä +ZU´«¦»VÎnª»ÛTÕTeOLŽžÙCSª-isè°ÊCO¨,| ¾$[ø[²†&[è=•…>Vy¹ý54–ИÁVˆA: …RÅÛ#Õ‡¨}Â…rn1ª*bQe¤\ŽHµì½nUôú´¹·Ce½Ù¢C²FSÚ]€CÚ;'KìªÌ±{ðM™b?‘9úWY¢ÿ’¥7«3hïÇzÏ£3N Að£Û„%nˆà»£RU_®*úKT>`RÙ€UÖA»6 Öjã`#øe É)>s2Æ÷Ãâ/À]•Æ_SIüÇ´ïÊ0ø²:ŽÎtfÃ|0„^ BÐ-½’'Fü”)ÕƒR¥›u¸P–„Aæ„E¦D¹6$«dLºeHú K¥É˜J’ 'w¨(¹[…Éã*H^T^ò¶r“¯*7ñCå%~(äcFg‰§ÐJ D HÌ~´½h»âè¡O™b¥l3åɘ*QIʤâÔ&¥ì*LÕª Õ¨¼T@¹a­ŸØªuL.…ä…ëELê%ø*P¤l§ÖÜŽ‹Üþ‘ö¡3×Ç% C„Ñe{ˇvý°äLJöm’Åϳ‡Ë?åÝ¿À}í"Î ô´JH†ï%æ:bvÌ ®™RÑ°$®Hë÷®ã@ÁøíÃpíÇìÀhĈ²ó‘‘`Ö¼>¶5&ym xyõX{†g(b᜾âÝ5‹Þ s/w¦¤æ)ö1WΣ®‰0JW©AJëc6ƒ£Ô”G1¡ÇÇ ã#óuÊÆ¡KÂ?C"<Ëšœeße.Îî o-ëqæ3<ƒ{>MÑzêmx_ShŽB?óÝÍ´µ¦Ù |ƒôÑ5¡[ByÇÐ=g¿Â®’ìr¤'™§¨oϳ.Ï0Žg™KŒã2{ã9ÀçØà— ò2{ó2r‰õ¸„{¿øüœçÿ¬ †8Ä|oa¾<æ!æj¶ ]Óq©øŒ”ó8zlk =ï?4ݸWáÓ˜ñë…˜r ò öÇÍÿDöèöÆÖä›î6B·Ðÿ4-ëq‹õ¸EUsówJ2ß1æ»sgÔ‘ÊþÇt¹@5yžqü_!1&@€p 7ä"   ¼Vê}Z«V[;«S×nÖUë¥u®¶^ê”Z§­½¸YÙº^Ö9»ºº¶®gëtk×é¶sºZ§5ûa³çwø¾/Éóÿ¿Ïû¼ï÷>Œ×„nøZr¿1¨÷xvÀ·šщfK´0ß4&{C¥ýääYææÇÔi>ú¨>æ¤ÅÕÇ û~˜õqè°>ýJµ³%?ùÎAÛ¹B²>ˆþzôƸ%¨9 µöÝjÂø]çà…cÁFéõú2ur’¹9ÅA½ŸCa?/á~곟B;Øi÷ƒ8Ý'U¹„9@ÛõmÖcŽÂâ°íAÝg‚š|•FW´(ćWá$ü ^ƒ~øE°a{3ظž!'ïâã}òqžý=/…X€RlÝ $÷I¼pˆ.G·&°ê&ýÝ åÒúõ…jèK›t™>æoê¢Kšª‹š¥OèlþH·ó1ÝϺ¡éÆÎÓ£S{—Îô7$ïÖÛ˜~S¿Åâèõ §à¸ÝÃMúÌ«2Ò‘Zøv’>§×ýŒóϪ@§VÐOS;ñ»tF=ÄŸIü»õ–è |¼ŽŸÓÝž¦pOÑ©þ”¢y•ïe× \¼¨¿0]×¹ Üâ¶i"±'kº£ãÝL>ÕR¶ŽXÒÈÆfæ)®Ž°½ôÃ'Z‡ê:´ÿÇ%t,óe¶v+Œ-ÀHü|';í!›ø…Ä.'v5±ë‰ÝLìqTa'±&3òiÌB/±mt9.Öh¿XI´dn9³·LïÁ?à¦îE€³Á¥v(¸Ý ,ËÍäâr±‘5²^qÄOÖCr1—Ê/$v9Ûuñë˜ý&â·q'“éÌÆ-æï[|c!.©yds.3:— +ž£Oán1°¼Ã.¶þ̓¾ÙŠ×¼ +¨‹U2 E|ñÄÏ$¾—èEÄ/×"|,ÀÇ||ÌÃÇ\òq7õÑ˺½‹§³øÕLÜOgTÓáT2ÝCe÷èOð…ºÑà8[ÚS6óú[÷³Õ.çÙR^‹Ù/i8:4ðìD# <4ŠÐ(G£J3ð1y™J}ôP§Ý\M曓´„»ÕTÌ÷p÷$™:¤ª½ƒ¤ùè`vàá [Ù6¶÷ ¼jV˜Ïý^?½á!š=8-:qèØÑHCÃÍhóÐ(f…–£S­‰wÔ«óŽMÔ¡öAS4>ä. Y¤ÖÁ«Ô2øa Ý©æЃj +=©Æ!çÔv®A@{ÐÜÄql5[ê=0f±ÍOã5Ü3\ê6„ª;Ü IC¢tg˜UaÉšæR{X¶ÆõiÜе ­Tkøhµ„7ª9|œš†u©qØ 5D,P}ÄJÕEnÔèȪ‰<¨jÃIø\ëÐhn8zŒ`üÇfB7×]<ëÄK^:ŒCÕn0jœ!F­†x58ÔlÈP“!G†1”ªÞX¥:c½j­mœ¨êáÓUeš¯‘¦ûTiÚ¨ +ó•™ÂI•šß‡ª4êkîÙ#Ð[c#;zyÕöÀ\·s,kã³¼4G‡¨Ù¡F“I æ8Õ™íª5§j´9KÕf¯ªÌÅU¡‘Q£UÕ¬òè•E÷È3G%1ËTlùŽŠ,ÛT{^‘/ö=¸Âõ hZ÷£»WìLŽ¤“ Zìœ1yÞ€¿:¼ÔƆ©ÆbT•%Z#-6UZ’Uaq©Ì’­ÒXŸü±¥*‰­RqÜÆSÁˆÉòYg+ßÊJ²­U®m«râ÷);þ%8 çþ:ô0Z+ÐœËQt*¯ØNhåº1mž×ðy>GÚBTa‹T™Õ,¿5VŶDÙœ*´eªÀæ•/¾Hyñ•ò&Ô)7¡U9‰]ÊNœ%}±ÜIk”•ô˜2“ö*#ù„\Égàs®ÿó€Ö£³ÝÞTú=Ž€íÐ õÜWó|$^h)UŠ—b{¸ +íFùìÑʳÛäµ'+מ®œ¤le'ùäI.“;¹FY)ÍÊLéT†c†Ò‹”æ|P©ÎÍr:Ÿ–#õx>“3õÏzÅhÎL§9þµeHcø_#yV†—òRùŽ!ò: Êq˜åqÄ)Ë‘¨L‡SÎ,¹œyJO-QZZ•RÓåLï#}šR\ ”ìz@I®GeÏØÇ•˜ñ6|ÊõW<h5ú Ñ›–É<ÀXŽuPÅu9~Šù¬/^ÈN‘Û¡ —I.WŒÒ\6¥ºRäÌpÉ‘‘£”Ì"%gV*)«Aö¬ñJt÷(Á=OñîU²y¾/«çGáù ¼•Õ}U6w@+ј‹f·›yðPP•Üû¡€Ï¼à†LîÓÝCåôåðD)Ù3BI»ìÙiJÌö(!Û§øœrÙrjeÍmÓˆÜ)ŠË£Xï}²xQŒw7U´÷ ¸Äõ—²ä´ŒØ½hN¢%iãèÙUPE<˸ré{ Ū¤¼H%䙟o‘-?AÖ|‡Fäg*Η§XŸ__b +Æ*º KQ½2.‡ïÊT¸ ž—±ð—^pQ¦‚C@KП‰ÞDÚ‘±P •P>È…LÚÇÔ|ô}ô^…ƒd+ +W\±Q–âhÅ”X]’¤¨’tÈ‘Ù_$“4ÉèŸ(cé,J—*²t=ìT„ÿ°†ùOÃÇ\ÿK‘%7´±ME£F4ª¡ Š gžÿR]æAQßg¸Ø]w‘EЪ(* +È-,»¸‡«» ˆ +BÁŒmªÄƒÄD3iÔFƒ¹¦Ui;1&ͤšÄNk’NÇcšÌ´éL56iµÓÖ£­c³ý,îdÒ?žY–ýýÞç½¾ï÷}øÌF¦L+ƒ¿‚Ý©`«ŠWJµQ‡Ødv|dÉäÈ•ÑQ ìJvú”ä\*ƒ³C Î~Å;·+ιO±ÎcŠq¼§XÇïç¸þ¥^8V±„ÕÛœ`>(ù §Ý÷”*i¢ýådÿ_+³;IFYIžñJôdÈàÉT‚'[ñžÅy*ãu)Ú‹€õ®ˆ'/Ñ‹pð| <è<Ï_h¾Ûê&¾åðáq;(ó@1Ï„;³~$Jš ~d›Ù«äʼnJð›°**À@0àô ¨:3ˆž"$ƒ© ú*€¦ –ˆ!ÿyð¸­U•ÒRxP Jí­lÌL_€öqÿ~Lš‘lÉu1JXbPt|ïR.·Fz#ì‘CÜH  Ñ –‚N€˜jÜų#aÖðKðgpK-ðÔ/p€ +PHÌ9ÄœEÌ“}èO?üµð/¿QŠ_ƵœEgKO ËF+—k+:w%—KƒµÃÖF.Ú(\/¶Q¶u`;Ï°-®D¸µþ°M·ÜT\~àvPBÌsˆy&1O Â_?¼æf4`‹‡9†‹V§AZM.ºð£?ÖP“Ãpxøs {ÈEÆz–êÑ3ÈïÏñr [d÷§àïªç¨!måÄ\@̳p}jƒ”Ϋ)ðšÚ$C‡Ý7¦XÓlàaüX‡ëñ£?X†6N`àÂÝLM6s°6“‹Íôæ¦U|RM»y†žØðSp‰w®+§TQç"Í¡|™ÄÌÕ«^3uK a·A?À ¹XWY<Á£Ôe0þþ":„ÛÈÇò1Ì%7ÌÀ¦é‡ p£;q|'õØq¥…žØöøL~xÔ¹”|çÑÂÓ‰9c5ü=’‘Æmˆp> +¶‚í‘Åûqð„î/âO=äärò, êwéÓ}øq€KùCðM~€Âî'™ûq~gôù£<÷>¸,ùžO¾ Ú™…äzb/üÄk„—u?#|ažgåD:a/€Caq0&ŽXÒÉÉkôêðãu¥7F‚£4Ú(¹8¶!Åù8ÊÃGÞâ¹ä ¿ŠàÎ&M“¤ñÀÿ˜æÝá s½A¯Æ ";`4"hu©ô&x›ú¼ƒ`x?NsfOÓ§8§)øûœÓ÷èÍw â/Ÿ:©r¸óà΄;˜Mä6îÙïH„óhD…9pù¦ÒɈH#¥ú88rúpüužÚ\ÀË,b—©É%ý% +~±O +y‰À.þ…¡¯!˜M÷ÐwÿAÏÜQ‰þ¡jÝ@Ç|ν†:¹Šª+¥OQ8¿ç|‚¢»Œ»HÓü–â§I~E?"ˆsp‡Ïè×hºë¸Bå„p;ÄC¤-ô>ÜU”n¢3o ï>×$}†ž¹ª|ýQúX5º€Î=¯:ì7j öÀ~ö{°¿û›°=Hj¶“¢=¤k? +óUxŽ“Â3z]WÀ]R¢tè+päk>ü\P\fýFi¤3®Ò[G¥P'Ø^„ÿuz›|¼…Â:êzö:~üùCüE©Õ0-³¥{/ŽÑJ'iÙ : ÓŒ‡Aøó ¸ïßƸE¶¤Ÿ+Z§d$†üŸˆý,¢˜ƒß%ض㷋–\D›ÔêôåK¨ÉèË”à!ØAüøž6“­Ÿ]Täyï«xs‚cuåù9ú/Ÿ¡¯pÞ#­ôN¤õŽÈÀ[f|·a +Gböó±]Šm;V]Øöa;ˆíl/Ãn«vãÇSø±‹º<Φ7vÀ²·#‚­dr ´E·Aè+|2»ðòþ‘;ú1Ø7bÛŠítìf‚ÙØ.Àv#ÊŽíØ^ˆí¶ë±ÕDZéÊ=B]¾¥FÛ •yBðz€ÌõÓ}d»Èûô%á,œÇã%2vžŽŒ¿íäbˆ\lQ*¶'a{:¶sÏ…Ø.#ÛUŒíì{±ïÇ~=Ñ7Q‰•ŒõÕêåByˆ§ÄÓ5d«‹Œ®¦¢ôf'Þ©ƒÐ~á.Â=Ëß$8#ý²`; Û“±=äb¿ûeدÂ~ºñ£ ?:ñ£CÍZEŸ¶óKo·’‹Îê +êrz³™SÓLõ›9ñÍð‡q,ŠøãǬƒ`#£v£¿—ÿ÷pFØH±oÅ~:ö§b&'2ŽB".ƒ£ +Ž8¼p°Ù@w¬àÔtÒ±kµ„ªÔÓuÔ£–jéö §.¨¿‚»€3ÂHÝÃxßÂU32Ý_ Vq ¶ÇG©-*3VÇÑG&³àȃcŒeðTÁ³<µx²Œ¬´k1Qø舅ÔÃK‡yèt7§Í͉w3 Üô¦öùsW;#µ—k·#¼ñw3×p¿5&Ū1>Y 1ÕGÙT5IÁ¨i +DeË=G‹£‹´(º\¾˜jycÜòÄøåŽm+¶U5qÝrÆ È?¤êø½²'¼¬ª„ªL8®€›ª4ÜÓ^ø¶°zô¥¿íþJÖÄßKXkñ'€/S¼üIF-6Xå3¤Ék˜,!K.Ãl-HÌWMb±œ‰óåHªQu’Oö¤zU&¯Ðüä.UûUfR©q¯JL/«ØtBE¦Àp |©'áú6¼½\³pÅ-õÀÏõïãÿ^~w[¢å6ä2™UcJ‘Ó”®jÓTÙM3TeÊÕ|S¡*Ìå*7W«ÌìU©¹VÅã–«hÜjͳô©À²Uù–½šk}Es¬o*Ïú!¸îð=¤pmÌ`­cmázk°ï.|q⛃¼ØSbUeMR¥Å¢ +‹Må–I*µNS‰5[ÅÖ¹*²«ÐZ©‚—òSš;¾IyãW)7urR¿£Ù©O+Ûö’fÙÞÐLÛ9pÜá{HCð÷ÁÙÁ +ØÌúU |ÀÅwä“*ñ¥_ÊñµÔ– ’T“ŠRST˜:A©“575Ksl¹Ê³*×V®DÐì´EÊž°T³ÒÛ5#}­²2˜.»5-cD™Ç55ã,øß! ¿Îö°4`õò$“|ÿÓeÔä}Çño…Jx  b I€ðä5AI*‘ˆˆà;–ùÂœ¢ÎVÛYKífµn¾”Vúb»›UQ«óÚy­UÛÎÎÛº›uÕ¶»]ﶹ—ž]·›×énÝÖ9ö d½÷½'yÂóûþ^ÿÏïÛÀýz|©Á—*|­ÌW…%Ye–ty-Y*±ä©ÈR O®GîÜr¹òjU˜×(§µEk‡ +&-V¾­_vÛÙl»4É~PVû÷•g¿~«<ÛmYm£ÚÇj8! :œh0 LõÜ«æ·Jü)çÿJA±Í Í(·Í¤B[Ž6p©ÀîU¾½JöüÙò§kRA»¬Ž…Ês¬R®ã~Yœ;•ã|Vfç)e;ßוí¸%³ã?ÚÇ +øzXûÚ]ô ›p €îUò[(Áp9&ÊéHQ#]vG¶lN«¬N(V^¡O¹ˆS‹kšr\m2»{”í^¡,Ï&ezvÈäyFž“à¢Ò=¿V†û¯2¹ïhöï…¯‹•oh*¢ÿ¸ÖÉøSJøÝœ|ÎwÇÉæI’ÕcTn‘I–"‹rŠòe.r+»¸\YŵÊ, ÊTÒªŒ’ùÊðö)Ý»QiÞoÊè'”ê}üÜ”±ä ­ÅöRøæSp’ Àµø@)>”߬È8Ki‚Ì¥©Ê*ËPf™Y¦²IÊ(/Tz¹T) ±j¬hQjE'èUŠo@ɾA%ù†”è;ªÄŠs2T\åú©’*>W?öa;‚íæR©ÔƒÉ|ǤJ€ pÏŠ|³THÙ¾x™&')½Ê¨´ªLiØÔªP¤”*Ÿ’«ýJªnRbuD†êÅšX³Fw×lU|õ^ÅU¿¨ Õ¯+|þDñU·µûݬ¼mØo  ø¸W +<ÀÉw›íƒLÈF¾™jâ”V—¨ª’üJô›eðÛ”àwi¢¿LñþÅùƒš@ÀØ£+¢,€Xð#ü,ç~o?Ûcý-õb¿“Øfªä6WBQ9(.îåÃW -üõRZ N)S 24¦êî`ºâ¢‡Xƒ$HÓ)ZBh«B6„€ ¡)B›Ú&È–D$4¾>·´Ž±…ÁTP *1Q +<|.¨¡HF3Å„Ù´F4P(N†iÅOç;J˜N3‡X3ÃÛ.ká¡–&€˜lAĵ )š³0‚(Ìö4ýà–æÃ1‹ø¦¨æ{ ½å$f[ƒ”3þüÓàK†–»7“E£•ü,^®m¼øf“‹v²Ã)¬‡#Ô#²€ÏˆÈvël¶µÙ/ñ dÛïxþ–:ˆ¯4‚z0^o€³¤Q&R1´&·J ȵ „¦¹è¨N–yÑ=/Ùù¼äº­ã‡MÔƒÁœîéä>‚²›žèÞËÿ!»góØæç}¦6blSà¬å|ös>iÌm†¦d„7yp.Ü]€6Ó­E,`‹ñc 5YŠ½Ñ„šôQ“>¾‚ö9€fêq/¢r9br9Ûc/[ü²yî¦fgÎ0™Ï%Äì æÜ.mÃùmÔã¡ýÒÖã,°ùÿëšo€:ûH—»Cš„»YÄk„7±f-Åÿ×æØÒýPl,ƒ`Ø'í&'ß¡O÷Q—!ztˆ~ˆÁ"È'(â~ØÇ¿—sbÏ«üï5’ï*ò]ÜMsvü¤,!Ê{_Œ3Ê÷hlù<&Bh-ÖTlÇ„É0 ň2é»ää=r?FèÑâì(¹8 +Á‘­Òa:tüPu¸V·ƒŸrŸ4ò›°%Æû-°ì‹ñ|Éé4.ÎF©&‘1B¤Jg蓳øqº\àåƒèE?OÏAr–3óì!pF•p»áÎãvúRâ#<¿+Æ;ã<ãa¬u:ƇQôx\Œ›(±.ŽA]¦6ïáÇÌìûÔä}fõ‰¿J‘ß#È+¼{‚í^ÿ‡8¬£iþ‰¾û=syS~}ŠŽ¹¡™ú=*â:ú+”ÍG É/P]WiÔŸ£%.£«~Já~BÁ.éi\:¬·Ðop÷¼þ¤s0¼^/càü_€¿ƒ¿ ­>Q\f¸ +ô4æGªÖ5tÌ»jÂÒLý?~„ʹˆòy -óJòCá†ÑxЙOEµØö‚ÛðÞã±—öh­4¼7ÓjvP„}¶ëhÅ©øß„ÿ3ô,jñiÔÞ0Úî)á“(Ò'´ +ÛØÞL5¶ÓÒ{ðä #uŠÌ¼M›ß`”ïpEW€íàãhë€óàT¬ÕŸW<~§`;Û¹Øv0‚Å´i%ëômüx}¹ %ùêrǘªí¢ as9ÇÆjÆj=ÇÈ7ø{L`e º¬RÒ5ã:ú%.ÇZ;ÚöÏÅÆ}÷ØQ`ÀO#6³€ÕZˆm/®¤ÚuØž‚í{°ÝŒíVlE°Ý¥ø±º è«TeÇê Ÿöе/àÙi²ôŽVÒ›«àŽbõXŽø÷öØ1¸™\ÜG.¾®tìš±k.l{±]‰íZŽÐ쇰ÆV+¶#é]LÊb¼ø +YÇä:†ã1~6âžÍktv Ø5bׄE ÈgÜØ÷ª?–áÇRüX¢ aì¶2)sèÒnþ–áM?Ó³‰ŽÙ®:e•Ž05&9¢ÏÀ(÷F©ýøñ»•ãdè}¼{¹·”\,æ¼XH. &=ôFóÚóñc~tªŽ!ì…±Û¦vî¶á,¼n¥/fÙ:´™z43UaêïKý‹ï£âH}$AÚÈ+oX&²fp¯‹ß:9/:¨ÉjQ6ö­LC8Já¨dRÿËr¹À¶u–aøĉc;Ç—ÄNì8NìÄvlDZs¿:MÛ$m×´ ½ÐKÖ­ô¶¶bíºBJ©ÖA·VëS·¡©ÝVº1D¥ëm0ÆØ€A h*hš6&.ZQÉÐPG‚'e=:Ççüç¿ÿþ½íèô 3HìÞ1VÎ*fížì —¦ÔÏ îc%õ±šûX½z>†ÿéA4§lsGÿX ã°”gKH —˜´¨°;µ;Ѩ$þ:uè4¢“ 2èt ÓÇo>õ.&¢ zg=;ì6Þì%ʯÒc³ìx/ÁUø|ªû8fw—“´Ÿcn–ÀÿËïç•iž¥L¹"‡Ì.õ™|ê5Õ¨Ç\¯nsL]æ”:ÍYuv«½0§¶¢µ-W¦x­ZŠ·(mÙ£fËA¥,Ç”´œVÂr ¦áÜдv¢¹ÁMß{æRÓaâÿG^ï{걫ÇjSW©¡ŽÚKªÔVZ«liD™Ò¸ZJÓJ[;ÔlíWʺPɲ1%ÊÖ(nÛ¬˜m¢¶ƒj´Sƒý´"öË +Û§áÜÐ:ÛÐ\G8N +6 +CÜ÷C7Ç]'±´c«aV«£DY»]-v§ÒöJ¥ì~%íuJØ£j²§w´)æèUÔ±@ Ž1EÊ×(llV±G!ã~Õ*h<§ãG +W¹^‡kú›Ð[í›KÍBzøßNUyŽÉç9-¯ç²¼îßÃuùÜ7µ ;Ð\MZLÊ3 ÿ¹v@–giÞ%‰§‰r1OÝ5¸m +» Õ»+rW«Ö]§ 'ªO³òWäT]¹HU•+äóÞ©Jï.UøØq}Èíû¶\¾Kry§áïr{oj'“è“Ž@.Èpmƒ4ñ$x#–FÊEˆ¥Þ[¨×ª ×¡€×¥j¯WU¾ Dä«JÊ[Õ¦Êê~UTÈãŸÛ¿A®Àçå |EFàpJå‹r®ÈáÿPåþOtkÑ#ÝZý!ú€kRÄç]„‰'DÙü~‹ªvy†*ªøå©©—»&.W0#g°GÎÚ…2j—«<4)Gh§ì¡/Ãò…žRYè¼–­öcm¦þÕè݆]‚hƒ44O#ïÂ"ž¨âYe¨PžP™\u9ëÜrÖWɨ¯Uy}£á4tÊž'[d©Ê"kdlUidJ%‘#²DNÀ *Žü\Åád ¤Ô¿½EسAè‚,$!†=ˆð®‚P •Ë€91B†4B¶6LÖºð"üog4ŠÆt@ mM@÷µm̆ÔÝ>º¶œTÂðš±®âÔib‹8d‰ã6âcL–ͼlìl&ôŘàãqÆc|-0'–3/—§<~wé4\×|tz¡ÓèF¹Öaɪ{Y´× 6t-#’‰ªHb¨Æá3ı‚8VÇjâXCëˆc’1™dÑOÒ“T¾ž +Ö3ë¶oíc”e}~–Œzõ‡ÊÑÎ.hE7Á5LØÚ\=5Ð-C·h,¯I5$sÔëo%{sIߌËF¯Mı…8¶17v0&;XÔÛØíTºàï¢ð¶½ÒVæÄæåfÖç¦ÔßÏô¤H3׆Aæ!sÜÈà+º…+óš³z ))"ßö[‰/:@ënúdw±t/óô ıƒv?›û~Þ—èÜ}ìS¬) +ñk”yRÚ{žòÓ꣯³ŒsŒ"!Úì¥ÍíµRÜt{^sk^ën¸h +Æ‚zóÉ8K!LŽt?Iñ!ÒÃÄq”8fe‘ep¢ò#Tx÷p˜lþöªC¯©ƒþN.a0ÆU´ÙX‡þRÁÖ|ûîÉëíÏë¼e€ø>oHº–Ô]Ø)aþ0K$æÇ›“¬—S¬•gX'Ï0ÙŸ¦“Ÿ¢qßÚ%=y„2¬ãçÕ²œ1@Û¶k#ãÏVR°+¯{ ¯ù@^ëëy3òxޔͺT'áé¼Y{.o¿?$Ž ôÇ%ýKŒÉE&Üúâ<{Å‹ÌÍsì™gŸWí Ú´mlé¦}y³õ`¾}ò{ÞÍš¢çó:Lmý`VKs†‘%OÊ,ý~¿€·ˆcš½cšú·l:Wè‹ß ú{Å›4ì“d÷ºÅ§pþƒ·úOó/yÉþCú/s ü/dì"oGñGçÛ,’ßá~®à§~ͤy“IúKÚëL–WéÀW°ã^/ë¡}_%Ô¿é,jgàœ€'à¿èÞ€ üUf½§yw?ó6sZ)ý +—ð:â§Äñ2q¼„¹„Óº€{‘Esx–ÉûqœÁ­~—Éó&̳ÿg¹ÌcÛ¼Ë8þõmÇ~í×v|Åñ;Ž׉8i§¹ê´Mš£é‘¶ëµµ¬´)ëF‡=¦±C¨¨Ý Ó$@ •c“ÇL †´ILHC‰Cô©ˆCêÚÁ„Ð$PøäPõéë÷}ó~Ÿç÷{žßï÷<$ÈËé%<ù¦þÈt~´nû+ký|>Äî¸ ¿Ýœ¾7å¡3 0†(_fôѯ¢=„öÚM´gP^ <ûÐ?ˆþQRã$úgH“GH—‹X†ô¹¡xú< rõ/0Òç°{ >OÃß°y Þ†×áûë!·ã»Ý$ð=‡~7óVÃÿ!Rd ÝíŒcºsŒe Ýe:Ê#hŸ$…Ïêª>‰+xñyÒëKÌÊ+Dègtž·á°Š—«ÌØ*s½‘B?ØLm* ô×Òу®_×éu¯ÑÛ]Sµ2º5t·âÿ¨ž¤›ü,Ýßôv“#WÈ‘Ëøq?>­2ãSü{Rý#Œâaø¼ÞÕCdÚCØþ¬À/6Óük›Ëà*< 3—™‹‹ô˜ÉÏ‹J³\;Ñ-£[Cw‘6Ð@wÍY4Ù:0 G‰ÈÇtš7òå)T 2÷ÅdÔ ýV×9ßÝûµÍ-hm[¸°¹=c¬0g‰ÉÅ!´ËX¨¢=ÀˆGÐG¿‰æ š‹x°Ìl'[Ïp¼<ÊÝSxö<üÙó:GÏŸá#~¯®Ã)Ê|¯{Ã6Û¾o 'äàhò¢€VtaEÐ.“‰UôÐF ½&™1KT–ðä0{Šl9O¤.óô:¾H½¿æøÿ€ëê:7°ÅŽ¥µ­ñãëv9Vá ì—]7Šº&DÐnƒ,úÍãÇnõ¢_G½q´§É9þßGÇ5Å,NÁ 2iœxŒù1öŠ1vœ1v¥q|X‹ÿc3g9òŽ³¥-s]‚yŽãÝlû³äÅ.µ íG;Qô“ègYl”±QÅÆz t'ÉÖ]üÚC”îóYIÈâ§È ø«oÃp þͳU=½óspôôQÞÀÌZyÖ²QªM:,š°¸Ð÷¢ï×6rc”e­4Ô6Êب¡7ˆî6f¥©~f©HÕˆj•xô²2{ÈúŠ¾?†ßÀ]ø¯>ƒ­3Ø=ÂѲ³Ð„qŽ¼QžàϰǦ!‡[[­†-&vÂ؉c'…vŠØ©`§;ÃüšÔf°L$»‰G‰S´\V—åY<þ:üÞ¿Ãô(¶Naó ÇÊí¦|íayÛjiÏÈ“*ʪʕ‘3Ý”=½$[愬™‡eÉpf(HÓiúÍÔàŽcc{S”àÃPƒ2(ÿ:xž†$¾Ä!š´(œ´*Øn—?í’/ãUKÖ/w6$gGLŽŽ”ì²æ*²äè)r“ „F%Oƒ’§9Ès*ä© r¯…gÇïá}-ck†¶lÍÑë@%·!“ƒ4ï’øçÚ +AÞhߌ‚M-]N9K-²u³Éma©°À*$MG+],ljèjùž@ÓÔÃiÜCeP¡–®P où)àCù®Ðê$PƒnèÄNÏS€÷!ž6Jô@e«œ‡¬½4U6ó]?MÅS'hu>ªÓ[Õéwë4quš˜:Õ@z¾ŸJ¥Ÿ†¤Ê±†µ{š-´hAz Äï<Ï2ØLrr®YÆ~û´°ÎšEÖ~ÖÚAœ!–aüh„66˜“×@¬A<ã0Ç€fq„&mø&Ð ýŽឦ ]ú` ta/Çç)®qîà ÇÄ®Q“óa×E{HY´a“¥Na·V䡇6 ¿ÿ3]®ÁQÕg6{ËîžÝì9»›½d7›l²’˜²„[ˆ Pb F +*Ãe(P*ˆS­S +J‘Ò/PÊ¥j-2È Ê´:ÓÚ±jõ 3öú¥H«m-Óéø¡ÛßÉžét˜‡äœÍ¾Ïûoÿ÷¡ýµ’el?VáÇ~¬å‚YÇpYKb×r 5ã c̉ջø»c,NÔÑÕÏY{àÄÏ&ÎœâÌ&¡38¯Ïæ]ìp~ÙᣴX¢±á,¿kÀ:gÞHL6³˜}?¶Ñ¯Ò'ÒÔÛ ðv ?€±m÷I[ÉÇýÌË-¨š¯¿¥~x;g’rœ!Öq68¯w©sΕΒmó­QQ]·Ú˨#r–s[ ì 7»é—Çðc?}²&{Œ€ï‡€¹‡Ïî—v±ÍïdVí¸¬ÉÄ»H˜²Œ³Ø"òÏ8ñÜíœs­ÃIKiø&xÄA;Á.GÙâd¿#’#¶h¡^Ÿe~œ WN0?H“ç°ÇHæ3î(ýqe3~VípçáNÀÁEïj'®[œóÙœ;ÀnGˆØ<¶$”¬êضH9®ªX< žWU¸Ò~ºH<^£W^ef\¢.‹ ÷euîqDæIàNÁ…Û¿Á‰ïÃï^pÐá³¹ŽýÏU‰6×Kà<`ìˆ,®D$ô+ð5ò>õñµñ>ïÉ¿JŸ¾Kbß&¿>Âf-ý | +þþ‰¶º…Îü”ÝùïìïOl¿ÝúÛûïØð¯£.> I®Q¬¿Aa½M¡¾…2zõ÷ øüó2zâ’àÖQÜ{uõºÎ¢k~Šž8Ž‚Ãà p Þ¿‚àÏà÷àºz]uq-óÛù›lð¯£$.ãÇ%ü¸Èî~Åuž¦9Gñže‡m÷~~|?žDë~—bz‚":À©÷é'¤ö—ð~BiUhŸ +^VˆXe‚û]ðš“ÚSNÚŸ&ãhÝq4æ8Zæj%vآljÇ~†ö>ö^çôån†×.×NÝC)­¦u¾†Ž}€vÝ×!¸~D‰_Òvý·<¬ÐâmvÙ\p¸Ç²ß;Ñvâd`ÁIЈÝ"v;±;»eZu&v‡°;»#”óbl/£"VÒÎc(¼Íp<¤ 4ïz"µŽŒ­%³kЙcp¯_/;muÐi÷Gœ–Ü:ÑžµØŠ ¤@»ENØA´{°;»Ó±;ˆÝaªrX„íeŒÒQþ­Ã›­‘¹‹ì¬ “ËÉörݲW¡ª+b› ¶Õñf¼Î8›Sü a¯Ä@䩾"¶;°=Û}ØÀællÃ>B•~ ¥¹‚*YÅo›ðêa®šýTÎ1²v®ú|*8äŒ=¦çÄØ·¯{LÚcz™\ØócÏQlç‰z+¶Û±Ýí>l`o6 ;¨’¥š‡§s±:Ìé>GÅÜNU QuCtôº~»œ1lóEÕëо¦ìkÙ¾² Tc× Á~û­ØoÇ~öû°;@Vñd»ˆjY®dg:Yà¤ÓÈG™®-Óåe:²¬ÿ€ÊÄ5c_ £Œ÷;¹~‚σaWuM™C,‰Ålr2›Ú˜…³T2ØÏc¿ûíØïÆ^Ÿúy;u£õÅ)TE “ÉG7Y»èþ.ýü›wm…kŒUh9WÿÂÚêJ4fò<ÀûiøS&ýÔçTr2•Ú˜JöáG¯àh‚£ŽvìMÆnY·ám'±è ¢ídvQn£ÒKt\‰iUdò™~E&q 6qÝr½-e¤/`53ø½ z¹nzð§ÛW£n·ûAì‡AŽI8²p4ÁQÄ^'ÿ÷âÑtµ¡UR ÍTxý‘'óy&@#5ÑÈThÔG<¦õðßïBVÒaÖŸY  ¦ðÜ:ølþ´<*ùjUò„TtGÔꊂ¸ +®”š]9x +ðLÂf·]eå\s”u¨Áu§2®U`‹Ò®tøðyãƒò$î;±B5‰ur%X|Ü –±øE€ÎŠ}¤¥ṗs&ëo/èEÐÌ»,HãK}Ü¥xÌ#+æ“iÕªDbñ ‚‰°jë£òÕÇä­OË“ÌËlSMªG®;lq’fú¦¹Ò܆i–ßÔ €I|HÞÔbx†SÈGdQh- Ï»|Iñy"Q£XÂ+3îSD~õµ +&ƒò§ y2Õ4Xr5ðÇ 9œg—Ï¢)²ˆ¥K{¡caÍq+æX³ÜÔY–φ«$ú/ú"\C¬Þedj(fžsø‘I>'ݲê=Š&=Š#íU0ã—?;ÇðÈÓ¼MvÅ«_nFã5#\›O&~¨ÀmXàflÞØ’šð! |¬|e6’¬÷;A+hâ9‹/)>«O»&ˆd\2²5 +æÜòç½r73D ÓV£:HJW §ÛØãÛÐxmˆÇ¶A€ÖlcŸoC•¸%Kˆ"›K+>´|¢¹pNç+=`hi¤y×€)üˆóÓÞ…ÿË~™F5}faüX5 àZÜëZ«"ˆ +nUƱ®3hÝ:£ÕŠ­Z+neœ¶J;š=ˆ²HYB6BIH€@€°„PÙ !Ѻ/ÓÅNíT;Õ¶ÏK2çÌx:Ûɇù0pÎ=!üïóüÞû¾ï½ø?}fÀé^”÷LÌR³pÐφŽ¹`@Vr¸¡€ñ…@¬GæÝ ôòAÞw"0<¢ŸŸ‡A!ÃÇ\¬ÅœÔª©X<71 1 ï'#×hñGŒÂïÃñw_à¥ã±C0" š 5m ṫŽ`ø Á",BÁ",Bñ P¬Gèb†ÈP ­!ÜBpS/ ¶³æt²Á¨3ðÏž‹˜‰ß§"×$ä‹÷Øî”/°Ò0ªá¦¼` %¾„Á¥»:–BÇrèXa`F6;X„Ap؆ٕæVb€\½ñr>i:ù¥_PKðüùˆÙøø ä›-ãñ:ïGÀ¯/òÒ÷9’c+.q|Äh"ð|èX… ~5.û5¨uбßFlª 0²a|x=†ÊuèÖÃç04¾‚.zõE4)÷¨àš‡x ù¦CË$¼úãýHl-¹ y½×±;'åjn€ i´\ W8tl-бk²Û°ØŽ‡nAà ÛpNlEMla¢Yã²6â{ר`ä +€ÔYð;¯ãày8ûÂï0ä´Ï_õw97º= Ec‰g»@Ò€î“=Ð Ñбõ¹¿QøR$Ή ´{P»1¨îj§æÃëÜù`€× ðBà΃í…VÝ•‹ ‰Y”k`Õˆö¢°õ(,7U +UØ+Ø'F|`—€… + ^›EMBîÑðÍ@n/âù›+iúQ¾ýÃ'B/ p¼aT‚/DŽ;—¡C!ÈàXŽ¨BÔ"È0‹cˆr¢>ºQ8ô8/l0ÝŠûÃMÄÐ?þxy~nÈ0áë7|ä¨ÑcüÇŽ›0qÒä)S§MŸùâ¬ÙsæÍ^¸(dñ’¥Ë^^±2lÕ/W¯Y»nýÆ_ýzÓæW·lÛ¾ãµßîܵ{Ïï"¢ÞˆÞûÖ¾ýo|çÐá#G½ûû?¼÷þ§â>üãé3L6‡ËÄŸ=w>1)åBêÇé™Y"±D–-ÏÉUæ©5ùڂ¢âCi¹±¢²ÚTSûÉź†Æ¦f‹µµÝfïpvv]êé½|åê§×nܼuçî½ûŸ}þÅ—_ýùëoþòí£ïþúý“~üOüÿŸû÷D%–}ày1ý<\'¶_ ¾gÀøKÄù"0X„8d$ D„‰”0QŠŠPÑ‹žp)#`ª@ÆLÐÔ6-€ÓFè8žnðé#€®ƒÐm‚èO„Ñ@zH(=&˜žþðc̾Ødݽã¬ôz¶~æýßxý °Fì‹ìßû—ÀžáEyy äí= † %€áãã ÃGŒ £Ç‡ÿXé'$`( ,„K?W-=C¦ ¸„o"[ ;éõ=QÑoî;p0æȱØïŸúð4“Ã?—˜œš–!Idr¶ Ù-úbXìwøŒAWMô1 —° Ÿ0 +§°zûÌÞ»»Ÿ}Ã_>xðŠä뇿ïo=züø;xÿþÉ“§Oðßk¡M¼×ê·ü¾uDT_“_²¥ba~CÙ–sñªJÝÉ:CÏR¬àÚ ³¹Z ¯G#â÷©³â¯æe&\Wfœ¿©HK¾ãi hÐ0 a@À† 4ü·Ñ wÛ|—Ýk…†fßdKe°¦¡üUhØ_ݯAųès¹ö94H¡AÌïSe ®*…g¯) A–žxËÓ€† · án›_To‹O²¥*HSoÜTb.ÙW]¡û Þ âZŠ A ùР†%4(„ñײ3nˆ¡ÃÓ膆ëí>Ën·ûEõ´ø$YLó !Ü`*Ù_mÔ¬/Qs­…Р… 4ä‰y—"þy–àSIfüuaƹžÑÐgc,»ióê¶0’,5šºŠpCuñ~S9Ñ riȇ•”Û+á]–‹xW¤Yü«"¡àZ:êÂÓ¸d¥Mèµ3–^µûDv æ9ùŸ7•V0•éN6CC4¨åœN¥ŒÛ#áöÊÄÜ>1tdBG*êÂÓè²ÒÆ÷@C¯Ã'Òie$5›çhÍÆÍ¥Åo×t§ôРÍåØUrŽS!ã\Ê–rz$N¯:Ò #{ÄÓ脆îÆ’n#¢ÃÊHi¬ Кʷ”õkJ´§ +¡A“˱)sØNy6»K"cwgIÙ=éÐq:E‚+ž†³•6¾ ºœŒ›…žZg +ÔU•o-/Ó4CƒTРÈa;¤rV§(›Õ•©Ð‘„u9'æ_ö4­ôqÆb§“Ùf¡¥Õš‚ *ʶ—ô‡Ì…Ú¸Æ|5Ç¢T²Û³,»8‡åÈ„Ž¡#Eƾt^Ê鎗ò{<Ž6úX‡“jwУ-VZ†©zQQYÙkF}QL­V× ††œöÏ‹ÄIšÀh4ÐX¢ñ$›&’}4Éô¸9ß»µÄöwí?ÿ÷Èc‡Wƒ{YEË«¶¬ñ}£?‰éºãQ – +˱lpËûð’WDLÒ|rÊÍáV\„`Ɖ‰çÈмVÖêmÓ°iØ4l6 ÿ†m†Î{†Pdžºg0|ÇûÖ0þ­aÚÍåV]Ö‰ç¡F!ʺ[KnÛ¹–lï¼{ž`¬¿¥²¦?Ã)`èŠo”èh@†½l‚îǧÜ"¢êäsf\Þ¼-R¸tÉŒ)êíN²¹m-¹³ónü‘ëá=Àð +•5¿çeŒ'#1=0h±tH…ŽùhÉ#EËîA¬âìÇg"²F ¸‹V®hÅ•­èIÅb½mî0;;×6 ‘ŸÃËö¬ùÀð7`ø2>¬ÇÒA :æS¢ã´vI±‡¯QÄ¢UÌ]1‹„W Bé% O±Xo·™æ¶ÛL[çÄn`ø «`ËQ/c×ñˆÍµhÞ«F'ÜJ´â”cs”_´‘+æ!Þ£DtU3(½¤Ë—êí³µívjÃððáÕáÇYyÛ`xN+1™€-xµè¤[VJ¬fûÌ ¶ªAÆ»¢âÿC)º,•È—êífjkÛ­Tkçíä®Ã«Ñ³òÔKŽ¬õ/cþk$f¼˜ LÀˆÖGùz›(6lœjÜ;^i<”)7²m©}UðÜÿÉ°Ìw>&õtÇ%4”»a†ï‚Sœ&œHs¢ĉe!–e;ˆl¯ƒÌÕ[±Ô°¥0ݸ/Wi<ê™kêQ•ž– %ߤCüç£ý¾®X¿Ž o¾Ñü¶üÆ®O„W÷}Ž/€áê>{âcI_ᜲkôsíÅ,Ëp!Ýmú,Õg9Ï@ÖOØv.‰Rg“u6AØÏ$Ⱥ[µ5¯‡éX÷=õÚšëWǖͯðsòé´ül€‘w‡rl$&ÅÑ"’Ù—;$øù&,úaqÉÇœðöJ'½=ʲ§[_vwî»MæaÓ°iøß7ìîX÷?ùÚšû¹cË–—9Å_è´â 0t…ãJddD†'‡%x:,&rA>'ïçðŠ>\0îEE“h`Šî“NÓ½ªŠ³GW±÷è§î·õpkózøáŽõÀ^`xöø’å€ §üà¿+WÁ±¨c"R,ÀÇB¢èãq&<$¯LcÂi7Ò_uAÒ[=këÓVÍ}ºéûí;ú—Ç—¬/ Œêb$®fÇ¢*Œ ˱\P‚åýýø¸W@–i.wÚEfœ˜xÎHçm°jÞi«HW¹ßÖ#-Íë‘]ëÁ`ø0¼(Ì©þ §Iõ…HLÓÖ`© H±¢w›¤EÄ´‹Ï™qrxsvB¼@áÒEª¬éÍŒÑý‡ý:ynò¾ã8~ ^°‡¤I3„¶¦mÂÒfÚ„6MmÒ”KÛ IJÙ q°CŒwIÖ£çy¤Gû¾ï»­åѾoÖbK¶lË–%[^ p(t™4u†U}\Ú?àÉ©ïûk¾¿ßå3·ÿöÕýûŽ¯»µ¯Xb†?<1¨{B1 )ÖÀ通ðÊ )—žu +¨e;©Ž²5+ƒ»j¦K×´4Å’’ª^S5óx{z¶µ~q_=°a8ˆÞ £ie‡/©éÅ´„xX§ýj(ïQ@Ó¨š³‹áʨ€V³ò«f÷ =[rSÉPÔ¤tuE‚hÊx{~¶õñ {¯»¶/¼ÉÍ©0ƒêª/©½Šé‡â!=”ñk¡¼[Í8åPÙ&…­ÚªYÌü âÝV $7¥yMÄRU„LMoþgn`†#˜á#4­n÷%uŸ…b†DÈ@ÉøtPÁ­f*haTI­™åÈš^ʸ¥’°þ,—Šo‰„òe>OUåqÕ xà -"ßÝ÷8¸çøºgÿƒ3h.ù’†ÎPÌÔ—™€ŒÏMºôЬ] U,*¸¦WPWÕ2ÚM©”~K(ãÝÈd+\‘²Ê¨+x{ÙòÄÚ^o_=ŒN£iÝoÒØŠYz!ËpÆg†'ئ³b£ +ZÒ(¡e™^Êik{£¬°dÊE–DUÁÛ†áaä…}B»Ž­{_k_¶ý”—ÓœB3†sÞ”åJ(6úy +¿à%‹¦<$iÑCPM»‡ôÓöAcqdÐ<‰§ºk[cÝœûÔGÞÄ ¿ê«ÈÛ¤Yѧ®´¤Û—’‚ 9J©‰°6ä"Y?“1ᣱ&=·è„3.’tÖET•ì]ÉJ0L ¦)<Õ݆˜áuÌp¤í®á—} ñ'®´ôº/% Æ”hT'Ãbj&À§ûØHÁCgÝ0g¥æœdé¼sX]¶’´sF’aFG2Má©îÞÚP÷`3Œn»c8Þ¿ ?)ËJ®¸Òò._JÑŒ«È±ˆN…¤pÖ/¤æ½\Ú”›IŸAi¬9Ä_°S¤Õ²ªb$kçµdýŒŠlœÂSݳax3¼öÄ`<Ö_Vœ”夗]iÅ5_JÕûovç+¸éÀãøµ 5R!¬äÚƒBÒ¦nñu\¹#ô.Ò@33lpŒ1–%Y²þKÛÖ´¬½÷´öÖ_Ë[¶lË6˜$„Ù4é¥ Žö_1®}Eo}ÈÃ÷å÷ð»O(®ìˆE”"9_72èáÓò®NƘƒÉž°Ñx%+,™ÑCò’Tå ¶ õ#•„yž{hXûÈ`{ë‰a¿4'ùÄ–7øPeK(®"ÇÂ*$Ã}ÞxØ-B +N½hã°J&.¹ªFä%9¢*J`mA ëG*é‘ÁûâZÌýó‡Ü]ýŽÇi­;­8ëEUÍ¡˜škàt@ ÷{dðˆKŒŒÙ…´) 9kìä~¦a÷ÌÉ™ò醪(¢k Bš~¤’þgx¥lØ‚»kØN(Ê?åd'Üiåi/ªi +Å´„xX ¦ýjx W:epÑÚC›1u3¯éD¼r~Ïœ¸K6-ä('ø,ÍeS—¯$̻챡·l°o~lPì•åäÇÜuÕÅôøxXOÍøuð`¯.8”ð¤YN›ÕJ×dbö=ñ5P:Ãã)'»º4c]¾’|kˆ—ŒÛEåû²œâˆ+£ýØ‹êƒ1SKµ³"mnfˆâ¥:üp€~0HõA¡\^‘0ÙK “¼ôÑÃŒ<¬h%§«ž™\°|l|á†èç‹Þ5ýõ¹Zñõ—س52¾»ÊCõRj³²=wIM̶iñ¢¾5M6´¤(Æ‹(ÕÔŒæ IÈÒ”„-Ÿ&hÖÆ8ÃVIùlÕ÷G‡,M”üÂú%;%÷_<Äùúµ3ÐÍmÉŸï!‘®ÛJ§è-ÅÎ…±&nc¡…~/lÈEõ#íâ³Ãñ™ajÏé!Prj–Ö ÒdŸ 0+j oþ÷ÐËUËì7¼*ÖoíÂ6îï×¢|»ó$á«?6´Ü:ÒÜx½_ÿÙÒé¹ó”º«ÍÀÉÙK`íL|bš„Ÿ¦Ð>*QéÇJ ýØÌ82Eg™`±*)=Põ×ô3ËØ6r±µ[hØ/·w`¿}ð¯ßïoùç¾£ç¾=\[÷ÍñÓuªá£»çÞnj:|«¥ùÐͶ‹oZp7È—|ImýðKÿáu¿ÿ:£mßlB%aæ5ó0ó†˜as5¦Þ±ë¾ìµwDGI³¼^ž{I—åvxÒ\š/ÕÅ$Ù¡‰Ãüh ÆcâD”,IFIòd„¨B#mZ4‚7¦"­¶T Õ>mß¾3üÿV?1¼YiÞ¯½-:Bšá×óóÜ}†Kñ¤yˆ/Åe’N(ÁèŠÄ^, +ãÑŽîd„,A#$9Z6¤ÂmÚtoJ‡Zmio«=õ´a–²Áò³˜qS5¦Ý¾ëž|OííîÃíÓ‚S‚aþc†Oò¤0ƒÉ.v8ÎêŒÆhÜxâ'#TQ*LéI‡H²Lˆ¨Ê†ÚtÙ Þœõâ­YÞ–~Ú0˪²aý +Ìôаm×=ÅćÈÓ“Â!A£)# xÒBª1B 3ã°ãQFg2óR!@˜ RÄÙ`», ªú}¿oîw¶YrÖ6[æiì+çaÖuÏc¦7ª1ÝÖ'†ƒ”R÷ áðœ9#lõ¤D²›úãõÕä}Çq¼N¤Ýܱó‚­ëqZÛÓNÅU»zœuu«k«@ÕzW¼¡ÈEAAP ÷äIž\ÈBx $„ÜóäFî$@BÈ…„„pAEV×Ôº9;¢mý-ʶ¿óÇûÿ×ùœï?ß««»Žíõ°¹½ôú€‹*9ÉMý‚8bÇÉ¢v¬:jÂh"(VVãÐ@ªýß ÝøÂõTòeÁ´ði¢ù4EJtäªÕßLpø„°»avwñk}n§ÏÅä…œ4~¿ÜµÄ1+¾=nÆ*ã(NUã´ý +<Lµ—ý;såö¬YINÁ´èiBx‰6£æ +«_ˆsøZ¨îîfFGÀìíäÕœµÜ°®Z)HÜB':ò!¯TãUr‚¦_JDƒ©ôoÎt$ ŸÌÄH¢\$Ò\ˆ„åV¿¨ÆéQÜÝ"Faø]|VÈÁaG­ ^¼j2“Ä#(Q6¢"*r¢*&!i"­$]0Õæ kæ ª¤Aš]0ݺ4!>‚DZòÑ€è¢Õ/®rz[Iž®Vº×-¤÷9Œ~ë`q‡L4Á5Ôz]E–Ž¶“åCmdULDÑD„](Õ€þÀðÂðû¤áOICVátÛ^ÒDÛA$"ÊCâ V[¥Ó+Á{º$°¯SL:šèQ Ÿ1hâ°GõŒ† 5M|CF•^k¥Ê‡Z¨Êx3UA¨ºPªÃi/ è ²¬ÂénÒ„di=‰ÛŠ¬~i¹Ó+ÃzºdÏ%C6ë@èÃ>k\ËáOÉX¢["†d¬™Þ>ŒÐ•q]i ëB©6gx;iØ°¨·% » +gd9Ä Ù$*ÉMM¾Å//uxU‚Üë”Ãa«Ž›Äôkºfæ¤á×R×2ÙÈ•\o`·Ô×*ëXê(© §0,OƤAÿÂðqÖÙÎÂù.â„<§1*;¬ ÊO[úTů¦ÂãÑà{j8lQƒ¨ ¾.3&…Bö×aËí:AÛ8—/áð W=ÀæhûS ÿgÈÜ4ICû΢優/Š}Ú:·£=k÷JÝ]Æ*¯…ú *8¡l§]Khü¤ƒ-nHÖ6Îj–Ž²å &_cÖiúS 3Ò€iõr`xaØšõDþyÑ]õüMݧ 1M¶&¬ßo +Zr-½Žs.‡éj¯)ùkjT´A©AdÐGOÐÛ¸7`©p–HGáùܤŒÃM$Õ€é¥!ÖoÚ?fÍ*wÞÓmÇÝ2~\?hü‹2bÛ©z¾2»ln“©Ê¯Kî ×@1‘’’à'¬äTYíYÖ0F‘‹G)2ù¥U‡„êhªÓ²4`^•Œë2nKÖ¬f{Á}ãVÌmû&îpç‡ÒÞ-Zwç›ÉZÜ¥5UûUz(Ô¦…¢MjJŒ§‚ m’3GˆrÞA ”’A¡Œ%êhªóÒ4Ðñ› `Z› ÐÍÙÏЭùlVÝéyŸ1>°º©»g›Áä<âÔX.t+L5½2hA)á-áh ºš§¨ƒD{¯âbÕÂ8V#‹aUêhªŽ%iÀ²2˜ßÏú²4ÿ!ï_]¿+ÿ.¶Šx»Åìùk‡ÚqÂÕn¹Ø-1c|­FÈ ` +…juÔ~X G(ZF”¨­ÀixX­ Z£Eªuò”–ÅiÀúÖ2`y/7eÿäZ{ü~dUÑðÔb‚kà·"UW¶YâÈs‰­e]-Ø¡ò5˜ ?×H 0 ´ M‡((#DDYa<Ê cÑú0EÂÕúÖPªÛ¯æûŠeÀöîz`]·óQ`Õþ±É%y¾©¥$4–)lóîÖ ùÄVîn´b»”ž:3ÕÇ6Óz&ØO3Òý#£ddõ ìÎÀ ` cs_ªÇ¢ùÀ¹|)p¬^ÿ´gÕŸoM,ÞÑwÉ9óÔ›$Ib“ îiç{ÏèëÜe–:'Æɳ“Ý+µ‹e¡õÐ;`/­ƒá…ÌLÙÌòÍlÞÌíÅšë{1f/Õw.œÿ“{É’çî·Ö}›x}cøî뻬2 +dwÖàÇ6³¹ñÝMµáÓf_©’é«ÒÂ=8=ÔM4‘Û~ |ùyØŸ“Ž~uâùéƒGð¦? ß÷ÏÓ·mÿýHÓëè¾ozŽíýº÷øÞ¯'ö|%:ùÁWÒS»Ÿ¨Úë 8þ#à^½87Ѐí÷ñ`óSÍÉÓTç”]·=Ær‘{L&‡Êu”ë…~C*ßgNç{­i‚ë%8® Áòdp¦?ƒ3 §£ø¥x¥×׆ Ï\”aheøeh¤ šŸjOœy¤é`~¦î”ÜR²M×OU!ƒKrUœ”h“¡>•˜ÒD¯u”àÚ38ljâ,J0œÆpzÃè—ÑTÍ~¹¸Þ¡{ã&`jm™Óë˜Õµ³îkÎ˦Õt˸Šï«("¤R™(HÕɼX—&ÆQœoAq®ËqœÙË“Í2¹,Îaôh6EeãŒËX=7eð¼NÞ¦ ;63e0´uÌêO°îëÎɧ4]¶«jn ¬ÅHµ"Q«RÄ€vÐߌ帶l–ãÌa,/Ž1 £Gð#‚ÇÑÂŒaõ†žÜ”Áñ>ehi3~Ø1k<Êž1tȧ´±iØPQÓ'5²D^©LRMé±lŸ)‡ñl8Æq(Ë›G¡|šäã „ˆ0#x˜ËÖeX¼+)Ãz¤ –æÖ9ó¡ŽYs{ÆxJ1©?o¯é¡¢–'u’d^­Hã2šk³˜Àˆ£Ãq2,9Ê„‹qæ0‰0‘|˜Áìh®ž€ç™aheØ>o°옵fϘ+&;júîpQÇ‹ôâ$¡•â +%–Ðàh¿>ŸéµiŽ³”fÊqf¨Œ°àbˆ5\ð³ÂÉæêéߊyƒsÛ&`Ý`ÎÖÚ1kû{ÆÚ¦˜4ŸvTá¢/û“„AšÉ©åYLª"2B™î5—“=Î+ v°:Ì‚*;\òq`r¨!œ=Ñ\=ï ËÏÚyƒ­éÀœ£¹cÖÑÊšq’OZO:ªæóᢉ/˜ù)Â,Édu²ªPÒbM9É7ÕFz\W¶ÿj¬z{Be7&¹HÞ΋æê ø(ƒÿÿ†­ À¾¯un°é̬³™ußyP>é8â¨Z?­]ñ‚µ'…[E(f”à£jY1)QUããµoh"Àõ^âjN^¨lï…‹Ö^$oæGsõ4o -ÞßÒ€‹28ö´>uí9óȽyh¿lÊuØ^s´‡KöOâ3…;(jçÓzIyD. “A¾sÚÅ÷\·ó5KT1÷ÁE£É¼žž7¼×w·>uï>ýÈûã3ßé”ç€mÌu *¹Î].¸»“¸›‡¡NQ!iWcZÉDXª»ë Þ²=7ŒBÿ˜^Ut¢pQ+.hD¼ž€Ÿ2–-¾·hÀMœ;[¾õìlÿ§'ýAp—d:Ðd¹ê;,{OÅHÿ…îg¢¨¯¯p‹jˆ]<í5êÿjT:îhåCj©ÿªJ +]QIÂ%¥d¸@­•¨§ç [€»±å[ߎöA;.}ï߆w™®…[|•pRBÎ\ÎG;ÓÙA¢š×-œÒÙ¤÷”û¹Þ}S¦ñKUÁªT*Kå0)“!D=eRÿoh`ˆ2xÞoþ.¸íäcxk×Ãè{ýwãÛt#;]µds¨š=cÉ?§bv> k6¯`BîÝ:·E÷M‘É{M¤ÔDZ¨"VÃE± +É×Ó¼áµg†w€oëþ‡¶œø2º¹óoÉMü{Ù Êir³e¬¸Ó‡¢Å¢#ç3P„S + ®ü}ãðfïjª×;8Áwy¯ñm±>3tE`—úuÃ…zAÊQ†Àðlnw›¾G6{’xç¹õœÏ«kE“7Öë³ù]ÁHúèH ~Šôäí° ¬5±_8Îóɯsü¦ëœ€g¼Ç¨q¡+®¬>~ïöŠ?Õ>].LŒm´ˆ–Ð`º=a¹ˆšcÜœ>"ÈË‘~R‹J½ai…VUXaS…–/Áþò%(\bxa²ž@è§ @øÕ… ô«e ´nH¼Ñø„\Ùtzù±‡K?ÉÜY)†Æ¶X ƒf´#fHv§uq¦ŽõãÒ¨FÄ…^DJö +‹¬a]‘‰XH:â$»?y.Ôÿd€_Y«–Èë¿ËѶ<¼ñêöñ‡/Æþ±ô"|gõÀàøv³±tÈ£ÅÏÂêL÷eUŠ›”'™¸ë‰sü¨”àF;ªÉ3£‚µô˜ ¿õõ†ü@^~ ¯\RËÖ|Q{iíõK¶æ¾|¹ ù;­ËywÈp£Q§ª~èÏz¥D74å ý(/Æí‹÷¤… vj ÉHÊR—’ÊtwB;Ú•0Ž^LØG;“žt=Èâ@ô¥A”öÚrɊəūˆÇKÿÃ~5ŸÇ­l;ëÎζëЙŽíÎtwfeíìvu¦V@D@9…°\"¹IH"$! ¹þä" I„r‘‘TÄ£ Ò]Ô­.¨ã®€þúÇ:}^öE_|ß^<ó<ó˜~ú$¯ýñgÕÂåoX7ãXMsÙÂËS¥Rê8JIÁj‚ç'éÐC”îšÁË=Õ r€Õ[ák1^ò Œ}bc™O¹£€å7»€õ£_my~{`aaßGÃOÞ?dÞú8Võê9Гך¿;Ž£-%PÈ·rÄ¥Ü|%¿öjMkõ VŒœ"H+&ImåTyÙx£¢dœ¡,c·òT…£ +1*Sï¤öÐ]ÀñÁ¾S¡ûwB?6oí?¬¿†¶>Oo~~´ú¯˜ŠúÕÔZÜJ^]ÍrùrjùªÆÒ[µôâEóÛ›bÌ.¸Aå\¸ÞÔ’wÉͽÆáåÌ xYóbþNºëÞ²8¼ww`î—{-CÃÔàÃpŒlI¤nFœ'¼LÈǬŸ/F>Í-/û,zT‚B¬^ªÍÿ¾“÷›ûO>{¥¾.ë™ù€Vþ~1ã“”v¯…tnYHÞI×½{Bc{C¬·ö„ªßü: >g‚ÏŽPÀ‘¨:}¦$%_z–^´‘UðS~nÞË¢üìeˆÌç…Ϫ‹ÒÖÑÅçÖq%©k„Ò”IeÉ?RË’ž6^L|Ê,OxÒri' î ±ÎîÞ¥^y/ïÿŽ >9Ø}Ǿ®Ñ+ñ‘2â2AnB:@$¥‚’”dPžšô™–ø•ž°…ɈßÄŸ?³Ǐ۠d~u9;æ3'úç–Üd}/Ds;d~±—>ÜO`Áá?T‚£.á@ìWYàìÑ4v,dG$‚ü¨xPt2\ŒŽ•11 ЧO|Ü @<(ñ‘ 1>0ŽnâN\x??Ý„GÃÿô€“ š)›ÍeÏUÈÇMu„•ËÔÆ¥ç6‘-Òk8‘b#SÍ¢š™•v¥íš®Ò馆î©J³~²Ò®Ÿ¬ðèÇ*õä`w°þoø2ð`ôi(€`ƒàô!Ð8)ìÄ:«²êWÿ€Þ@¿K£óØâëD¾|ŽÐªºŠ—j§±ò®)´ª{²¶£g¥3ŒWzÇ«ÌÆqd¿q é1ú«½½>”×,À‡ ­°A„°›¸y©-ß"Ö8åÕYhÒýfóNS£à%›oà¶_%BÚ)‚X7‰oëǶ÷Ž¡;L£µº¾‘ƒye¶ŒT;-þ—Åç¨ ìßD°A  ØÀƒ ¼œÔ ¢p[ŠZåT“ï± Í·˜4èzS>KkÑL7tD‘aŒ 3â•fø„Y¯`ºlÚ^{ Æjªí·{Ðýö~8 &x@Äï ­±‡?= +²R7 +×øE¨UneÃ2Ç^dQDóL†r¦‘Ó9Iå÷Œ‘[M#D©%@P؆ñš~?Vçô£N­ÍéÁØ8 ÆÞoÂö @°A$o á@ ŒÔ (§pMˆ@­ò/6,qkÙ‹¢xŽÕ¤šf°uã¼Þ*d %v½ÂéÇk\CØn׺ÏåÅØ\ý›Ó‚µ9X»£gïh… Ò?…)lÅ„(9 +ˆÒR7D™…k­P«PqÿŠ³ÀÅKf9Tõ$«Y?Êàö. íñcˆ(w á5îA¬Þ3€¶x‹ÛŒµº{±6—gsváíŽ`ý× ;òƒèl¤¤lHÓk’lÔª¨ a *ç,ðÑ’Y.I3Á¡÷Œ°8–aº ßO¹‰rϾÃ7€íõ91fŸköpouiñ6§¦ÎîÁÙ¶á›0 Žâ„( KJÙlK-Xo;_½*Í%/‰K8 P•ä*¿®c‚K3\iaY‡™<Ç rÚ|^|Çk2ãLƒ=ø>_Þâí¨³ºU›SI°;‚Ä°¡ 6´mN…é™H OHÞT$¬+ÏU=Td’–Ûì›’rÉl+Z3! ®ð6?»Å9Ð(ôzI²!7¾3`Áz¼Ñ¯­ëPÌ^e½Õ-'Úœ2¢Ý¬·ù¶á¯a@d§#€òLÒ–*1ÿ™:ùHF¼×žÓ¼(/ÍJ‘ê ÞpEH³úy,—Áp‘¥Wúëtãú:ý¨†Ð;¬¬7 ¶Í^)Éâ“m.Ùî¼3È· '¿ò˜ Š=ûZs&ï¹6±âqg +á¾6q[“Í·—µO¶ÕèG$$‹_ÄpyØ¿"žì!hgTÄîñ6’! !›E f_+ÅâRl.ÅîÖ[ƒbÛðuÂÅ©ã@ø¦36÷….®ü}"~¥'¥ñ®>‹]WØ6£­èSãLà +ªÃ 1¦fñŒ’¢™Su<*šqˆOëóñh—fuqi6g°€ôA±m8ñ%h?ñw =™ºOe¿4Ä”=1Åa¾·$P–­©ì[¶ÜÖk¶匭¶sH_g7)hv!oFÀVÏ·0»&9Œž6ÃègÓûØt³—Ýdu³›lÎ`½5(· _…YT8PG]‘ñÀ•ùs߉â5{4ê‘;®~eðlãòH&{a¬L0âEjmŒ­£‹<,P°¦é2Õ|£ sª‰«¥sz‡élÓ eö1š-Óê +Á?Nû¿Ù­ó·¤<€ãø´ÛèöT3ÍîÎT¶ÏTNóÔÔØ”ŽfšWZi[¢y噡r‰x Š((¨x¡à‰J¹š ¨¥!x$š)¦™æef5­•5M§Ÿýî>ósüûÃû÷×oÄP‰Ê~™Ã¨w8 Jÿ÷W1¯4G£—u'¨‹CžôÙñ0ÆàVЮ#ÕÔ«š%JFWV]ÖãRÙ-fEí`º¸¾%lèa*º2 +”œ¼& 7·Ym((C Uÿ5XšB¥ý~¸dgr[7h±õ~¯± }ÑëùhÄ87w*A?åËÕ‡‰ä:’LÚž¤6³4œ^or]ÑÍÄúÚþäªú¾ÔÒ†¦XÑ&jìL/RiY‚¦vCAù©…)TÙþu6‡Ae}ì£Ú +½¢³ñ{4â8=ç„Ó?p¥kï¢ùòÁéõ¨ÚbÄPÐÂVg(3»éò]¢¼BGi¸¬K”É{h•ŠnzicgªX©eˆTCýÏPý½ T!Ù¡} ·´†ÖƒN¯¯t[¶>59wèìÀ#;’vÞ‰)¿ã‘/)+F š$ev+«•ÕÌÓ¤(ó»’”’.ŠRÖ«PtSj]ÔêÆΤ +eGr©Jc(¨D 5f&P}Àj-~„&s‹wûlŸèÍ&çÌÝn.Y„hžÚÄ)æYÒQtÁ…вüÒE~­£Nkb´r[iWrÔÔa;¥¥¢=¶¹VCjRic.«´ñ—TÚ„j•ÆP E ²&Pó³)4üô´í6_¾±ëàÔÌ.«›K{OkVœW<>D‘Î:±Ew¼òóôa’¬>rç:­–ÙÁlHÖd(ÔÙÍqm‚–˜6Ér[uKT[ý|ks+Au¥•tÙpP.í0™ùVhúaÇëîí»f'¶™ ,í´Õ¬ìñR¼:!]:œ œseåŒûäp‡1"ö@L)ãF¢”¦K•%t³þÛ•YÝ‘×@ìÉ Ú29¾ã¢Û!—‡kZÃÛÔb¨ýÎ.ïúæ£zÛ–…‘¯7.nÞ­YÙá¨ø´Ç[úÊ"BôÄ>žß‘1íÏcŽEäÑG¢‹¨C â¸z)¹?­"êWŠïãWc{5:± £«Dª•ïUÕ„ö¨/ +j¿DAÝ?Œ¡iÛWÏú7lZØð7íÊ·û«;œ¥°Ï[ôú†ÿÌ%š½ˆNJd&ÍDrâ'IYäñø\âhRî6£0r˜-ÄÜʺ6”WªŠCô¥’`}UI¾¾$Pß,1ÔoDAÖ/V:×þe­öņ-ŠÕÍû¥`æ,„žÙoíƒY/ݱ)Ï|ÈÔÇ! 1ÃiÄûÄTܽشˆÙDöù™ιét^È73h’Ÿ0!àûß-Îñ/Ïñ—åúŒ]6(Ö£àê†5ú~c#턉‰üÓ—¦R05Ânûl°:™¾êâCçLyí!½ Áa—1Q˜_ñäsOÉq!)” %5à#Ñ‘ä÷03Ùg!—îý 0å̃âTÏûå©èû2†¡^6£†:×¢4C_Éç¿XW ›L‹à»³ÀÜ: ìœipÂ=<ÑÄU_ßðAçÞþð[T˜ßëXŒÏ+j„÷Jr¤×K&ý‚óx‘‰?ý<—pj¹xrYåþï +’¡FÚÖ ÚuF5Œ¯1ªx»n]!üýÛL03c‚…y8Z‘áø,œvïcApÖÝBÿéá^€G£äubÏœ‚ï“«É>'>±ýŽäùûsÖõCa€Ëûâ@Ci4kP z#Tù=”‘Ösaó¦Tؽ•–;‰`»ÎæÁàfáVgÀÇ ¶§ ôˆ;`ÜëxˆN®@vvø£G!ÑÅ R\!í˜pŽÛÿ„¸J®C¡Êï¢Py¿¡Œ8°ñOtغ.̾Š„½ß„€¥©?Øm?.»Nƒûî“à¹÷8øš»BÐþ£pî€Dt¼¥=~±ƒ8+[ Zú!H³±Žðÿ[Cëk#`ïX ––«0¼- -Ø Ò"<€A +|G§F¼¢1£—©<êSJ^ÊR¬0ý!Y’±@*çÝ'VeÏ.åÜÃÕçÎaù³‘Í³× +f»3áý‚éðaÁíÈÛCØÏ÷Ã6bÈ@ ÄÀF LÄÀr†Œp`E½eR°+)©1Ïhœ¤ÇÔÆ"¥0ãAlqæ<¹ŒT•;K¼˜?C¨LãESØáT¤Z8q]41(ÅÞ ân oào‰>dl2.bà"† ÄÀ>c ¼@gàaЫ\Bðï¬XÜK&=î×”Œä%?}*àÎÇ_àÏÅ–æÍ¥‚iÒÅ¢IbhßX<»"¾‹Õ õJF±ƒ’Aü ¸—0(é&~>à ÞöµÀC ×ïëe ÙÎÀC¯fáƒßpcð/Ø´ø§LVÊbJû-?k.A”7_"˜Š©NDËŠÇ£ê%c„Æ’1üÕÒ;¸Ž²;¸þ²AÂͲ^bYgT™†ôù€‹2Cbà!†,OKÈ=ë yçЫ¹Øà7ÙÑøçÜDÊvZêC&3OÏãÏ&L%H„qâ1²¬ä©®|4JYq›ÐZy›Ð-Õû¤½¤>i'’:ºOÚJþ|ÀCÞ> 1d#†Lă¶Ÿ3‚Ñ«ùáÁor‰„çÙ”„ÇÜTæ›Ã›cääM'M$ŠÅc”òÒÑØšŠr]Õ0IU=u­fˆØ#ëî©é ÷È®ÅôÈZTÿ!¼Î¢š<Ð0ŽãŒZO¶sj­Óžq©kuÜQj-Š‚¸€"eA‚‚€` @XB$d%!@VI ûö%$lj@A¨˜A‹ VªÖGíè;ß™éuzñ¿ÿݼç‹Ù¦$ˆDbR«žf©äÖw9Wi­ÁQ^c²UT›-U3…f5URmÆJ*¢÷0P 5p¶Ì‡ÆK@¾ý Þ± »üCö?ï +ê ;61|âøµþ„Œ®î3$½-ƒ!ÓášxÊUF1‰xöÜ&µó‚Àà(â‘b¦ÙJfX,¥t«©´Úf(«FôÞ‚ÚOg5p}çƒÈo1(¶­­ßf°îØùºÓÏãþý÷|Sv/¹%½³V}Æ)Ö$;ÍšÓF».MeדÛuçEmvC‡'èh÷°QCÝ¢Yаésh]÷×,šî^½trxÝ77nû®í›úÎß9µ7Lwÿ@¢ìî‘,ÞDdu,BºžÆÌ ²Ü%â³kä§{êÉ=ê–Äîe’Õ¥:­u©S•.uºÜ¥Êt*½ôß ÉÚ¿BÛ·ó³/ûÛÔÀ’7&V,tOmÜäxº}Ÿöñîéà o*ä<í^DAñxbyÞôì0“>P,8ÓOkĸ¹¢D·Tœà6ˆã.÷HO:{å‰æ9FÛ#OV{x¨AˆšW~Æ%Ÿ=½´à3Ï­/?uÿ²l©ýåúmšWÛ‚%/¾æ=J¦=:’U|?šHœÀ”deP2<øê”ëELÌ…“pY?ØÀhœ´ñ¸1û…±} ñÖ¾Foà/>дp¨Ïyãœ7gÔ3w¦{jþ<äÕÒUíïÖm—¼ÛvûÎ?š6œTòäXq*6;‰ÉϸAJ¹•S†+¤$Œ–Óâ<ôê˜uôè #j¤½6rÄÁˆ`D 3£ÜÃ,op®HÎÓçéûøOî±¹³m¯¾\ †ekÄ°~'üÐ ¢äÍá“Ä‘g²ŸÄg¤=Ä`O?H'œšÄOÞ½P{‡\3A+‰ú‰EŽ¸%,ûa\^~l\ƒf/¿ZvllÌk úØÚæÍéœãsipŽíÞÜ9*XðU,_Æ۩°#°‚Ž߇EcßDǧMÇ'%¿HNIxšž÷+ö\Ì£¼¬¨‡$lÄ/¸ptl…ýÌ%ý¹17ô~K^È} 1dÒN<d8⇃ȀXˆ ‰<&(G¾‡dl±Â1À?’È ʨÀ÷¹1òù¿3?ðÎë÷Ö÷ÝÛ3vë¼à€jjqBÙn8 J¦–:j`ÙG'`Ý21|»š ;7Ð`ß&øo‹ƒPÏhß1ÞG a}C )Èeðž¿dD#O«/¨‚ö6ØŠƒ½Áâ ÖP{]¸äˆªéF¡ Ã(”þä €åKù°öc¸.#»Kq¤ÀgK±°æ£hpuþÜ?ŀ׊@Ø¿òtACèê}¶Æ"×îc_zAÂW;°Þ(v@ò×Ûõ;ð\·B†ÛPnÜ ¹ß :»w…#°×-ÖöyÀ˜a®~ÌÒ ¾À¦C'’Øz6þ UC™§Ñ_‘ŒŒ¹Ä +æ B û9þtú ®‘;“ÐÄ{–p™?ß*˜ŽëNÅÞNÄߎ&Œ nã +nÙéÿÇðåàlw–Ÿ pŽ¸?Æ8_àQC€Í< +LqÜ;FVâkú)ê+ª>eŽRÆœ%Y8ωÕÜg„zþ4¾Q0…kMa?M¸–ñ4¾7ãqüŒ1콌!Ü}ñ ÂXÆu;1pC:b`û­Þ!7Ey‚‹!%x©‘Ø„·Liž¡¦¿¤¤ÍRKØ33ošT-˜"Ö‹ž2žà›$“¸+ÒIl›l2¡_þ;$»‹¿'»I•õ$ŽÊ»‰‹ü厎¸ˆƒ„7Fz‚, „Œ¨\ö GNþ-M•ò"EÇš¡¸ÓT“`ŠR%~Bª“L&6È.dNà¯(&pÊGØå~H98¢ø…8¬l' +Ûì‚åÀ]ë¼mÎÀE ’P7ÈŒðE2‰&G½rp¿ó¤I/Ù9©³iγ”"ÁSz¹x2É*}L®Ë|DjPŽ'^81NhÎ~ˆïʾ¿•}‹8”ÝKÊé ßÉi¡ÜÉi¶1ÿ»U vƒ¬pÈ9Ž†lÔè ÿZ$¢ÎñN0gØy¼©4½x2¥L6A«TŒ'Õf=$7ä< þ¨KlÉ#ôåÞ&æö’sÛ)ƒê' ª/SÕ—ì¢Ï@°Æ „ˆAì» +N¹‚*ÌÔ1hÈÅb ‡½ dàç¥|Ú Q&ëO%xÂΗL¤–(Æ“+²ÐjU÷) êQÒÅS÷ˆ×4w‰75}”M{Ò€¶™6 ½DÐ6%hÏ'h ĈAˆDˆAºœ pÍá ‹òm|(œ"F-œ¤ãç•lú¬Tže '¹Zù8«8kŒaVÒm§F’µÃäËùwIÝýI7 +Úiýú«ô~ýÅä~ý¹”~}ãFÁY;AÆ2ýÍ ÄîΠðq¼ƒß@Á¡íP±ôÇB ù^“„›W¥Ñf•ΔT!žªÒ sF™¦¼‘›î.õýJsѯ”¾âz¯áJr¯á£×ИÚk8“ÖW\Çì+ªe^_4 1b †,oÐøŠB·!Ì ‘APwô}A"öµ†NUqØSJ©xBrR9ÆÏÏaµCŒšÂÛ´s%ƒIme]ôž²+ŒnãùÔnãYf·±žÕSVÃî)µ²)©äô ¤ˆ!cµHÃɽ_€Þo”ºCÆŒáP%Øøß ÉI/´ Ö”J z¤P*ï‹5êaniÁíÔš’›ô¦ò>Z§ù*£Ó|ŽÙa9Ãê°Ø8fkz—ÉÂí.7q{Êʹ=¥‹²O@‚dˆA½û (F¯£ÿ0ïËa°DY0ÅÿÍH ÍÓR'uÁ˜Jª¸£P©¯‹ + ;Ó-ÆÖ´³WS›­çXmÖzN›µŠÛfµðÚ+Êù–2~§©DÐ]ntëƒ1ȃÆk%”ú~f¿o¡2À ª0~PŽy]ýÜŠÅ?¶é÷K™é· +ÄòÕ‰¼ÖLMÑeQ©©‰k«jä\´Õr¶Uð[kÊתK…mVƒ¨½²HÔaÑ‹ºLzqWùb1ÈCæÖO@·ës0ú¬ƒ +ô&¨ö÷„Úß·uaÁsõQáOëããÔ‘Éÿ©Leõ”ðå-ZyÞÅUqƒ¼ÐR+®¬± +ÿYg¶Ô•ˆ[j‹2Zkô’kUù’6«NÒQ¡•tšµ’.ÓbA&b#åVgÐïüL{×BÕþPë·ÎzÏ7òöÃÑCÎÅF7’ð}µÉÌV3Gv±HœwV“e¨ÎÑU”+ÊmŲœ.5ŸÖÉ[ê4òŸm§ä­Õyò6kž¼½R-ï4Ûë†UNµÅŠ#rH½¹äŽñü€¶ñ|jÓx>­A2࣠9Û1PÞRíÊë¦îoÆ<œÝ‚x­.ßñNWóÖ@øÆƲè5Î…ÿʃ˜ü‘vz!0$lÅœŠ œKHð›ÉH"N¥â_Ô¤y=ïH÷zñgþá—04™Aìy’éÛñŸ,¿›’ÁEyŠÐÝÙ¬,õ´G} ‹ô¿Þ"}ó³ºbãg}ÊOX£Âe«¬eœKò²'!v™D _¦0YïÙÇ©o£"È‹ñÑÄ…ôÓø¿sã¼^–Ÿõ˜kJ86×zšà99“àõ`æ¼÷Ðt2~@2È“C ByvK¹ß¿éEÚ?)ÈÔ¯ìÞ&XÕ׺¼Š5Î\µµL^sv:³æå±F"±¾ÐþeùŠd?œ Æ¿K õ\⇻¿)Št{]uÂe±5Úyñn4nq2Úuîe´ûäüI÷Ç 1’@á&dº ƒŒt®Gº‡e¶ÉRµðÓÆRÐØžZ`n˜væ±àbžÎ, ºS!OþÁ"ú¬†“½¿Ÿ +ðøšHuû rùœKÇ}*e:.×°Ž~¼Ávøx—cÿñOÎÑ¥Žãë$Z"ÈØoäöi¤eLMoºʲ—@[™ F{áˆ^ Ø™„ÎœîÖð±÷Š˜.žzìD{¸@œ—ú…,‚ýËDÛµr’ÍZ¯õê ?«Õ²ÕÊ8Ùrå™DÍÒÝA® bÊǤðËfL6¨lLÅ0V;fšÁ`¥Kƒ£†dp3%ë d 7 ýê l+G·q@Ÿ#[8ko ©G-ïx +œ, =‚j]Ìຠî¸b¡O¢žrí‚TŒ!HÞ4‚d€¼T2¨­-¹pÐSb‚±j˜©û€¦'àtÜÀC>Àÿ ZÇØÂMŽÀISsˆ?„…”Ç k +—ÍL ÔÜDFÐrÄÚ$jí@ç#Hî$‚¤½C´!×±AU&46Aw«'˜(¹‚ÅvG°UµÜNkpßõ+àÕÍÁo5SÓB´Œ!JÛb÷„D}àîÓƒœýºP¤»*ôöC•D•}èüqI™E3€ a°QŠòÚá*ëq°GÆt7Zƒñ¦#`&gV[ÃVpV0wEÀÿ¤¾Jz@Ù¦ å}²}/DîІØš¨¢.£Ý£¶r%‚`U 0µ¤j(6 +ÀpUa/S Í1f´-ÐÏá€ÆuÊ%oð/&¹Ü|Eþ@j ü ¶R×|Úi«„ÛA«„ú +~ŒñÍû9ã_ïyÆü"ã á-cžøŽ>CzÏBT0ÀBh2@EØÎjp¿BŒ „eœ(;`žuzºP/€Rè þeþ@®¢¬ùÖSWI-A+ÄvúwŸÛÌï>¬o„¬/øìeü<{Ég‘½@|Ëšõ]b=ó{Ç–Ž£ lMi2Ø4kÁí„p/ˆð7‚0¦„DØ'Θ©^Ä'µ€ ”RÊš¿¶B®§÷ka~ómg}#Ýæ|%ñù#ø3a&ä½Ïüÿè®Ï°&ï5Žãñˆ==-œ:+ZÇQÔ£uTD+ÔÊ…¨uáBf!„ì„ ²HŒ,’'$!ÈÒ ("e¨«(U(‚L©x@eˆ¢(λO}Ÿß÷ŸÏsý7ùiÈ ©/l˜Ô>B¶G/`‡¡ŸXŒ/Ш[ÌÛ DÙˆÕ„.3ò#6'ú=¦0æ]Ä ÂÛð3ĉ°jÒ›Ð:òëk”ñÃ÷¨cÁiÃ!iÿ{J펢¶FÓš0#Nê¬I@Zè +øïÝ€èçñžÀÝë¼µÀö…xr Ð9{€"=qéáŸðHÔ{\vÌ[laSBzYF¯¢½ +»Hz1ÒÊ|ÒÇ`öD>eØ1ƒÌ¦¨¡øëXç 5Q5P7y7À„»½@¼„?Hˆ „øø½@O< ””ÈqzÜ»Ø,Â\>é5ÖF}…)£¿Œ¬bŽ…_d½»Á íä< Äy€`Û£žpnaŸp¯E?áÖãœtôݦ,p…8ÔÀÜèÿ9 Ù¹’¬i„$â·,~È'º<ê=E‹Ÿˆ3“Æcóh/qǘcØÓ¬ç˜*Îh䥄g·xƒ=ü?1xöè~þM\¿ !¦_ð;¾_ð[¬ó€¨¨´Ò 8> ùyÈ·/å¾5  õYt ˆÉAÀc‡|bI°oéé„×#åe\ó¾˜=Š;•0‚­ cê…Ñ͢G˜ÞÄV\_â͘>ñ•Ø‡’:ÂCɹ¸>q%Ñy?Ãhó]ŒøÞî óûÒƒzÏjPo‚4L È A f„~ä ¢'ØJâ8=ƒþ‚leÆò†ñ§„CÑ5â§Q ÒÇQíIí¸^éM|or=áìñ¬Šô@v–Ü›|šÜ›ä,`¡:j ®pƒÄÜ!uÓlÐ,ý®ïAp#èÂ@³äbvô/‰øŠ¥f<§™¹#¤|á`l©ä î\ò¶QÞƒëRÜŽíV^ŽëN9OêJ© t¥”Q»•'i= +­Gî,`Ow&ºã˜ËÝ iÍ× Þ8 ÿ…`Ú¹Ìû} 3Ô Ñ»ÞkˆÁãJ&ö¹DDâ§0³ ¼Gôñ’MÖI¨T:ð ©wc;Óê‰éçÈíªrj»ª”Þ‘nct¦3:S ˜])ÎúlˆG ìen GïÞ Ÿ™`Þ2²w,‡ì o°o~g‰üå•1öàˆ–ŠAg[ÜÃ$³K åÛÙi½PyƒR¦úƒT«©'5kk¨ÝºCWÂlÓÇ·iòYíêÅäjl|©¬(9U˜¯Ñ±r³´œ“frÎ% 5»ÙÄ0Ý3²„£oÕ'è:ž¦Mã,þÇs&ö[W8ŠnºR¯)UK¦]^6õaÓ·Ö– Ó›—Ö6ýX~%dgñEl¨õ|AWIg)ÊE§¤ +Ö •šb³è ÇKŒ1¶‹F|q B̳(ÖV=ÝìÐ1ŒmÚxC»ÚYˆÄè†0 ¡;âÌ‚Écµó&÷ßüε³k±ëíŽõß\¶,®º³ÇçÄàí¹ ˜`ärlLj•žxžÃcW‹¥”Ê´|…Yuö¸6¢¢NQîÐaK:|a›6.÷¾†”Ý®¦X:ÒbMŸfôí*™7éCõÌIC×<\:»ÜÿÑôç’ÉW{}ÜÏwù/<íص®àÞÁSSø~ÕŒô™øÝuÕä•ÇqüƒuDPÊQTŒ(»¤böåIžl$$,DÀ*0Š:ÂŒZ;NµZµŽ:n•±ÇµZP*®ã¸á2í)¸UdKˆŠüæ9}m^|^ßïýŸûâþK.å7V¬Èú©z•¡aëZúÂÁõª†újêBK5}ª¹F_Û\c<Ô\“¹¯¥ÚòmËzG°Ši¨af±Ó›àØÒÜ0†Ü»=†Ü|îÅj|4ú쳨ñÇž$MýW?l{‹œ»ñ ê¡I]voqfÁÝ"köíò¢Œ[Õ¥ô­m+•·UÈnŸ¯”ÞzZ©h|¼š:Û^EŸh[£­m[£?ÔQÈè¡ITÁ”"ÅbžÅ‹x¨¦ Fœ„­R.öÉp\‡ËTÜÈ*þm?•Ôÿ;•Ò1¤t¤e!Wr¦Î‰¹îD¾ýyùjx,k#ü]×!Ô³Q>ňXŒ…Á&ðgk ™§€*ŠY>cùÈŽc–„d”%rQ•‡)ó±#5Ò¢q’…+éQhåG¢Ÿ3bwèF-!?œ&äH#!»î²¹EjàÍZÿQ¥q_‚°qYˆ™¤×_…ÔiR‚ÏHš #‡‹Ü°8΋Ŋˆh¬ŒÀÑóðMÌ\Œ ÃÉsp1nÄqÐïÈ9fGβó!›~%dÍ 3Y /ÖRLtÎF€kÓ¡Æ\ObÆ ÀõICªo2„“ ÷Ÿ: Æ©‘ÌÂ:K§‡ayUÁ¡Ø4c&¶Ïœý³Bp,4?ÎÆ Ž#GÏ2ç_%dãBþü”R¸+>aáí¤†³.|þ sÜ퇤xF€ï=’qs@Ÿ Ý„Y0ÿ)Ö‰AX戊ISQí€-~Ÿb÷äOqpŠ?Žû;²û"sÿ;„T¶R4HH6Ñ•%ƒ‹Ït¤0 ‹`—Hp\ç"b4óGÏ—‚vøn»M…Ò-Ú1þÈ3Vw_,ûÄ•Qí1_Ž€žŽ@?Å ê`gÈÂ]!ŽgCêØzzt–Ph +á.…r=3þ¿'CúM*${yæCô½ÂÓBêEXtY þm ø­R¤w2¤ïù6©MðVÚ/z/é’¼—vH†?êši3 ²lhS¼`ù¨DFf(ôЬ\õ_¡Üœùv¤ÿäCrHñ1D§%Ö3Oõ² ‚;r,j—ƒß¥ø°h@aدEvy·äü7é;ÅSÙÇÁ0Ù ¦A1ÏÊùlzÁ,ðƒ™ +„É8ÆüHè—ÇA³6 ªM©PnK‡|²ƒbHÿ-ä” âz9DWÞUB𘂠›z' ~Ù¨©]ùBf§ÚåoU‡ ¦Aä %Ó@Ç°‘™äl¾rÈ2p`^cI<ô«B³ÕW(w‹¡8 …¬Vé)%$uÄWT5©!zJ _Ñ6ñÝ+¤_(lt»rHó e×ý&ó¦fÐ|U7h¾ì2}`t†–ã‚ì06 +c½P’ì‹2A J•¢±$7‹?OCÖj!Lä0lSA»Gú°~DuÜøAYgV\7¿•?²¼Q¼´tR½–6º?«Iû:û?úœK†œ 9õÀÌ4d0 ³\`å°Qå‰rî$T¦OC…l6Vh£ñ¹%o eÏÙÄLp»ñqŸ¥__›Ó«ýÁÚ£¹’×I7ç?ÑþŸíúŒkòÚã~‚"Ô-­ÖÚj¹W‹u”ºDd‰ !’Iž 2 hˆìÈ‚•QP­(TëhI Š Ç­{{îóâ¾Ì‹ïçyy~ÏÿyÎùÿÏ$éAø¥'b*¡3êµ7EmŠžJ¨ÇO%\ÂOSÌx4Cšðí ¢ b'iÝ‘/éí¸Œfü F}ÌKF-á%½:v2±2v’fŒAçi:OÇ¡s=½_Hœì`úž0ãÈZ¨:±*¶J?³÷,Úm:™ìý‚Ë 20%áà Êè§qùqC„²„hmâݨ6foT?«'jœÝ†Ÿà4Æ9uÄ NUÜ»<ÞÄ*‰ÁÐ^š _b`4šâ0ò6YAù÷¶PõÓ2˜í²æß4—ã·í]fˆó”2Òe\w|DÌ xŒÃ˜Š˜~j&¹‡T˜x‹XÍú…p%©=æ6òsŒŽ×@4ðjâ ¼r’ÑÇ“ +)㜠[`b™cí1ï` ië,¡p£<»Õf;/êÃ_ý·à˜Ã +|§ÔA;ÇsÃ÷ëT!5஘‹íáI 7XçÚó˜- eI$-¿>¾CX?˜\Ij(c‚ªžŸO3 ¹4#7›6ÎÉNœ0 ÿŸ±ÆŠÑZdü{Ìß½\ù±ÄmÍl©×·¦ÿm#Å¡ÎC¹Ñîýä€.9 Û!ƶðÔËœLV£©¢]–Q[Åj¿¤6,ÎKeÓÇ„™ =ÿ<Àd0ŒÜ Æx’9hËÇ@ZöjK˜²Þf¡{£p—Ý?¥û–½«pùj²ú˜ƒ¾ÆwË“òS?Þ+ˆ<Ú•çß¡¤c›S‘X­8…Z-P²K¹j~!»B”Ïl’æ0{R2Y:©Š=,V²G“ÏrÆé=/mDP\s`z¿Š[k “ÐsBŽfÉýÎjv,œ«t^<{ñÀŠ ­Ûj]½×7ªwÿZ‚uë¼@ð¿šE מãÄVÊET$£æ²x¥b%RŸ’ν)S ¦È$¥"£B¢ç˸DÆ5rÍñhÚ·xèþHGû¸z³,߾ோ;í^5ìY¢ovYñ¸ÉcSÿ%ÿ]7+ÃŽ´jð~õjrXU“P¬Pó©l•,S.)’ÈDu2qrgªHô\&餢äa±H8š,Œ DOÄ7"æ@š‚Ö@¸Ò*Ñ,…ßXþSµÕúÖi©y—­®}ï’-îz.ûíh¯ um¬ÀùÖǟѨé1yÙH‚J%e+Îfð%Š b~jŒ›Ú–Ê‘ÿ!K’餈tXŒHF“yâ1_dàñ“ ˆ9´iè9%FŸçWa`ÉF‹¹ÚÍ󦛭ôíNÖoü¸¸¿ÝÍáÆUß®6œ>\w1Ò§¬"6T­¡âUŠ"OÄeå!ª<3£2%QÙ*£f<”%žÕIi#b–|TÈ– 8)GjDÌdtm†½”-ÇÀôÿ,_‡ù«ÞÁÂtmƒ…®k³Åƒ®]_t_w]×ÖæíÔÐ|ê`eöDAmLpf—VÆ"‰Š…tn‚KÏÏRòÊ%q¹Í)±y¿I㲇%dÕˆˆznLHK×óé +B—¹æ@ +º6¥X†êåÖ¬ÂÌ6Ûcô7W‚Gýë0}wvØ]ï:¼¦ùº×Ö‹íû5-až9ÑAéZR„¸–NäVñ¨ô +›TšÉ#””$ãJšÄ‘%ý"\ÑhrLþ˜˜­çÇŸ7ðÈJ#—rn<ɘ€®Ï[ŠÊ%/sÚ¥àY‡-xÔg ~»ÿ5æö=§…?÷X¥íñt,»éÿS~g¨»òZ”Ÿ´…x¹BÃÓ/sI$­4IÅŽ¨Õ gj/óCêúø¡5z¶ÔÀ‹*4 ÑùF.!gœCÈš0 ÒÐ÷-ÂÀ¬Eàm…-xÚl®Û€»÷l@÷З }pëüÆ}öU÷Ü7ôžÜ­ê>í"»áÍ¿{ŠÑIÅ’Ú81øk¶5ƒÒRÌji`¶ö²šMìàKìÐÊ vX©‰QdbF¼0 ÒÑ ©và³Ú<­µ÷[æƒ_oÍ7l@ûóå é™£UÍçeE¿»mÈzè½]~?h¿àn¸³/æ$ù%8¦‡Åv‹£Cº2ˆ]Å$¿®²ow/Åçö4åäµWÔ€ÆW´ K“´ÓÕ¯h!æAÎbô;,Ã¥` Á +t·YŽkÐ2´4ŒÙcªÇ­‹G—f»®Mûó„cò³Àݬ?ÂQáÅ ‘}#Ù!ƒâÀ‡á'Gz6⼆úpǧq^½o Þ7Þ}¯½Žó»ò:Îÿò¬Y0y!˜Î`°º¯X‚¶NKÐØg jŸ,e¦ULÿ²9or^!Ÿp]/?îÈ4úí$BöâõQ.X=Éã´žå0&òõÕ+¼ô…Ažm°‡±;ØÝð2Ø]÷>ÄãÁ‡0ÏÞØã·ÞGzýbLà©€;Z hkµ ·,AÕ}kPd´¹³k-•³[me³{Vòg]Ö1f=·ÄÏœtÂÍØ{j†xÈ&ÑÕ{–ïî9›æéþ:ÏëÈëjïÃoÚ|½}î{ðõ׉ϾGtŸýÜ~ÿ;àèÀßæŒåp·€ŽF:0 ¼×¨YócÒ>:Ì“|üÞ–ûÉy9íÓ¡¯‰ŸÜ7FÎy:¸-p.ìßÿÑ]gQMžyÀ? qµ +.,* ‹l!!!{ I „7Šk¬KGw©KUªŒ#-êX+:–uŽíA=zÎL[·ê8¸ ‚ +B|潚«ô|çwñ]=Ïû¿““ÿhi²l´*5{¬.]0ÖÀáºwp3ÜG²ØN RqU˜‚ç"Ö˜[”>aæs¢bOn¡¨ï¾"ùç)ªùŠÚ{ƒ¢>»G£6bÚ¸Õ¢-GÔx}íHŸYÁœ|HC4ЄÊaX(AQ”åÑ<ÔÆf`u|¶$$c_R"Ž³âñmJn¦Æ¢/-H‹'Ç<ë> ÚñóaœÛÄ@TNš•“ý±qÊ ìòýGý¦ãë©Óq‰¸2Í“ý$¿›äß¡(çŠ2»)*%`Ò8¦z¥ d±¥E!Ê; qÞó‘L›.}6DŒÈ3¡e|“Ï4Ø|üàòñEs262'aqˆhöê/È¢h²èÈf3 å2¡Ìñ…*Ϫ¢ (\¡ÖGAòi,Ä; <As +ø§R‘u– ÞÅtð:3À½ÆAæÝLd>Íç 1Êy™ïà +‘ùJ ®'ÿï J¦CšÊ€‚ÄFâ ­ÖKTaPÔ-‚´!’ÆDˆ›XK…à/lðÏd€ßÎAV'ɺÆï.ÜgÄ Ivg‘|ž›Þ[xýbd=“xM°ä‘4d'Ñ¡`1 IgB'öƒ^9Úòp¨—GCñI<¤Û’!ÙŸ +ñÑtˆNf@x&‚v.Yàwg!ë>Y½ð†·äƒß/ày„ÿ‘Bä ´¤ƒ‚t&xCÈ€. £À&EŒ†èí ‘[õÚD(¶¦@¶‡œ/8ÈnáBÜ–Ñ>„B»E<ÿ’¤¾Càˆ!îÏø™ ÙäÜQx†Ü /(#hPÆy#o1&žŠ¤°èBPPCUòê“ ù4 ÊÈò =ÎGÎ×BH¾#»3â눒Óö‘“ KG³ÝÒ×Rä9ßË é&'}HNõJ1&QÈÆO”nÕ=µ[}KûAÝ ÍÕ<Ï #4¤ƒ>Ò–(:ìqLT°}áùÃ¥ +A¹1ö’Å(ªIy †-|èv‹‘{8šTgP^R¹WÕï¿hÞÊŸkï4OÔïµw´£yÿÌÓý¨wë:ó?è.Ù)´á4˜Â½a#*¢}P›2Ëø3±LŒ}*‹ÃQ™Š’ºÌÖáhA£dÄÐ$§?¦ÒµjÞäžÏ}­íÌëÓÜÔõjžèz´oõ¿æºõïW £¦Ë¦QÓ…‚Qã9³g0"7ŒËÊx£&ŠºäÉXÅ›UÒ@|œŽe渱jGÊ°s)w°tèuñVé+Ëne¯ù°ö©±E×c8“ÿ8ÿ²á‘¾Ûx_ÿØø³~° Ëð®ðï¦aK»yØr®pØÒf.lµŽ˜=‘tГ|Ù{]ÄŠ:Ö&NÄ'œéØ=ëÕ¡#«1ƒ+m¬WµU™Ï]õ¢žò²GöFÍýâ&ÝK³ñ_æ¯ +n´[nš®Z¯›ýd(î0ÙÎ[mmEC¶SÅCÅ-¶·Å_–¼³zÓl/çyÁA¾‹²o®"÷ñ‡øñØ”î‡-ÂY#›óô‹z×Y’þ[ïäü¶|¹è×%ëå·+¶æÞpì2\+9TøcqKÑ?¬gK:-ßÛ;,·J/Y_8þZ4àhµ 8NØJ•¾±),9ä²y‚ÒÁL~#œd+È·±.”†Í±LlK2ÚÈŸ9Ð( êý£váãÍ‹ïmp°o¯ª]_±JùSMƒîŠk{A‡s_Ñ%ÇQû{kÙ7%í㶮Š¶’žŠ“ö¾ŠfGŸópYùò×eMÎÇ>ç»'( »¦•d»È¾WOz4Ì£a[4;Y‡öp§½Ø›=ëñnÕü»†˜[›mì® .Ñ•Õ+”+×ëÛ—n)ü¦z§ítåAG«³¥âdÙ9׉²+ÕÍe¿Uá|YõyÅ+׾ʾŠÝ®~ç.×ë²®‡'0“ÓFfQCö½5¤Ï&2“‘Þï÷%Žï?1åÉAáŒd·÷袻¶[Ó~ØìýmC­êüšÕù§?Þh9µ|{ɉÚýåǪ›]‡]mK¸:jš\¿Ôî­ê]²³úEõŸ–¼tm_ÒW±íl—y8ÕyÆŸ³8–¥H%C¥E¡S‰²f_Ë–¥‡ã88‹­9v!Œ5d¡l!‘Ò´ÕôÖeFišìK4ÓLóÌï÷ýóüñùû¾¯ûþ^ßë¹ÃXYá‹A¢@ƒÐæ»3A…„™êÄîü‚²Z¾—6]M—ú©ÖDî»j+µ§¥.;øìÍfšw¥‡9´&G»7Æ%úÖÆžgTF².ò«8¸MÜ<îm^÷?›7ÅÍäO‡gðg9éü9v:>$·, +ô%tƒ”IIl¾bó樒þ¾¨I^¬Ö¥NÔï{å ô‹:‹+vô—xÑo˜·f‡:4¤ ÜkR„¾e iŒ"anH^lYXVt/#ê¦à\Ôˆ 5ú=/%z*"9z&,9j649j>$)j%Š=°Ö“0æ¿{‹È"_…ô©Bƒ4{Y›üS“.u´EÍp£™ê@­Ãöî +Ãk%§ÍóYö5_qO–fÄúžKf|•”’‘Pžw™— ¼.ˆF +ã&ùBáWøåLxÜÙYN\ì;.v% +ô#<°ÿ¿{Ï+­‡•UÒäÕͤ·íÛHß´ï“zÔrL¥¯ÁNûú¥“M~ÇjK‚ìÊ +ÂOæDûdg%2Ò22YIçŠÂ„)—xÑÉí‚È䇑‚” ~dÒ7*a:<:~–7ÇŽ.°DþDaDñr€Ùò€¥ò°xY~nS‚Ñ›j¤á®½í&Ê·šmµZÜö_¾äs´¢2ÐæÂÅP×ì"wZ~Ü™„œôàØìŽ ³Š‘Ñ*àd 8çᇧOq#RgÂy)³~Ò[¸Àž&ý„ÕÞø `À㞣A  OžË_Bý¨'=¸Áöà®q/n&¸Êyàd,@؆%`mYŠ ºå`îY…€ƒn`u£Ûþg=áw¿»4Ð{½@ð‚ï¸7|I:¼H¾á5 ï?BHÃI ‚t`{RÁñ¥"‚n>Ó|¶¢bf/s/m!Âó?Ûì‚OV"x·‚¬F`‡'˜ÿ¤!à,ɹê Æ0z}áßOX Ò‚AÁg,ô7¡ð{Ɇ_g"D.³ǃ +žQÞvˆñ·Glˆ„üYˆMtBtÊ"ðsÁ+]ð*W„5¬Fh+ !‡½À:æÖi__¤#è;?=öGÐ+b„ÀŸ¬¡ðà à¿á`>ã‚ù˜7ø¤ÃÒO=íà3â@$ñfAœà„õb³–"ºh%ø[WƒWG·Ùámtp:ýÀéf€}6a×™û™è DØ @+Ìþ5zÎëq$X?ñr'j"D‘­Éu§"Ö• +±›¤žS g8@> J¡dòÅHJ[Q¾+âÊ<³Í‚:ø­ D¶3q,¼ÓÁà]bûkœû,d„;2È{Çêç!´—ö8?Dƒs3œë“€ÀÙüU¶HXA…ŒP»ÛAKŸ +}Ø,èNÐ&-†*ÅòunH2Ñ ²Ð_Çx+l´Æ´Ew„ŒºÃ†ç؃Q7ÙýQ9/¯9}‚ñð§pïÅ€w3Wâq>ç&Ò!†ü?“È{¨ÉÖÔ¯²ÃZ{d±>@V¤#ÖŠA¯rAr†»UUà=,7ûJ¶$6°^‹ZÂ^%´s^Ä[Ó÷%÷¹ð*·7î!ïqÜ+ÞC¡•;Q× 8/†àËDN&AÐ-™±d_Æ“|ҶЙä-Ö‘{äÎ@w. Â…ÖlÙ²Át½[¿ÞàýR[Âøª"¸WQöT¶;ü±¤ûKRgÄñIþO¢‹‚»¢{‚[âÑ7Åc±—!ì‘ î„qÇe•#¶C1„$WLhÙb-‘»Œ +#¹G‘ÿ4³g æ_4®¿egy?Y»ñH_κŸ¼s[½+â–²%êßòö˜²ãÂkÒžø+’o.J{ÎI‡E§eW@Ü©‚è°¢ƒj$´©ÿ>Ä‘|)ÉÖ‘‘³Àä6¦UT”ùN-gÍì7G8õ•Æ/yZ¤\ùИN»³n}À÷™%¡7Ò,Ü«ºíQ—´ÿˆ=¯ÙVÝ!þJy2é´ò²ä„òɪ7Ò£ê·ÒvÍ[Éí»¤V-÷¥@¼gˆ_Bî°Àidg¬'=Š—ØÀ¼Üö­…6åM%sz_eøœ_-Ñ ~6K]n™t^7 +r˜— …ìó9戞ŒªèÓé;âOè÷Š?O9$éÒ—w&­8’|[Ù®ý]Ù–2¦hÑ[åͩ㲦ԷÒÆ´wI “ø³ƒšdg’Q@zlZhËR›ájwêËZ¿©OkCf>¨áÿýVE¢Ëu³†v¡$ƒÙ³!}*¯$ò‹\KlWv­¨#£Ir8}¿ü`Z§jêMkê·š½©}šÝé#ꆵ£ªVE}Ƹ|{Æ[)!yD‹mìHî@6oñ<l&}ª–Ø Ô­°ým——Ý£Fæ´ëyNßÔ$,»P¡¤}UžÆì.Íå+*âw˜…‡ÖW‰ädïS6gÖ4ežÐ6d\KÙ™Ù«­ËN®ÍQ×dªªs¬ŠªœqYUöDH$¿[G² dë”Î¥ Â‘2V»€òr×G6Oš]mï5Ón6†;^ª.í©‘{vWèº6g³?Ûhä·™6 +[ ++›Ûey»U; Ÿjks?×Õ¬»¨¯Î}¢ûØ0”RiN®0Œª-†1åVƒU¾Õ0.{$ómJÞ ŸlÞ²ÙTÍ¡ îp¤<ß½€ò°ÕÙæV«ÏÔ«{ØóÎ5Æ8Ÿ¬—xtÕhWf°lYÏßWf6•nIÜY\#¯-lTWìO©0vé·äZn|”Z^0¨3 iÍÆM™qTU–?¦(Ë·Êßyûµ³ÿ¿{)ØL|2‹Òß8›òkËÊ݃‹(7xÙ_h {¦Yðáñ†ÄÕGêÕŒ¶šô°½çF6Z +…õåæÄš²*yeéNõfSkʦâÎÔ’âž4Sñý´bÓ:SñÖT4¢1ŽªLƦ Ö   ßa&É.&»×òå÷ú”‡{¦Sî·Í |ß>ŸråÇ”³m¬ÙÝ­ü%Í"·ƒJ¿};ô¡µÙuÕÆØêÊb‹¥BfÞ\¯.1ïM),û,Õ¸éLZ^ÙÄ€>ÓÖX:¬)(U˜Æ”LVÅû &ßBÙœ¥3(CUÓÈ沧Üi™F¹uh:å›'Ê¿Ž¸Ûjú[W[Ä¢öÖx×Ö=rzSS +«nW&·º./fk­I´iÛViqU­ÊXÙ¬5XÚõ9–S©Y–ïˆ×ºœ-CÚuåÃyTeØ4¦\¿ÑªøÛuÕä™ÅüæMBXEA«„aIXÖE@0€qWëz”ŽZ´D¥ +RŠEAPAܵEÜ—#n=3Óv +cÇNUÔž‹,òŸg¦g¾À|øó~zþ÷yî}?ÜÑÃf¡À”Í‚1=ÛŧoéA­ˆî4ÓµV+ºØâÁok2?ÖmW{$QRYkð+;”£.þb‘î“Š‚¸Â}ëõë˶¤­ÞSœ±¢´"{qIÝüü’Óyy%÷˜×óówöç,Úñ>{IÑ@ÖÒmƒ˶\6‡«Eô~Ÿ¾ÛÃу*!Ý®3¢®ãFt¹mu´M原T™Õµh'lšéöy}ªOqÝÜàOjó# «—Ǭ;¸&aÕ…)Ë*>K_X¾?+wÿ᜜}móæî»Íôådï}Ÿ=×@V^ñ`æ‚O‡2òw Í YÖóéÙ§D÷ñèN€®6èâ uœ1§Ö³.ÜÑ3þ&Õí“öŸŒsÞÕ’"/jÊT6æ…®;ºT·ªnuÜÒÛfå×î˜=¯¦,=³úËÌôê“sÓªo2/³ Uƒ™åƒYeCs²w§ç”FÃRÖ‡ÍDßï"º_IÔUǧ Mµ·óèø%Sª¿,æÕ\RU\µ,=ã°½CïQØ>ÇwÝ©yÁ'G,iY5-¯yc\vSѬŒc{“SkR“N’oô / ú£Ã†”/?R«>¤*FÒÒËGRGC€žo#zTFt£šèb=ÚØî×t–G_]Ò¡k¶TÞ%”^ 1ßþM´má•D—µ—Òd+/dû/>¿08÷ìÊð¹g6èÒ;¶ÅÌ>½'^º:1¡½Uúº>¾ý…>î’f6#9ñ(’õ‡‘œTƒ¤Ñ°Žè‡Dw]ùŠ¨½‰èÛ½j/qTyÈÊîM¤’{î\Ñ]¥ÉæÛ‘×ÞŠ³_q3ÅmÑõ,Ùük |³ºV®®S§\Ý1«s·6¾³zÚŒÎÖé1×™Ó£¯ vÚyÄÅ´#>¶3ãšÇúåÏD÷]­!êh`÷?ÁòÏUtr´ë®ˆŠžšÓ–§Ž¼O}„«Ÿ„Ž[þ8Æ:ÿ‘Þ>çá—Œ‡¹©Ý˼’º×ú&<تŒ}°;húƒêèîV¶û†F×ýByÿCxø]DFvAu:íyDöÃgD7Ùœ«#jnfù§‰Ê¯•ÜâÓ–‡"ÚÐkNkzż•½rþâž ãù=Z‹¬žkCOš]JOŽxVÏ×øžÜcz>–F÷”ȵ=U޽͊ðt2ÿT„þ8èòw(CþŠ@u7‚5÷2Úýω.Õµ4>Åò/í¼NôñŽÖü͈V¾´¤Å/y¹/eü¹¯TF†WfɯfŒOx•d×—a3½/ÏN×·Â!²oƒcØëí.ê×ûÜ‚ßÔM |{F¢úõ‘Dùæ7‰ò$þ/!õÿò€Ÿà5Zç!¢“õDul+XvvÞ'úÓ_ˆ=7¢Üß-)«_Ì3ô{pÉý~‚„÷j£Z“èx³¨ÁÙáƒY4Cùƒ‡ +¬UC›lü‡wÚú~¨´óùÐdï5òµƒÏIJáA±lbY?ñhí¬GXœaùD›î|K”÷#Qæ;#JÅÒÃŽ7n:¼øZ(᪡3 +B¼H‰c?dšø`©ã¤Øl.A‰ÅTšàŠVK\ŸèŒ_¬œ0låü_õLj*ÙPü5Ë¿C´ò)Ñ<–?ûg¢D(¤ƒ5E@ÌSà „ç¾j¾Q)fÜ1Kè +ƒÐóŒÄX.š‚"[Ûà ñ$´˜X£ËÄ +Ϙ÷ LG«b3Pr™hó-¢Oˆrzˆ’žż#ŠQ(L(ˆ½…?&ñ|X2¸ñ$ðä¹BÁ9AÅ9@ÃÙAË·A,ß +I|KdðÇc¡ÀkfØ.0E…ÐBcœŠÐÍ<£ôëÿM–ÿø|=»¿¶HÍòUŒÉ`JŒ'WöŽ˜Â›'ž «Å +RÞxó,À‡ÎQœ±[9B6³œÙÌ'”2ÕÌq¦c „°=/ÀŸƒJÉGp £ P'D@¢~™&P,6‡÷:KÈ‹¬áYf󶱃´e +¤öð¸â[Ì1<~bÞ8Âc€Áÿˆ!eäìÛNʱ f5(ý8ûñ¡auhBPG /‚*Ýùðûh"['Á{÷dxWÚÁë°=¼š ocg_t„üšäÝNõ:CÖÇô3pf¹ÿá/F—a?¸öŒ„½/‚C8«#"P€ð0!Bgˆ I5CHîxXAU8Åvð/·‡_¾ Žð=áß³ÎP|ãÅ]6"ß3/Ü xÇŒ0ì|ßÿr +€û;ÜßA2BY !Þ"¼8è¼ùˆö@"„nšÚd3DæL@ø²IÐl°EÈv{íuDP•3ë\ jv…ªÝ ÊKS¡¼áåæ¹Ê_™! Xæˆ +’Á@H †ç+5<Ÿ‡B6ÂÜ9„ÉX¾”CŒ'±ÞÄ +ÅÆ+ab2,1m‘ ´k¦ r‹ỜVîŠÐš©ÐÔK iõ€ú¬êNæ¾'Ô½ž#êמCêÏ~ <WCþVï…Á§7>ßE…pVCÔ¿É.ó ¦Ï4Ž¿áY¨EjE­Ö­( Š"Aäø%¿œœ ®„#@¸#rÈ A(Ð"HêM׫­X­³VG+VW—ÑZë]Ýgßý/ûÇgæýïûÌó|gÞùà]Ðךß$žƒïi ¼VÀcØjDœ0•Ë!D³ +hzG¬[»ÚÖC€q nü@=âüžú­Ë_þ\ßøßr}As}F}íú„ +nsþàþ[xÜ +Ï«Aày9øÿ*Φ9â|ìºüÕfú…9D¸Y@„DÛB(Ï„ÑK€—´âßÕê÷Dñº·Ì*§×ŒFç—!.†ômz<âöŒvlóï´i÷'´k?~å1Kƒ­wƒÀëZl»Dïi&xŸbÁ6S À‘Œ•àaç [nb<ÄÅ$^ó@`1셢—¼ —®x!JsüC¿þ)Wï?2aÇ4;N°aÇ1vŒ“àk +ìZCç‹°o‰—R ï#ÑÉä– ÷³~—H·{/px*Ù½â_Ñ +LJ‘j§Ù°b×»¢ªÍ·û=oò:½~æöy_#¿ò™!§|ä\Üq™¼çwž|¾ó ÔÓ$|ÀQ>P‡@‚¿)ŒwÀÅÙØuâ°sÉW`ßÀ÷Qn2«ô¶ú#5hÁœ‚óéyÔò»R™ã/’ô×£5n3Qº-߇¼/†5ûžuûM üÏ +ÆN Îî:!¸x\ð$hBð6&ÚP(õ‡APo8ö„Ã.S€Ž3‹)mOÄEHÁód¬¦ü¥Ú`ö\íiùX½Óf6“iK¶ì'Eœã²dç Rµût¬vÛ阽¾'Äõ;'£Ú¾‰è :>|$l*äë°èÃáèƒáïý@ï‰zg„´‹!¸U 4S€µ ÷»V,ö …=vÅØû–S^jÖRæ +\Íg ·[ÝÔÛͨË.¦Ç¬=—"w9™”î9)ÓxOHKýŽÄU|%i¤ Åt… D0ûĬ^ñyÂ(žewE¿&:b€hÙ ÌF 0$@¯“@ˆ)ÀÁ=ˆÄ®%ÅΩÄs¨?Aï ÐïÅŸS~+[ov«l‹åLqàÇòÉ¥gr"§2¥.GÓRÃIZÿYEà¡„ºc|;³+î»#v”l•œá6Knscÿ$â€S„A +¬j)0«¤@7¸øbœ-Ç®“çȳC¯ŠíÑc½ºS¹ŠòSåf‹‹zê‚3%쥓aŽã¹±.#ª¤->½J µ;¹,¨3©†Þ*o&e=d}â0¯6áßp]PøŒW%n… 8år ôr`édÀ0ø¸»q¶Âʽ-ü=Ó-@÷«ìÐ}ËÐ÷†Mçªü>š*g./­.Œqî×È<ÙJŸÎ¬jkF­Q¹—Q—ÒÀ®I>À­L”'ê’®ËO¥ÉÀ+I²Xì"¦) Ä]ŒµEú7ô!Ç=*™nïµFÿØgƒfÐßœÍOÖúÚNTÓF*ø«ûËÄÎ=ERÏŽüŸæ\µ>»€fP陕µ}z;¯DÙ/Ô*'DÊË¡ùÊ9a~ð5iÀÕ(ƒakRe +„-D}/Í +=Ë·D·u–èzõ|œo.5-Bgš7˜ß¿Ýf´6xñ@ w•qoäÆ]œGSIÒö:m†u~­<¯”YšSÃѪ[xU¯0'k,Tu!T•õH¤R}¨²€§ÊRlu:¦@îB¢‚L tOKA7*,ЕÚy8>šn³G߶a6Þ²Íz¨1hQoge§!Ì©©Râ^[.÷®*Kó×—äk‹UìM/+Ï(LÏ Uæ~šš÷P”š÷^ Ì^Z6éj`§«€0¢pöX WÙØù°wÎT™£‹ –hºu:yÀMt­5éÜ2¿¯-à“®&bEKƒh}]mŒ[UMÂ6]eŠ_Q…*P£+¤«K+ˆŒ’njQ·@¡ɵgCeÚû"yÑ;ÁžBüÛä©Ð;9S w!™‚æ!tCÐå}fhºÙì´@ÇŒ ÐèÁÕ”£‡UO—ÿÂÖægõ­‚uUMbW]CüVmÂ7Ï ªÎN«Ô±u¤¬¼“/Õ ãô§D±ú{ÂXý[~|pŠLÔ[V„)‹» Dè×B¼ƒ½w‚Nµ›£c=fh´Ï þœr°ßͲýßÇûô¥Õ]¼5ºŽgm[¬GnËžíYéþʆܠ¤úRzB­ˆÝ×NÆùQ†üHÃ~TÍ®¸ +Ș +àHt@Ä–ËZ¢—Yý‚ïpÙ€p±{  ÑC |i…/CC._úØÖ¦-Ö÷‘+µ½aN¹ÆÝn™Ý‰[S¤úÊ;²©ñmÅ´Ý­ÕŒ¨–V"¬ù0GÔö)js23ñ²Ö½Ë^;B|–3$tÌoL>,u—õ'{ÅRùF÷j©+EÆæ^O?ƒì™drŒ7œî— N0¸­Àà7]X!¦@*Bw´]ªAèd BcÝ öãü‘ÿù_ݤªžt¤èŽ{Ì+<¶sAöÃ!ý(ÿsÅX亄#qΒѤÍQÿa»N£š:Ó8€?÷&l +‘°C ³DÂaI°)Te´Z=z¬Smµ:í±g\jíÇj­=Ž¶.ŶÐZ7w‹‚ÖÁ:V2Ö±. ”©VD¨ úŸ×±ý ·߹÷Óý?ïó>÷ÃóÕkÆÊ/—¦–7¼›áªÿÐZT_ksÔ7å6¸mŸæäïDna-r[;~Óóúc³x|ÑþÍD_|J´íó§ûßÚŽÞ>êKËŽEÑ›ÇÔ‚EG->¯±‹çv…Í8T)ýÃÁ©ŠÌN˜Ð²@]Úò¦n|ó;)ŽææüæÚT{s£%·¹35§q0Ͷ¶ÝÈÌm@¦½îyW–µ³´Ô5°;ØþdÿdûßÚ&¢•GyúS»-<Dó¿çæž6zÌÊvcŠ_ÖYcÒo,°ô¼dîYbìÙjèm×ÿt"\ßÛžÜý8\Û…HM¤êkˆ©™ÝÁÎíD›Ù ¬ÛÃò²üDs;ˆ¦^ ª¸,¤ÒÛþ4þ¶„·ã¹¼~o»cdÞɦ 8=Æ Tx™îV{îÎöÑ .­\æ;vh½H5´mL¯ûý•÷.ˆ•CwÅñÇ÷C×À‘ê·ma3ð>›Á•ˆ^gùsX~µ›¨ôG¢Â[BÊ‘íQ(e>Ž¡´Ç*Î Ÿ ¯ƒM †C„ra¦xÄa–‡¯yFc…— xGa‡w$xKpÍ'÷ø„ÿÛØ l`3øvËoùg‰&_$*¾B”ÓM”ù# |É„@ÒCBZ(( *.É\,Ì\ ²xòøHóᨄ`† óX&cƒÐŸ Çà ‡W˜!ÏÙÈfpU3ë+Ñl–?颢«DÖ"ó±\" ¼Hų:ˆ ¢I‚x +C =€qœ?¬œœ/Ê8Tóž˜Ë ±”籎ç°'ìcÎ??g5û—|óÛùÿMä¼ÎÎþ˾Eì¼Är‰b˜(xSü(b +Dù³ZDÑhÄ’Tä ñ¬/Äj!8˜‰ÌKÌ"f5SÃìdZžm‡$=m2] +{¦ +”-€²HE•bfy"z¡¤Ë} ];Ò|!ÝêiÒ¯Æ ª‘9ê¨ÓÌbDõ2w˜a1¤xÂÿdì]†Ä òžÔ ÑñÐkyXz“ÚLVG¡'zB9à q ¼ûÖh(þâ ÅFä|‡?äõbÈ÷2 ?Éœgßìfn3ž~_ÁrŸ +B,‚Ç#dXù,èT’Õ<ŒI¬•ìiÒ b@o÷€¶Ìêi^;oToø"q• ëý‘P#F¶(wB¹+Ê&æx0”ÌUæ&s/ ø]”NDØPÂïŽ}’9¤0© <ÒT<Ò5<,¬©6˜]ž0Nñ†aÎh$¿.‚n¹?´ €æà h>†zGÔõÌÞP¨…A}Š¹Äô1ƒa4{ÀÜW#‚‰Ð"òf2¢úôÏ‚å‹çËÁÇ#;‘‡Í @v¦²žÈ¨ôAÚK¾H?æ¥0­‚q}Œ5¡HÙŽ”ºpvEÀÐ,¡UòÈðOÉà ɯ)’ãcI +¢n »e@t 1W͈¹<îY0*Y¾œƒ-šCSÀêph(´‘Ÿç {™r¦ú!{®Y‹ƒ±<ôqúšðáôÓ6GÞO«ºg©—YöI-Gd–Èn[®Ëþ“Ö/ëµ<Š¹a¢Ë‚¸«Œ;ñg3&óYHeçÏ–rÈ—pp2.VO)›R“®lO÷s’h¸pVÀ½üWCíKÃrÞ‘ôÛÖGýbû›ìföÖ蟳ëbú¬_Ë{­ä=ÖSŠ®ìW²‰½dVº­H<ŸU‡ª“6¨Žå@ud¤³³ÛÙÞë eÙÌD‡*%ªd*Ó=†'ø •Uˆî”¼x«x^h_ÑIc¹´»pMôµ‚ò+ù›c/ç×Æ]Êkˆ¿hoRºí'ÎÛ/%väÝR¶?T·Ù¡iµC{$Úæh÷B³gX£8²³$妰^LUp˜6–0Õ,¨¶yßœäòë©šxmâì°Ëå¯F^,]}Áµ*ö\ñ{ʳã?JÃ1úҴʀ﫧‡Ÿ4OömåbEÛ„?+[+ÞM:Vö¾æHiîPÉv}‹«>¥ÉÕdÜïj3íq]3írÝ57”`ÜÌg¥0o/ƒiK9ŒŸŒ€<Öÿ’ÿr]žQMgyþ%DŠ +*2¢Ž2XP¤H€„TA¤D ‰@(¡„J¨¡†Þ”¢ AÇBÇ6™=»ãŠíìì–³sfçìκ»Þ½¿€žÏïÿÜ÷½÷üì{¦€b— OòøMbCx-µ'¾”I?&sÖ>›ßÄXߎMÜ9#ÛsãdÞþkQ¥Ž“5ÎáÍn—B»ÝÇN y\8¡ó ™£ …<¡œ;ñ µ?QûB¥+ yµ‡#ÏÖpä!¯ùãóÁ®u»NþñxŸ²ÞʶÀ« [ŸÒ] ÒX&w¤ÇÌg“£¬¿²™<%Ý«‹Ï:0Æ/<8Sî6|²ž¬nó:9@틼H뉸AïŠxÌh|K×D~ ·F!ZS4¢ª£¥.y} + +Àç¶|&€×âüõðt3øI¾ žäl}Ž#ñŽœfr+ãȦéÔ0k4Öf,9ÉnD$sÐ&äº JÜ{ãj<»b›©í1=ôVÞ³™7Åjä=`×ó~f×Åü—YËGŒj>¢Uñ‘wQ1”• Àå°k Œà½Äþ–n‹ÙÆð$o<.°„»öÄÅx:‡»érVÈ–QÏF›"´žvìI–»v$’5 +Js‚š¦t0kãµìš¸ NeÜNEüOUü{¶J€X¥Ä( Z±¿0D] +Ú(ÚïÀÞ¦ái–ü 0‚ÇE&p¿ØæJìˆ×”žFºߣyÇ· Ê£lú2v2±£&%ݵIª «Å¥”3ɵôªD K%ð)^òU +çý +…/ý +E¿s +ÃÌ!ºB„hï• `¼žü/ ॠ{o. +×Àýc¸­2‡ëå{WTî†KØ´EV}Šˆ9±{[äI ©®µ²rUª’ª:]M/‘6³ %}…ø¢_®ø7[¼ÈÍ–üÓ7[‚|äÄ’‹CžŒ_ÛdD[ :·ÈøU°˜…½7Ÿ÷JHðu¹!ܪÚ“5¶„ñ*·5ÃL³þ²ËNe莖˜=j…С&ç´K…<‹\šYH)ʨ +d ìì´nßÌÔQnzÊ ÿ´Ô1¿ù¥¥!Y*bÉRSvÑ1´• 0¼…x€¿¦ü{ç·JÜ.7€[Õ$˜®5ƒËõ; çëœ×œ­¡›vUþ¼¥<ä uéÉÝÕÊST…bçâüt÷‚<%7GEϒ׳dYœ”Ìa®$óš¿8ó̯~âLÄ‘d"¶41¥2Dÿ‰·ð +÷ðXp§;O¦ëˆ kX£MÛa°Ñ‰Ô­¦®ÓÔq-Ô5Ç·UWFíR•ÇïW–%T§ÊVæze–ÐRókYE;')Oë'ÌâžÊ{„ùÅ/!ùs[”˜‰Yˆþ)(šÿJúØÃÃ"ìØûj& p¡Õ´kèÕ0hkñZÛÐÄ1¯i8f­ª ÿ²è ß.¯Zä˜U™â*+—{H˔ԤÒj†°¸•¯<竼ê£|ˆù»/_‰|b +.1ãó]»°$Å[Ä=ÜÅgp³{W#vÏV€ÁôvYB{×>bc'Ù¸¦½Q¥9jUÔº#·‰·'³!á@j½ÄYR›é.:Sà%¨®¤ñ«š™'+|¢*'8•8ï|"*;R…˜Ñ%ˆÁS"¯h5Ë[øK€¾àãL«Æq¾¶ ûf`34ì&œéw3Tõ1L‹z–Ó²-£#Ê6¥-Þ.Y“ì(l‘¹Ä5+ȼ&•WdC#-¬¡¢¾Ì n¸Ç +V¿a×#Fp¢‡V#ZXþ+*_Í¿E{¸Wpã €®`ç÷ö´`ÿ©ÞÕ#6„²áƒ¤Â!êÚl­Ÿyú¹ +é@ĉý±»Nõ‰öñ{S£»s\»JÝC:ÕžA=”ÀŽqï€Î;Þ¯©mˆ Aԣ͈zL(Au«yƒ{øoq¾`w0Šý³¿ í@-vвñu ¼´—ì‰òq£´1¶©øâQ á…Ð-q£¼/xçl#GNï –Û*v:ª­s9¬í>ÄÕŽ¹ûi¿q÷\rçœý@æ ²o/"s;Ù¿}5¯2¿G×ëp€sÝgê—ó±æN‘ kÚdÓ» ÒiWRâÝX0åo3¼9êj”UØÕømÁWÄ;'2w™(ÚËÕÕîç躰t˜ºÛŽLÝ’cüƒ} 9Ó. Æra®æø 檮´ ut 4Œ”ã|Å$@êMHœ3áüvÌ;bæ=IQó>ÆasëƒçÂ7›å[™M²äΦ[sf +¶³fjvÒg:¾ôž¹`KýÚ–:³dëuóÃëÈŽüÚç1…ö{\Eö+¹W†w¸ÜA'Þ@?@ίXΟH» ¼mÑŒ!üáf[°…BÐÍਞ»Æ_lì«^ÇÖ'˜1ô)iú¼Í}ågžú6K²þ¼•û£y+wýÏVnÿ³ÕåÚî|í8xÙ8Ý]ÍMÜÁØòðh¨È_ο…ó¿Áú-‚¾3¿'ëÁ÷©pžîŸÅCæ"ƒHvÄÀûY(Éë9ßÐãy’Ñ¡çY&®/J×9¿hZïôrÐÔñåu3‡W/Ìžý¾Á~™ïŠ,ö=AŸÛ}‚nyø´á;Pó –ógîD.~àógЗLÁ{É(¯mÁóµ#¸¿ñ ¸½aœß!:½ 5pxÇ7ø?ÙõÕ™Æü¹Sa†20À ÌÐf`Fª°P¤ˆ"JQ,X"q%±žUc jtíE±¬=¢Á.Y{ÔuíÆƵG"%( +þ÷!çäØýð;ïOÿç}î}ï'´~¬$¸~²ÔØ0Ofh¨¼Ú#×ÿv^®õRîÿ+äþu°ó«ƒBW e{;ø ¬ÝF´è;¢mù§ˆ†_ x(ã6QÒ}¢Ø§D›ÈúÖ"Þj)ü]…5GPps¬`|Ÿ(|Hü?ô´-"ŸÖÑ"ïÖ)bÏ߈5X/vÇ~‰nHÜ>6JÔ-«ß³æŽ*ù .ÞC4“ó'œæü‹D¹¼÷´»DÝÙ8?¼‘( + +†Š Ð:ÒÁH>0Z¤ArCOÁY‚ +y‚JL”X R`ƒÈEv¸%’£‘áÿ,ÝM4‹óËÚò/qþ-¢TÞ{Âc¢ˆçDAõD òƒ„38Ó…<àAjt"ø“‚Èá¤@4ÉÑ$H%þ“ΊB+g•l»ÀjÙ›æðùû‚û?¢-ÿQ +ï=–³9ߧ•È“kpg.\‡3”œéDö\‹ î$†7çù1# g1,‰e²|Æ/AÌb|ø±ƒ}Ï~èÌ‚"F‹à×Mï414¹b¸C=A×l[Á*¥pݪdpÝϾg?È ¾"‡ú«cM mdpãk7ØñµÜaß!\@ˆY@˜‰µ][ELA—,†o1: •À«TÍ4V.…f)«A³‰í”CSÍÛAsÒžØ=ö‚5²V;xáOöLO(áý?Œœo +,À*Àl!$F„ bf‹¡/ÀoŒÚIl¶ ÚErhW±õvÐnµ‡¶ŠígGОc7Ø3ö›ºÅG­:([tphÑ‘W§·þ!”s-FQ¬^ùw¤MË'b˜3ÄÍ“ x¤Æ 2¦Ëa˜oÃ2î_…†MJv(Xí€À#0œbWaxäØbhpl6¾wh2Âñw#œ P1—×F¸¾ êáœoÓ ˆñç/ –ëˆã^Äòýèœ"†u€‘Ce°Œ“#|Š=Ìs0/r€i•#L•l«S«©Ê¹ÅtÀùƒé˜s³é_ª&Ó}Õó¯Î æwÎ/Íp­3Á­Ö÷ÿ˜àñÌ ‡á!*€s}té$ Käz’Âxåg³[w1ñ¥ˆË—!v”]kt™òCçéï:Ïszk[êü»mêm£Ëkë×WÖj×kºÞzV]gûIýÜVëúÄöÖí‘ š[áõ³Þ÷ØM¼¯tîÑ:Î÷â\BO–¢$ 5J„ä®bôL“¾ïž+oJ,¶ýI©CC×)Î/»ÌVÕvYèú"a…úyÂ:·g [ÜŸÄïvÈãaÂi ?zÜMx¡¹ßä}->—㡽È.ÄCw&º“] mqm{w'ô♯·šåEÈæ^d›„Ö¬QSzÉ«´ly]jâyòhÇ'½¾P=ì9]}¿G¹û½îK4w’V{ÞJÜèu#qg§ë‰û|®&ó½œxÉ÷BÒSí¹ÄF¿Ó‰ÐŸHDÀ1öv( û»Cߺjxÿmù#ºTFtf’ˆNL‘PÍÉ®ó¨¨î+à÷ÍÀ( †}߆}fö‘ÊDTDDY‡aVePY”M* X´&F«Œ¶±M0.59îÑ´1iÐh’“ÛKsÚíŸóæøÎïÞûÞ¼[Ä…3r •{°ªõî ×îÊ2hËÝnÚ’½Ûª1Sb_›‘ǯ–ÈÝ*Òª=ËR›Dò”nïÂäa_YÒ9¿¼¤ýs“¿öËMFïÜô""ú,ÈMBÏÅ0Rå߯iç›ËPÒÞ÷QÀt &ËVÀX¹) —»²úÊü—w•¬Ñj+Š\Ù¼w›I½l—å¾¼T»Êœl~Yv‘›<³Òs¯´Q”ŸqØ;GrÜ/+}Ò_š~Ý?#ý¹_†äŸ Š¥IÓQ(MCÏÅ0 +`~'Àý4€Tƒ?PþL)í<åã•j0RmÕ|Vw•ï²¶ŠnsÙzýº’­Æ5Å;-Ê “mK +2Šd®²<…gnn½(3§Ý[’=蛚uÆ?%kÖ?9ë™_röÏ>)Ù(NÉBQj& +S¥(Xla¾¦ûá®”ö^ªÁÅÚ;éÕë4í>#û80Pk=uŽL{­˜Ó¼/xE}õZÝêŠhžBg./Ûc³·$Ã1¯8ß%«¨Ô#£p¿0µ Uœ$ë÷M”öK]ñO=¡ëO>»d(NÌGQb. +wç `1ÜJ³D}ȸºP:ÿ™}'iÿh`AÏ=èh²cZUë‚4ªëÂuû£ ‹kbMeÕ‰V9•iöÒŠ~š¢Ø-¹¬FXÚâ_rÔ'N>î+¿ä+D×½·£8®E; +Q¸³‹ýB³ð`¡ù4Ôƒs5ÔƒzÚ{ÐÞÑÐvHš[­˜úCìêƒje-¡ZEM‘+ócŒ³â-$u)¶)µYŽ»÷º$ÔTzÄU7 ·Uõxm©õŽ®¼è]ùÀ;ºâ­xsŠ6—£pk +bJÐs±—ñŸS” 5¨¤PþH3@/í ­ô*ÜØÉ…Ú.3¨êre•vú.+ìÑÌk_§›Ù¶Ù0íÐÓ¤ƒ{,wµdØíh’9Å(wÙÒØ辩¡KÙpB´¾ár_´¾þáú:l¨EÏÈôØXµÔ z.ÍÑ,^.£ì¥üV€Ã´6ôTõªƒ¢ò~G¦ ÏK5§÷=õŒ£Z)G¢ôv÷Äòâ»M·w¥[ní̳>\êÙQç¼®ý°kDûˆ{xû°ö¿¹‡µ¾v;„îaÑ=¼Ý"—z˜NϤB€ 4ƒMC”ßÝ Ðr f hˆ ²a]ȱéˆ';m$€³gxFÂЭ¸ã[õbŽÅF¦˜lÌ6_7 ·ŽèßoÚßîÒ7ä´ºšÜÏ)¸÷Õä¯êAçUÝ輺ù‹ÝÉ¡ûQA5h8Aù½”ßz v ”V’,ÚÓNs!eÂöL83 Þì¸ÓÁœ˜Óï«GŸÚÄÝx*NgÝøýˆñLÃбBã±³U£m +›²»kpò•µÿoÑÖoíü†È±¥>^˜êÁøAêåwP~Ã1Ú?OäŸHØ1ÅØi=ˆ™±Í3LÔL{ÃÌÕµ3–…OǨ¯™Þ¥¹zZ¢ýÞtnàT•¾ÿÔ!Ÿ©ACï©ßóÄÓ·yâóóF¢sh"œD3ÁïÐ\p-»Ds8Ió?Dýï¦ü¦ã´žM¤ŸØy`Ó%6¼U"®ò lÖBgE¢ b‚•áì e”J 2Žã§L^î£ÌU++„ÊfMOe?×ãÚY-÷k7µÜgçµ]¯ ®ËeÔw¾„+ù¡Ábç©G)¿…ò«Föž¡ü)€ø‹”O+aø5ÝX¾s\ð™3ï› ¾)¯Ï‚@x+‚ÜŠfÅÖŸ æbãtÿõÑüµRýk(¿j/™¡üË”? ú'€ÀOD·TÀå up~  ü‡æàøÈ ‹Àþñ»`÷$lžFƒõÓÆò™”±xVÊ2ûª™eúüËøù–Ñ‹‡,£§?³xOÍ{Œ*†Pu±AÊo§üý”_t–ò? ú_ˆú#Àš?øßð¼àô%€í7j`ý­X~Çóï¬ÀôŸ|0þ^¼ù@0˜ýWA÷õNxçµ”ÑùAÁh¿ie´Þž`¸ogÉ?Í×ÈhΓï—ê¤ù¯§|9åg|Hùtö7Bæ|î¸Ý°{`þÀä'ð ¨ zhï %h£pÑ4Ñ40Ôppè‡X%ÀB0H…Æ1B‡Âo þŸFš¿Ê—Rïã¯Q>Õ=ø€ø  šX=àQ¾þ[ L Ìå”É…¨Cy+)ÏØhEÿˉH #[½!ý`¡ƒ¢’|E~\BAùY”Ÿpò©îÁŸSï8R¶ÙBö7”û(èœË‰ +rèoÕ —è}bL¬ Ÿx‘`B/Hb¤Ò͇Cä<ùËhâÆ …3ƒ–ÄÌ•A#ƒzþ jý†AÍM,ÔH`¡Z&)&5¤™t’>2LÆÈ$¹À ÚUò)}~D^’· ªã°þKÙK˜»0híÈ  ±¢]×ÌACoúÁ êl`¡örÓI©$¤!ÇÈ 2A¦È%rƒ|IþNÞü•eýJµÿ‡µƒvv :Ø2hO»®õÂ÷ Z1È[Ë•ÛX¨—ÌBÝ\RJjÙ¨ÛB: ÕBw˜®ãä,ù\'÷þÅu}GEugq¿SF…A†"3Àu +DÊPAd¨2”¡30€ ½Š€"Š, ÅBKÀ5êZWc‹îšM¢=–hbY-ñæb'œýãsÞûýuß»÷÷Îû}9(zÀy'úóV„œ×&ïq_™ ðÿßûúN”q%]e´v ^Hi¶óØh­d£e-r8hQÂAó:²Š¬'›¹hÞÃE‹Ýä"§¹¿[|Ë}mqûBüœûLŒ¼§bä?ã¸Ç–( zŨ÷ëïëË-Ý,ˆ˜ØÚrwýÕØ( e£}¥”è¨o•Üw’Ü?$kxo%¼7’­¼W’ü—’½ü’Cüç’ügÒ‹ü_¥wø?Û=ãß·{'¸+Eá;ÛõoKQ˜Ü’¢Á(”[Ñ'e8s21œEÏ3‹fâNóPx³pz]£8oåÉÜW.Zî ¦„÷?¦–ÿ”YÉ̬÷ ³YðˆéüÌô 0÷˜/õî2çõ†]†7\ž +¿gÞéÿÛ'\eÐð +ƒ/“‹ä‚Ëßpú@w Ç$@/#@oZûP/æÐ^õšÍzãáÏ~>+ŒóÄ]Å}ôA&ïÁÌþÝ™‚;ŠÁ°¢Uï'E‡ð†b‹ð‡;ÆÿwÆ>ýë3ë_›qÖàŠâ¦ÁÅÃ)þ0úJƧ(:©@““3Ðä8]Ñz~@ïîI¹wŽ}Ò†€ô<4“{øí£é¬Ç~Þ¬>Áœ;ÞÑÜ›s’ùߨ\÷Z&¼êU£Ù³Ùà¢g›á7'žóødÒY>£¯=ŒÏxüÓø”Ç5Ñqχ&G<Þš~áf‡²ËÅ#z}Ñbûø!Õô©Ï\ ¯C áaˆ ÜZd×C¦ÁÅ_öÙE y§ÆèN68”=qh~¡ñ¡y&sL®ž²?°Ý¢?`«xOÀn«>ÿAë]þg¬wüd³-à¹MwN݈6]±ÞˆVÇ@êAå½`€Wal¸¯äÂ-¥†•pM9ÎG2p:Ò‹},b>H©.ŽŸp ,ݨ?$ÏäÓEËÌv/¬6ß¹ Ér{ðZëžàÍ6ÝA½¶[‚öK:ƒŽK7]·ÛôÄîã`”®'ë‚QÒŒ¶kàÔ±ÐOø×/&”²ýf~ŒeÁµ%<¸«ç–ˆà¤JGT³Øƒqüý±¡Â=ѱ†»¢’{#4¦=Êó­áå–]‹ë­7…µØnm—¶‡tÛ¯Ùã°6äˆlMÈ%YKÈ#ÙªÐwÍ¡hOìšÂPÚ†’c ÿ8ÚO”7c¾¥¼q>‘_'òádâ$8œ,…d»_íÇÛ¸P¸=>Ò°;.AÔµ$ÝlSl®EGt±Õú¨ê©m‘MÒÖˆ6ûe—¬Y¹Ë©1üsCø9çzåç:åïNµ(#5hWÒ±0¸àe¾ï¨þ7ÉgRYp"• ‡S'Àg6°7c{gº7¯'u¾pKJ¸áFuœ¨=1Ŭ-![ܪÒY·Ä•Û6/Yn·"¶UV³Ñ©6º—©ŠþÌ¥2ú+yyô]—²˜7Li :•Æ¢Œ8н}I Ú™ÃÛ0€Û”ù®¨)o¥Sæ£Ì1”ņ,Ø›m ;s䬞l/^gÖ\á†ÌPÃué1¢ÖÔ$³U)™â&u¾MCR‰¤.±Ö¾:a¥cE|»s©ªÇe™j¿kQÜ)Wj˜¼vÑÅ£3q$2 +íÇÃxêAe õµ¹ýZ!ìÌ3‡ž|gVgþlnG®¿^[΢ «³£DÍY f iâºt­MuZ‘¤<µÊ¾$¹É±H½ŽÑ©»åùIý®¹I'Ü´I7ÝrÔ/åZ52ĉȴIè0.x82êÁÙL€cTûe¿}» +ÆAO¡)tÉX…îÜ6Ÿ eið„Æ<¥q}nœYuNŠ¸"[c]ª)g–Ûd48楯erҺ䚴OÝ2Ó¾œ–‘öƒ[FÚ yF2Ä)3 3SQFFaí…‘½˜ +p:‡z@õôQþÛVÌÎt”Ú±ÚJœ–ŸqÅó ê +UébMË–&YçgZëòòmóµ¥öÚœzGMv+“¡Ù,OÕìvKÖ™¦Ö|ç¦ÖÊŸŸÔPýjÊ=µ†°ªÞVÔËÙµuž¼ŠZaIõBC]U„(¯Re–Sž*Î,˶I+-”¨Kª–5;Åw01E½ò¨¢Ï]#‹.“§ò¨BdˆS´c +PFFý ðŸ‘9P¾ £g?Õï­è\Nõšõay“Ô4;³Ê›fq‹ý+‚ ´ áFšúØÉéujóäÚ,«Äš‚©qÕåÒ˜ªFYdåÇNÊŠmLXÅ KhÅ—ÐòÇLX9:‡•¡ãâR”…— ÃX÷U—è[<^H¹“Žá}õÝíÍ”kW  ¢ÕJ×8° +×(8ù­ÞüìÕóÆg´„¦¬Š6NZ™`ªjN·ˆmú“ðzŠ²NÃþü?f`˜afnÃMP¹ÄEES“²¼”¬k¹ÙºÖÉn–]6e”¦y¿¡‰Z(¸*ÈX® +Æ)J¶«Y†ŠY®šµÒØ»µÛΞ³‡ó;0Ïÿ}ßï›ùÞ'úMZðBäø׋£Ç½¶2î®â-ñc‹÷$Œ)nJójGüó%þÎW¤?Å'±…=´vß¼pU¬ëB`ý›ìÅç¯àîµJÃì56<¹ÖYki3×dé^S`˜¶ºÐgꪉ~E+§øß·bzß + ·|NXá²ùc–.‹µtsôÈ¥Õ±KÄ,i)X,1‹$fÄ=bao§y-~À¼ËÙÿ…ù¥K€UÌ^Èõè¥îÜ)5cFi(Þ” ¦mJצ–æë‹JGîÛxiüÆÉæqoM³nx$p̆Ùö;ÖÏ .X¿$lhIix^IUDnIcDðܵ‘³Z"sVIdîÊÞŽ±5¼þ«^ç½Èüu+7×rÌ~vð'î¢l3`JyŠ*¢qE²šX‘­Ý[Q »»¼Ðslù$ïÑåS}Fn›a¾í)kþÖ¹9[÷ÉÞúVßÌ­•öŒ­Ú3ʮ؇¼-Áé›%$}“„¦—öÖ0‡=à5PÁü·¸’-gíÅ¥œ÷ÐÇÊi\Ñ&TwW›QX‚±®þãJÃ(W®á¥ wÝ£Ëwyæº2d»f3]/ø¤»šÓªKüR\;-ƒ]³ ®¾lI®úÉ6h— Ü)wHŸžp»8ÿÍÌ_Íü¬ýÏ\Éžà:½¸P¸—;`yµVäÖöCÎûNd¿²êò‘Q7F¥××Òê§j)õ3uÉõÏêÖ{&Õ¯ñrÖo7$1÷T½(cÿ×1ñf`î6àÉÀÃ.`2Wûùf6hô‘ŽX‘t$ ‰Gãá<šgSŽFÿcwüAÄŸ¥¢ÏUQÍË´Èæ2-âãZ-¢ù¢~¬Ë£ßQÑõ;"ú°Ä³§ræo`þRæ¿ÌÞ?ÍÚÿøPTÃúëYÿ@ƇÀÀ& îcÂOò¹!g‚|&Á_$#¨%ö–;`?;}ÏMCŸóO"ðü«h-ÿ…Ý°}Ù Ûùï`;+ÊÚBgDëi#ó—3~ðÌn`k/bÝ… ÀÐF ÙIÑ'Ð3@à9=.›a»k[,m±03æöLøvŒ€©ã^¯Nƒ÷·OÃй^×6Am?t¡uÞ‚vUàÑÞÛ*æ3N5ïýÌ?Œev³SO §€Hfl¿o|oaêòƒ÷ðú1:w4”;p§ÓPâ¡ûAàßüݶ›7—û µ‘ð÷½-`þs̟ɹÿŽµ2;—Ùƒ?eï[€°VÖþ`þ'`ì ·½x@‰7BÍd£¾Nq”L9ćᇡðÂ7\á….,P.‘›äW/òÚ{”³Ÿr˜ùÍÌgÝÉÌŽ¹ X¯>̽þýoéÉØã,vŠ$'eÐhšB| öCØÙGÿ ³¿{"$(žúCË ˆiˆáJ0Ž~OÒz™ÑJÚÀcl¡rª¤÷è}j¤Oé]§[ô¾B˜ á9â þ<“9•çÈSb(T¢/âyf(Ñž¦¹ô:-£µTªD•AÔª¦ýÔ@'øŸ/R'¹áæ‰ÝyN”[ß›„3?’;nD$¤_ûÒ}öš­Ä-&ö¸ž¶P9UÒ^ªçxšè<~4^ÅÆ.Ü0‰ºá#ê;ߟi¿%æG‡÷\¿÷ãëÐîù ô)Pâça™I³‰½°°–¥´†6R™ºeÙ¡Ü—ê²Ôª›ÖÔ k‹ê´¶«ëMõM´6Ñ®ø‹ÇåÿAb™ÛßN} ±Ýø:–×Fç‘Ç9Ur¿’ éꧠǕÛþ¼ºiEý`_¤¾·¯PßÙKÔuûfÕT®¾ ªÒ:‚ökíA‡µ+ÁŸi_·© !7µó!âq6Tt-¡¢ÿâ?Îô A$²þb@÷kö"q$>“空¢Ç«ï£Pר«ŽÙZ»ã%­ÍñšvÙ±D»äX­}åب]t”i;µÖ¨½Ú¹¨´–¨SÚéè+ŸDßÔ7Ljç±ñ:#†#Ý¢{“¼¥-Á¾T3$¯Ó8—”8t%§âúÀ|t$ªË‰“ÕW‰Óµ ‰kçœÏi-Η=>w¾áqÚ¹\wÒY¢û»s‹î„s»¾ÙéÒsÖy6%6{6&]òjHúÁû`’ë’ÄT›$>5ÝÅç@’ÌÚÓ| ·qïÌ4A²yž¬@ü+#WÓ¸t[ZÓFâ‹´ñêdÚÚ‰´™ÇSŸÒ5¥¾¨?’úªgcêb¯Ã)+ )¼¥”yLÙe¬KÙgªMi4Hm5íM½î»'UüvSUªX*iîIÒXûægk{³Öø¾›YjveVøíÎÜc©ÌÃÛ5ì SÕ°ç|w ç·cèËöüe¶òüÿmyï”åU¾WóoªË5¨é+ ão"á äJb­ZµŠµÅEŒ(á ˜„K€!@¸„k¸‚á¢@E‚(¥µÞ¸ÔjëÝuÛnk·n»³Ž³Û±Ýévv»îtÝíöì;Óad?üæü¿=sž÷9ÿsæô¾™§¤ß°NJbMH kì˜) ^ Ùƒ{x ð »ç“±s%¹Á' ð0!n%½ï&ï¦,%¤^JRÐ/È5Œ…Ä|Ϲ„RŸÙø¿3ñÍÓqS²£Á“²։ةñØùPGì2{4ö!çxìWœ!Ùs΀Œ°ûe$ ±ÿk²gƒõŸ¤|¢Â¾£¢À½Ct¸™+J\V卵WI©ó*9}V™Æ8šë=¥0úM¦TL$[‚Æ’Û˜£I=!ÇåC¡CòœÄYž=ñ2¿/ñ.ÿHâŸùÝòù]rÂ³É ×–Dظ†®…ìÃëT†àuûYêcç¹¥ÁΕAƒåt_¸˜É—fåMMõtf<ýT†ÒãDz–·#­ÀDmZLU< lbÙu²ûRíÜžT¿K1#èT¼Ö¡¸)´*ž[SkI%„‡pÂ^…àuÿ^oOqÿ¡öì<ïâ•»ˆëÅl/Xвá¬n38u‘Ô“¹é­Âc8;Ã{0Kço×öeš™=–[º•s8íÏš6,hUO ›ÔçD ªëâzõïÅõ÷¢º4†ÂE8«Ìá·˜?d`×AÝ÷°{. >vŸ…<œÉgÁTÁF˜0즎ÄЇò“ýyjï^]Žw®!°S[ÊlÏ© mÍná6guñ4Caͤ¨&s>¼*óIeæ#‰Yó¸BCDH˜YCøá®Bâ¾>„9ÌÂΉú×°{^.p!g é0e ‚‰b ŒGP‹ö¹5&0z +•Þ†,ÿö}`k~ ³I_ZŸ×È­Óuò«sû…f털\;')ÍY–”h?ÚP¬ý[x±–ˆJ´$  |„·ÊsœÃ—j€ß¢ÿ7 èA öÞR€7‘© +Œ›`¸Lƒå¯RúʢܺKeî‡M +¯¶’ ¿æ"]`½ÑȬ3V„VÖs͆v~YÁQaIþ˜¸H?+)Ô/n(Ѐ|+)Ð1"4è‰á#¼U¾—cpуëØû.—ã °{FÆñû¸ÙªÐ[µ•ÒUµ‡ÖQyнŜìÕXžæ[W¦]_]j6›ÊBÊJj9%Åm|cq¯ÐP4*ÖÏHtÆ+r¿Þ 5~#Ñç‰0·t…„¿–¿§`²î¡ï”aç«Bð 8Y0ŒO0{©ã‚Ͳ™ÒnyÖ\³®¾VîQS£ò©¬Î +(¯Ê2UšXEæj¶¡¢™§/ïË-唞×”^’d–Þ—d”þ×ÿŠ3MD¨1A–‰ð³J^ðW%fA‡ç=X¬ÄÔÌ4 ˆÝÐÝà‡›B ­y#45ï¢ZšöÑ«ã ©Þ¥õ™~E–¼@C]S_kÍ­iäf×Øšê!az•S¬®z;\Yuù:\Yù“HUIÂTf"P› _]Ax«k¹Š˜Cý“¨?4 +`Cíz'fà,@î€Òw +;ékW½ âj0ì\ÂŽÅ-ðêÒnؾ$…mˉ°uY [–u”MËe”—Wš¨/­Ø©’•)ZøÊšxåM¼ôƒ›è*¡ ¯uÂËÄ]xéçpþNÔAß{&q38ÔÎ; ¾p;è@Ä5€Í7h~ËD·‚@t[ÂÛ› ìNîDÿnðî¥÷^>pîWû¾ BïCȃóÀzð`Ýû…u‡P˜· •y“ÐÖ2ƒúcc}8óÜ»y3€Úi‹¨õtïuÔ`ÓÑ€ÐÜ ðcOxþØàÿ©ü>ݾ¿û>øñùÌÞë;x}~l‰Fຕ@¥öéCÂqÝ šè \íÙÖ¥)û§ÛÀö„±bðJî‡çr:žÉ£P-gã±< å<Ü—çáž\„;òJÜ–×ᦼU¶ûpÝö8®Ø^ÃE»'¨Ð 霽Î:é'¡8óáÅø^vMm ó-öø,Uîò)QîôÙ¬Úîó½j›ïiÕfßÛêM~/¬÷šµ´æ-ß¿-4ŒË©ÂŸS§ÙÛŸ*p£µ•-lQá犓­|PÞ:‡Ma(ó‘öú÷UìöOUìðÏ°ØfÊRn1MPm6MW—šf«7™ +l0­Ô¬7m°\kÚeYbúÑêþ×­Vø×h—ù ë%µ´‹? Z+jãs¹¹Þ¸À©íl gÚXâØgzjûö~Ší:J[ÛEJ›ã,6PnLW­ ¡. «)˜l¹ÚœkµÒ\ ]n^b½Ô¼Æf‰y«\dþ·\h¾,Ï7WÛÛ9µäüaSßÛøâsæ\ NqÙ+çõH +eíuØìŽ-?Á?;µ—Öw +W”tŠ±XÝ1Qµ¢cJƒe†j–tÈ´* +ÎÖO³Y4KžTh;7hµ.?¨Ô~vP™}^P…}nÐ#ûÁÂ~z°Ð‘]N-Û:â3.mµKîžûŽvʺ{:+°=TFiXC¬÷Eq„YZªX¥, +Sv–fU6ÌzΣåY_L²ý.4W—:Ï~FÈrÇœ ú©!{œ¦„œvšzßiB¨ÐŽdOºú„¸Ï%îãâ¹·Ï´•Ÿ7uÑbM7g¬ŠjŽ¥ÝÛH‹ºwP,ˆêª,ˆê©ÎL´Ìë–¢ÙuˆÍŒ®£lsºdë¦vÉq˜‘¯Ÿ±Ø);|­a\øNãØðÆÑwŒYo _G=9’Ù×7YƒŸ#Y.ù{{3~,°‘ŸK¢`E=÷ôÂÂ^&© W{Åì^•ßõŒVo™Óc€vJ4yRôp» ÝÇØÓ}²ãب<§¬ÈBcfd±óÈÈm.#"Ë]†EÞtɈzëÒ“ã°HáPç)Ç`e8p’¹ˆv$pŸÈøñÀŠÞ(ŠµÇüxÌéÓJÊë¨ø¶O¨2'>R=9®·åÄØ$ëìØAòØÞ_ÙîõµCfÏ ú‘=s Ãcæ;³ÊeH¹~ÙãˆkZL½tN‹r"}zŒp¬ó˜ýp©pŒùïcü-µï4CJb¾ó€Äe®ý6¹%%pë›p…j\ú&#’„éëÜéÌù€}ˆõßÎø¸åYI ¹%Åû)Ö˜šêŒ‰ƒ›!;Í_3øÃT#R£4ƒb­† J²IOd—úÝeÕô•†á7 1P’°„,,.¸V°:,õˆU±‚HÁA@ÙÙ*›‚`-‹k1X«b]Q´êQD˜Îè´#UëѱêèØrÔŠÖÝ:õÎk+Ú™süãá—@¸Ïw¿ïÞÜûŤÚÆ,ÈÕF/(ÖGFWÙÏÚä8;j—SxT‹ÓQWÈc‡ð(a>_è#æ ÑöÐÅ}xŠk°…ùßÏ+èö$ö|dmýŒ¥8Á…IZä%BNò(If²—4-y’YrR€"!1Ôbaâ\eLBŒUt|’Mä¢,»9‹–ê"VÂâ6:„Æíp ‰kv +Žûž Aˆ>0^舶‡K¼úgÝųô×f²ï]̼ Þ¹ù>-Û)9½‘˜;‹r=$±¹ãeѹ~òÈœŠ9ÙaÙ‘Ê°¬…êÌ4› ÌÙ$Khzè˜Ç:°ö œw=}ÕlIVæ…|¦²ï(fïYbŽ¹¥Ì.sFx™ ÂÊ<%!e¥3˦ÊË‚äÓKÃþ¥Q~Ë-'-ÏVy—[M(Ycó^I­­WÉÍØ’Sš±ËîÚŽ]*l½ŠH!)6=g°;Ø–m^¬!ËI.ÝI¥À¼•ì}*$®´BP•#«† `Õh¼¿ÊKâ¿ÊWê·jºlÒªP3Ÿªyò‰U ïUeXxUYŽ©¬RzVnQyTîW»W¶«Ý+î¨Ý>j·„•Û +aå^öšcÜ{™s½Æ2 |s@Ò鎭ÂWëÙÿU[`ò |7ôƒq8¼î˜P3ãk¦HÆÕÌŽ­ —Ž©Y ó¨I‘»ó{6–+Þ1n2w5î5w1¶™»l¸mîò‰xkÄ:²VXŽXóšƒ\s;Ø}FïZ:K+œ* ­ê<ºƒÙùmÆoÆÔªàY§‡G]¸×€[½þ\?£MþxÇ,iš+q1ÅKÞ6åH‡™VȆšjdCL»Í›NÈ×ß2T+䃶ˆ^7“Ï„¢‡=¬{ýÕtW¬ +èMÝDofm¦Õ·žÛ×]2 kPãO  Ý3CöŒÀུwîõÇ€ÆPôoŒ†scú6.CŸ}ë$Nû¶K÷ýEâØØ%qÜó\ê°[Hv ™ÃÎ×l£#ç½z÷ÛÒ,zÒaèõÙ ¼ËžÔu?0ø Ðç°†&5ôM:èöƒîè0h›Ý`×<šcþ°=Fâ`Ó’ë–rX·ÖBÝz„\…Uó3X°>"$d3ýë8÷2Î;÷sÖà `î. ¨ðý’ù§×õ00è(лв]µ:!‡e› +mv0oë EÛ2 +½NŽƒü¤?ÌÚÃ!k‡´½’vN®}'Ðñ5Ÿ·‰à) i{Mõ'Ü‹Ÿòû¨HÞDrÎAôúÒû.½.ô ×ñ iT€ù·€ä¬5/Zà\àüà/Ç_\Jy¸ÄCð2¿h/—ð`æ¯pB—/ðù˜ˆÿ¡‚ë­yOåÜç3ß3ÐO¯çWÀÛô:赦×ò;ÀìŸàXä_à*ã¸Æ8®kx!vº7\[cÛŒ£›‡@77þ€»,ð]Nêî¿ùþ)ŸâKéOgÍ£p4“þx0mÃÚ¾Ì=½jz/¼×Èä¹I~"ÝrŽ©~¶æÅT<ì<<ãEùWÊÏy ~ +n:ÁÅ-ZÉUòð‹€˜C@(ÿ4™nOÎyØiÖþ×/ÇqCù¹.× (Ï+ŽŸ÷Ý+»ì»7&‰·FÁ‹¨,(,ȲܖEvvå²ìrY–Ë‚+  "²ˆ.!xCƒEƒ—h¢&Fmc›Æ6ÓIf:i:MS§M[§vÚ´}zâ˜é‡ß<ï¼_þç9çÌyÎ>|e >X6·—ÍÂÍeà½å7àÆòpmÅï©Å•O©K«½€\@Îÿ$” dê‡âXÁqû·u,x¼FVÊà‹e«àÓ +øÙ*üøU-Ü]ï¯)ƒ[kkàݵMp=Øï{©«ÁýÔåàaj1d‚ºrŒºr–¾r…ž_w~kÝoè7CŸ°fC ë$r"”°ãùCÈkKãä©GmŽoµŸ‡³áWkðqðKp/4 n¯RàZ¸.G˜áR„•ZˆpPçÍÔ9E;}VÑCÏ)öÓg~Ö¬â(ë”b–}Bq‘}Lq›=£øŒ=ùWΑHÂØH¸ãÈë‘„óCHƉ£W‰Ï Ž×‡ÈG1÷7rá}…®G­ÅÍ›`!:Î)3aNi¤Î(-Ô)¥>¡¬§)w²f”ìiå^öQåg2z‚{8ú8w}Hca klœ!Mw0¹…7¼›ßŸ¼WЧ>(ìU ìQÏŠ¼ê·™.õ=¦CýÓžüOQ[2 ô$á÷<ê%žbÞ¿Æ{?Ì@ω¾ïlÔÇsc9•Ê…™49Lføv3ø3©áÌ ú@fk¿ÖÌî×–sú´5¼ÞŒF~OF«À›Þ-ìLß/jOñ¤ïJ[¸Ó>´¤})iNÿ‡Ø•ND„"BWÚO°þ_¦àz“p}ßbè·0–éL +&tRݱ†õ‘p@Gùô©tŸ>›Õ«/`wg[¸]Ù6^Çg@ÛŽÂÖ¬Î@wV?Ó’å»t3ÒFݼ¬^wKæÔýZV—õw©#‹ˆÆ¡#"$ð9põÁÜ7\Gß·`Â=¿` +c™Ðãži`à@îJ0†CŸ1ö“)¯QÇê0æ±Û󊸞<+ß[Ð’ëºrÚE 9{ÅNÈÄa˜’ÕÞ’WÞ•Û ŸÉm†'2›H1ÂØõD„>ãìÃO1wŒWÌè9KŽ¡ÿ›(D}ü7˜û +—A¯) ºÍÑÐiÞNµ›3X­&{§ÉÄm.,ã5VÔ4ë +ZE5ù=âªü!©Í8)³çäåÆëAeÆ_•¿•—‰´ÌHÄS–GDÏùÖá瘃[xÿ·qõz½ßž~\ñ__‘zŠ_‚Î’h·l‚V‹ŠÚiI£]–lvcI>ÇYbá9Jl5ÅN¡½È-ª,òŠ+̃ÒRóaY‰éLP±éZÙüIÙôXn6i‘‰H¦¨ˆžó;¬ÃÌû Ô\¨À>´bð<ˆ±ôaN¼¥<Ø]&OÅp[#¡Ùºj´jhg…Žå¨ÈãTWñìåÖ€Êr‡°¼¬ETZÚ)..š-ã²Bˬ<ßr%Èhùù‹Üh!Òü"A˜übÂÑ3¾À:ÜÇú_CÍyÖÁŽ~ ÏJÔÇXÚ¬,pÛ¤Ðlÿ4V…ƒ³:–rT'ÑÕUZ–½*‡mµ›¸åör¾ÅV-(¶5š+w3•û$Fë˜,×zRn°.ÊõÖŸ"–é+ˆ‘Ê ó=¢g|Ž}w뿈šsµèwj†«Ñï mO žµ 8+ ¶n=T;£ÁæL ¬Î4º¬.›m©+à9,<“ÃPPÛ Ì«õˆrjöŠ³kü’¬êã2]õ%™¶ú'ÈŸdÚ*"ɬ"âL;atv"ÒÙ–xˆ}wW΋¨uºëàD¿Q‡9@ZzüWÓö¦—Áê +rW”ºâ¨—†6»t¬BW;¿©ˆ›Ûdåšê²ÝB]㑶aXœÞ0#ImX¦4Ü—jêÿ(IqqJaRëˆ(Õñ‚Øw×1ó¨}­€¿ û  iÀo{ ú7,»dPÜú*˜=áPè‰|Ïv*Ï“Açxô,}k!;«µŒ“ÙZÃËØÕºË+Ô¸‡Õîif»û<“è¾Ë$¸1‰-ÿ%6Q¢‹nFÓw1—±s¨?åFß‹V q#µ¸Ž—¶˜:(0v2Ûµr¼¡ ÷n‚ÝñÕ­¡2»ut†×H§yKد£ö6q·wuðU]ûâº&ۺΠc»îc;¿Æîþ·0¶cÛˆ`«ç7±ÿðγ€qÔëGv#Mí¶Ôï0ôèú±/ÒûWCª/R|JÐøA=N% è©DŸ‰Vù*Xq>';Öçá(}ýÜ-¾Ã¼ÍýgyQ¾Û¼¨þ¯xQ}ßñ£z ?jÒó‚«Xó³xßc^€‘.€=È.üv v)jçõd¨Ò0Â@üÈ+w(¶RÀV,Äú“ Ư…èÑ\Ø2ZBm­¦6Žî¤þ^V¸ŒµÁ†µÞÿ{½ÿ·¬°‘±ÃNØrà1糨{¤{íi'҈ߕ>Ìjï@k¤ˆ›Ø<ɃȣP]S!> ¦·ÂkÓjX?­ƒ°7þÇvy@E}eaüûOpè}P,ˆ ƒ…±€ +(¢q]×äèÑÕ Æ‚»¸ADET,ŠNPXªÔh{,G$1®qu]{ÖXëÛâîêÙÃùñ¨ï{·¼ûî‰@óx˜g £y±ägΑڛ‹%_ó>É`þQ2lú]f(2C¾¾ü/•)€™šë©·4‹yÀõ³ÕÀ赌A.çÏ| b3õ‹8~¥Zø–9ÀPæ Ÿrx—ë¼;<+"áQ1îír\é\—Êp®4骎Up,§2!9ýµ’VŠ3y³™‹ÔLYÇ»HÝ?çÜÄR·O VFý* gSÝ€cµíjõ°¯u#`WDÂak‰„Î26–1ÐZ¦CcI…Ú²*K%”–£\ï@]+ ©!ÕÚ=­¬bÐ×ÔC݉ÔEݸr ß6À¸‹úÕÔ¯Ü÷_¶ßÈ ª×AVï©Áhð6#GØüádeáµò²Y™PV:ÒÊ ¬—ÉSþ^¼Åú ¼ ÀjO-ÆPwmä¿ë€.û߃€k= ?Øä§À†CIl3ÀYwÒ8ß•ÍÓF6eÙ„\dáiâ…kbR5Ñ™ß&·É+"þCV!ïâV`zïmþÈDQ7Œº+à|°; ¨ÎRûùžü@.óWtl†õlÈ]€ë>À 7Ù þLÜb¾Å|›I›I}g'á&w!¯‰h!…y6ƒ±·Ní(ÚF{;Q׋ºŽç]# »DÍÉuòOr“üLhîªûvÀ/ôÉCÆæ±?ð[7à›“çlŒžOåð ÷‚1yI#_ÒW÷Éã’èûñôûh÷Úv<xòÏôÔÕ¼ÑmÖ¼EîšÁxDžßÈ3‰àµ=‡—æ¡°i<‡àÃ,øðæ†`ÐÏ!Pq±¡k¡6@À‡ðkA‘?‘ $‘Ì#©dYM6RDJÉvRK¾!§Éuò/¼Æ+~ˆ·xIžµ!쨭ó„PpÎWø’®¤;H†“±ä32‹$“t²‚ädu¶rß +¼À.ãž2ižà.ó'øW¿’Gÿ¡§¶½ „Æ™ß5ãÑæ‹H?2„|B>¥N"uæâ9R¸kC°’:k©ñ%÷2S£„VoÃÔà>ê©~ŽéòCø„ŸÓG´¬7ßA8RW¯‡°m!ç +—¶˜¼Gz‘jŽ ÞXjMf|ÎtX@Tjdⲩ±žûçs¿"Ü@#° Ç~¦ðIü ×p‰'ûž4q7Fï \¨ëhK_h®ZGž¥9&ŒÛ‡ÔíGÍxê}L­ñÔ™Š0&ד«HÃæÆeæÆ%ärÿM¼ºÅ8O_œ…ߊSŒÇ žø8£t”»Z%#Rëúá®ã9”ôST¯‚hgGŸ8ã¥ÚåA´¯'5£qUŽË²Ñh’%à‚|:ÎÉçàŒbN+ÒqJ±'”98¦ÌƒUY„ê +4¨jpHÕ€ƒš&|­½‡½ºW°Ø2cíjÞAxQŸeN¸W^/'žëíñÀÖ7´~¸¬}6}pV7§lGâ¸ÝXµ›ŒÃö3Ñ`?‡ìã`»¥8Ðnöës±W¿uú2Ô:ìAµC=ö84a‡ÓT9¿F…‹@Y¥ÎBzƒð¥¶7ˈ¥†Üðn.yJ\µ·C£½;N;tÆQ§î¨w€®Ã°ÏíÔ¹GûT{$a·ÇìôLÃÏåØ敃*¯|Tx£Ì{J½ Äç¶îHfÃKi“¯ +IA3!å·!üZËÉ=–Øk,÷»ð `™;å­ÕýýPgè†êö}°³Ã l÷ÊŽcPRÿé(ñŸbÿdl H—ŠVJæ€ Òæ³TX)åÖIy'e¹~’­ëôL–ÓYÈÖÕÞF¿R÷Kë…0à©Ÿ3Oöv´Çî@olë„ò®=P4[ÞŠ¯‚Gasð8O–òƒgHy!s¥!)² !™²õ!9²µ!ò5!¥òU!Õòì£ò¬ÐëŠe¡OKC…"#TÈ›Yò?¼ þ]êÿÐ8iâÌG,=Ùc~ÀÙ3X‡²P7líó‡a(ë‡<ã`äG`½q´´Ö8AZcœ"[eL’eʳŒéòåÆ•ŠLãFE†q«2ݸSù…±^•~E•þXµ(\(›IŠŒ­< æÔÏn_>ÿ|rë8nï”õŠÃUØÜÝ ù=ýÛ+ëLXcŠF¶i˜”e%-7•eš&Ê2L‰òtÓ\Eš)E‘jZ¦L1­S-Š0«FlSÏ8 ™gjÒÌ1=ÔÌ6 õ,“Pe ­<¤½W{ÓÔ>ÀÙs× Î:ÑÀW|j +{KÈí­ÇÚ¾>X„¬¨XÖ? +ýã¤ôþ#¤´þ£e‹£&Èÿ5E‘•¤\•¬š™¡ž¹F3+²Póyd…vfä>›ÄÈó6Ó#h§E ÍÔ(¡ž)Tm(›¹O|ǧÞJíºx Š òbØcòç«ûÛaÅ@OdFwÆ’˜0¤ÅöÅâØXiQìG²…±£dócÇÉçÆNRÌŽ™¡LŠ™§š“¦NŒÎÖN‹Î³™]ª›mÑMŠþV71úž.!Fh¢…†¨‰*a`+·"Ú}ˆÚÕÃÙc“‚¡œ·âØcòËbuX2Ø©qþHÒ Éñ&Ì(Í—ÍŽ)KŠ#Ÿÿ©"qÈ4å´!³ÕSâR4“âVhâþÍu™GEyaüùfav .D‹&¢è‘¨(Ú((Š"¢¬Ã0Ì ÌŒÀ° (‹Åq¡âh]\Q0.cMðhš›4rZ=&©Ic\kmŽmš¸&úõAÆäœþñ;ßý8Ã}îû¾÷Þï}ÚÔyI¯ir“ŽjIŸjíI·4ö¤Çj{’¨²'ŠJ¢xÊUîƒ?3ÝÔ~ƒíF[Ÿ6¶aëØþ>ð¤(Ñ ˆº´Ô¦‡£Ú…*C¬PnH ’Òt‹´$=WV”^PV©ÈO«Wæ¦5©úMß¡ÍÖÑYôgÈM­YÿHcÖ‹*‹^TZREÅS.qžaŽQû Ø‘läZVóÝÃuÔd¨ÍDµñ9TfŽC¹i*ÊL³—iPlJ“š²¤N“]–—Y(_˜Y®°eº•ÙÆ•j‹q£&˸K›™ñ†Î˜qZ—‘qC›‘ñ³&#CT ¢Ò¢—‹ñ}mðÛf`6½Ž•9àx%×RÏuÔ˜TfõÇbK0ʬcQb‚¢ì™BAö>dÝßbüûJxŠyhêI%)à{Ûrs™ãâ~0”AZy(R+‘R‰¤Ê9H¬LT¦ ñ•I\Ež4¶¢L6»Â-ŸUÑ]Þª˜^¾WYþ>ùJ¹ø®"r‘¨ˆ,¢J‰«ÌÁáB “­÷Æ2`y)PKÊ8Î]LßWAß³H^ªFBÍ@̯}ñµa˜çž‚¹îhĺã0Ç"ĸ3…™n»d†»Xå®–Ns/—½èn‘O©}M>¹ö¸<Âý…<¢æŽ<¢Z”G,!U¢|²Ÿw™óÔ•ÖÐK½REŠøžCíôZ ±ŽÞ§AŠ˜ßõÃÌÆ!ˆn…Ë&`ú²©ˆòÄ Ò³Sy_ôX…)§á©&z%<ë¥ã=Ò<ïHÃ<ç¤a?HÃDiØˤþWÞd®÷Tó<Ôp/.¥ï$‹H.µ³¨L›6×ÌXEÿ¹Z…É͈hƤæј¸f"Â×Db‚wÆ{ñ‚׈0¯c½¥ã­B½^a”·]é}KYÛ#„¬ù^±Z”Œh"«DIˆŸ®*Þ/ë빩¹„ò=»‘5XÄ7Ñkè¿Öã7Òƒµhºy Fm†‘­cÒ:#Z#ñ|[,žkKÆð63†µ9ñ›-Õº¥ C¶ló[|¼åµÞFP‹(m"Ɇ>öP{Û +Þ Œµ~÷u´†ÆÕ@‚ˆÙÀø7hYGo†· ڥŠŽ$;Fc@G8;#É\<Ó©GÿÎôÛ] +Ýî² ÚÝû î<Mç hw=†n§];ÙÑG;õ65+¨¹„P×üÖ`0‡ºÓ^ÂÛÐN`Ø`ð~ — jŸŠCp(òC¡ùÂ!ñEAðÍãeÃïãaóqCùè1}ôº¾£ä›úÜC"? ¤«6j®e¬õ¤”º¶6Ö€ñÆí¢v÷1þ.êû€Aoý8•ê]@8¦âÅB{|a#üÞhBû>›Ÿn~p»és»yÀ»™èn±ûuòù󘈿°º+¶2Œ7Ÿº™ÔÏXg&Qs45ƒ©9à=@{Ÿ?0ä# pZM¸Ž¹Ž3ÁlF{›ápà3®ã,?ÆgyÙŸå!ïa±{(pöm>/’»‹¿Ð´÷Á. d/`=Èf½CýãÌ70”šÔ§É'ÔþŒü…ü•œ€óJà‚ø"¸H£ò5›ôKãË\Ç~Œ®ò¿Æ ¿Îb^?EnûD|B]kÀ4åR¨CÝIÔÅXŸ=ÃÚ +(züšŸ“¯É%ò-¹B®‘Rà&kóOæä»ÁÀm•ïÇ?rwØÜåÞ¸÷p¿…0Á>&üÇ?6ê”2«j4âhÇGìÇØLü¿AÜãšÜÅI¼Ã¾x×qï1’Gx“•»É¾ñPý¨ÜR*Çê+°GÇsMµÔΆPSÇÎ20›l<@ÿ±?F-5š©ÑAոͽŽÉÞ¸Á5¹ÆZ¼Á¾xWXwq‰+0"<Á°¨â¢¤â‚üMTŽ8UK¾<á¨yÌX>–Ʊ¦þÌsî ‹qW\†·¥ ¼%åá¦ìÀu¥W7®hZpYÓ‰QŸ\òéňïv ûâ¸C8?î οŒ3n§&<ĉIŸãØdGÈáo¡N¡~·:Gܧ¿ äøÓŠx0nîúâöøù¸11W&%atrF&Û0¬-Á­ 絜õóâŒ_Nù­ÇI¿~÷GýàHÀ) +øü^ø†?Ç` Š½STì!»Ç|†:ãlGæ˹0§§¦áä´›V„#Ó84£ߛш3Û±æj웹 C³v`pÖöÌ>†Ý³‡10ûvÍù%¶¿ô™°å%Uè'›ŸÓ÷œ¯8V? â¨_LýHjóȹÂ{ß<µ3&â̬)8>'‡çÆàà¼$¼ör&†æçcp¾{T`÷‚: 5cgP'v­Ã¶ ~akð¡?øÐ|^è ¾.l +ù©°>ä/ÂÚ…ªÐCÖ„|“/˜÷¨û@ÜŽFcy¤GÑßñØ9¤Á¡ ¼2ƒ¡áØ]‹Ò±cq6¶-.À–ðRlw¡/Ü#ô†· û… ½Âºˆ]bOÄ~quÄi±;âŠØñ¾ØùX\ù/±=R}FÄÿø4”ÇõßåX¿–Lk¡§6c9ì£ ×b r&¶G/Ä–ú–$£W—…º|¬×Ù±VW.ôèj…Õ±ÍÂ*]§Ø¥[/vê¶Iº!©-ö„Ô;*·ÄÞ•›bÿ$7ÆþSjˆUÇ=cèžñ˜õÿ·;Þ3Ò˜?Ÿ’¨Ío¬ÉvÝ$ô/ŠÞ¸Ø°, +ëôX“n}ºô…Â+úRa¥Þ%´ë=¢Wß.¶ê×HÍ ›¥Æ„½²'á¨ìNQêô?Rjô”jýWrµ^•\ ÿEãkpŸùß4rýiÓŠ 2–)ô˜¬I¯~<Ö'¾€ž¤yèNGWJVRÑn0¡Í/´B³¡Bl4Ô‰C«ä6¬’ê ½ráUÅe8¬T.j* w4e†ß“(Nƒ*;STéë<Œ§ý¡æUÚ³fÖ€ög€±ô§Ó_±&k t§ú¡3m6:2BáÍСŘŒ&ãr4s±Hpb­±F¬66IUÆN¹Â¸Q.3îTJ3jJ2¾¯qoùØ5vãß•â U~Jº*ÙŸóëDÚ2j^¶'í9¶1–MŒ¥‡5é2ŠèX®Ekæ 4g£Á Y·9µæl¡ÚlªÌ+Ä +s•XnöHNs‡\bZ§8LÛ•bÓ~M¡éœOé¦Íô[«éKÕ¤*Ö,U&’5S•lä#æú5/åGòè±i763–µŒ¥+“w.Ö§Ù< 9Sá¶ÌG­%Õ¹ñ¨ÊMEe^–Pž—/8óìbIn…èÈuKŹmranbËݪX-û4y–3>ËuŸ˯Èš‹ªää¨ò–lUã'¬ù j^,à^°±hË71žnÆÓFÖȘÜyP“ÿ"\Ö¹¨´-B¹-΂d”,ÇŠ‹`/(Š +œbAA­dµµHy¶Õ²ÅÖ¯dÛ†4fÛiŸ,Û5ŸLÛÏ}2­ÓdZU%3_•³ÆÈS¥1î1׫Ô=W̽H;¾µXÇx:O#c©ã³ªÀåEþpÏFIñB8ì1°Ûõ(r¤£Ð‘-Ø6!ßQ"æÚ«Å{“d¶wÉYö>eyñ &£ø¤&½ø MšýCMZñMZ‘ª¤ªrúª4Æ;¬ùejŸ\ì¥ï³ó®A¼Œ©–ß+ùÛéáX¡EqÉ –Á挄Õü2r˲S–/d—ÙSY¥˜élŒÎN)ݹINuîVRœÇ5ÉÎ×5IÎ4‰¥Ÿ)I%ªœ´â)R’C•’ÉmÖ|„úGiÁJ%¬i"•¤„ß‹ÊkÅ$äUN¥jrª!Û¥ƒÉ•„,—Ë]]EBº«LHu¹ÅW»”äÚ é]ò²ª£r|Õ¨纯ÄUýUŽ«Tå¸ +UŠ+W¥ø²gÜ`í/”³*¸øì!mÄMœüVXEÏ] ˜kÇ#«ÎËݳáFz}Òêã‘êIEŠÇ„d‰žAï©–yZÅ8ÏZ1¶~‡´¤þ°SIŠªOŠrÿYŠªS¥¨ZUŠ®!ÕϸÂ\ÏR㻤ÏŽ@šH5ß5¼÷¹¬ ­IFJód$µLEbË<è[ð¬u ⽉ˆóf`©×‚X.ào¥ím¢¼ÝB„w«¸Ø{P ó‹¡Þ»bhë'bh‹*†6‘FU {ί'ê¹ÔZÏëa©'å|/¤¶¹™ú^@ß,í%¯ø#¦k&¢» jU8"W-EĪd„¯ÊÄ¢n+ºKÿÍu™G×|¦qüû»‰X²YÄ–•,–$–›&ni‡©žÃTUk_Šp’ +"‚ˆ$ÉDäÔ’PJ‹ ÌÔ2‡ŒUcÌLU«ÆÑÚjj‰:Jû›Ï•˜:óÇGr#y¾Ïò¾Ïû<ê’•¬È¬ …g³*°¬]FhÖI#tÞM#4Ó4B3`Ži M7-a° ­*tŠfpY Sa*ŒágCÑ~mŽÔw®·@Š^dQÔbOEäú*<7Ps#Ô)7Fayñ +Í민Á +Ρ üÉ +ÌŸ¥ù¹jŸ_®vù;\yß)`ñÏj›cmAvÕ3yfó.°f ™øüN5`={e!ñ/–bò¥ˆ¥Rpqµ/ñR»?µ- T@i„Ú”ÆÊ¿4A~¥äkS>ö±jmŸ¦Vöùò¶ÛÕÒ¾U^ö#ò´_‘WÉOjYÌQËx?‹¾„Vv&g¦ó¨ùìžÙRÿ\)¡ý"ôKÑ/“Ê¥Ök›ÈËá%O‡¯<åî‡XµpØÔÜ1PÍoËmÝ$5q¤ÉÕQ ‹cäøXFåç²TÞ•e­)× +SMÖ4PIŒ…¬Yh¦Àx>]B –I¶öÏ•Rä)ˆ51 JjµIòØb‘Û¶2¶1 oc­†¯êžR P ¾†ÆRC¡k(b ÎWovÌm7á)˜ÄÊ +é Äš‰äzørjÀŸôE·§ý èo–ÚTKÞ;$÷]’++«>vöÛ½ Æûðc~ìÇZ†Z}-Í¥–b×b¼–jÀWüÎ#0ÿG±çièŽ%Ïo ûêFɺUê‚ëÁhú$µÜ/5?(Ÿ ÿGøÔ ~Åcäã¸Ã0ü§é$~œb9E³¯ã¢×Qà:ꢮ¾‡_À|F^}ÝIÄ;l 5à×âwKÝö¡¦ÿaÉ M·ch’JV±ä0ÃøÌE:Û\:Ç¢tž%áB{é ôKøñuàñ¹Ìå¿L‘/¯—¾©…‹ðÌgds2yý¡4]Û!©ûòTò=AíOI.§õÎÁ?úH+‹˜X£¤«MXPÜ¥ëääËʆå»øq‡ù>gãA*K‡»ž×c¼þÀúzM#ßc©ï/ñv?Ž>º>Äé~Ûçá_zN­oá:p´tîÀp0©›JÉÉSjc² ˜ Ç&C’ÉÙ0¹ð&51I¶I1Í/àgÀ³×”_ã÷q0Þ„10fÀXùP+` ¬‡-°öë6ПÙPŸàðOl°ùésÂà&\wî¼ò…V´†6=  ‚0’a&ÌÅ~¶žj ö‹±[†ÊZ=ÒìW«^»u_Ÿš:Rt½ûúž¿zÎmø®Àe§.Þüëžø4æ¢+ÄÃkÄ4”xÆ “ˆF +)OÃþ<ì/Â~v—ë–VW%qmÒwÚ¡kÚ§ë:Gç6¥|"gÖ¿lä"\€óNš:u›ƒ[ã×–ÏjòDÑì‰æ+D1ˆ²¿ƒÎx4¦bº®j6ö³°Ÿƒýì–plVcwv·R…õ¹ø+—è4ñgý¨SX> ίu/`¶@Ûàhȉ+¸“ËÖÄ„f7rÞ}­!ø>wõ7%é,gãŒÒ¹¢YØÏÁn!öK¹¾ktœšÕvÚÈ>Ö <¹¤ƒº«Z¬€ÚÿäŘ® >üâ<ÖrÑ jr…sqQÐëAöKP_à ٤÷ùŸ4Ö*—3ZïzC·Çªljjm3S/`ÒRÁ _Z€-ö +¿N¸º§¯!Úë­ÝMúh§Ûoµ½Ùªn6\[›Óæ‰ÚÔbš6ºÏR•û\­wÏ‘Ãc™*=V©ÂcƒÊ=?ÐjÏCZéyF+¼n©Ôû©–{ó>¼@<¡½ÞiGK ¦†ÐþiùOó)r÷ÔN϶ªñ +×––½´Ñ»ŸªZ½.G«¡ZÛz¤Ö´ž rŸ©Zå3]+}fk…ïÙ}—¨ÄÏ®b?‡Šüj´Ô¿Vþ§•ßæºrž(§­©EÏ hà!úפϣxzº ήA{ÛÖgÕ¯™6ùúhˆ*ºkuÛx•µë/{ûÁ*é0LÅFkYljZÚ1I©Z˜©¼ å+'¨BÙÁ[´0x¯æŸÔ¼kÊ }¬ŒPº¤‡üÊÄ~©+OQéìˆfç×uø²6ÄPy ·V·WIH¤–…õRa§¾ZÒi ò:ÑâðáZ>NÙá‰Z‘¢ù³5/bæF*#r•Ò#7i‘{ŒYQÇÔ¨+ÆŒ¨GÆô(ÓHyNd7xòÏñ´‹gß{‰=Ê6ŸWvg¾"7Å*Œl£¼.aÊé£ìn ZЭ¿²ºÒÜè·”=Js¢'jvL’ÒbR53fž‘“g̈Ya¤ÄTïÅì2’c?5’b/ScSbM#ÑI̯\%î¿ ˜ñgûËŒ\}¤2|)â©)ˆe÷Œn®E1>š¤¹=»*£—Ué½ú)­÷@Íì=D©Öáša§éÖ)šfn$[çIÖcªu¹‘huX&Åí°LŒ;by7ñqÀ´Œ‹3qÖFz›ÆWhD{ÿo¤ÍŒåýˆÏù ìqÌÚ½]•iõVúK4+>B© =5#Á¦”>4­Ï`%Û†)É6ZSm“ŒDÛ{Æd[š1ѶИ`+²Œ³UXÆÚj,£m‡\FÙλŒ´Ý³Œ°™ ô1g$˜Æb>†þžùªÿå¹ÌãªÎ0þÞ{ KHe‰ KÃ"˜I&ËL2IfÂLB&Û$$C!² “"IT¤BR, ø@¥!µ(}¤Âc©ÐVª«V­Ëc] +B­ûÒÛ_Hê¿gî½3sÞïûÎ9÷|/>ƒ¶£Xî$–æEø êÒ®ºÅcU›8E5IsT¼@UÉ-wdªÒ‘« +G@åŽ2#èXa”:ÖÅŽ;ÌBG§YàØnæ;ö[yŽc–ßqÎò9.YK¶¹$¹_’môqgœìŽø.jÀõ]ÄÓL,k‰c ­a­c¸ªS®UUê +¥ÍTEÚ<•§'©,Ý© Ó£g¾Šœ¥F¡s¹Qà¬3òëL¿³Ýô¥w[¹éû,óq+Çù'+ÛyÑÊN·Í+¤ÙfNj?gÑ8žIËK+¼ÝMÍuñÜJ,«‰£†ÚT¥‡©ÒyÊ]̈QifœŠ3©È¦€;Kn¿òÝEFž»Âð¹k\÷m¦Ç½ÑÌvo³²Ü[™î£V†û¬år_°\™¶ÙGF¸ú9ƱÖlƒMÙÔ€xꉧ†º,'žr÷`•fE¨8;J…9SU3GK= ”çqÈïɔϛ«\o@^o¹‘ã­1²¼Mf¦gƒéò<`9={¬4ÏcVªçy+Å󱕒c›}¤fCV?§Ð8š+õÀ/žn¥-¿…xBÄRÆg±ÇT7\ù¹cå_-Ÿo–r}óäõ'Êãw*ÇïQ–?_nÐÈð¯0œþ#ͧ™âßb&ûw[Iþ^+ÑÚZìÿÈ\ìû¯¹x‰m&æàµÍä}$=é—î¥o…†%ô¹PF<…Üçó/o¸¼ù£•³t¢² ¦Ë]§Ì‚eRå +d)=àWZ X)å†#°ÚH +´˜‹›Í„À.sAà°x>0ã ¾7ã—Úf|>äÙæxŠü°–²hÃ×A,‡BðóeVVQ˜2Š#å*ŽRzÉT¥•ÌVjé|¥”&)¹Ô¥¤`®ƒ- +V(!x‹± ØlÌÞcÌ î4o2cƒ§ÌØÒ÷ÍØ’ïÌØbÛŒ-²Í¸"Ûˆ+´cèì/bOÒ~o€&ôj`×ù<÷–H™´ÄiåƒäX®¤Š1J¬˜¤E•Ó•P§…• ZJU|([óCùš +êæÐJņn3æ„ÚÙ¡Y¡ƒÆÌÐ÷Œ•ß3–ÙÆÌòÊlã1tzJٓЂÞ¨‚Rî}eø¾eèWJ‹«ð>ÕC5oå(Í]¥›k¦(®æFÅÖÌÓœU‰š½Ê¥WåjÖª"ͬ­ÒôÚFÅÔnÔ´ÚnM©= ÉµÏ(zÕÛš\óµ1¹Ú6&¯ègJ•m<ŠÆh‡ÛÊñ|PÁu<Ø´ôø¬ëüZé¦Õƒ4«>\3®Õô† Ši˜¦7ÎÑ´ÆxMmthJc–¢óuCÓ2MjªÓ„¦6ý¨i«¢šöi|ÓqkzCã¾Ôøz[Qk`µmDÕÙÆ#hl#ÇõËY‹°‚àçy&-ù)‹tS“4‹Ýsó!&áÍñEš²‹:ŸTK—XÜ—’.SÄË p™8.¦j–káaÖáãRº3нþ”AŽWŸeÜ4ûôÞ€·Rbc¥‡ð/¸L³>e~>g|…Aùƒ`Ïš›ÉfNìû€„mÄìáÜÞh5@ßõ8˜ +q ÙPË`%ÔÃ:Xí°¶ÁèÁ³>¢ïqßáB¿¡x_ë]}¥Ïô%ß~1À%øÞ…·úü&¾ÒVø#â˜7B¸À%B£–ñ›¿™ñ70vãÞ¯ÏÕ¥ÿh§>Åg^Æ}~¢£”æ$%zEs÷ÿü`@û}xÎËWbCa„ADA ÌzÙè,E£LÿÖ +F¬Ó­eü;{ãv0-[˜žnrÛÅtí#¿ÃLÝq½®³úßþ•j¼Ähÿç8§¯ÄЧm>Gçuäælê–€ž“iÏE£Pï0'o©šeX§×ˆã?Lýéåí…á¸0?gÊpj‘ÇÃJðê‹U˜^lÂÔb‡·àPx;†wã@xû#F°7b;&"öawÄQì\rã‘—±#ò¶G~-QÅX´„MÿÇ7ÔÿšÏÌg«Ø÷¦Q;…O-ý¼ÆñSQóp2"G#q$*‡¢óq`i9ö-­ÆÄ2 v/kÂΘVŒÇø°#¶Ûc×akì6ÇíÆXÜŒ.#Ë/a8þ6Äÿë¾Ã`¢„þÇCj~Éòãc>1ï1½ŸÍŽÓÏÇ_¦—Cq‹±y,ö$È°31;VcÛÊJlYiÄæ$+6%90šìÁH²ÃÉA¬OÁPÊKL=ˆPêISßAŸì&ze?ÅZÙ#t§IÌ ›%UÂoWó9R2yÀ¹ÔWP›~Xža½ìJy;R¢±U–„±496®*Àpz9Ö§ë1´ÚŒÁÕv d¸Ìð¡?£}™ëÑ“¹Ý™ûC—üMøä7Ð!ÿíY†'K‚Gþ=2%|Å'å>KŸËäL!×Ïrl/ýŒç°ç¢—Í™s1š‰ ò e¥c [‰àšô¯©DoŽ=9 èV8PxáWt£S9ˆåÚ•{àQN£Uy^¸•ˆ–Ü g#÷?¡”ðÅ3¾¤Þm>óK,;&Y±ÆÍgßɸl`\á*cÑ—›ŠµyÙäŸ_΂jø +Ìè(´Ã[Ø +OaZ ƒp«6Â¥Ú‡jJ4«Î »êš°©>ª‡ÂªzB$a-œ¥@ŸSïf÷Ïü4™(c¯CO#ô²Ž± 1.½…aèV-EWQ|Å™h/΃·D¶’*´–á.m„«Ô§ºÍê^4©‡aS¿$¬ê—EƒúŒ°¨¯³ú¾0©ÿ êÔÿu¥Ò3J$a"?¤ÞõJžE>ñ‡ÉN–Å›èiˆ^ú—µ¤«t!:ÔQð”%¢µ,îr\ÅpVhЬ©E“¦v6VíZÔk‡`Ñn&íAaÔ¾& Ú÷EöQ­ý½¨Öü‹H¢ºâ5å’¸OÍ«zà4KáI²½Š='=駛qñfÜš%pi—ÃQ)CSU6ìU…hԕêӣAgF½Þ³¾&}Fý ú­¢F@èõ§„Nÿž¨ÔßZýòO¡ÕIB[%‰Ê*%q—š—X'{Éæjîé¡}´ñ»‹¿›õá°UÇÀZ“Œ†šLXjó`®-…ÉP…:ƒFC#j -¨1tBo Ag•Æ}Bcr:#݃¬®•w¥#³K Vû5H÷æ·Að 5ЇäÀf$&±"p‰ëˆ|ÿwHìdÅê“°b† çÝ|—¨³• .â&7P[Ó³MÊó³÷`븪oRû#‘Ò‡äþ$3±2˜‹ÁR$uHYjA\¨±¡, íÅÒÐiD‡®"*ôcD¿AtŸ„¥½³ôH8ÅõMžEÒNÄLm]'{ÏÏé¿L—wXÕçÇ¿ *óÊpÊ%DqE¢ˆ×¸¨ /Bˆ«ÆE‰yj¢MlœµÆ`Ö“&­¶‰Ñ8ÒÖª16Mn?HõÏÃ}~ü~ï÷œóž÷=çô~ý¹’_¡¼ŠäY⦎%Þò( »)Dn¦(è/WS¢:˜ÆË`Ê–‹©@Φ%r2m”£é ìKÎʡ䶋–Ó|‹œ­2L—ÌhÂL˜cxöò,ö WŠžƒþ<ô‹$ßRÉk3`…\ÌÎr2»ËÑì#s ìÍ¡jgŽQ[ó Ù™“ekž,3Æ›ùÀ¼vsðZ+Ô*ŸPébË[¨åµ…OœÊï¤ÇΗú˜Ðgß +©S3`ä¼ÌVíjeSËŒ[Û‘CÕh€ê(Âuš:.–:».X¤n0g®<ÊûÌ™+úË[¨F«_sa +¿SðyH1g`^.õ@×÷UÉsú«$§µ’Ýzß 4Ãfp“'E—†g Ç +m=E¸ž‹­ž¤®'˜õ•°ÿ½Å{—xÿ€þÆÊK¸›Ñ4ÂbXÆ94sÐ퉮ïj©#š†M’C½dƒ;Œs¢‘ærÃŽ]ر;ö½Ø±;hŠ(|F‰u€l¨…]pšw¾år¦›ß‡ {é)ñ5Ò“‡Õœƒåä º½ÐõÝŒ>š4ÛïA³p…&ŸB‡á‚£4çÇhÎ{H¿c_N`G#I#ů‘KæÉuŠX4²`ã;ð1°'-ÍÌc³Ù®quÜë¸x-t»äÉžû%—CR[«Ö1Y~Ö‚÷à}8€³6Ò9‚ó4ã.ûHbÇUš(€Mö¦ém™&>ºv ~‹f³¿“ت¤×ÙâŽnÀÛäéc8!µ;ݪu.4@¬W¡ ®Á§ð9|ÉÐöé¹ú ù-ì¸M“ôÅçùyw¡t±{ñÞé>SÝý”½ƒûÓ†ã˜#äÀIÉ? {çY÷r«¦Uë ø +nGL_ÃMÀ%Ý{Ö 0´=&&OVþÛƒA’ý…ÂcáÐYØp ¶²ÜdÒrƒ­Xw‚@ˆ€þ0ÆCÌ„9P ePË`•~ašûYõÌ;õ¦ÁÙ¼'ú€ óK=Ö=â­‡­Ü¿Áð‰uÞ”œÀ±õ¯Õ_…8 £ l4rô”9÷ sîcìx„ÿÆŽiµþ© úž)ð>sæ]÷Žu› ~˯›|ù «| ×á\KÍ68€Ø´þµÚä Ýñ+’/û£—ˆýcÑHgýi¬?›µ Ø‚Ö.cÝ*üZÎÚk˜l7âùÒ£49ŠÖY}Äæ]ÅZ«æŸZ9ïÃéflAÿ‡›M¢ç‡O¡èÅ¢÷:#õWöäÏš¢ÏdÔÇØÑD<®²/W°ã2v\ÂŽ Z«sÚÂ.ì$•ßæB§‰ˆ|¯“Dò*VÞ…£p¸Ù†gúO›Sª-±3à“7û„V8~$`ûP]dOÎ)•ã˜FÖžÂ\Ö.fÍ2ײ VG´žµ·r…ì×!ž¼…eˆØ~²dJVö¶²ç9Ã]¸Þ|äñÉ_|ñ#ht¢‘Èú£Y"f°¾Q±£;öcÇ^ìØ£jí"GßÔ&½A,¶“[Y¥6ÝM¨YÙü¿ê߶i9æl¾숗Xu—üèƒNöÒnÎÉNaý‰¬ŸÁúF½Ž[ÈÍ2‘ •dæ2"ñ­Ó62äP¹YËá]Án¯@õyžråßáÊÿ”«ö,ßåŠ9lk½’ÐtŧÎdX´"ÑIÀ‡Áh$¡14429ÓÑÈÓJ²æB²b‰–¢ü*_T*öc1‘­´¹­ +Û§*oC²{Æ´¯SöÎÃ1ÊήÚ<ÛA ØÚÆß<8ù~Zg¢Õ¶ÑZÙf€VØ Õ²¶ÉZÚnœjÚMRuû©ªj?Sfû9ª´/Q…ýb•9Ôj¡Ã&-pÜ-“ãQ;]T‘ó-ºüÔˆçøŽ«¬ÉÒá‹>eo{gÊ)ÏÖQ~ÖÚh£AµN^Z椗0U¹Äi±áEUUn­E&h¡ë•ºerÍU±ë|Íw+W¡Ûr¸mз]Êw?¢<÷‹Êõ¸­Ÿ5«£¥n ký0¼I²!€vß™‹òWÕ_ín/³›»*Ü}µ¨c°J;öU‰ç *ê4Xó;Ð<¯1*ðJÓ¯,å{ÏTž÷\åú,PŽOfú¬ÓŒÎoÈØù·šÖùœ¦v¹©¬®?)³«E™]žñ×ùïC)Ë!´°*mžU2÷aËÂ.mTêÓAE½5¯kæú†)ß7Vy~•ë7L9þÉšå?^3º¥kz7£ŒÝò”P¬¬³2VkJà6¥Ò¤À3J ú»&=UjE©Ïø°7%ŸÖg¬‡¥a´z<+Å–¢žô7Äfn€³^ ôÔì Íê¢ÝûjzMë9XÙ=G(«çeöJSF¯,¥÷ÊÑäàB¥W(5x¥&„Ôk\ÈA yOcB®+%ä‰F‡XZ¶(.E²QÒ6XU´¥<+Ä–|lÉ%69Ášì¦i!]55´‡2{‡+£wœÒû Ôä>Ã4)l”&†MPjX†&„Íиð /SJø +ŠØ¬äˆ%EœÒˆˆ¯à  †C˜E#à\ ç0–6–C9ñ,{rhÉa”ÖV™á®šá­É‘J‹ì­‰}£5¡ï 5D㢒46j¬R¢'kt´QÉÑù½@#b–jxÌF½³OCcNjHÌçð, Q-œ‰§½ƒ× À\ZãZ#mP¶¤GÙjR´‹Rc<5>Ö_ãbƒ5&.R)qñÕo’û%jd¿%ŧix|¶ãó4,Þ¤¡ñ5œðš^JØ£Nh`Â'ðPâ-ø?ªË<*ÊóŠÃ?we1 $€’n "Ê"ë° Ã2£,Š0QˆNHAAÔ(Š±ÖŒMªqµZ5qÁ4Õ¦‰M¢¡‰6mzš¦õĸÖ&©žÆš˜X§Ãüaç9óßÜß}ï½ïûÞï"ΡÓÉÔl‚åÐó’X?m‡ _fàK1ŸSÜU˜è£üÄ@Y’Æ(/i‚r’'+;Ù ³Á¤,ƒE&C‘2 2¦ÌUZJ£RSÚdHéPRÊ>%¦œRBÊÇŠOù·â %$»HrèíïÎTö#,…g¡–¿= 3ð§ +ùœb¬Üoe§Èœ6R¦´pe¦E+#=IÆt£ÒÓs•jœªãLŒÕJ26(Á¸\ñÆMšœ±G±'qAÑÆ;ŠNw(¦‡4‡bS:Fë» Ö@‹QšU<—Cqóäñl6)c¨22ŸPzfÒL¡J5M”Á¯ä¬T%e™•˜U ó Å™«4ÙlWŒy©¢Ì4ɼK‘æš`þnkB–C‘&Èìå0#ÑOa4AÌ‚é`…0eIFs¥f{È㣤œ@%æŽVBn„ârc59/Y±y™ŠÉ›¢è¼M²ÌÒDK½&XZaY§pË…YŽ)ÔÒ _+4Ï¡°\Èqh\¶CÌR,‡¨r(†)ŒJ¦æ¾5IVc’wU^56=VS¯¡5ËäYÓ!šýr«>—å>ç¾<ªò¬ìeëk…z˜¥P¦éÄíØ +)‚ilúŒu’Oýy-ðÔPû0yÚ‡ËÃ>ÆËÝ+7{ªÛó4È>]í5êo_¤¾öŸpðí‚S$œlþ7êSO7=Ï¡~°z&ûj¡Í"Èá9ÍFf3ûÍAŸñ(hú ÐŽ¬©ŸÜšÝ4 ÙK}ši~ši<š¹ü[¸dZ8ÐZØL-P kyÚág¼Ãຈ¡má ‹lêåyÖh‡*^/ƒ|ž3Xs"kžÄšCç£ß ù7IÚ%Ï%m’ú®,µ1ϵÑx­¤ÑXE#²Š‹¶ ¯C­ ÕN0Û1Ò¾¶ðÿC¼ËÙÆPØö"p8iFë™jòÀz§ñ™Íš õÔ î‡5JÁèúcÂ{¹ä±J¸†¦—Ðj}? ùÜ@ã·?6áÇfüè¥ à’éäp뤰;YT'†:×ñ¿½p†÷®óþ¾çpòzµÄyŸbF¬cIá¬7x…ä·ZòZ+¹oúw ½^B«mø±x¼BP\ûžáwŒíÝF³z‚w>…{¼ïpbGsÖØ ©ÃÅœCÄ9‚ô…°V¿Mè¿(¹¡×gš»áU8¿€ƒ@xu˜æüˆ»ôšÍõq?NÒxœäâ;ÉAÓEqu‹®ÀO¾/øš÷Nj[9——±W’â<‘×F¡ëË^”òW]ZG€’¢Mg€_Á›p¯Þb x›˜œ%7ïÒ ŸÃó ,\~ÝÔgw-ÐîíÀ—»/“M·CO¿À½HªŒÄ9†‡¢;b?ùÿ%ú=š].­ßÀ;Àz‡¡ßÇpÁ9œ‰ÁAe€ôW•Kä/ðã +MÐÕ éõy"»±ˆÅujóúMžï©”5çàZåÁzƒÐõa»Ÿqi¾çÒûÈ¥ÁöÖgð7×`x®Àµža naÖ”»ÔÈw4Æ÷©ÑïÙ'?PŸü_‚×à\cÒ +žàázö§ â òa:Ì‚¹`‡&h…6¦·5zÀ´õ=Ø}æ»o™­þÔx—$}£‹L™_éŽê6o÷pþ Û9oºÁ@èƒÁ ` DA +dcaª~P•º§:ì۱߄ýVì¶é_øñ“ß?™ÿÁ4zƒ"ºJ"¯¼Ë<}®ït K=|ÀYxËéC?çÜÛKWL|Y[0ëÏšâÐ2¢cA£ûåدÂj¾ÀÏñãïøñ~|Ê´ù SèÙ¼™T?ÒQJæ zÒ9"ñ>–ßuqNÁ §ú?¾%wˆÅ—̺7‰Å5fÝ/”ŒN–þLN>V 1,Çv¶çb{%Ó¨ßáÇ;øñ[­¥|;Ñx…R>ÀêâçprWJU}ÑóÄs_ÖD¾ÂщÅ÷T½MNΨ@¿Æ7𣋼œÀãøñ:~ÅÃZ‰íu[±¿›Ê8¢}D|E¼› îBm'ô|î€í.85Égo‰ÿÁ¹ó-/Ö€Î(t"шÇZ:ös°_ˆíl—ñÙØ®Åæ|l6bs‰¶©ll¤2¶9=Ù‚ÇlâÍdsŠ¡ç÷ýÛ®­öëè"GÙ'Ù#ûõ$:¡èD¡‘„Õ ìçb¿]P‚ýrìÏÆþ\l.`w,$ +Ï“‘µTÇV½À·W“UD²Ì® ª—£»âîr¼]æê9Ç÷Æ Ž]®€Ÿ;åA¬é1ôü°B†Ãñ9 ™(䲬h”°êr4*ѨÃf,ÖRþºÏãy ZDd²+ÉG#ºr‹ëæ"Çü›pvrÔ¾ÄÑ¿…+`³Üµ^Þè Gk4:‘èıŽT-“éT—iL\ç…ƒ±YÌn0Ë°/ÌÃ2,ÃÀ3,3˜±1ŒÙ ãÝÁ$¶Çv°c¥ëxKÒÊ­k7é’Vê´ª*«R¥¶R¤U~µ•ªV­¢.Q*µJ—Ûc;RÛîÌÝÎ{¿ûÝ÷;G:½šƒÒ r‚qéÌè+YâȦc¬n:ÃJÄFÜd)ò‹‘ï±°ùÌG}ÄüVu™ÿáWjí´ì~U¼®eï³Zr6Ôf_T=/ÄFð|Tg"S8½%›S[K8]ͱèŽlsrx[‡¶÷±²}ˆå˜½,ÅLr f…ØÄcŸe.vƒ™¸LÅÝg2î=&â> ÿ1ãñÆÿñ¾,Ðwvi9Tk¿¦í%ý?k‚5Õt2Y$Dq"nGâÓ9oæàŽr–j8à`!ÁE8ÑÃ\¢™ÄÓIãL&Í1‘´B(i±ä‹ìK¾ÎÞä{SHù9#©3œjü—õJ³–Cq'_vDœÕïSyʪi%SKµ,'Çr %…pj6³iÅL§U1¹³ž‰­„ÒÝŒ§÷2–±›ÑŒQöfL4-0`ØtžÝ™¯2˜y_æ» dþŒþ¬èË2žÐÿ˜LƒG²_d}>'.Èz¬i{Xû–dçUËœj™ÉŒf*3‘ýY&Æwå³/»œÑìöä4Ìi'ÛÃp®¡Ü ƒyûñç-0w”þ¼sôš_Ácþ=æoÑmþ)]ùÆoà6t=&Ïà»ezå²X✖ûãÚ.kßœ,È”jÙ¯ZÆ͛ٗÏsü† J*¨fwa=þÂV|EnŠúè+¦·x Oñ=Å«t?‡»ä*%oÒ^òÎ’ÓVòGa<ÁYü”we…ßй +ÖŪ˜×ÿIíW=£› Æf¸8–Ý%ÉøK³(-¤¿¬‚Þ²Z¼å<å.z*Öº•ýº"±tÇQÞ“DY‰Ò3%žRŠ=V +=xÚÉ÷z1{GÈõN’ã]a—÷92½ŸÁäýéÞï±ÓûkÒ=ŸÑc`ê~Ê5Ùî3ât¦ÅááRLrH»®[ú(ï…¢þm $`H#Ï·‹\_!9¾ +²}uìÒàeù»1ùÉð³Ó¿Hšÿ4)þ«$ûï’è(~I¢ïï$ È=÷Ë5Š«ÒXë‚E±_Z#¢_t ½^ꓬ}ÒWt,RTÉŠ$k$S ‘Œ@:;¹¤ŠI T“h$9è")ØObp/ ÁyvO¼L\ðMb‚ß&&ð¾ø+±#r®ÃròCõ|Ç¥ûÄ ÐpÒ!Ò®‘¶Zjä”öBêØVCq$„’…‰øY”ª!6ÔBL¨‡í¡a¢CSl a‹‚Tdè¡o1þñ'"Çää÷lg¥qHL‰ Ý¢Õ§9(íJi+"åJ_±1uBp:’ع¢Ã D…SÙÎbs¸€ˆ°…Ma}Ôa½Ü°nËBywîšx f•kf?T#”ƒ›‘“œVJÙ­ïaH=AÛaÑ+\úß8¢9°JöI_ñÈ4)³ÒŸ‡ØÅMD”ùZ‘áY‘ÙXI׃(ORS_US[ÕǶª\µª[UÎ\=%®êø=«ð¶¢@vP5,O8"Y=ç¨Ô%]Ú6ë™mzæ²I0ÏH_º)K¯¸¸ý0l>.³wR¹ò¤ ×)ÕñŒŒÆZ†šL®-vëj°ëú¨Ö5ë!¡‹Ö/êøçÅC«pøÌ'ºV5œ4X–Ö„†,(zõÛ©g®›Ö Cþ¢ô¥›|Dú' z "ž•þ9ñü&5x¾óªãÅZpTÇ%Õñ’ü -vz'—5Ñ7ô` BïcㆎSç} óÿ&TÃyéiê0(:5Ö :½ò H×tRúëw¢ÎK÷’¸Âc£©ÿ)¯j<®)ß^×x¼¦÷rSïå–긭…÷ŽšßMìÛz°Û¼[¯ˆ¯è9ø›ªá5ƒYi…Gcݲª9 S OkþI7é‚Þýe½.•¹Ö5âÎÓB ²í2â‹“{2å÷ceˆù×eõyÆñ¯(”K–kÁ]XXXv]`9DPEEE-â}Ö3±ÑªÕ68ƨÑÇš&5UcըʹMššúG϶&iLšLâ9šl?“qòÇg~¿eÙçû}Ÿ÷yG¯ã£ YµÑÆ&×Fa·‘ÔVÒÊ[Oó?Ÿr‰§&û4Ý‘ ©CÊƹšäߢÑíÏûԩÕ~á?­pÞÒª·à¼ 'ºK§ÈÉi.Äg¹¾Ëè]¼ólç©‹ Lè…hƒ¿óÝ·àS cÊüº)+¥·úC7`?ú¯vj¾ Çá$üÎur.Â{ðgxþ—hV.37Ÿ«¬•kz×ÙànPÜ7ÖI7ÉÅMº©›Ôæ;*ßD2f;y6ñUÄ+Ô6ýŽ¶«Só|§Î€}ý.¥¥ÂU¸„¤•’XzºM|;Ôé]jôsrŸEø€5ò‚zH]< ðƒ›t9} ô†¾Ð"Á vð@ Œ‚Z˜3a,ÒtSé|ÑÙ=¤»¯t—‚ùŽ‰û–ä}Mù•>¥Ó|¤Ûü¢«ð\„sOzÞîOúÞü BÁVȆB}¯24F£QG·Ö@ü™úÿÃÇ—øø‚û9 ç3ºÏÿЕþ‹b½Aá\ÕRu…”Ý!u>}³pŽ?ñ Ÿà^0zÝ£×½C.¾¡ÇüRCÐ('~nâã>®Ð_~¬fb/Ô%||ˆ÷µ¦î%Æù +Óx½¿âä6§Oïtò´Âk?ñÀÎÅøüS n)ŒqGŠ>¡ÇüH^òWBéÔŸðqçèsϨ‘سuŠîõÝçÛø8¦Mhl§”÷‘‰6´Îêu¢½F¡ô*üöÁÞ§<°k1N161´‚){:&Ê2lâÖQ|¼‰#ª&öxÆÇ!MgÄM:ˆäc¿Ö ñw’ƒÚÅ/wõEªcj/ÀvØÏwz¸×YΗ:—ÛIrq”\¡.+ vâ»Ù* +¨¸aÚƒÝøØIîÐ$bNgkbw%[éz\´h3n6i#‘7P›ë©ÍçP]ßIûû4ou.íw:·ö­h?¹ØK.viþðnEÃAü\âñG{4•XK¼IÄ›Nšõ¬–°RÖj5û*"¬ â/Èärfu)«f)ºOóUû’ïÊØáp—Ž-ql'¿eüZ!Œ#š1˜Ñ°¡‘ÉŒçi >Vác%>VåÌË2æe ëe‘摚ϯæil¦JfSQM¬¤Ÿ£û4×9öαͷÂháóf¶þ xú%{ÆZ1žp"Æ¡“„ï4-¦6²o,P¥š« +4jИ€ÆTÍâmnq<ÌMe>¨¢Éì“ô_x ¾ù­ýÇÌËy-°÷5Å+8Þ{wÑÒn}W0z‘h%¨¹KŠšºdhVW—fvËWc·!šÞ½LÓºRƒß8Mñ›¬I~³Tßc¡&ôX«ºž[UÛs¯Æõ<¢1=/ª¦÷gªñ¤jßjàb(GÇË‹lía5ï‹Ã¸öphÆßì?Íî Y½CÔè­iþf5ô±iJ_§&õÍU}ßM (Q]ÀH¨Ñ¸€‰8C5 T¸FU[U¸Wo¨<ð=ú¾ßœ2pôFqˆæZ#-á9‡Ï3#¹þágjõÒ”Ÿ©>(B‚ŒlQmpºÆöËÖ˜~Õô+Öè~eªê_¥ÊþãUÑšÊCæª,d¥†‡lÖ°ÝÚª!¡Tú¹ŠÃ~ï帧ýêÃq¿æÁ >Oáïõø©ÃËø𮪠И°U‡Ekt¸I£"©2¡‘9*P J4<²B¥‘cUÂåshäl–«Ðð+v*ßpX^Ã9y¢n)/ê1ON"Cm \e8æŸ3‘³Ô ¼OàoãðSƒ—Ñx©Šê£Ê¨~*ŽÔˆèx ±¨4&]Ãb³44Ö£!qÅ*Ž¡Â¸jÄÕ+ß8K^ãå×+ǸC.ã!eÇŸQVü¿áp;8„æ6Žøµ\E@#ÔÃØ$´ù®#ñRßS¥ñA*‰×ЄX4«h MlÊQ¾©P^S©òÌUÊ5×Émn”˼HY‰ëäLÜ.G"»\âi¥'Þ„‡²›}OÈ0ùt½­É\{­ÔLå8žg5G~/ÃK)^†&vWQb€ +’B48)J^K‚<–åYìÊMv)'9_®äe§T(3¥VΔiÊH™¯ô”g”fÝ&›õ ¬ÖSJ±^ãù@ÖŸ%w°½Mè.³Ñï¥rdž±PÉç2(áûbü ¯µò¬ÁÊ4@n›Q.[’²l©ÊLÍ”3Õ#Gj±2RË•Nc’–Ö [Ú\YÓÖ(9íyYÒ(1í„ÌiWà¾S}JjÇæÓntÖsõ\œN-Ú©¨†r>—@ßåã)—§;½·²Òƒ”i“Ó£Œ “ìV¥gd(Í‘£TG¡9ÊduÔ(Ù9Yg³«drþF ÎýŠwþAFçÇ2:î)ÞáSBØ}Úγ´$óô:PË´†ó^ ùàæû,žgÙ3•–Õ_©YÙ²â5(Û¢”ìt%ggËâÊW’k˜Ì®*™\õJp5Éèf‡uoQŒûeE¹Ëຬ(×]Egûž“åS ±Wg²Ñ’L†1P%P¹àÌæ¾é’RÝ]eÍé£äÜ`Yr#””+sžY¦<›æ9•àÉS¼gˆâ<ŠõÔ)Ú;Sï2Ez7+Âû;…y*ÔsIažïžçSDn[ÐXŽÆ,4&rý­‚áP ^pVÅæ‘,´oæÁ½4° Pñ!2W˜ ØÂdÅÚUè–¡¨P‘E#Q4NáE +-Z¢þEÿ'»Ìƒ¢>Ï8þdY–]p9d¡j F¼¸äÞEv À‚Ç®" «!ˆfRÏzD§1j5Œ6É$ñHÓš£‰1‰ZsØLµ5‡GÚ´4u¬Svœ´Ù~·íLúLJ=øíó}žç}Þ÷}ž­J,}Z¶Ò—e-=/kÉMÙŠé\!iZP[O»ÑhÍgÀ€J(†<ÈE{,Ú#‹é»K¥!å‘ʨ4+ÝiSš3E©ÎL¥8³”ì#»+W]EJr¹dsÕËêZ W—,®ÍŠwýXf×Q™\gç¼.s%kÅ=~€FG!ç":õP eP“idzÑUFüè3ª8ª¤dwŒ’ ‹$ÙŒ4Y!J0FÈbŒW¼1Uñ5劫©‘©Æ§ØšvÅëe<¡HãyEgé¹ +_+ÊTtuP«ÐX\Â~ ÆZpA ŸóxÍA{ ÚÃ]è36:º~û°Ô0h.CM& l–XÑo‹–¶Óˆî`]~„»ñ£‡‹®‡5顸{ô Ù½ ¨‰]¿à¹k4NÁ>æ ébrK $ÏßéB]Ë)f:[`ììk¸i8`o¸g‰¤gÉÉ~rr€µ9H}¦;Â¥{„ÃïEv‘Ã$ðÐø9ÏP™,zé)YªJ\œBÌcÈóÐUì?t-ij=¬¹7¬³†çáø¼L0­cQÒqÖæ-öË)ü8Å¥|Šú<ÉBŸ$À$ïÄ!`=Þº!½ùÜÄ\DÌÙÄ|ÿf)x­»ÑR÷†æOàhXãux3<Òª_Â;ðœ L çY›ðãcöÊ'¬ÉE¸K÷e +é2A]ÁØ•KpSåë9 Èõ(bΠljÏP!ÝŸÂ+aÍp:¬ñ+ø5œ ‡ÂÇpH¯>¶?ctÍ$Ý`]¾¤9¼ÉÅs‹Mx›B»à@w~Ç„ ‘q`… +£a2”B5ÔÁXX¦±Q¾f“ÜeÂû…z‡¢¹E¡|©^&ª—õW½ÍTó¹þÂ_ð‹?ÃxNÂá™÷D„ýH„ x&@“Q³©G·Õ ¯ðãoLN×™ë®1É]e +ú?>Ç?±Y>c2ý”ºDÑ|„ÊoIÖ9Ýd¦ ê8Çá8ú>¨//w•@<)Ä3½Ñ̤‰£ûNìL® ú?>Äß0ÕãÐú?ÎâÇ{Ìwg˜RO³yNé9Êæ%¼x‡%½ªcdí5^…à ìÿ–œ\ä®ñ˜õ{%‡ƒ¼e¡3)Ø/&³.lØ®ÇöllÏÃn应Ìw±E¾ÏVÙˆÆv¶Ï“:Äß^ž<@ÁìG!¤¹ž†½Ðöá¸.§óð®b(÷Ê?ÁhŒDcy›Ší2ìĶÛuDÚ„]?Sm+Ù_ÊqÑ…ò*ì?J&v±µ÷ñ÷%ªä}í Â(nóCØ +ÿDó:\êÓfk÷m÷tÌä*tìÓ3zßs¨¶Þ7ò]ÿ›/µøRk3É°Z嶥jºm°\‰ÃåL£Ê¤ïª"iªÊ’ŠUšäTÉÀZM8K…[T`ïTž}¦Øwj’ý9M´¿®ûEå$ßQnr°‰vÎJôˤþ“®ÙùÐ3i“ ¾¯Æ—éøR•-g²E)v•¥8Tš:LÅ©#5-u¼ŠÒ&©0­PùiåÊäÑ”A^MÔ¬‰éíÊI_­ éÛ•¾OãÒ_ÓØô ë¸ÅkÏ÷x +­G‡Ò‚ÒŠ.¢ œ õ`ð¹Šï+ñ­œÜ”9"Tâ0kš#Q…i*È¢¼Ìáú7Ûeõy†ñG (—‚A–uY`wÙ]`e…]•]6ˆ¬xâM0žxD£†â ‰Æh´ä›hÔ¦:±­©M“š4¦‰=Ò$ÅΤÓvÚI›ÔÛ´¶;³ýÿ^3æ7{ðß÷y¿÷{¿ã©Íwi¬©J>S¼¦ªMMª,˜)OÁ"•tÊ]Ð-§ù)•šËn~]6óÇp¢²Då€o ³ã}=׿%؃¹Ð +M|žÌ÷!ò©§.ãÀoNP­9M¾1#U3&_Õ«ª,UVÈSèSEᕆ嶶Êi] ‡u¥ìÖ.•Xw«ÈzH…ÖÈb=·x•µpgÐÙŠælA»S¡‘Ï!®ƒãùŸŸ|Æòœ·(^UEÃUYœ©Šâ\•—ŒQY‰Mî’29m^•ÚÆÉa›,›-¢û<Ù—«Ð¾Yû.™íe²¿ª|û9¸)“-ª0—pv Óæ*½ˆ-˜M0 &ð]Ôðÿ*¨pĪ̑,Wiºœ¥Ù*-5Éî,’ÍéT‰³RÅ®:¹&ªÐ5UW›Ìî¥2¹7j´{§òÜ/h”û¤²Ý*Ûu]9®¨rƒìFc3×Îe.æKFB€ï|P eàä;GY¢låi*.ÏRQyž¬VØe©(—ÙãS§^&O“F{f)×Ó¡Q•”]ù¸FV>¯LÏ+áy®ñ>ª¬Š¨F–GµƒØˆ½¤¯ÍÔÆ󾪡©„«©µ*^–ꙫ3TàÍ‘É[ |o±F׸”[S­Q5ã”SÓ¨û|3”åkW¦o2|Û•îÛ¯4ß ¯ù\UZ ·FoTéÕQ=Füµh.&þ ¸Bà‡ð€³ +}/Þ«P06F£k“”[—¦–²ýyºÏoÑH¿CY2uÊLRz`šÒ •X£ámJ<«¤Àq%Î(ÑYIþ{J®‹*¥6ª-h¬¬–棘µPe`GÛZ‹>Ö-oþcB¼2ƒ)Êf(=˜ &¥…Š•rkxȧ”PPÉ¡f%…æ*!´BCCÝŠíS\ð¨b‚o+6ø¸«¸znŽ¢ÚŒ5]ŠÆ®ÞÍ0Pp¢]Œ¶›’W>ÖmĤX¥6&*%œªäp¦’¹J[4,ìÐÐp¥¾(.ܨ˜0/¼6Þ&|„fÃ,4b¹96Dx¨Ž5‰ÆŒ@=ïë Ü|¶¡mA{ôDô'£–R›b”45AC[Rá@‰pÀDØT#EƒMaP’†Ÿ˜†¯‰<¨Æ0‚·iáÖÔBS£¬Bcþþ“a<Ÿ}PÁ{{˜$å7 eÌhÆ6©uˆâgrјÅÁ?›v6ÊœœÁM¾¥ncÒÚš  0“mÛx¯9c8ûü™ßGXÂøfØHê¨â½“1[sþ)»ýVô)mâ)nœql( Ò".‹É£=‹ƒžÃ¥ƒM¾ƒÅÞÁ$w¨c:<_åÿO&µý¿¹ä°(ª…è´Ó§ ãõñê&ýâ©’iúXÕ tSæKÃ(kÌèRˆpÀp [Áeg%yt2'«9d×r¸¬cNÖõ/8&s-Å[»Ö³ïŒäjÌi'7ùUÑÚÐjá±ÆëçÕC­m¤^0}Æ›Žnr‡¿ ]¬"W4yØÃ&ê±™zt‘G7õØB=ôÆV6à­,ê­Ô¢‡öðãží\¹1oÁÀvÁaM]QÍD¯™:×óêåÑR¦o ig3Þtt“:¥Ø~ÍÐ[`+<„äªÆ(fð"ú$ý±‡<ö‘G/r/~/ «—~½°—[óÞ׸¸q›Þ}p±Ô¹ÝZjí^LRçZ(ÝD–TL¿æ£†Ë‹kòàÅ›©åò?x§Õ0ÒAjrˆ¹ùfªô-ò8FcNŽÑÜGgñÝZ.Ïxé;\ qS‡Y‡¸S¢;žZ{–Ó«¥\ê›ÞÍøûÇù„¡Ù¯·¿_XâbS«ã@H Ø Ax^ç‚þ—ÑÓäqš÷4Ý[4Ùz“õq +qê]øüC“˜&é9³©‡õÏx“Ðò¬1¶~Íc†ÎIÃ2è7e§á8c•~³ö!|D¿^ K¬ÙKŒ—Xð}4YÔ×+]$ØÅ>¸£À#œI̯e'{5N¡®±‡1¾bh¾ofì=Cc@~ áW†ad 0®ŸÁÉã*ór޸ΞqƒMæ&µ¸ÉäÞ:$ÝfϼýÉ€ç$`8dA>Ø ÆA´Îd,Á-­Ô=œÏßqBÃÝÅ~‰#¼M“ÜÀµ]Å[}®wuŸùO|ʯ~gá ¼ ¯Árøýy¤AXÀ…–zýEaÝÁ±Ü «äñNí +në2.ïSÎïY4¿£y­½”åy] ˜çõC4ÏëÝbš¢ú9¼ehŸ€ãÿ'‡{øÌ»Ja,#tZ\S ZŒ£–ø!}‚ŸêÃ5}Lá°Îâº>ÀU¾ÇÆñ3òø õxG;h“^Úåms·{†V½¬ï3šï¡ò]8 +‡áÀåÀJe|âIé·†NSÆ4hTÉO[„ˆ}?±#ÄžIìy´êbZg)±Wã*7êeõÐÆ»ôïj‡¨ÅAªr€™zÁÐ}ž†}FwûÛúŒ–ㄧ ShÇäžGîV4\Ô­šØ~b‡ˆ&öTbÏ n•_Äò}Ø«õ ýÑ«mÄŠ¿ÚM-vé§,õϵÅ'`| …/>g,³“Æ’8B-^T*ñG²™ˆ_L|7¹{Ù¢üÄ»‘m«™˜Ó‰9‡˜‹Ø:—w [i³ò¸ºÉ¨‹ åFµ™™Ü¤¿ò`< WÐ;k,÷—áExö²NžT2yg?‡øfâÛˆ_F¥½tŸŸøAâ7 +ñZ™…9#‹9N–³ZÖ“I:Y'«¨Ö +ª¹\ïÓ9×yþåÔØv÷Û2»È?œø™ÄÏ#¾…Èâ—ßKÕýIJBÐh&þtª0—Uûjg´‹ÁBF5ŸÎ×éžËp¢ÿ¦Ûù6ÇðþX¶f¶ûŽŸÍƱ¸–ZtR‹•ú'ÓeÛæ]Æñoâæ¶;v.çpì8qœÄNlçpšØ9쎛&MÓôN¶v-m³vëJWšrT+[§v êh5­´¬[‘@ÝQZXA\š¦1& + þà‚ +!:Ì'©‘øã£×ïë÷ý}Ÿßù<_+ñ–£QËŒ7 ÑŠFQ4âìÔ$íMÁ,«cŽ]³O›‰x½™aö¦YEVWòÏá^e¾Nº{‰Ô{®ˆ´JÚ;ÂõQÒÎ>žï!®ÝÊctMèØè‡:^FÝNÅtúÑf6R´;ÍI¶ha†Ó:fmœÑM²‚ÇØE£¬¼QÝÓÚ£^C÷"Çú)RîQX€Ý¯s<ÛÎÛ +²µuM!}*F«jTêÑò¡ÓÆ tj"«Wë²5ž=¦dö¤Æ²7kÄð°† 7,jÈpV†Ëê7ÜPÌðE×,+šs_ÑÜ´bð%4—J˜{JÂCvJ.ØÁïYžm\)W‰eʘ£©£&ó¬šÈ+W*ß©d~ƒÆ +Z4ZÔHAD‰Â˜â…Ã*œÐ@#S4¯hÑ‚úŠŽk­ñŒ"ÆËê6ÞP§ñø“:MAZ]Æ´® wšRðIJãýôfaŠû žó’X’æ<™Ì1Ù”097»4dnÒ€9 ~s§bÅ}ŠÇÕkIi­eFËœº, ê°WÈrFAËeµY¾*¿å]ù­²´YÒzÿéõðJ9ZMÙ `÷£<ñĉeÈ–­Á’" X-Š•”«¯¤V½¶­µµ(b ©ÛÖ£.û€:ìc +Û7(hß¡öÒ} +”Ské³j.½¤¦Ò7ä-}G¥Ë\ÓÜ“á<:ŸBó %àC¤ØYXcÜÇ)Oø/Æ;Qbé-ÏSO™Y‘r»ºÊ«ÔYáV¸¢I¡Š6+»Ô^U rD~ǤZ[ÕìØ«&ÇÇÕèxFÇ‹r;^—Ëñ¶êäúîÉŠpãhî§ìÚáÆ’À8$¸ï‡¾:jâ‰0.ÕkÔQmR¨ºDí5j«q*PÓ m«ZjÃj®í•Ï—×9¡FçfyœÈí|BuÎÏÊé|A5ÎWUå| þqO…PËÙÆQ4÷` ¶z°=”^£0Èï>žE “ÿü×^—¥€«P­.‹Z\¥jvW«É]/¯Û§Æúv5ÔGT_?(·g\.Ï&9=«Æó¸ªÃA6Ã2Þ¡3|43 »#7ó96ÕÚ4Uëô_ø.½Ê4&ab1æðÛ¸zésV•£W6t‹Ñ-š”ri’bAÚL±³…"c+Ƕ•DObÛIò™cNæ9TæYPs46LJs;á0ÿc`w^ã@Æn¿ËwéUf 5…Vœ1!®¾aÉ5&9°f6¦ÔŒná&<àV´·Ã<»ˆcw.‡,ã±—8öÇî‡ÿ‡Éº@c ³ËÙ„nîÞŒæc+Zð$_-xI¸ð™L|Š1y†¹y–uz–8–ˆc‰}²Äæ:Ç`?G£giäÌs®×yïǼÿOéé´&èï ºôÙ·=0ÏþÛƒ>º9g4Od´NÁi8“)À—à<|.[O—“+Äq•8®‘ô¯±O^a¿ÌX\¥á«üÅ«¼ÃšøÎîRZ#Œu}öÓgã\Æøš¢"Ó¿Ó½ç3Æã0¥”¨+FHØa¾˜“Wá øÅðMÖê›Äq›Ä›Ã÷MÝ7èä-:uqóïýSu_ýÌqp?ûaª`ûÓßÜÓ™>^Èh^ÍëÿÓŽ; çsôÍŒIû.¼•1ŒïÂÖêûœï³_Ê^ý “}‡þùC~wõÞ=u³e|Šš“’•­“>£ûrÆô½,!݆ïÀŠ!yûÿtVLâX1KÀ/áWð[ø–»ì•eÓ2c±Ì¦Z^äÙ‹@'î~°êyyð_¦Ë=¶Í« ãOÒ8Çv?;NDZãØñ%‰Än;7çžzI›¤m’vݺ6[E‹Öª]ÛÑ ½±B«ªÒ(° Ê À*•kÇT.ÓØT`ã@“Æ`—¢mŒ–2¶™ŸsQôS>þü¼ïwÎ{Îy+8À!h…n† Xëõ‹ó_8žwp@7)Ö8£¿ã2ßÂ}¾ÁÄ]cÂ^eàþ̽¤?èøÌ«üò +<ß…oÃ7á9ü‡â|N¨ƒFb-C¡OoãcÞÀ¹\#Wp9/ã|^Âu½È¹Š|Ž<~K¿¡hM‘^Ñ#8Ìï0lO1|ÕeÔ.åc¾_ú¿Þƒwà&¼©2â؉ãÖ_ð3/ã©^P'ú=‹Cú%îéiœÎ/pZOà¾~†»Œ|œÍâ1òø!Åü} +ù"“zARBéLÎy½»û,|>ŸÎçðOø°séyxŸù4cñ$cñsyy‡0åÖÊ;¤ÐîG{í ´W¡=‡öíhϳ<¶â*wònûY6e6N“ÅY–ìâ]adÞZˆyNÀÇá8°Kð΋eôT¾¼/·df©¼… }?åedÛÐNëaÆãóA{í)tgÙ"Ö£=¯Säq’y9Á¦ò ¢Ü¯3ú +Ç¥èOÌTnƒðaدïwð“ü’ûj~ùŸ‘ m+ÕåÐ'ñº§`¹6¡@;vía´³hO²…Íè0y$ÌË>íf{;¢{ùÕn2¿‡ÅµSÏ°ÝÞ€ÜÛa<—_ÖœêúB~Ëc7EÿE]ÁëÆëÂëTúÍÚK÷2/{¨Ó]B?‹æJŽ5h®g¥ÜIÛ8Zö±rŽsÌœáÎy²û)«éuþçþË&x"¿<”ß傽 ǃ‰Ü-hÛQtýú1ôè§Ðï£"‡ˆ‘Eo’•2K•n B>@6»X=Gµ–‘œ£Rf©Öf}†•6CìÙ<ßËo»'óGÛôÂqÈÖ‰JúeäkC¿}7ǶŸaŽð1’¬Œ1úˆ1ŒÞ-ŒÄ4+fÕ:O¥l#«¬¢¨à‡©ž <ñ+xr ŒÃù¶dŽ™û8òö”rD–.¶w-¶ +·2k‹9ês†9YÃÞµšÚXEN³f'Ù;VR+¨ ÖË8WYž\N¦cÔço5ÌÌRÁTù#ß¯× Çõ"g‰ùÛé~Žþm0ëa–{«i ¦Égª°”XVÔÄqÇÇ;‰ÓHœV"·«›ä/‹î4­gt6«—ù覲º¨ð4«+ÅúèdgëdGè$~ +>K¼c´@» ZûbK¶†ëIç~–ï—›—hy‰Y£E6Vh¨°Fƒ…~ , )³¤Y}E õ¥ÔS”Q·iT]¦I¥LkÕiºSíÅ;•,>ªDñƒj+>§VÓ%µ˜®ª¥øºâ%9µÀib"î6Ž·NÞ&!Ëç½ßË€µXf‹2e†z˪Ôc®U—9 ´9ªTy‹:Ë;ÔQÞ£eåÃJZV¨Í2§V˼â–j¶Q“åAE-ç±\RÈrU!ëu…­9Eàqös íèmo«hnáÿ0ôÓ*÷ò]7ÏtÙ Ôµ´Ti›U)›C¶jµÛê”´…”XSÛÒ¤ZnÅiþcÆ„šŒYEy…j0Ž*h|JõÆ×TgüX>ãEùìÿà:'?'ÆnâÝ…=XKÛ³’ö|”ÿÐý49u_;Ï-s)é(WÂa¨ÕQ©¸£V±Š€š+ÕTѦhEJg¿Âάœkpn”¿r»ê*Ë[yZžÊsrW^Ruå pSngN5pñwoc-u@[>CÐ )¶V­äÓB.1W©š«ljtU(êr+RíW¨:¬†ê¸‚Õí +¸ûäÇøÜ«äuo§æn¹kÊUsJ•5_–Óý#9ÜÏ«Â}ƒëœ*«s:„þÝÄ»v|ÚOýA?tñ¹´bq¾o&ŸFò‰xL +y,j¨µ+P[¥úZ¯üÞ ê¼Mòy“ªõuËã‘Û7)—ï6Uù>(gÝ9|'e÷="Ã÷Ù|¿×RßuÞœìpý-ĺ•V|e€:„^è„$÷Z ‰œ"ÐÀs¡ü~³|õKå­wª¶¾Fž@½Üˆª­rÒª Êd%×ÉÜ"#¸O¶à Yƒ_”%xQåÁgTxS–J}NBw3ñæhù&hÅ¡Ú¡•{Í…ðƒ—ûžP‰Üa«\a»ªÂ.UF|rFBªˆÄäˆtÈí——-:'kt³,Ñ=2GïWYô!•D.ȹ¢âÈ5•„ßWi8§{ˆ¿ Ý5سl„y€× ˆCcˆ~àå;7­zUS‘œÍårÄ ÙcN1d‹5ÊOÊïQy|Læøj•Æ7©8¾SEñc*ŒÓüÇhŠct ±×UÐü® +›9?ÑÞ€öڣس^è€V>7C„ï‚Pǵ§Irag+Z +e´•Ê–°ÊšpÈ’p©œÂ1“xi²E%É”L˜Æ%ÉI$1ILY’Ó(‰ IpB'žÄP½ø¬¶œ¶oÚ+h{Ñï‚$×qhä~ü\×rß…uc ÊHÊÖ^"sÊ¢’”!SÊ©%) +S jŠ¤ñVé `Óø«ôVà4NaRRRlœÖäÐIÙ‘Ó]hϠņd “ë6hŽ3/÷«ø/¤LH/ÝS/9ôätï5þH’ÐÎu ÷‘ià¿{îvâw¿ Ø#™±±¦ÛA<ÌÊ0úˆsqsc,Ƹ1’|Írl7ßãmF1f#—ùÍ+ð>¿Ïiú<>]äsœ÷ óßÏçš4ñy%ƒ¸Ö©lH*åP_ŽŸËràsÀN0+8t&ÙܧØH¦(²)^bª˜)<Þäv ;[‰i[A×:A=Aã8Þm9d E¼–¬êùìA¢²Ÿøĵ·$+"ùo¦Ë<8ÊúŒãß;ÙݼÙl’Ýdw³»Ùì’“¡!¹ SAn +Š Gñ@e" +ÅJG‡CÀ)ãØ)R¨ÖZ§ÌСPflÇik©RA Te¬jÙ~ÞìÛNÿøξ×>ßç÷¿ßóe`À.˜Éц³ñ£?:ñ£›œô˜…ÖMðº'òÑ…˜í¢.;qÄö+ü\ÌŽ©•õ ð 'Îü†)'/aÌåï¼™S‰}«É 0ÇPc7ñ!gÎ †Œyø±?QK9d–’“%Ö .ÁéÅó5±ˆ‰u!Óóüù}ñ0ókl‚¯žõVë’ÐO)å²^ÞŒ6)±®“`Š{`©5ô=Â0¶‚úXI}¬ÆuæaÌÆÞK}ö’Ðu\‹ÓkWr@nãzcÕy¾GY<ÓD^%ÎÃù-cÍiô ë5àM‡7áa‹ó»&X90ìÆOsðÝ6‚§Á&jä³>ê´Ÿd+ÁV곟Xl!y}KØŸ“6SÏŸ’žû’!ˆs=¼U|R<‹¤¹ð/²Öhr®ë­H(!0° XBŒÎqaðCð*5²?Ò+8pÐlûIì>’··—÷|ôê ¾ýLÚ}_cˆuM;9`Í…Ä9›ø¦¯°x7Zœ}—Éóò€ÂØk å-Ǹ(dD\,£FNàÇÛø?#'')ò3qË¥·ú¥£|xäßþCul¥åó©b³Šú3×»ÉZ§¹>¶W„]œËäyÃD&ÏQp°$½ ÞU\0±!V¥sÔÈ%êãµq‘ú¼@ÒÏ“ÜsÏ ,QTg~)¾®!Ä»î<òjgÍ Û¬˜š¢çÅÉ13 BMž÷[ ’IéŒÉ>—ÀïÁÁeðWð9ñ¸IÏÞàнÁFsƺFA}ÉpåC&ký’@&0@>‚r0Œ€Öuô-ys%ô ÊñkTåm’÷År‹Þ YWIδÕeý·þ‰{1Üé-S×€Cà¿üìXØ5‘ȵéG6(пјwUÇH}‰røúá:~\e£ø”†½ŒúúúMó! s?ΣiÎjéØOZŽÀ{šðÝ$U1Ò#¥1J ]v[>Ü_ƒ¯Àmpmu }÷)ºêôÌ'hªÐ—Q*çðã ñ8Ífy +Õõ>ºî=à»è™wðã$ñø) tŒ¦9Ãa|ƒ$ÆÓ×Ñ™¯Y¼;Á6ÐîÂù¸f¥ð‚•â_)ûÙú…ÜØb¿ Û5خǶ©Ú&b{¶gb»ƒÖxû µOË)¥5dâÚt;m´G/QÀß'*;ˆä÷àì›ÀÓà)p ¾¿Xåô¾Uæf{&‡ÐwѺИ¯¡íöP¯àÇnâñã.ÞN”ßíÔÈVjd ~ôÍêEá=×Kxó:\?דDtœO€Õ`X >¶Êú¤ÕÞû¬-`‡ˆU±2°›K«ú@ ¶+°\£g©§Q”Q´¨‘õÔH/5²?ÖàÇãz ûOR­ýz”æ^Nô–é·l·ß°ÅǨ Ùc~g­¶;hµ$»ñíi=±è• »ÙlYnlá þWÀPƒí:l7`{¶'Ñ!­ØnÇî-àn_Ï%sˆÅƒtAz·›Šî†×D—…w¬mÀÜ_P|û¬ت°”Ž]>ç`ÛtdûØJÔa¿ûã°7‰#u:ÕÑIDæQ±R-4ƒ,M§GZYm‹þþbÿÛVì͵›Çy,™GÛöÀ1ÙC,ºˆE'9é 'ÔF;5:KQµáÇLü˜Ž­ä¥…¼L£>¦ðf2ž™‘™HôšÉà6øñl°ãôðˆqÇ~+þë9ò–3’Ì=\·ss”°†AØOǾûÙšÊÞ5E^8BpDéÒJ8jà‰½ÑØnV_ÁÛÑD¬‘¬¢*ë©àïPé#©ü‘úĸc7|›8æV¦3‚püw1ŠÌäwGðä”øÈ6Q)pd‘Gnà‡§žRxªà©…§›MØŸLdÚ4‚HÖR1èÍ:g(UWÍ^1DwAŒû˜¶Ã½ÞÆxÀ÷ÇK›#>6sßÄó1ø3:m“ÒÔ`‡Ë€)®¸ð”°“—ÃU W\£ášˆýxÖC”–òö v—~¼ÝÇnwœè]·Al}¶øè³Ð`ÌãhiÍ`,÷ Y̹¼«ÃŸ™I‘–¡á)Õ&;U“”¯¡É^U'‡4$y°ªRªT™R«ò”•¥LPij«¢©ÝŠ¤.V8uŠS_T(u)GÁ9%ßRQÊ}¥²_Á±ιŒ_mŒ>“@êxVË»ü©ÎJPµ=EÕ™™’a¨2Ã¥ŠLÊ2‹TšY¢Á¶rEm5ŠØê¶W±­EA{—öÅòÛ×Ékߢû^yìÇä¶U¾í¦òíßrÓF8–Á×ÃXÞÊ¸Ñ ÁHî‡jF¡JÞ—ãO™‘¨²¬4•:ììÈVÄ‘§’,ŸÂYÅ*Î*UЪ"ÄÏ î3¦©Ðè’ÇX¢|£W¹F¿\Æ~9ãÊ6>çú>`ßÄþb¸:ɧ2ó0ÛZ®«yVJñ)Ê7W‚JœI*vf*äÌRÐéRÀY N@¾œ¨¼9U*È!k¬ò]S”çê˵HN×Ze»^”áÚ+‡ë˜ì®³à¦9÷ALk°=¾YH¤IŒ;cÒQ5ÜW‚Rü‰ð> BøÈK?/M¾<» +ó*ÈÏ—'ß'·;¬ÀÈÙ¦A׃A˜çAà‡·à?L— p”å†ßÍewÉ^òg³¹’;¹ï†ìn² ¹,$„$Ð\ TÄ ‘’ +„‹­ãD¡8Vª^¬µ”BqÅ2¥…Z†N¥ö6¥#Œ2ÚÒ¢±í ØÙ>òÛq˜—Í;ïùÎ9ßùÎËo÷Òyn”'È[é«Ú%gÀ+{ ]Il% +e VPÈ!ÀüdŽ"Z‚ˆ¤ º"ø8Œá<À<]ÕLpUq-Ãî@™Ô‰håÜ›_!˜ +²¸öc> )ë $ÈUc—£Ö¥¤ &ÄSÜa +(ŒÁH w#Éâ)Â<a–s2…9¥C§>ÔÞq-ÁîüJò€íFP ª¸.ã~1¾äñ›Íµ¿~L{‘ ®MŽH²ëiô 4Ú©“ -JG l”¢šl+@È5¡¯¢c`œ÷*oð >40E70¥c»Û­ Ô +¹WŠ/üæpí¯¿ýdK©—,1!ÊÛÌa7“¥•fÞF3ÑÐbl¢‹ál m› ¨‰B&†xjG¸´ä›Ø„øÐ׶;@ˆ€ |øRÄo.×ð¦ÕÁ”<“å)Æá> ?:ðc‡m9é&'½4´^6O/±èÅáòÑ3˜Jº™ÎºŠs™Üº˜bçàCg\½Øo3@¾jÊi¿y\g6À¯^g›”8 9¶@/˜}øÑoúø1DNÑØQÄCÄb¨…wDì&¶A„ÛÀù†zè‹kN#á ¬³·Ëá-à:‹²Nc½nx_âüÊÄ`ƒ=°Ü'Ðd6–âÇ2üá!'#ÓFW°?–óâr&ÄeÔåR¦çá‹Rô‡;ãš…›Í¬³žÔUÃ[Ìuv ~Öë&•v–À°6ÉIZFùPêŒIú`%XEnîE㮥>Öã‡yl¤>7’Ô ,f=FÖñâ5±–º\ý3KTÕh\íð5çéíÔ!©Ë%Î>Öë擤!sÖ`¹ÂⵆÎ1kø½ÏD°†ñ- ^[ñcœ½²æêsœX|ÃÛ0´•>ñÐÞCMlFY} ˆs¡ª$ÖùóéA ‘n–¸ÔZã¨5d›||ÎXÆ÷`«5ˆ?b ¤ß%bŒ—ž&&Ï°o_`¯¼Àa°ŸMö<~ãûÖH{xy7ÓôÎß1ÐßR”XûØÄ:ƒ8{¨WYëü†ÅIøňþ%@‰#_&…ÑAð’%”Ž€WLႧðã$ûäuŠý8±x‚WXÄQ>8B>¬0uUJ É&Ö^¶pòKd˜ë|GÃ|Fw³UVÂ3¦]ö“ÚÎ6ÚKD“_PâŸLpo÷ƒûÀFpÕ*%“û„U~/N”£ ›)Ú‡®ÚGNö¢ívSOáÇøñ8õñjïQôåvšø85òmjd+~ÆŸ9 D¹®!žMǧš)‰ª±;Lr+ªj›OU¶,UÚòà*†«‚.V_½¦ÙZUbëR±mP…¶¥*°­Qží!ªy7^ÿœ'’q~ãú&÷À¹ˆ±§—£>š@¨å^gU ÂcS…;Y))*wzTæ0Tj÷«Äž£b{¡Š¥*tTàˆ(ßѬÏjž-Jõì”×sH÷OÁUð/×ZlÃÓÇ(ÜÁ¨Ñ " Tr¯ ”àOï¤%*ÏHV^ªSSSÝÊõÊIõ++5W™©ÅÊ0*å7BòMJ3:eòKå1VËml–ËxRSŒ—å4NËa\ŸÉ‘JßÆöbøæ1Ç' ªA9÷J@!Ïóñ%7=A9¾$eùìÊô¥(Ãç•ßç“Ï—­´ôP&#}º¼éòøcrûçÉõ?¦Ë8Ê« +Ão6»Ù$›,›Ífw“ýI6›l~vIHBHè&@˜J$ +†$•AkJJÁJ¥´RZ•j¬Å¢¢ÌXµÕZdZQ¨µ t:0ÕVªv¤Ú–aèV;èúÜìçè0/ûåÛ½ç=÷œsÏ}o +|ŸÓ·Cy¾d÷}K¹¥Çe+½ ï{²y¹3°½¾ë‘ÀÝŒ‹sÁlP⼫¾¯À—`™Mþ2»|eyýù*ñËðÈ(!ªUh+ت‚`—œÁ>9‚ò7ÊœRN-àF Æ¢®‚Œ6`|K‘ZiÐ +’ Ä—¢|A|ñlòrå :ä)wÊr©(4K®P© +ùQ~¸RÎpBŽp³ìáNÙˆö/B×܆hD †Ñ²!|½ÊÆPNc%|½aÎ#hŽpøŒƒJF¥0ß•ãK Å¼›bxLq㤸Sˆ÷Ôn~ÇÐÈ Ð€ (ÙúŒ†ª¥%„¯“‘¬Ôóç]%\a8 ”gïÝ|ïJHùlÓÞH“Oq¹4d›i ­X´‹6´5òÑÆàÚÆ|Õ†žoexhE©´0WÌ!ÍøДÑ./Än{y೎¿«áŠÂY|<—ðÞ ¯ ³Îsc‚ZlØÅvüè0— ý:r’楉EšEéÀ0›¦&ÒÔåuÓüŽÙ¦ó0kþ@3¼ÆúŒúÓØnIžkñ%ÆòŸeð–ðÞ o!¼y˜Ìa|å²ÄHs©vãÇBüèÁÅæÂ!'KÍA#KY¸d>`˜]‚*YÌ ÕËÕƒr\tŽu¨úL-³1Gêšùl œkàŒ€ËKx_ o¼ö8I/9kAàè!Šh.\òËðcÀ•½øÉÉ <ÈFV²x%ùXÉP¹b“´œ³1ÀÀ¸ì4"sq}F (ßvxšØgœU œ¿½mìÞxm”7‚%ËÙg‰#rVZ‚Ç®5ÄdÂc?ÆÌ%DNÆ)¦q63†ã£äc= +qÅ´õ¼öyÖ¼# 3Á×6\/5ð†ø»”ð¹áÍï™Qÿã´øŒÐûˆ%úÆ,áiD e§ ÄÆ$~l¡6¶“[)°Íl&`“,Ø4Åoö!š˜$>öë2ꄯ•ýÖóMSÄÙÍ~†w9XeqrÄ‘ìÿÇ&Áf°ÕâÛÁíàNjänüø µq/õ¹‡Xì¦6ï,ºƒéaç“Òôo¤©©“ý¦øºš=puV?ü&¾kÁ¨Åy“ÅG«C&gð–åÈ3Üd…¹L(9!éQjägöÔÆêóky?Û‡ÁG>-}‘žýà)éþwÕžk)Û +öì!Îù#–¸°„î6ko,c\ÉŠðÿòAü(øª²f¥ï‚Cà~åÌå²y†Cv„Í&¨‡Ú“äã{OKß¹¨Fê*Jˆ¼¤ªÐìy“W³Ï=烀%¢ÅÍ †çqe‡#3 pÜHáÇå†\“΀³äåjô<9y™¢{‰@Ÿ&°/|N:‰gT ·î"bcö|'àkÖìðuÐâ4Ãà•Jg-®_€-¾__ƒßƒ?×Á[àmÎËrr™³z‰‚{Ò¿î”þr€ßGQ +•-¦@¡t¥÷˜%þ®"}€vĮ̂N£|S tÏLF×8$P¬ï3\¥PßE¿¿M/3y^b}ƒ¹ê"Éú3ɹ #LV¿Ô9¬ž˜™ë2l+C +3l3ÃÔ‘Á–Ä)e­X›Å%üx?þÁ<ó>jø*Šù + +þ-tûëL4¯áÇ&¹ßqXÏsh^¦x_¢xOãÇ‹øqJ»tR{õLÇ(Ž£ú™žÖßPõÊ&˽Üvƒ+p^¯Z!¤‹3ûNe–8ËqŽYæ ÚýÓÄóøñSâqŒ†u”Æñ êsÝašÅ(æCº™2ù”ž ˆ¿Mñ~“]äô +¥{mfß{ÌL¶ƒmà5øÎ:'“`6Í?š)³=Å|wˆœ|_APÅŽê±ÛL„籟.ìöÎL²ûi$_¦y=Bãz?"÷kŠ£zûÝDZ}‚§“p_!B%•‹}'ö]À Jà)ƒ§ž(<5ükÄn öçÅt”ª¤b£ä#BÅ…9!:Nˆ®¢w‡àƒ­pÜç’ôCÈŽnÐæ€$ïê‘îµ|_ã´)nÏSÜV êœ"xfÁã…ÇOž*xØlâ¯yœâ…x·ŒH­%k7ñ«xüxœSþspdø;£I쯇o9r£·8+Ñ[@ +Ô8ïc Ò•£h¡C‘ü|Eò\ +;Š¶{²ûTn‚¨‚ö¸ö¤üö6•Ù»ä³÷©4wXÞÜ*É’ÇöyyrÃûgÁEðo²šÑØ/óè(Ë3Š?³döoæ›%3“™¬$$`BH@¢¤ˆ ‹€U°d“­ì(Q¡"‹¨EED +TP‘M(ˆÈ–º€- âZµ"¢‚"K¦¿wæ;=§žþÑþ4œs3_æýîsï»Ýgü}àêÂß–6¥(M@ÏrAˆk&ÉЬõØ%êvJÄé^ ;’î KÈ— gœM@™ø ú³Z|ÎâuÍ9I<Ž9âv,—}“¸l‰+팸¬ O8:ê¬Câ_ ªmkÌ÷<bÔõ™$ìµJº×.!Í)AÍ-A&.~OHtOÈŸ§H¼ZsÑ´ÖâѪŭÝ$N­VÚ±i³%M["VϱxˆÙsRÌî„Ü¢Úx:À{u€>4ù ›gqéºYBzšt»ø}NÑ}.ѽñz½âõDóEÄ£gqé%âÔ+Ä¡·›~ƒ¤éÅ¢“NÔéy}d8/aÇKbñrgÀß¾*bNEˆ} +Aß3A ƒß"¿Mü~‡èºK¼º[4¿[<~MÜ¿¸éâ ÄÄÈ[°©¤[Š%ØVÌA²[ärÈNjð?‚„ôåý]༆–¤e˜y|Î1žG@(h’`À*þ€]ô€S¼@î Kœ!Mìé>±…ƒb GÅÎs˜Ì)4 N¼§_„(| §SCú¼ø,u%¤7á­$ú–Ñ’4øœÅ³ ¦–`‚6уvñ-dwºCœa§Ø¢±Ä¼bŠ1a1Äéñâ€æ1NS§aȤϋ„Õ8!5FÎ -EÏSw'Ã:À{Uû!Æ<€\>Çy¥–ô0¤§‰?Ý*> …­âŽ¤‰3Ã&¶˜CÌ™"Ù,ì\&57˜œK?‘GÓš× +´4J¹C96g! -dSCI23!Ýrmœ3™ò‹AÈæ{ŒZ"ÔŠ˜%ô¨I´ “¸cfqdZ$-Ë*æÒ<˜|6xcw!^"ª0›Ã…ù("ËqëÒkÖNåƤ”‚5Œ!96"Mç%¤3±¿ CÊs˜þo²¨#ƒ:ÂÔÁ2ÏÏÜüÖÁï¬È4ås¡4æ/¢Ž¦¦%žÔÁRLZšJk¢”¸M[³‰üŽf¥˜è +öESjh’ërÙ“¼³‚\¾gRG¾Ðùì…×Íßì¼ÖB Ë%ÁxPÂEWjI],-™“VÌI^T0‰ ªàG š¿‘FZÑœ^IRkA#VNŠ,c.š'äZ–Ï• À‘Wœ:ÂÀÏg/Ï\ðÚhÕÌL1—$ã’iêRU—kkuÑRG[ê¨bNÚ±ÙÚáE«ÊAΚh˺¼†ýÙ†äTI‚n}RÉ»Ë@S´å3$Î(õøìå¹ Þ4Å[f\âÈ"˜À h /\4 š¹éD×SGwêèΆê†ÝŠ¹+yÞC€F¶3‰­gDõv6gTû„T” Ÿa[>uÄá Áë…ׯµ¥¡µÒ4UFÀéh„®Fèºô4¥B@?ÖhöI| búaX_ôá¬êMbêõˆHŒù†Ð”–ð”°tŠ¨%Þ0>ëøìB¯¥ÒSí Î. ›¶z$Ãf*|Õ¨j@8‚:F³FÇ0'£YP£yùHŒ`ÐpΪ¡¬‰Á4°·ÐM LH9:K˜²Fðf°ý­áççæöÉ ™âT{|´«„j¡…HÀÛÀh#OSÀ4puÌdÎd¯ÞÃäÎàåÓq'óqém*i~R½Èø³RŽÞBæ7“ù à³›%lîjÛ› ÎZƒo¤ÁÅ£‰Iq©@>CRMÉ}’jPØ~D&š%êx’µ±˜õù8þ(“ššD˜ç¬šÇ|Ì9*Ŭ«Üvœ‡hÖ˜Ws/ƒw°¡QqN2ø×, ‚ðàAI5F¼Ž¨(´SBƒ)RV‰$›—M„ÂÍì×l²ux±’Uˆy–—¬d.ß/ù¬«(ǘn+Çí‘Д¥ÌЬBî݆Ö’j>—Ú×l[ ® Þà{Tœà3ð8Ø‚rš99Åú<Áäc¢Bv‚OUñÿùÏd±¦ÙN—[óúü`(‰Æâ™Y9¹y +6izEq³Òæe-Z^YqÕÕ­Õ暶UíÚ_WݱS—ë»v¿á×7öèÙ«wŸ¾ýú8¨vð­C† 1rÔè1¿7~¤ÉSn¿cÚo§ß5ãž{gκoöýs~?ïù.xè‘?.|ôñEO<¹ä©¥Ë–¯xzå3Ï®Zýü k^Z»~ÃÆ—7ÿyËÖm¯nß±s×îú¿¼þÆ[{÷ýõoû¼óî{‡>øð£Oþþégÿøâ𑯎~ýí±ãß}òÔéÏœ=ÿÏŸ.\Jü¯ÿôÿÂõ›ÌJ±]Iö YW¢ÓQ¡dg+Ýù/RÊK”ôr´·Râ+•úk‘ßAéïŒÝ”7) nƃeÂ-Ê…¡Øp›òa¬2b"NLUV܉w+3~§Ü˜‹P~<¬ y G+Kþ¤<©Ã”ç”+/bË:åË&eÌ+8óš²fòæMÌy[¹sPÙó>þ|¬ ú‡¾T}£<:I?(—Î)›.^JŒ>¹\Í»%À~¾þË÷_¸_b2™Í‹lv»ÃáÄ·Ç£a…O×ý¸ áG8‚#1<Á\Á|ÁåLÒš”7?3'é Öt뮶;©fÀ Ú[‡¿mÔØq&O6}ƽ³fÏ7Áà [´xÉÒe+êØ.j·¬[ȤƟILiLŠT*‘‰N„¢©hýòj~Þo¡ø»'¾Gô©~8î3gÏž;wí?]¸pñâ¥ý‰ºÆ¶ÄÓeÞÄÊÊhbm‡üÄÖ®-;zW_Ú5¨æÔîaãï3ûЖñ‹ö®›¼j×ê©›¶­œ¶sÓ²»ÞZ»dæÁÕOÌý¸î±…G. 54ÔÐPCC 54ÔÐPCC ÿw +ž¡†u%^¡†]½ª/Ô¬9ùúÐ _Ôºÿ½×Æ-~kóÄçw®¼eë ·ïÞðÜûV¯¸ûåOÝ÷Ñ¢'æ~¹HÖPG ÏVFë©áÕ®å‰=½ªÏ¿1 ÿ‰}C&|±wäœwëÇ>ùÆöñ/n{yâ– /MÙµzÕo.¯›¾Ѳ{ß_°dî'—‹×°Š6RÃk]Ë/¾Þ³ú̾þýïÿ{t–tžp|g¦™v§zf¦šfgÚi;f§ûiçéØîÌNÔìд2/AñoF)TLP.Áðå EÅ["-¯µ´ÌÌ)'-û߶gÞ/¼õÅ÷ýçy¾Þ˜áŽ€¤N"_£ +)“U£k„aê"a¸>WÑ~›Õ“Ä¢™¬ ð?J!ƒìÔ& vøeÞàzz¶ÓÃcòÁ-Ì£>ÿ$CkS®F–ŠkCe\ ¦)Æj3Jñ-)|’‘ʉ鱶d(;¼ÔØnZÔÚÿs®ÝõôË>w'C71}f¿DmäßäÄX4 >J´6 ÝüÙ3ÃæO;F·.×Ìþ^²h»ƒÎÊÎÜWã}®FÍ]ÇÏ…Þ +{óEÍü‘¯"‚f(/cƒ`Ó4„µ½Ñ¬ÿ¤çñ7Ÿh¦^!}wø>8¾5œÞKÇhàÊ™Hàí€AΡ Ô5à.-F\ |é{Gq÷{ëá»ïim=Æ/ÿ¤Zý©táçUpàûpdK*8¹+Øï#€K‡ÑÀëD0€Ÿ +¨3þ{ÎàÏû’7ˆ²÷1ö7ÕÁÚ@ÁæÏAþî•àÞÁoàäPa·È.57œd0ß~DˆK2qH9íyžþµBCO¬mHLUÖÅ1tÕ”lƒ„Ì2Š"8–¶dX2,– K†%Ãÿe(€ %6@d¨u= +ä×çëüý¦j„áò°”^A³µ€\¢ËŽ‘*´†ºÔ$,!­µ2.«KÃêãGv[ÚÃ=ÈÀú×ZP +$Aê=]æüüž+‚#†¤zwþ^ ‡X¦aFÕ*²b«ÓZ*SR»D ™&^\¾™Ëîµ4Àúh`C¡Í@v~'¨¿x¨<\æÕ¾þÏÕAÄGµ¡i]8¶^@(W±IŠÚ<Ê}IÕXžAï-ed›YIÌÜDV¿¥ýa(„ e¡úü „ —·Zÿg:8éQCHz§,¬¨I^ÕÀPÕQš%ìx£Iï-ÊÊ0ç2˜™¿˜,탡2Ad¨ j—£‹ºk.sú[°g-‘ƒH†Qæê$Xi}y„J&¤èE|š‘ËNîe2æÌæ@ZFÉÒû£ÊOü ÔA†F—# zw—×/Ø„9ÐŒ¸ÝÞˆâ©ë0RYe¸J($é9J;“CëÉ`ÑMô‚œ‡ÉÌ“¥}0° ïÀPäç·/69ùÝàæòªã&ìIÙl„ßÑë¼:y¨¤¬*LYT~?W@l»Í¥t%Æ÷SYŒÔBf¿¥ýaàC†*ÈPnû;½Ó‘×íW_ôÞ€™}ÈÝ]·ÕMÁœ*ª‚'Å(˜b¬öN)¾%…O2ÆqbzÈ…)}¤¢Ì^K…›þgX $'Öå¹mo N‡_u_qžð„ y“[»r=¢PØ"fU‡ÖdV†©è¢p­„Ø=!ð:qÜ´.Kû``ïZJ ƒìøú÷šsÛ^·9zñà²Óøè5ÓÈ-’®–&i *ઑ¥9u(Iº#O¬À©bDQHnÆ–P èâ”VKE¡2÷jÿðVwnëËÎ '†\G&ÜüÚǼˆõý°Ô²Ö ¼m0ÿNJœ\‹–ÆÉ°u‘Uø†ðŠH Z« %4Yà@†"È Þÿ5PÿëoÍgÿ1iºp`䉳ãÀôߦq/‚ÄìŸÄí€gÝÕ³é(M‰Eɱ•„Z¼,¬šT"V $´KÜMË2TíÿjA}ü»)ãÙÍÃö™ž9;g/û(žÞÄ–>ò£æ÷ÀÓíÈœ„f+Z‡á5Øb¬Š m ‰ŠèÊ@9µÊÒ2v~ jö­š½lí˜é̆¾q»ý†'GíÜ%¯Šç7BÙ#~ä̇ð„äÞ´Øt&© ›nÀ磛#XÈûäB¸.† ÓÒx–—ÑŽ?¿Sî]1a<úuÿ°í†–)»ýÊß/ØW/¸zòfnÀïNøcSG‚Èqƒ(j¤9, ßO ë%¦¡ºÉŒàÎèŒÀj–¿1!ÛÒ@ÉÆÏ€tû/õ¿,7î]iøûuÕä‡a\ÅŽUÇq\¦3:Z§¶:.gÆ +8gÜ: +ElA–,DBHHB@¾„- @„%1, { „E¬¥Š" +ZDÐÖÿ|§íñ>^õ‹çþwõžó>±ýL³pæP8:HÁå"ÿ•—Oêl@Hü4†@D E> E…ߧÐñ÷h Ìx 3ø{ =–p—Ã~ß@¾ÃbI»ËbüÆΆ)Ëõšy›µ`o%†³6…àâ”öÖË5~)ð +}çOztõGr(î ‹yÊÀ‡ÌĆ¡ŸÄ_ x ÷{œL|ß@¹mÅTÿæåÆÉí+5s7*àÄNØÈ3GRà¼]xž£Ú…øçŠÿ)Üó†|9x‰æ…^d\ \dyû¿bûø.pP¨…dß÷m®ký²Ûk—µÎm_¥ËÍ"8²ƒ'÷qÀÁ*œáò)Úc{&ÂÏB¤£?P|ñ5 +b} þüà¸\¤÷ÎhX½L3ùÇå5ðéj1|¹‰‡¶%À±/h`·?œbàÒ!4øöƒÐ£( ÷†ˆ^@9é ´S—aã±6îÀ¶½‰ïäïørö¯²Õ%'¶‚ä«ÝPíb 5—íAà¶(Å=‘)|FÂÌøìëIÉS|F¹!†'ÓÑòÝAcI¤n#V¶´ê4j¼Bkn    ¿{C.bÈE ¥ˆ¡ÒaÔž·…‡=Ôú»½’aƒg*"©÷tî­VîP*GhHL­ÒÅf)º¢sUíQ…ÍšH¡¶%¢²£‘PÝYµ¦ÝÜ~5ì[y‡6‚èøV!†zgkhpw€¿K +Lèy}\DMå3 û3Ù]WÑŸ¦ÖÆdkšijJ©®‘$ÕË#d=U$y—¹AÁŽ•‡ +¬7BÙñ-P¿¶Õ%P¡Ü_6†`×…3Æ$äô‘âè}N¬¬+5A¥ILÖ6±2ºUŒîóê`ì#%yWFÊSE½ E[V\Ks2§[•˜nh`çÔ±ÅCbšx¨˜.Õ è•½æ|Ä +Cű- °ÿÔNÖÐâæðVãí>ߊÆ=jÂÇÞVy& ¥¼»˜Þ Ée¶©³¹=™†š”Àή0šÛ¯†½k@ˆäGÿ +*ÄÐîh¹Ô÷ý\¿‡ÇÌ°O؃ì^LA›– m¸Ù(UÐ4Å2fw®„­O'p…¼¡xaé0³°|€YPa47(üt%ðƒ1Ô µÝΟ;Ï\0^°›vwŸºívgÔŸÝg ÉoBþ®¼™Ø l ´æÕÐ;Óe¬^Ž”cdUd˜¢Ë éâò~šPb07(úÍPf¹G?»Ï^÷œ;87ärzú¶›ûĸ~äŽ_|‡)8WÑ…­·„Õå5›S䶜ÞS«’rûI’ì’TdŒ¬’èÍíC!b¨@ õˆAsú/õç<»qÞvòž«ÛÝ—q†ïýXMCAÙRFÄ×àªÓ¯Tìbkt-¹#²šÖsUÆêÃV%ë±Uù}8Y±ÎÜ@€Šö®©åŸ@ydóëŽÓ;fÎþûјó©û/¸ Oy`ÛðQ\Gg”êCÙ8 §•P£&*)ÊÈ&bµWÇl ©Mì@×fvÖæ˜#b\MG6Íël·OžÝ?þÀñäw3.®}ÏÜCU(šä&:©Àš›Ò‡+au*¨mDYD+¹6¬™ZQÇ(ƒ®±¯ù«ÒÔ~ÊŒ&sƒÄPºçcP|¹öMÛá 3¶[ÆÇ¿Ú3òØñ”þ¹ËEÍ‹KAòIT¤` —9ŠIK0ás¢ á…ä^RéÕŠ×E«î`JÛØ2_mŠ¥M«67n·€ò®ÕÕ/tÿ]wï¦ÍæáI‡}ºYÇSšÅóßÔ½tóN£Âxh*w ǼIHŠº‘N¦d… Òr0Œü #‹ïoH,BéSŠ}úÒÌĈA¾û£7šýarÐúã‘ûÇ>Ñ=sØß¼töDí[gçò×nžyÏQAÉÓAÖ$ŽL½N'3 cÔ8ìFBÈm}‹Íõÿ.)u#=Õg4Ãì b›4~nñ¬o·ÅèøÞUº™c[šíöËáÌQ8ý¯Ü¾MYByÅ͇ÐfÃBHOI8Âtû8š:K +šŒ |˜Hñ{…šÈ ùLdšTý}Å«¶íËoÝݸ\7½gMÓ«£[e`³·þÃG›p=ÇÔêÏ¡nÄ×Oü"ɳ@E¿dø¡çYþsì@¿\4êyj÷lf°÷lVˆ¹ê/Ëo˜Ö.Ó=Ù´Býjï:Þ*€»ÿÏn½Æ%}¨¯ã¶êl­ÎNmg[­µ¶ÖÉS›e—é²ÖJKÉÊnÞ/T^ð†¯ ‚ˆP@QQQ@@PA/]ì,«Yiåén­›múìÿéôÙûÿ»ó¢¿÷ßÏóâyžRؽ‘ +û·eƒ[ +„ìÁCä>ÄyE@²÷)H;ˆ…¬Ãaã”#Ás´£sEÇfKûÏ–¡î?æ…ó,£‹æµ?_öV3|ó~lú¶¯ÎEfAx8䌃ÀíáX·HÜ©?BÆîÈþÉròê_(Ø{Jîh3[ÌÓ^^0_¾S ë3`ãòp^A€¾ˆ½kO÷úðÝØoýçtâ7‡$磊”¾ådmñòÖ÷íÐ +P¥+ß²£Š6/… +äfÕì^ GŸpÖ„1~Ïù)‘Ø$ÂT1|RB¿’Í.»˜Î玦ÔTMª¯Iˆ†â僱š¦è.Yo„AŽ¦7†7†7†ÿSCÙkCɦ¥ÀC µ»?‰—#4wÆPO¨Çù?­NÆÝãfo0©”qZãbNYÅùLnõ¢ ~(¹VbOKmñ²k¬ZeÄu¨õ8MÀB ̵‹€‰üÕ•Û?„ú?©§#ÈŽº‚,ØkNøD”s§:#ã:'‡>V\À9OeÔ “ØâtžÌšR­2'‰ÚLø掞8µN£éhm× é•¡1”!?mb#ù~GPqEfVô¸ 7UO$ó²K.”R+‡é… ¶\f‹9³\cL­Ôu%×uë›MêXy¯ߪoNhkG°WüÏÀF 5ˆA²k(ö9‚ÚÇÔ˜ÙÖÓÁZâð·$)9—«3ËΕçíÅ4©™R¬éÉfôi¼ÞNb]Ÿ6µÙ*MZÄIÊnAÓ‰¦W†2ÄÀùv ·-‡&Ä Ú·Ú»€6ó[ÛÉiuLâ Ye¬>3ÂÏYKó”ƺÎ@eõèòæ6r½U‘ÙÔ/"4õ SÆjb«MÊCb"5bè@ þ˜ØÐ‡í¸¤IUBþEI*w¨:SÒW‘«î.+Ô·•[iÜ>9EØß”+±U§Kør7Se@p^*6¾õˆA¶ó3Ðx¬Ý!—Yƒæ™!,ô!*y¢-žvANàÛEéM&AŽºƒCÓ«˜ £´cÓýuÔ†nv£C’›Ù$eš^X_/.bm[rÄ õøºüÞí‹yb ½gŒ \×ÅÑÏ)ÖÆ´&} I­æQõRV‘©Á²Ôñm•ôz;›ÒhgæÉúyÊn4½6,bhغ ;WB;bè>èòÒtóØv»/œðKWtá:A`jNmÒÖgªå‚\}CE±†Å´ð˜6N‰ÐΠ7Ò¤}…4Eš 1°1ˆƒ1t"“÷÷/,Ç1ÓýAa·úO¥\4FÙ´ñC A¢§·J„d°2ßÈ«(±°8ƒUm§3©ÅR µHaD”êðÊ Ø°$[ÿ­n+Aï¾î÷¾ß?³ÃÜ + ›°cSΙ# ͺØÊvU’DÖœÖZ×­ã )F– ¨¯˜Ïê/à +(ÜF;¹Lj!—¶Ñô§¡ +14!Û +èrÿf¦³ýס£^wÎû‡Ž„¦ YÃéÝ]1|•6¡Q¬HUU5gv²Å¹=Åuts¾°ÔšSͳe׈2xRKFE‹MP8ˆ¡1H·|Z·OgîkŸÙ1Ûž÷ñ¼5æzq4„`µŸ.èèÅñdz¼XØFPV(3ÚKdä®ü&Z/¹‘aÉsû‰b‘P+íK©VÑôÊPþÕB¨ÝðÈCÇŽOfÌ{¿~4ìµõÎåÃû¯]=|æRP²ñÌIZ«-ŠÛ`Šñ I-Ìö´¶|M¶ž¤¢ô¤)Šz“圾¹Ð‚—ÉÌx‰¢MÀE ˆ¡þßï‚Òùos†?éß»æóÍIo±ÉcA¶«‰?c)Ò‘ŽÀ]Ç쉗RtI­™ZbAeÀ«òº£•EÆHE¹)B)2âÔ*š€‡¸ˆAìøWÐ8/™1îøèÁðž/o\ñüî—©#ÿ=Øs#¯Ç’ëF#˜l{t%­/N”Õ“ %è ʸŽtM”–Ô~ºÚ¦aèB4|}˜¶¡MÀG ü5  Ùqtl^üØúÃò›ö¬º4éá4rãa¹ï ½ãÓ8–Á‹((>ÍÊŒç§õ' Ì) 1¦tIDIz²‹*5+‚ åÊ@}ª ò¨ùç; X¿p¦gÓ»·G\—^ººkåðm'ó#Œ»îñ!_Ù´_DÕTXréµõR,-s4A8K`ǧqqƒY•á9UX[~Mˆµ¸6Ю÷·ðQUˆAôåÛ ]÷ötÿw ®\ÞüÞðÔ®U¦Gî›Úg<÷´6º`**9{".#u<‰œp™HË,ˆú™\xú¥;Jg„œg”žå°üÏòÙháÇÙj‡Ý_9LŒ®q¾é´Ä4½kuÛ˽NÍsûwÕÎy(ÿÍÏ—þôdyI¼‡K¼’;•NÀÝ$Ã'sÓOMäg„]/Ì +¾Æ$^åýÇy9h‚:ÄжrþíÁæL~æ`švú@óÒí ìÞ(W6x»ÓÁÏ›<{òq&: ñYBHì“TlÔãÌÓáȧ¦)‘؇¸ÐÅÑÁ÷Kcî•ÇùßåÇ£ ¤ËçÿÚ»tÞÙK°_gaMßYÇ™ñq­ZGÛuœ©ÖºUjQ°µâV n ˆ¬²‚,Â!„²Bd! K „,dåD©ÛPZ´–qð©:ZÛZ¥´g~Õyæ:ÞÍE/¾÷Ÿ»óž7\ìß™§ûÙ}E;ìY+/Wþ¨ +ü÷CøA"ÄûfÞ?²Oâ /8áעг¿”…ÅÎVž:ósMxô #âôL]dä‹Æ¨ˆç‚Ó¯ÓŒy©ËÈå….ýÿZòíìÚrp_Á‡OþF‡ýËàà6ß™ a»ñ·©^ñå ¹c àP4”Ž‚Š#‘@94ßp`ù…çX(ð^«û<ëØ|ͳ¥”º…<ضœ«JÀsmxoƒŸk¹ÅBŒûiÀ팄ôðëä}…»C¡Ä3ÈžÁPµ'¨{µ÷$°÷½N}ƒs]Ôÿ˜ëÒ +ËæðàÝ…TØòf¸½ »VãàÀ;±pd}l …ðÍÁûþIÀm €t×ý?än;$Tá‡Ç äC? »ùE}­€Šöeó {,æî· Ñk ˆ|7(Ô„±^ÀÃû›ùœ^–ø”BÍ|XÆλ_À+ù'QTy—ÐZs'³1™Þ]û%¾§~"ÕÜ0žâàÞÄ]á_‰¿,p¶ß ¿þ/ ÕÈPá¾Xè¿àþ× ñÑ/àãO'7ê'fiÒwÕÔ¬åuùӅܲ)¢ò5¡•6™ÕÎú2]ÉžHëiOíåÞJq>Ç 7 '8ÐÖÌj´');–m{Á§k åè&h ò€–ho'üÂ'DÿX_”úˆA!NW1K¿)áÔLæóY¹’†[ÿFf—èZº¶y on½š: Lõ㆚ èÈPƒ 5Û—@ÃÇ+@t௠=² ä ò†¶¤ÀYIVìS~AÆ·õ…ST*e’\W;^Äå^'‰ÄcçÚÚF²:Û/§«—ð¦n΢¶¤ôwñç¥Ît´£¨È@s[\d ƒüðFPœôE¤t$ÍH3Î>çeOsËJï0)ô/(ÌÆk¥Éh¾@>œÓ¬Ên× f¨ôv¼ÑhN1é{ÒúºÕövgÆo´'ÈÀÿh9´ì_ ÈÐàÝ>Ðu6øEgZücinÎ=aqÅWõu7h4á(¹N~ =ç‰"½ãœÜl'h0SF¦I7˜º21MG¶Mál/ 4d`¡ÿB€ mÈ 8´4'<@sÊgVü¬;5ñQç9âTså nyÃç¬êÖá*¦r°¬Qç(–˜¬íFR÷iÚ¾Ž,&#˜{Zs¬JgæKÃ|¨Ý¶„È Û·”‡6@¿èÂ|ftgB¾×&'=Te“îÊHÔ[ÂþH}¥ü®²UÕë{ËšÌúâ6‹¶°ËªÈÓØZs´}¢I/ÊëS9ÛKØÈ Úù'hß· +T7€á¸û¯ÆPŸŸŒ1!O I¸û=ù·;sécâáPc¹ÜƪQ™¨µm%·WY.éë(í°I‹ÔýMÈÁÏ7¹…˜ÚÙ€µú•¡þƒÅ A†dÐ|LÇÜg±Ÿ±èGX"nJŸVx«+›9ÜJÚ%rS}•ZÃd5LV%´¶THûÅd•½¡Hm¯/6˜Ø%˜ÖÙ^ÈÀA†fdPì] =ÈÐ{Ì}Æìó½-*ä[[|òSJј*“9(#6aâ"¹–[¡R°©)ƒ‰©|« ºÅÎ¥t9Xe*³\of’{{œí•ý8 ®o@ËÎeÐ… úÏÖƒÅoÇs{÷“Èé¸ä WtE›ÁìïÌi2´È•Me*icµAÄfa<&×ZO—Øki*¥ÛQCÑõÖPÌ:gƒZd`"Ú<–A72‘Áæ·ãÙ` ÷¿/F„L]8“|ÖT4dHc`JB“FF’É%%*± RÏmd`lÇʨÚkêäŽJF·ƒL×a4³ÎÙþgà!ƒÌãMPïù ˜}ÞµûnÿáÒI¯#§‚ï\ŠI¾êH(t˜SéM–@¡ ÊZ¤EÝ< Y_'¤öÒølkWÐOn”:JTŽâºKI­IïlP‡ ,d¸.92h÷ü0Ÿu/}ݾ øtúZXÐÄèiÜð…ø‚¾¾šÚÁ—ªs¤MJ¶¬LGk­6WJX}¥"ž­PÔbÏ©ìy¼ ©Ñ¤w6¨[õÊ Üº:ÑíÔïy{Öæ³ö‡‹G·=º~bÿÔxHàõkQIƒÃqùFŽÚ‰¥sÅB+GCê¢+K´UÆb9#É­¹2‰ SÚ²›uAdÒ;°‘¡ö½ù ÞººÜ—€Éó­çÞè¶/znß½ìÁ¨×ʯ'm¸yÏ×óòtÀ‰¾©SqªÛÑ„–ñøò†ëÉÌšÑt^ñÅìæsç‰ò4{¡g%wÇ÷Q5g,lm´EˆêÒÄÚ¬Jgƒdà­› ›çÙmÁ“‹»–Ü?°âÆÔg‡øzÚúû÷Ü‘Ý‹Nç“PÀø*µ’|3“I;ÇÉ! R‡‹Å‰Cä–¸ 5mÑçke‘çyòðÿ°_goMžiÇ#ÖŠNǺ_¶ÖÑŠ bÝZ…ÔŠ"ˆ(² Dö KØ! Ù€˜!!Á@BB Š€‚"ua¨Â(nXQ•–¢HU¼û^½æòhÞ? ßóÏÑóܿʪ€ê@ºÂÊ×þ¬-gº¶Î{òŸó~¿´oÜÙ¦ów7¬iòÈaݯ¾'Ê^â EãQ‰ÜG¤Ììû ÌäáTå¿Yü˜›Ì‚ÈŸ¹Ea|1¾¿Hx]&õ¿®’ù]«-E”"Íš¹`^?÷eŸõÜ¡û¶óúžaWtL´mšqu¨~ãöñ)~?™;I Ð_)©O©Ô„Çéi¤_hYÄ=âA.3ôž€…¿+âÝ‘q†+rýnW£äË- nµÅôù/çÜ^2§ï©í§ç¦°«gl´}'Ÿ=ì$šõqÏ›Åû2þ ¥½¦„&þž÷[ftôƒõ‚Cž2.LÀ?+N +z*£Œ)SüžhSѪesÀ¼ s«ß +óÓØ‹ö)[+ã,vU%8ZËÀy‡pßsÁû ð¸T zÄÅ;v6Ùø.3 ò-#0l†ò&Ÿ. ž‡¾. x¥ ÷›ÒD  êþ…êž¹|cæì«es`Ó"5ì^Y{×åƒÓ¸íJO‡DÞ'"EÕ5 2p!À8Œö‘ È;Bó©çq(÷òµ7ÚnŸ±Ä\ìýÓv{>F+,U°y‘v.Ïû5Ùภ+.›Ià±- +v…AÄî“@²†Dl ¤î €¬ü€¹ï8p}!ßÑ„û½¡ø€”:ê.uXbÌ×,15£óç(`Õ¼b°YÈ…­K2àÛUñ°w œ×‡‚»õ ðµõü_ ØyCÜ7^¸ÍR·ƒÌí@ßqX;o§;ðw†¢]8|‹6sÏ\Œî¦Fþ‡•…V[²aý‚4°ý,¶/û•A°õqp[ãžk=À;œ\ƒÈ¯Ý fƒ+7¸@¢õ!H±þ26:C6Óæ pmœ ßmƒlúÆO ù+8{ƒÀqˆ\×ØÇD!öP@r†üÔ£Àaù¿g +BÞdI¯RËâ&“Ô‰ñº”qõéã±MYÏ¢Ûhc„.Æ“¨ÞœGýì{a·87NsÑô·áoÃÿ5díX¼Ý‹¡`ßJ#†o;àíAã ‚”cÀcžxË⇿¦ÇL¦ŸN˜HV¥vL™žù „™7(àI¯±…½ô’ª åús©U g’ F3õLcåL£.¾S¯Iê©TSûÊÑ<ÄÀF |dãHwü*°Ë@çô4 £7vÆ„›lˆ ¯%Ç=T'ÓeY«…,ÙÅ<¾ªƒ%ªn£•Ö5gª †ôÚ¦º´–fmR[³Šz®A‘Ò­-KëU¢é£A°i”"µÃ2¨=°Œn›gM^ØiS n¢)<èq},ùŽ&‘q½,]xQÌux*3¯@gd•Ôëé +cuvu³&«Ñ¤Hom9vÖ(Ë8_-ͼ¤Bä"ΆyP€äÛÆa)Ô!†f·ÍïZ=¦Úpã­¡ÁÑ”: +ã²2EØ)Ë–™‹Ø*Ÿ¯«á×kXòF%³ÒTƨo‘ÑÌæâ¬3M"ZWMí¢M‹ì,Î×ó ÐöS(C UöK¡áÀ—Ðâºi¦ÝÃá·sþ¸±ö໦¨øëz2£»’*h“gÊŒ¦ª¦0O§æÖ—çÊeœ +“„]k±[ÌF[³ §³öTNM¸ˆA„ˆAg¿Œû¿€6WÛ鮣ö//wíÄ µERú $zGu¢ Y™.«-¥«*Å\]Y¡ ¾D i,ÊW˜„y:3?¯¹•Çi5ñ¸z·[‹&ÈûŸ¡1T oe­ýçмÿ‹÷g]l^uÙó¼××m¤;8h =‚ÜÓCo«?ÕP™"Õ–gW”—²ªK$üúBQq#¿Pnâ µf¶ÐØÊä›[˜üŽº~·MxˆAb3Ôˆ¡nÏçÐâ¸úm‡ËÆÉÞ#»Ç®ú¸Üí ¼ÚFî4iÍ +_§£–(ÕJ©‚ù'ûuÕäÆq<,2ˆ´ZµVP”\Q JUd—}‘=„5@ !a ;D‚HD‚Ȏ¬¢ìЂ VŠX«ˆ EtÄ>óžž¹'—s1ŸûïÅ{Þçÿ«Î.bŠX…Ü›Œ|þmz^y3-¯¾9ÛÜDÍ^é®’d L¤‡4T ÿëä~·îþÔm®¾8d{ê嘳Ùø‡Ç@_h[;žV'Í(«‹Ìç×PJ¸I•¬kiµ)%œFš€'¦\½ÖWTÛÍonŠåuÔÅåwWIØÈæe©É¹U'à–®Òz‡Á÷+ýfjoÆl´§Ç/ŒŽºcº}IâÎÀÄê6K ŽÈËmˆ+Ψ¥U\®f\§V²ëãÊónF••ˆÉe×o‡•·ŠÃ…wE‚î*IüÝÀ> ¤áºæfh:­¸Ö¥¿óÝ°™ê˧֚Ï.šþünñ&Öõã(¥ÁéíáÜÌæ袔[‰¥ÔÆËÕ1õ¬Z²(§>D$h ÕÜ$ˆšƒkîÕ+{*%™ßKGuÕå î¸ü×öS[—ÎoŸ{dª<5mq|ì…½q÷¤««ø‘¾jÄ?Ž?ˆgpzB9ŒÎ(µƒRÓF/oeV[¸×ƒš¯ÖâZªEþ-M¢€Û]5¸†¾rI@Ö.iÈÙ' å7Á­crk]:›_ß×SzöÜp÷Øœ…æÀœ­që´‹sí¤®dÅ ¤§2h¿DäÄ Æ†õÓ‹ƒû˜¥¸>n¹_¯ Ò§·ªÊ«W\åÝÓ[îÓ1(”\Ax*²P£& ­‡eµä¦~ûQaì¥Ñžþ·æšwÞØ5¼rr,›Å` ¦±¡ì)||òdh2e"š1žÈ!>LÎÁ¦øŽpøžÃW1CBzè†Àm¨Mà><\( àî”ò¦¬W•þØyPzæÑ¿dÆfµ·ö-ª´-›ŸhxomPñÎÁŽÿƒá¼ñÃ1^‡Rç#¢£gã¦iI„©””€IVšïD6Óë)/óD˜‰~Rå:.Îrï’äî†òÝÒЬ,õÇÐN©/T¤û´ÛV ÷Ö­]Ð,[µÒãÿyÑâÊ +Æ)uÅ߃ö仉'/RBHo“ƒþHôŸgÇø¾âÆy½äQ0³Å èÙ +ªëL=ÍùE‹D€·] +DßI­ô(¡>Ý‚ê]Ø+ÛòQë[ÑWý½¥_MŽ¬[èf­Û1ÖÝ-©ëþc×C\ÿDaˆŸ)Þø5:6`5Í»’‰óùäµ\ˆÇ,Ðï+ˆ®ïE$çEqˆ$ ìÔrëVÔýŸ7£zß‚jZÛ'W ÚÛ„pN%Œ4X`®E»3@D‚ß…P Y Ò&(öþäà ©NÞÀvö® æ/ž›ûWÚm½ÜÝåË Œó—FI,ÕË£FîýÕ9"/*J×À~ùÐú– º?¤þ*\8 ¶'CÁå|Ïà X d}ˆ5ôš1RLÜiêœ ®kæ|s'Z8B•¥ˆ¬$1Ú"‹ºÛ³ uó±ªò¯od®‚šü8®”:;ãáœr8˜ €•†8ñÏãÀDCˆ–+Dê8CüN@;å)§/Sײ~²ƒœŸl¡ðŒ ÏZC¥DîÝ‘A5ü"ƒ*{&#UÛd8p@>ý3Nl Ý]80Øã æ{Ñ`·ßÜÔÀç àÔm¤a äCVsØŽX@Òs`5æQd˜3…Üc&À?.‰Æn)TÙC*ï­Œ¶Ë$²\¨)àˆ¢/ò]¸ÃÙN`¼Ë,w[ƒÃ€V6/SðW1 ½F@Úgáû JUâTÏC¢ªÐ÷Ÿƒ4û€$€¢"1‡ä Bg $ê*AŠÁH·P ' `újAjÈ9HŽ7Zª $d;A, Q¥^ëäjì¿Cë>ÅAk„6Â*¾‹¸8òÞ$lû(|ÞgŠ<ã59åñjCÿoø_j@nE¬†DioK§• õüÈ0W, `{k“¨ŒX3 _¶*ÇâyžëÑBìçˆJÜZ˜ˆ°JºEZ n û€ï$/F.ŒD¿Æ>Ž™õy;é5?î5³HøoCìIH>¥,½À1S®½d{jCþ<°¢, 5Éè˜ujöSœ h5ª<äù:y)´!j‘Ø»@¸Ky4@ÇÝ¿4ã÷$iÂgŠ>æ3MöMØ$" qÈû…‚¼ã:Šyî;àš*C¾äa´‹Ó‡,²°¨.1Ò½?'qƒþLà‡.Å\‹|Q÷&¬Žúš$NzE¸sùePê äOøýÊÅN¤úM%÷úO_ÚP÷È@<Ò¨©éÈÖ»‚ì¬<eàÛh@!Zòý €jY·u&Ão5…C\¢D.ÄS^GU$Í…ß`Ìn1§ƒÛÙÏñýœßpïû=àŒ³»p“©SÉù»‚4\:¦ÈÆÉ9»xÆÊ °V«a ¡€dû…ƒYˤ~Hg‡/$çÆÏ'Ñg£KÓ'×d= iäNÛó%  õáît=ÌnÃ?e5&S74¤!i Ý ™HC²³ŠŒ÷€ÐJ®9ë@‰Ñ—«û‘^Ë\Zð;=zž‘4Cã1§â„ÙO#ª +†Ô FI­%ÃÄ>aOЀð~¨¨9x,÷&i<³ž4ÁÜÐÍ›€lÞËG6Ù8`Û…HÙ7KÝùŸ +¤Aï±êNmüÝta×Ls ç¤)û¤öJŒMŒï’ +îòòè¦ + +§¶„.ÒQY2%Y¨®")u"bŸßbää¶Øù] +q@À$Ù8ö@ášÿ50áöE Ú¿ª¬úØpÒõùü®—­þžæ0Ì¿b¢ûõ‰øv9¾ Y˜C¯ã°uå7DŠ’r™˜V©®,’é8d½I6…÷ªRr¿¨”<ĵÈH i(ß´ÄÛ‚™×µG>ÿÃtrÛVï/,Ø£O[C0LÑÑ]5×Òî¨SI ÒÌ=ŸÈV°¯ Eå ¯”«fKt šÖXBk¨¦ÑîUS©jµOL£~WiÏ_ €‰¼ 鶅 Û÷ Ô^9k>ñåÌ=ï퓘#ÛƒýÍ‘Q–›q©Mz±ZA(VIòXb>YÈå”ÈÊ™,uIr- µ‘Rz³ºÑV]@·j +é½’Bú ß  dW'`#g!Ûæ ƽŸÀ­ÃŸ½o=¾îUǹ­½˜C;‚|{Z#"[o]M©¯IÊ×jñÅRE6‹')” oTWV¨(¾ŽÄVòX5ÆÖê¦U“[Ñ+Í+äÛ”Õh(Úà\¤A±Õjöº|l>´ümû±/^ôžýêé ï7¶îK>Ööðˆfs,ÎØ'¯N¥ñµYJdXÊ®KɆ2_ÄÓf dz‚ÀhÀ ÌF<ߪ!ðz¥ÜA=P„4ÐÖ;A%rê¯@ýžEsw¾]úºÓkÍäЙM? _pïï8·#,¼¾-:Ym¾–+jÀQYµåņ<YKçªèò G*—h“ez}¢¼IŸ$·jp’^iŠxo\GJÖ9‚ÐÕt[æƒi—ó»v÷O§ú=Wþ{ìÔúŽyè´ù{7÷†„­Q‰UmqYœ–d +Ý„/¥4ä°së +„šb)ÎÈR$Ī8ƒV}ÅpKsÅØ©ŠÓô‰ãUƒ•öu_8‚tƒ#TovúóöÎùÓÝý4â±tìÇã_öŸÛ×6Š=sӬꋈçw]É`X ŠÚÒŠsïdU¤·¸ÉÍ4a|S…$Ö$’EÝÒÈ#LòËM²ÈúaTí× ! LdN)Öσ†Mó~kßêøóÐîùcãîKž_o}vvoÓ8æ”~,è’x8<¶â»˜4jÿµ¼¼ž”¢ô®Ì’äbyœ•Æ‰¾_QyÙ"„Z4Âû¢`k§0¤mˆz{˜iŽÞ4èþ†þhÞ€þµ×ýèÉ&Çç—ÝqܵyòÌÞê ß²§9OÂ"n|@½F Œ¤ä'gR®> ݈²Ñèá6fY°M\dÓ2m&VÀp73pp¤,°g”nÐW¢A²ÊêÖ8¼µ¬vx2¶ 5ðÜÍÉòêàŠ¦éc/Oï‘O÷äýp>Dþ962{"1>m"—øS^úÕgEYQϹáϸħ҂ µä€ñzÊÅñ6 +v|˜‚yô˜l”¯@ƒj…ÃGórÔè€ j`b™Cû´ÛÓoî+ o=]«ÞœÚÅý÷áÒ×þ§È3a¾93WÓg’C“§3"㧉1±ÓÔ¸Èé²ká¯xI!/¥¸ )uJàTmªÿ söE7óËC»€¿Ôj?EX¢z‡¢Úf–£?l^¤Ÿs_)óØÀž;±­xöÜþÂًٳa'Óf¯œKšÅùÄÍf`cf‰‘³ÔK—çÊ‚Cgy!Á$a—~W‡¼¯Ž¸øÞ‰}g‰ô{×e(]P£æù¨®Ž¨;# Q7ÿølž¶¸ˆaÿÊ +8´Ž +ÇÜòáì`öá äÛxˆõˆ…d¯( œ¸ y§Br&ègƒ€í¢ó ¸pô>¨÷õƒ;~¾`ÅØó}ÕÕê„jéuBÕüàŒRÂ*G!lu)ƒÝË)à¾6<קÀi·xðÝ A;/CÔžPHØ i‚ Ë=Hßøõ‡1À=â bPz\ÃQohô<-^öô˜Ð(³Åe´ÍCUM-tàÁZ':¸-"Áö%ä,áÈÚX8±.λÿ¦ÛŒ…Ø-~øµà·^€ìmç´ý\ßqJwžÖ®Ó Øud»O€~Ïq¨µË|2ô P’G( £‹a­c>lpNƒ¯Çý—ýòêj2[°Ke쎻8vGEÅ‚H "Š„BH)$$Ò{$!H‘*(( ŒX°À€¢.lˆ"(Ê3öÁÃ{¾å¹9W™?p.žëïY{ío¿ï›fFûœ0ðœþ Á¡Å dI_ê±Ë}³ÜRœ¼€¼b/ÐWx"xðWîéÊ] ^µ «<À²úŸ¨¸„|¿sÜ8ųqãø0}<æNÀÃbû8øÁ!œ§—‡ÀcVx}ï s¼àÐwª¢ƒ[‹1ñ,b]•Y¥Êä•HùÒ¡Leäéôúl‹QuÒ¬`ÕZdY-IV›Q¾£gu‹DYø¶@Æé< â‹üŸ…›¾ƒ +÷™_Îx:Ÿ÷[9pá Ë£ó!Þí5QÁ-eè¸ó'ð©Õ& +㤞É-Pq$F™D¥kô +É(á›…Üš|·9ŸÇ»eâñnkx¼n1—ûP`  #•±|"ÈœìÀ„ÌâŸà”ÛôçöÌjò]Ñwéà¦{MÁû®×E»P™[[’L(Ï'1¬F:רgK´j¡J®PêERƒ‘+.4³Å§ò3ÅM7óXâN-Kt_Â>Úâ›kéDP"ga^c¥'qö®q÷ÜÁK>Ëž^=ðSgsוúãGÏ×ÄÅœ*OJ)*J¥çåS9ZS¦XžËW +tr]¶:Ç¡,È£+*,4EC>UuÓœ®ìÐ¥ËïKÓeÝ"[q`/™jä, +·ªâÇI£g·M¹¸kö@«÷â‡76Þº|tïŦðÀÚ³1Ñ¥Õ|~y +M_LaË­L‘ÀÂQ°M-àͥæXL$}™9UÎBȹn&ê;t$í=)YÓ-²0Ç™ºe pÕD¨Þ`ÿ©ÑÅaèŠÇŒç¿{9výî¿áZk gÃoaGª£Q…uèdC Žª¬ g Kév1[F?!RS +Ôz‚ÅdH¶œ4%Yêò0ùÿa¾Nÿ©Îû8ŽÿŽý¢˜²u‰©)¦H ‘­dß9„sç8gáœ[ölIƒJÅ´\u]Iãšš¢G£1´h™h’êjs´P²„+]Õ¼¯ß\À¹}ÝxÞþ¾nü~ÇçÝsDrü^½ôûG{¥«TAÙPIþ ä=uzµ:Ú¬5>tÛk¿½ã¢7øØͤ﾿Mw/ukÛ zDs7›u´#)yÿÏ)òª6YAÉO9e;Ïí‘·TÖ¦þP{@Üüý!AsS¿¹µ‘wæZCRsÿÁ?U O>©R…¦j¨&;Žš«áŸß¨}ºü­úäM[¡‡öZ +W£}×^îwÿ±76ôÄMãàU¾°ºK,ÛÕ‘–»³=«8ãRA…ôbyµ¨­¦–ßÚ°ŸsáÔ„Ö õÌÖ«õ ­uìŸTqZžV¨‚"²¡ÎD 'Í(¸`A™í^IyÝ¿’20d«uû•»Qç¯Uë“P—¦è Æßãi5w¸ü²["I^OjfƵÌ<É•üawY9¯«¦*¡³¡šÑyê;zç…Z×Õïè]ÿªŽk^wi°T”ï4¢ Ù„ò¥Ý”xwg 10dB¹õÖV»ó»IÛ°¯Õ™—!NG£|÷=‰‹ªxÈaåò~iªäw¹\p7?—ÛW^Àì­)Žëm,ím*‹îm+ßÞw4XºýÆ‹Âèk/ TA¥!G—Rpn ñôª.qï¾.qstå× [ÝÖqÓ3c>VÇF÷¿¡nÛ=RøŠõRÄL{‘Æ e‹øŠ"I‚bw:C±/ƒ¦8’­hÎŽº˜CºžñB‘ñh$3bà­\ÔPpJŸxÖ®Côõü…èy¨Kü2c¦~þßëžq_vdÚËrßT€]åûp·Â÷tŸìInpú¤˜šF¼"äSÜpè},t–66û!l"F©ÒÿMlàÛë ÅIéÈð3Ff„9²X«‘!±AúN{H+‘RïñßÆQgèS‘“´ÙÈw´yª*’wŸlHÚ¨ƒTG=ÈÝ ícŒÜpsìdX"[´ò,GÈÊ\‘Zç É$7@t6ÂK¡Hê ¿‡ +î¨/ìûÑó¬Á˜ÙøáØiÆmœ>MKÿH{·­ +D6XkB´A2]d» ÏÛ¡æ(¤["O@~bN{@¶×i‡ 9‚ä–ˆZ£ ìˆFÒõØ?x¿Ñç9ýŒ Ï™ÓÌaÖc<áMÜ ûãcüóøyš*“·K"Ù²^rò¦ÎÛ¬"/c”›cWŒŠø—ü­È¬òƒ¬>iÇ©œŽFò9úÑÏñŸݬ…ÄÛœÜ{¼é„çü1æHÒHü„@Á˜æ?ŽŸåô³”ñªü¯!i­&R×i#gƒ.ŠœõQêi„ò@sTn·BÇÅäçz!§<èyõ“¬1v>õï eJ û?¢VÞ¬ #éCbhšw/eŒ=(}Íz›ú,~L:Àœõ%|H¼Åžc«‚d²A@6ÈÈ}“GnÞR§Å¨Üj„=˱—j…*–ÊÅn_J2}ò‹Ãærªcf32gÒŽñ¦$MÂIñÙ”qÁ¥´Q~÷Ž7ÜÞÌל¡ìGÌ᜻ ïvÜfOJ¯s¦E]¼Y¾*H±P‡¼éåk´P´N•äæÝëaˆZ¿åØn…ZÆÆÏÕwe…ÌÿCI~äT~%c"«Ž7*kHN¤¿ÉT$žßùŒ÷KácîÍ¢ܧEwØ/ ¯sG²»xïvtð'¥—g„ª@B6$“7ýù®Ï°&Ï5àoÂTÜÚ#¢G­¢Û:«âi)E¦ ‘ed“ÁY„„$oH I؄¡ld)C@+R´­´§Ç>}/¿Ã‡ßçç½Ï}¿×ó§Ö0Ò7…Hç•^Ú’0iöÆŸRÝO~ù™¾O$Ø,òi®³,ŽÏKš0ô)QN|BÈ¢`•ŒÁ¬Á zÎ@`·/àA\—ÿ$·%à%£!è5åvð›Èê_±kä]7Öt¹ Ò7S.l»€ÂÖø£Âõäût³9ÖnFåñ füÂà…=¤ˆÉ÷‰©ôÞ°œØ|ÜUǵ‡6ó[Bú!ã‚ÚgœªÐiznŽTŒ[$ãß®ês†°ƒÚ€‰ôÍx¤oÊÝÈ8¿dgøWžÍÁ¥—os0f3iAö“’p¯ÇZà‡Ö“@é¢ÊbÚ¢2ÙMq?…• nêÔø.a~DXŠÊ+"¼ˆU†ÍPóÂ"Ö ‘´ é›"d&R¾©0Ù òÍw~T]ùò·ÇãsJ/³)…¿Ãh +Þk0‘x—Ï ¿ÃæQšbF-•£&çð*ˆE ¥‘jQQDkbaÄ 8?RŸ9ÅQ_Ñ3£æ‰kỵ@Òó`„™‰ôãú ïܦOªË_,•Zí_¬t86]âaªÉ¿e?œõ¼'#¶‹èa <˜RÃN`V0epIt&¿ªæ‘+ÄÙ¤FI©7)ƒüD”F™ä¦P^2’)³$9enUH4 ïÓqé!uL(Ïnø_©ÙŽß+Ü;WcÿõT…û¥•]vˆgGjx@c5¬FÈ¢”óøLGçŦ 1¹¢ôèRI +­.INë–Ê迈“è< ýE¬˜þš²d  ÿþ^mŒdÈùF1øPaºí]í{fê펎«¯_.õ¶íÎòhÎ"Ô&“ÃÊ% J¡ËÌå‹àL8YÂVˆ¤±E1³F*dÞ‘ Y“bÇñ±S,Aì u-Ÿ3ÐýŒGr¤kƒü¯uAééõKê‹[~²0zÑd{d´îÚ…þ +ŒÍU€{}.ο2ƒHP%G“s%F†(– ¤1/S”ÀUJøpµŒ ·É`î—«‰‡á) ¿ŠFÐV"ЀŽÌ¥É‘Žì‡ò¨Îÿ+O­û½îÂæ¹–ïvN¶YzØàr¾G}ú¹ÔÏM­Äú•dGàóÒ©äŒäX†\ʇÅ@˜.âÆçKX‚ +CÐ,‹ Êñc LÁ3˜ÉEGD¯æs†‘#9²h¢ÿhÿ©>¡÷®ÉdëócVÆ÷[œL:ê<¯ÔWÞº^^r«  —™M&É31‰©\_.âs¤)"†$WB—I)‰ 2’¸_F‘Œ ©‰“0U8M_ "X»Ð ¹“ä=SvXk¥î˜Î›ö³ëž÷šn¹kyð^»ãÙ–F+u­kQEov1›¢ŒŠJÌ¥Óù +›‘À£§ÉÈ) +11¹8)<¹^JH¹'HFÈ'¹‘Ò阵€(ä|x'È‘,ùûРÊý¾é+í×=§t'†Înê·ø²³ÛáL}››eYƒ·K^m€wZ%.DRÉ/¢ÑXJVlt¾€KÊIŠÏÎá*qˆâ¶$XÑ- Íb3'a\ÚtÌZ ÉÀû Ò ‘Þ¹õ×íý¨·‡Ð/†¾Ò}tzcßÐ÷ûZúìOUw¹Z¶aœ2ý0ҺРAMx»ŠBŽ.gÆD•Æ± Åb^HQz| ªP觪ùªºD~Åšxå3N`î4}-€bˆ ÛQ ë_(PfˆZiÚší3BMhö †Ÿœ4è1ÿwý°í‰Ò~óœ/yÇ-„Ö`?vÝ@Š$ÖÅPñµ\FpM"ÛOÆõ®.àcªÕ/u‡àFÍxÜÍÊç,ï’ÚZù’m(·5Û¡_;·@šÑ Ѓ©=¨»“'Ö5kÌŒª[SðÐéRê ‡¨ÏǾèÝ"vñ¸;tbP;L¹Õ*¢cZS™ž-,÷5ûzkûz›†åÖ4M÷¸=KFVØÈù)[ P¼šn2€Fz×CCÿ5€z¦÷¢›_žZ¯~nf¨š´:’©¹j"u³ä>ºéHàAÆúà‰÷£±¾pøѽ?ìÚ¯¤ºô«iÎ4§ûTçÞ¹(—Î…p—ŽÅUÁ&Pl„¦ªõ ŸÛô¡¾}¨kÂjœÛ¯U5{j£êµÙ®ÌW–‡%/í¿{îz9ææJÔ¤¿#î)Ö-pœˆñ§ûÞÐpÜ5‰Á×4éX'M!þª¦–à0ÞM°ŸxŠw[Ä^}ø[ðZ@’4¯Ò†ÕëB÷:t¡¶a}¨~~ªüÝå»o7¥¿½´K¼haÌ]°9óæÚ¹¨yÌeü|€eð<ÞÆoŽäà=Çpöšã¹ºÍIÜ®Ígx:Ïz]¯Á8¼¹ƒ±_¹i÷fþ¦íô˜µü™©V¢¡{ÚPsT3¢•¬lEå.ë¦.ŸÞ$\¾¸“³üý~Ú²õ‘ˆe§ãØ%¯3Kþç}—p¦7—IæžË ÷å8K×e±•óJºµãJ­ÃJ¥Ý‡f{Ûý6Ÿ;Xø±´ªñBê«ECM­ZPÕ€6T¨Ñƒ²>í@Ë?Ñü}b#óo“$`¾®ìWù÷£à{Ì„žtC +É5@;ã ØçA‚‰_°Ùm@ñ%kPkjÚÍ~Cf–àõeK0»ªÁJjn@A•Ý((ÿg4”:£I€á?|—kXÌyÇI*§xè ‡¢$%ÏçRtšæÔšfš™f¦fjjš¦3Q*jS$¬b…°Ž­ÇéZ\«ua÷bí®­'¤„q˜(Õ÷ùïîëñâóúþ\×}ÿîßý¶Ë Tp0ÊÄzS¼çs¼ˆŽ( 2â­ãA·Ù îòh¤¯ŒB–mT«Â‰c4Û탱Û!û× ÝÑ]Ž~è^닧¯q¹‹D:ÞM"µÞ!‘ê#‘¶M)†ùÔXë‹ag˜ +'ãd¸›&Án,ÂD#fa$È‹ÂÀøO0¸K!´ðG¦¥”–>(¶òB¥µ'j—º£ÙÆ ‡m6 s™+.\ÔIç9¢þM©î‰´¥D*€ñ9æN`± Ë (°7Œ…‹ÑFx‡!À$áfþˆ™ãƒ„¹^ Íókžxó]‘¾Àòë 2_K,GT-t@ýB{´,Zö¯Òö_¢þ]¢þ$’ò‰$ÅÌ)\˜N¥aþ´8XèE= …ÃŒ¸zÃs¦ü\2Ë‘ÆNØl숄Ù Î^ ¦‰RMVBd²2ÓePšÚ ØÌ•fV¨ý*`ÿuÒ}P\¦ƒµÁ\cðB瀿Ù<æ¤JmÀQÛ‚µÝÌG0ÚÖ~Ì´Ó® ^ÚÊ 7Pz<ôØä^O$¾ðB°7âßyÇi}>Çúhc'|>ÄB'ÿ:8èƒæ<WCð¼‘2‚Mæ0,À—Ø€›oN¥X»×‚ÙêŒä£® w¹vÑ´kž þäʯÞHúËä¾H|å;‘ ñ×úÄúˆ›ð×ÄÁWH^: Âá4©.†x#=hÄ1æÓ, -GšrR·:"¥Öœ– `qó„'’ÏyƒqÅôÛ~ =õÏ@$=š &j‚µ Úw c!o&ƒ‡¨ 0 *áÀr4ßÙb÷YÈ0CÆFsÈ’,!M[t…=¥NàU»"µÉ)‡¼Áþά3`^DòÍ`0~í°Iê³ð±¤¡-Y©I‰|89Hž  #Dÿ:Øë#eDN3±aäþfÈŽ\E¢%ä©+‘!_q‘ „Uîà7xƒwÀÜŽ pN…‚}!Ìk‘Hþ) +Œ'Ñc´þ-uh“&éÝæWä‘ؤ/1Ï(ˆê£"B`÷pà÷´„È7Y®³ëke¸9òâ¬Ë¶E¶Ô™ù®TxAT€´æðGLroœàœùÂîŽcþ?šüK¢–ÞO~K¢ RÞSŸS?‘{i_â§cÓ#:¢u6á¼J‚UÙO‡ÒÅjoS„š£p³ +’í'r‚"DzR¿qÉÎ1QcÔç´ÖM#¼öø)'ÉبïXךäækF/û%m˜ÓG{Ï~B¡?dŒ%ÝKžˆ¿ËD¬.À±š¶­±võ¡X5êu3QâiŠ²`sl‰¶BÕE|çq•Üë³¢0H+«Œz/©‹}+ÜK~Í?Hâc½dŸæ¾`vóŸ1n ûèOÒÿ¤ ¥?dhø=L-ç6k”q“=N¹Æ™LÔRî +=d,×GžJœfb«‡ *`[”%¶‘íƶ¦¸h‹¥>U^Ø°¢ló`æÊóô=Ì>þ¾Ô§ÜÃÂßÙ’G¬ó¿0odÞg>õ$Èn±Þ¤_ç¼ç_Iá\âŽ2ÎsÇ)º—pàÙFn£‡[lu4Äv·ÙØé?5–c5ñvw°Ö¿)ù eGõ+ ŸÊË™%5¼‡¢oD÷ÒHîò:2ïp»²~Léξɹ£¸ÆéÍéæÉΧ¾å}àâ°O¤2t.‘ñKõ °ÖC ‘7·™·†˜Ë:ßyã»Ã,´ ›ìÞÔÒ×Tñz·dÄüVG¹Ÿ[ʾ›µ=íVF½ø¦¸9óªðPÖiÇs.ñÏ)/ð®çãýšwšÿ"ç„`8ã˜P#ì~ä}bé©„C:1—J"ón!ú±“ȼõëÐè=çsSÈbMs´íËFêú¾])¶‰c~.SPnrn(ËW²«%3äç¤û§ÅíÊ“¢®üNáêcž‚Q¿ê[ñ ü øµø€ä=¿T›¢ ð,¦BBxäy³‚èÉ®Õhtž9Ñâeú±5hÑp[ÔŠþ²Ë“vÀ½jaÌ­J9åj©Šs© Tð}Þvi—¢.ë¸|oîÑÌCª#Òã‡$ +Û$·ŠZ¥½…-™Š½™¯2šdA£ìCª.þqÈ êþ{‰™¨'Þió:ÃÑ&šC ŽD,{Ú–°îÁ^¦ÿíú´è«;2(+r9gJ‹' +*¤GUÕY‡s”²[ó÷euî•-þFv½¤Aþ¸dOöse}ö¬Nñ6½Vñž¯ ð Ùâi(&ØAìÌ;}ì_;cä°›ñp‡ßüþï­‰sº»Ÿá£‘}¹V’t¦*›ÓY®´—–IVeï˯W6嵨÷ä~[T—sªd—âJiuÎýÒje¿j§òeÖåkq•ò@H[2YDÖ,%¨!æ¢i¥ÞäAGƒ®Fƒ>sÿ:jùàhìÚ[i~Wš¹¿ß#J:Q#g·Wå Ú*J¤-e•ÙÅ»”uMêjõÁâ*Ugi¥êrY…ª§¬Bý?u…z@Q®––«5B]üã ø;sÔýŸîúŠk:Ëâ~“#-‚€  £4)ÒA)¢Ô¡¦Zˆ ¡I -¤¡ +ˆ *HDPŠ¢]@?«®ŽÀ8*£ ~ÆYѳÿÝ}ÎÃ÷sÏïžsîÁvÞ:Â× {ˆ:,¥—{íþÙç²ý~'ÍøöÅ ×B½zj£Z«øÜƲԨ3ÅY Õù‚ò¼i%9Õ™Ùç²ÅÂö‘p0W(œ‹D/‹DË)¢ì·‰ÙÙïy’@4–!M?a{g6—-—KRï.›‘~°‘4à¤q·‡j4Úh¥™ëÙ^åß\“À­«:Y]ž_^š+(.*NË/¨Êå×g·ædˆûÅéâ)ñ±üÂŒ¼åÔŒ¼·ü ñŸ±’@Œ:ŽmÁC–ã–§yþSçnÂë~câó+™‡×Ôǯxï¹Þ`×Ýìq±é°_ýÙ8ΩZAdùÉô¸¢ +Ñ‘¼òÂTaiEfFñajÑÅœä¾Ü#Eã¹É%/„ÉÅKiG ß$¥¬ÄI±Ø;8®Œ‡Ò-88½ wà>\ÖÂ/é~½e*=3rpëÍAOƒþ^?Û¶¶[cK8£¶™Çþ¹!)¢èLj¬¸F˜”UŸ’^Y–‘\Q›Å/?/Š/¿œ[>–_ñL˜ðóRZbÙ›$þ‰•8I ›Á÷Þrԫ⾶oýØ{q{nvÒhã䘽Úõa½î†õ…^–k]g¨Oå¥hVñ…Ä0qÓј¬†ÌÄ´³âdÁ™Òô„ÚêL^M“0êTWöᚢõO„Ñ5Ki1Õo’xU+q’@6‡\ET)á I}îQFË£Êè×{*èÞ´!éæ¤Ý–þ17ݶaŸ½ ƒLçê¾jiO$3¯#>$«M•Úr,îÈ…œ#qÍÅ)ÑMUéç2CÎuç6Žd7Ím\N ;û–~æÏ8I «ý“jÐ÷ôû€TDôˆ×fl”»¦\µšoÓ,jo:” {åFøeõDzS{““zÒbb»²ã#; „¶Wå´×§jëHjNgv̦³Ú_ Ø-ï8çßó$t¬%dõòèi×F4;$îÝ‘FSÉhä‘ŽTïì^Å–8í¬›ö6©˜òÛ_p›í& £§ŽÆò‡ùÁ¼¡ÔðÃׄÑ!ƒqìŠDæÕú$ÿ«íß!c`Và7ð61 ÷=/°{5J’qP)‹^^ ¢Ù>º;JB·f6¢ágdt噡mÁB¾á±ƒFÕœ§AÑCƾìû,Ç´™POþÝhÞÄÀˆÉ6wBš(ˆ¯ˆò¯¡wðhãñԉ9mb%š~óc„ÏÈçPI _}8-…æۤЫÐè /οQÆÕý¡·¡òKrák{5áï.š©ËTƒÄå@ó˜%®uøR”=w1щµ˜ê°˜íá»XäE_¬¢Rè^K>KC ÷å˜× ÷Å/>î/×i’¼¬Eèþ%„Fûð¨o”€Zgˆ¨aAÕ¬©áË× HùkäÌU»-É«ÎÛãV½´"WýôCW9FœÕH³ Õ«€Õ”}¾«B[úZÁ~ÊZůµ³/9º}¼êôã§)g×OÿrvùôÁÙeíßN’ü‚õàfB½ƒ8Ô2ŽGu³R¨bE•|Õ ä|Õ#¥5“ã¯ÛlŽYwT [÷Ð`¯Ów®iù} ÓõùÆÓ£}xÏØãù=×Øý{©ÉPcæMæÎÐeáC–0cy^aÞ[I2Þ†P_B-7:=ƒPÙåÃ&\hŽ‚Ά80’‰€½dØÌWPÔhà¿ÍØØ'Ì bpÁN'ÈÔt„<­ƒP¦m§uì༮-tëÚÀõÝÖ0yª'I7Vÿ:V¡Òy„DË8t p|PÃGÁ."ôIL0“a€<7y€»¢+ДœÀ_ÙØ*ö¶ÅbTm€¯¶Ò·ZAÎV (Ùf§ÔM¡QÝÚ4L _ÃnHt©« ¡’ Ÿ#”Dd\(ãY Nðm" In`!í ¶2ÁQÖÜä¬"o¾d `n2ƒàM&¡`±Š† PÔ‡ÌÍ»!³”+iC­’4+kB»Du£Øý±d- Ä_F( +†ÎëTðn°àÚRûÁ¸Ì7X‚5Éì7óFp—ÖŠ´.0d´!PF8²;!\vÄÊj€@ndÊ©XNNÈ«ÀI‰€¡CŠ‰ø˜o€@+°öËÀ!W2°hJÄQf¼:düþ…šà{RçvƒO«>Ð/}Ðhc{€6mÔÇÆ@]Ĭ˜õ£ P¾˜eݼÁtó·7˜|‘|µÿŸÁÏ”A–$àØÊ×™ ÁT%à°ÔàOX黀™¯ »Áÿ¬>ø]4ß.#`\5ƨ øL™‚ϬÐ_šý­9ÐÖ,€ö—P×-¿RÁò ,?SÁü“ÿË@5–‚"°ÍIb-aŽdóV‚¦p£·'EåêBP™>0OïÿÐ]¦QM^[“T«§zYuQЪâÀ  Š ¢ Æ9! IÈ@¾ @ æ‘A@£‚(rUZëP´º¬½ºœE¯ +*È°ïwû;ýñüÞï9û]笇ظmÞ@èXø  ªo#Dõûî‰/àÞúÁþa‹ÿ$vÒløî¿a,ø|ÂÂFk~¹`WÛeµ0½ã;xÛœ€·{!p .ÀáºB ²i+nü hkrx[6é¤Ïûá²?nnüÃÍ€QŸ'q–-–ý“[FöÖ8Äÿûhœ—=н€³Æøç€0È Dá Aåq1ßOö#pR=•³%€~ÈhÍþ@mß ä3@ê Òµ­@¼¦ez$‰|†eÐ[IZØ€ wÏsîü“˜*ÊCfýèVæ=zGÌÚ%v?íç&õçWú0ëæêeÖ ¡'öw³aO"¬ñw6êx’ïí ½ Ú Ã†9è<“³cÉXÎ^÷Oš×;-Ï÷U¢,øiBrÄâ3p÷Fòm^ýVì!æuv3û։ثÌó¼ËŒ>~/ãϸ‹Ì÷¼nÎólì$¥ƒ;C8ɬ™ û¬T7[àºÚ|©úõÙa•ä®› ÆÍN…!ßFº½Ë#{½4°ýiÅ!÷U‘¿!º¨_¥Ù”>‘‰ñoA%»‡WÏíæ‹;Ç9-<þ$ê`÷‹O²DfÞhl<º%nŠ|TQG€³ÐÐ ÿwNê::ô>rÐ~®uœ.ö›;ZºmÑ`Ù.×W&‚ç£<¦ßïAÈԄȾD ¾W‘Aí–ç3ÏJJb;DÕ|³ IØÎo·òÎKŽñ®I›yO¥ÍÂḑ%¦^×H>ˆJÿŠ­’Ž3*dSä +Ù4ÉÀpµ1:?õ­,Ôy‹Ð7«ÜËásõ†Ùïëœ_ÔïpyP]y«”êÛWÀÙ~1GyF¯ÀŸÔ¦ÐŽ'XGÔùÜE‰ 6¡&¾ZÖ,«”žL(“ô %Ò;HiÂ{I 2Â+F,,ò…jB&)Ö&:W‚ún*J.º“âe¶ÓWÙ×{;¾iÚ4ïIsÈ’ßëö®¸VIö¹T|Ö(ˆ0gËñ-ú$Z“.U—’íN2 +TUñ%ʹ iC +nE>rSiT½‘ÕŸâòÕŸÙyê zžú Õóíß¾§C7uÞ27Û‰Z»¡¦5/[|çüÙ²íÛ[‘Wjˆ»Ê™ÛN™ø»[ó¥QMÙ*jm†–U•–É-M-š4åcR<7±U‘¥>«4¨¯©2“_%di> +35£±™šñèLÍÝÀA»€,²tÔy ]l ÊÕÆrx¹í»OûgæõŽwÛ‚_;ñCO=aCçAÆÖ¶2îîfS<®Ö¨ TæhX%™ÜB}¾0/­D’¥=$קUèR:U©)?«RµÏîƒH§û‹«ÓŽ1tÚñhkíuNšãÀ¨ùÎf¤ÙÍæõ ÛGkfõ›·,ºÚºkyWSÔ:s-=èhUì®úRÑþ*S¥¤ ‰Y˜—››#0d¤e”§è›Ié§Uêô+*•þ±"É0$NÊá%ëǘÉúq†5€ö@:g6š£t1f¦î_˜­Km^t¸ÛÜ?çép½#`á¥áË:á¼7R·4Ô²wVW °¥e2ra±š‘S¤Í(Èhó‹â“r+åªìF$!ˬ”eõª¤Ù”HîP<’3ÂSd[XŠì1¦5@€î ÙÙòæc bf¢qæ݉ŘÇ]K0·»WÚ÷Û4¿ët˜›¹ »öÈQrÀ¡FVXY_aµ„˜S©ŒÖ—¥pRK q‰Œb¤¨\&-¬O´+„=JAÁ]¥Ø4_8Ì—-,‰qŒi £çOýÆ +œ1PíŒ=ö fàÌ<Ìý^gÌKv½üœ;φº¶žÚ»úp;iSe #ÔÔÌÝ“Û &èëZJMrŒú`:/¡2O_^*”ÕÊy¥ÇNi7Â.»ð*Åü²a^\‰…W<Æ´HÑ=¤;aà€f¤~.æaûl̽ós0ýW0}W–Ûuõú8™/l_Út.Ò³ºƒàWl¦ÿî:jòÊÃ~IH  ²("›ì ;ÃBBB’@aG(‹¢âVÔ©#.­u¨Sw +µ,ƒ0Et«¢VEq=žc= ¨£•bÁʎϼÇOýùð;ç~¹çýŸûÜóžû|}&G°³±P¶µn­jãéÍé¥'ÿ’Stbo~~Í¢œêêÕÕ¥šêÎҴ껥? eUåeÏÌ>6‘® ÖS9ìšG¦‘g?2ɃVéù™Env“KÝ´³7üŒ®r­k. Ü^H +Ø÷/uÄÎsYq[[ 7üsbMóFuaÓ¶Œ¼ÆÝ9Y ßækê« +Uõ EÉõÅÊúÞ┆¡uý9©§&ÓÓNMitÁæùû ÉÀ÷tò°‘InŸ3 ×.3ÉÅ^9w©^SÇ°öV¸Õ‘|§ýפœŠ®”Э3¢7\X%XÓQ"ù¼½L‘{~«:ãÜ®ôԶʬä¶ã¹ò³õy²³í«¤m½«dmC9ò³Š–éTeóŒZl7$chäI-Üif+í ÒqAÎÞ3$M‘SØÌc÷CVöÅÚïê{n»­ ØЭ _}#/¦àzq|εõâô«åIª«JÅ•J•¬«*-±«A#êêHO¸r—ò{š¸kJ•xñ£BrA7좑þ£„Ü­£‘«­tÒ~NZn2Iý##r¢ß’ëw×ÿöY°Éî§QÖÛž7<–{—7óú…”›{c··ôD¥­ÜÑfå†k”nNS¦2ØÓKÈ¿R2LH.$ &zrX艨³àÃY? +^Œø3C±Â \–?bY>.‡Ôˆd#hŒÝkìŒâyË°q¾¾œo‡oLlqÄd)jMlpÆÔ-:¡2Ø{›-O)zIHÆ8!J"†‰Ã½HXÑ>ƒ--Ît?xÒ9ðÑg#ˆá†0† ¸Œeˆe:@À´ƒ„i¥5Ò !—e‰–96±Ì°Ãpõ\€C†¦8ªâÝhˆñÕ‡" `BÊ‚,ÚR±)ÓÌ!*²BÂk÷Ø þ;[ð«íÁ¯s@\‹#âÎ/ï’x7)÷Á¤üîÞ{Ê4æ<ǃ“.Ÿfàqèqô!ócB±‚E”1ä"SÈTæ,Bâ¦%WØ"á€=„UŽœ^Ájo›3âv¡›+øw)ýnà¿¡ü×ü) +>ùH™áÃmŠW]  fà{Ó!ñև‡‰” T\c¤M¡PšC¾j1de6î°Câß!>êQ­ D®Hhuƒ°Ã«Þñ„à)å5‚Qʤðš£L ÀžÀãƒîº@èJƒM‡Œ­”å ¤° ‰0†&Þj¹TÙ‹‘¼ÖŠíHúÚ ²C®Ö¸CRç‰Ä6Äç½ ¾ä Q÷rˆqðÒ ZŸÙ„qß鄾 ðý gLïQغ|šAìA‡ÒCil2} fŒlž)2¥HÏ ®Y‰Ô_8!e·+”< 8î…¤“Ë!û‡¤­¾vúCòKÀÇľÀ™Ä Iñpðñ‡1ñ\Èh"G$ð–ÂwH +Ž.Hp¡AêF‡Ú•ŽLwò8(Xa„‚hSä‹-‘§^‚ìdltf‡'Ôß,ÿ˜rØw6¹&`FQ4%o™L::!»öAz;ü½ô׈QÉ›•o%ï¹CÒ¹ˆ7I{-GÈKŸ+௠DÎ4ÈéÐPý*êy…ÞL”a5×%B )mPëø1o­ûLö6ïÉŒ=~ãi‚Þ«…Ž¥Ô†&7rG­Qoå1ÃI×yC²‡üWÒWñ/dcüùlL¿Üg)¢BèCBt˜š!Å‘Ž,ªï:飔Êc}€!Ê"LPÆ·À:™ÍÌš §ñ¢bϱüM¾ïrþü6s_øæ`äkõ÷ÿc»Nƒš¼Ö8€Ÿ„MEqk­K©ëXܪêŠ {HÈ’„ì @ bØ ›ÙB-@"D@ÙD– +A°^µ£VP¯ÖöÖ¶ö^µuãÜ×ïùð›9ßž3çù¿ïÌßç)­1à ÅŒyDêÆ>$ …< Ýù‘ø‹ô{“ú>pš}n0¡—• 1á[ n32Þ+øÔù»$lw€Ê}  êðb¨:¹ò»î•‚±ùX‘Û¯QòƒÏÄ)Gó²¼rŠüï…U`î0kq·è&üL¨…xƒÖOš¤“­Ôû”1ê ò÷Œ·¸Q f#ôêç@O[ a#²ù’O}k½Tmµ‡ê=Ž0ÍÃù}Ú‰ÿÓ­}‘BÝüs"o×£X©Ç}i¢ç¿$ß6h’WŒ»ÆÑÆØõäÑ°Vê0³+ô +sˆ~™1Íèe9•X”E«T2KãËØÅò^Qì9A~ÌE‘6fL”óH˜ÿŠ•¯ø@ËS@‚V±¶@ö((Cú^ò +dŸ!o5ê•Þõ¼n3úaÓNû™úÃKǪý]/—wtë˜ÍùüãÍ9Q~uqÁŠXžšN-Qå1‹’J8yŠj^NB³03¾Sœ?*ÖÄ?g$ý—“©zš©„$ÎŽä é{êåæ­°ôsðòìð羚P?¶l³›lúÎe¤Öw]O~{Gý€IÇ=VŸákȉŔe&ti§¨§r˜¹©:Nf²ž§Q…©J‹8Y9$Q)ïJRR^†«ÕïèêÔy²:âm<$ò¥H–X¸|¨\^Ô¯s­«Àæ­v-îK.O®íªÁ}ݪ§í7–rŽVëÄ>åÑVAÈÏN¥fgf1ÒÓ‹ØjMOuª^˜¨>/NPJâÔ·$Š´?¸IéïIéóeÄÛH\Ì@è\Àkƒ ø¥ÙÜëX +¦:¶ GÛ.î5y¯ioÀnm>KÙW£g®(žÔKƒò‹âñÙÉ”4m#%'Ÿ”]ÆϬÄf´‰eýiÆ´$:û7ž<ç-3.û#%. lb$ ŸzoöðæÌb0[·Ü=·Üê\&:7¡;ö/êj;ñE« ³¥¾¼W_v¨¤Šï•_U*Ñ”(ÉɧÓèŠB-K^P•åDjωÄÚ^±H;)–äÿÊ‹*|Ë”|¤Jó Á‰d!ÙÉÂ"ð¤ÒÜ5.7Í ÁäEg0ri=ª·{ßÂvËñUæÀMÕ-ÄÝ¥ ‚:®gvÄ_cˆÁªô‰Ä„r5-¦4‡uFÇ—xüb“€«»$ä[…Ü’ç\Aé?LQÉGª¨l2d§‚¿ŠÀ=ƒ˜ivÖ'pµoèÿÕٻǩåâÑ•µü7”·ãwµ†Ì6qŽišD>ªYP|]>º&…Q*4…q«ô–¾™ËÔwóèú £ê‡mø›Á©š§„WB‚-PŽ¼A†˜;ƒ?ÔÚk«#étƒö {h5h»âæÐ0ph¹¾×ÇUw·-§“ú¦ƒuHy^à×å/;,1©ðüæ +»±0”i¬dÒŒ,Š±‹M6Ž³ÉOÃhoht#$1êaˆ-0Ñ ¼Ôp·€ÉF;0rÞô]²Ãö mì3`Ûfouw)ö^£ÄlÑô“w+{™ßÊ/ñŽJ»#¼E]±þÜ J Ë’BïÈ'Q:*(Äv#-¤½3×>ŽxJ÷¿!ÛaÉ ƒm©Ì`ú,ß· AŸ ,ýhÐrÕÔO-†©Íè’ëûi¯ÿ~%æs0ɇv9-€t9ƒ(ÇaŒxÌ@!p`ñ9¿Á÷à l °åÏ,n—0a ßŒ¤û™†ì@Õ”Ývº;®(ííÝŽš[G–%Ýô];ƒÛ1MÛοÁÞÞ Oʾ£\O%ö¾(wà¡Æ‹¥¼°fÂdlà‰fGì§ÚmÚršÞŸ~‹nÐü^BL Ѿ"4› I\‰ðdLC÷pfb˜ˆcù!™Åƒ5R–lOhÙî0²ÝP¡E‘ój9Nhà8b#¶ØMÀN› ŠdBËB¥ˆgC™b…ÔrÍDd9CVéI½;Äö„hód¤ïðFúoÛ¸¶Oðõí®ú@p‹ê£žSc>âÿÑÿk“˜Î á³E©¦³¡N²C¶Øªì‰P¸@^ᆌE­œ égÞlçBòÕˆ÷ù@|Ø¢<ˆ:©ËS!ê¦RƒÔ¨/Doé/þG Þ[1|lùï ²h””fº;èÓ U:A=Ó*Ë$(j=‘õ'oÈ×s‘Ù背f2öN…ì /d~~C]ô‡ô'ê^¤O©aêMÀ)^ÉàÿR¿q|m$‚ y$ êr¢ÙÈ‹³ƒAàC–rr] 3»CSãõ2.TkyPþÅŠ~ÈÚ€¬¶@ÈA~Šú6˜¶ÛdþJõ‡¾Î +Ï|:’‰Ða9‚‡äz‘…[ gBÆ„>”c8¦i¦8 0à ù:W‹=7ß9õ>Эòƒvc4Û‚ nAöÞP¨†Au,â­òläååÈ—Š›Q£Š¾è!ÅïÑ¿)^E*ùT…ð'*„ d#d@ýGÑ4ÁL‚X( aaV4æD{˜%1K튢|OÌå"¿ÖÆßæ­ y³%ü¥~Gä¸nwô¨v?DsdÚúTì õ…¸ß³Š–ý`F¿êùŒ¾ì—ñ5ˆ} EÌ=¢zõˆ°òºƒ&L´ã•°`‰dcn¼=æ +'¢\áŠÙyž0—ù¼.©ö+\2lZñb憘ç†ÆØg¹MñOs¾NÐÿ=ɪíHéÓžK{¤¹*¸¯¾+¼£y&¸­O¹™ƒ„î\Äýœ‡ØÄÜ0þTAt¾LÓÞiñ£½/Œ±v¨NuÄ‚ —7óužcżsæšëÂJ>æ?.\ÿ0sÒ}ãöÔ»y»ÒÍmßÎ9,¹©?-íÖwÉnèog\Ó?‘]Í}oDÚå|$u™0ãRâ.`ú» ¡ïÏ÷aÂÌ¥ùS™¨¡÷¨á .Éá͇瑲=ž/4ñúç[‚–/Œº[¶$þö¬•É¿¯Ü(Ü*ºnÚ)ý1wÆ÷ÆòËÆŽ¬K†Nåw†ëÊæ=RvÎÎ<[ñ7Eœ)FÊé$œ²zºƒ".Ú5«é,uL,¦÷Xo?²Tè4¸8˽o‘Á§÷ýÒžªù17*êfühiH½Rö‰èRéFé…’ÆÌóEMŠs…-ª3‡Ô§L§4'L]Úc¦;Ú£ϳۋßd)…øˆ‚”!…J~r}˜Eóçý§oÑY–Ð{|Æ_Ëy¾"űo¹Ìíî2ý”îú¢àkµsù—«k.Ì_œÖY±B|Ʋ.ãäìÍŠcæíªöÒ/5‡KöëÍ9P|>wñϹ­%úVóKeëd´Z n)‡€J{Œ4·Œæ/ ÷#/x ¬ +b}Íî_›`ß»FìܽRÍý¡Á|±~vLç‡U §kêÇ,“´W®–ªøTy |«zßœº–Ù_çì);”÷•ùŒq—ùc“ù‘¡É2ªi®@Vs%dM•}Q á»OsçÐüÚ·–ÐYVp¯Öø3? c>ØËéÙ tº¶Få}i¥1è\CiÌÉÅóÚëÞú`±´márùÞkU{*7iwÍû<狹_æí(o3~n9‘ßhé2mµôšþ:oHßXÕ¶ÿf»>£šLÓ0?Iè‚‚Ž8X€UA)Ò“`B(@¡(ˆ =j¨ÁjèAš@PÔ((²2눸zÖQÇ 3{fËŠ{vV|÷ýçÇõû>_îçûNnü…MF˜»*Ä1& 8¼µxxóæot~ |ªÚNøµÎŒð¦ÑŠ´Xç´áQuëTYÙ­î‘üÓö9É.}|¾gWF>UžVJoO©ò“&51›/×'ô…Ö&ÜàÔÄßçTÅ¿ +«NZ ’¤ ßÚ4D•¤#/ÌC +ÇÏ¿+2T¾Vk·Âró·ðRº‡¸ÐtLg¦–¼åveàî뢰%1Gz ;ry³r)R~1½)£Ü¿>½ŽY“ÚƪLéa‹“¯…‰’&ÃK“—ÂE©¿±Ä¼u¿òsèæ->‡¼T¡ÜC"Þ{Ù˜p#¬WÀ¿7ÁÛ Æ°ØnAœ“:hO6zIüw V°­zE'í:JâÛ Ó9Uù¾ç*Τ‰%ÁIeÒÐQ'Nt=ü´hû‰/þÄL¬@Œ¤ +ä“TŽ¨ªPî![ –˞ד`¡]tkÀô ¸5°®]µRëíwÒ—]&okîô7—ÈBŠÛ£ìK¤qÎù-IÙM”Œ†­7Þ9±'ÕýLW–÷©ÎBŸÈŽrß0y£¨L, +’M1e?0Y²~¡rDãÈ…#CdUˆðVð—€&z 0Œ·_ÿ@Ç]=h»»‹ÐxÇV³râ¸pÜÇD0`Æ¿ÎÞŸ:esöZœÃé¡dçè¾÷jûJ•¥h *d¾þŠAC1…½bø)VO(¾P˜ýÈ óTµŠ{økÀœànÀÞ~ýx{Éo¡eFêg·CåÌ5á='ݼioãs“~¦)wC,âïDì¹k5‘t$ìÖ9Ç›— ñ27ÿñzOß1™7ml€â36Eñÿ|blÕ‹6Š<è£È•~WõN°P0-¸Ñ  Âù7š§HP5¯ ¢#(^ØCÌ}l¯•ñgIó´­q‚LOÎ…›sž²d?8{ øÏ&`V`ǘ9Ðfê©32gòÌ ‹÷ì´‹×ìï™ÎÞ÷‘#ù:JžþÚR%Àì€ñn€+Wqþ œ÷gåC5(~¢Ù/õÿr!õ…µZ í˜çƒÈçÆœ%¶ ëYôÎÀgñf~ÏÒ÷Ðóöù,ž·"/Öò|&³öx6dã¶tÏÖméG·ÅU·§ëÖnߣCnO¾6ßp»`  sçãýY9P´@þ -HUÂYå.B¬ò )RyL“£ôØÀRÒ7*ƒ71”[hÊÓßP•)ÛÉÊ,SOeÉNweÍn×å6s—e……óÏw,œ~^´p\þ·…ãO¿›;¾CdòÀÐe€.|‡-¸ƒŠ{2ž!aYb3‚¨÷»œ÷V¤à÷öêþï]5é+ꊟ®÷J¨¾çJ”Ûê™MÇWÓŒœVóŒ}(Ûâð¡ñûÛì>o;¼6kb»öÎÄöãÊ6Û•/úÊî ßa+~*§pþ<@úÀéבk:Àù¼‚>ï ø}ÞK¤­ÛÈëŽêëî®_|4¿økC¡:(rƒ:£k‹Òô!þT¾Ñ +5ìCÝ–è†á^ôûÑpZÅ>}¥w ½Žóñ <H[ˆÅùì_˜HȨhÁ ™\Ñ~¢:L:ŠIvÈMÍQÔ"†º +Ö°Dáš{PŒ¦9JÖÚr´w¢2í¨IÇué|‹†u¶£© &è9öæ+møªïàü‡8ÿ)@ Îg)èk¤îHœ‘EÛ vÈœ`ö­ Ñòÿd×YTÓgðþˆŠì;Av‘5&@ $$$6!²)6Ù7Ù, à€ŠˆE*n8R†A[EQÇ}k=Å¥ã©ÓŠÖ­®Uq‘Ê3_Û™›xñ;¹ÈÅóæ}¿srpig0 b’òÉ´A$Ó +ñZHÕ2E¶¶1Ê´ ±JÛYèeéc€¥‡ƒÄÈ'6ŒPTÝ9’•¢ÒI~Ü’ý”¢‚¦(ʵ€ì“ÌáFæpÃö [83ؘǰ„'à hðiCÓÑzˆ¤u±ˆžŽ%ÌiÈcj¡’ÉÄ&v&½ÄÎO€çNÃ߃†Ðƒ‰`ÂO B JðÏ€_¶.xzà®ÖÇ‚6p¶‚³“0‚Ï~b˜8A\4†ÏuâñœxgÎÿ3üƒOü>CÀ|Áó™x\-ˆ…,‡é@˜0êYà—êï޾ë á»Ù¼íÆàõ{MÀ;H3÷œ)¸Wˆ;¦à=%ÞÉwøÔ/Œ§x0þȃ‘&ø’|á<BæÎD¨d,Hå:ÄÏ„(}‚Š XiˆÀctš `«)ø»ÍÀ$˜ƒÄþ§‰ËÄ- ø?&^[€?iñæˆ >ÌÞÿÉTüÝhˆ\H>æÊD¸§”þ,„Ku ™ YªBò !®2†¨ÉÁmæî¶@Т߽V"þi áEkn°§Ù“ÂWì÷Âì·B°_ a5ËW²ÐÁ\R'’ïH#’ôݘùZXÈc!F¤ƒ¨](ë#,Ëò2S„Ö›C¶ÎÒMÖÙʆd·Í”dpöGñÛßÄ#vÄgí&ÄWì߉îÚ‹ux!žpx.†ÝS lŸH`ó8ìG!°Ö„`gr{Qv4bÉgÙ…Š£D¡)t±0Þ1&ˆ*4ŸŠ¨±š _c3ÖfûE·ý;ùv‡7¡{œ^‡îu~%;4ç¥ì„Ë é·sŸIÇ\IŸ¹>½Ÿ{7s~–Ãé¶c +ØÝRÀV$4”³ˆeÓPͦ‘2‡‰4/-¤ñ§!E:‹£ >&¦š¾Ïµ|3Soÿ"j­ÓóˆÎ9O•=®Ãw¹ý60ÿâ÷åG=ïÊ/xß‘ßôþIþÄûÅ;ïÃávM ×+˜3 çï"ᨠ¡¶ D[1`Á@*é»ä&™ä™äËD3>¤+õߤ©L_$«­ŸªŠì~YTã|?®Ñõç˜V÷±è.¯Ÿ¢z}nFþ•s#bˆ{=b˜wUyÚwTyÕï²ò¡ß¥ˆ7Ü Qð>³ áv&®§cᢠa$7ŽôÞdÒ;3,È!7É#÷È÷ÑžÈLÏ Õ{šgú c ûNÚr‡“ËçÞPÕ¹_Khößȹ×Íû&v‡ß……ýüs1ûÎD <}Ip"ú¶àXÌË€‘Øß|ăs8žÃ‰p'Ü4!â÷ι„t¾l2K %Îôd©s¼ÔoÚãbɬ»Ñ&?æ$³¯«3¾K/šw)­Úó|ò*Ι¤µ¼“ªÿã‰=GíŽ :PôuÜiñ¸ïÅû㟈ö&LîUÁw( œ¡dx ¥ÀC¢Iîb’¿Ì€B¾ …R2Ó +{Æ›*WúI5GûneÐŒ›eJãÑ¢Dö¥åéNg³óÜN¨Ë½Ž¦¯ä^Òäw0µ5ð@r—p_R¯hhñÉ j_Ȁ꘴?ñ²lwâÙî¤wÁ}©èKƒoßpú–Â[bÉ RH~6é[EFV˜Q“µlƯuNŒûõîÌ×èŒV+Œ.”ÇYŸ*Ns:šŸív(·Èû@VoŸºž?˜Ñ,øréÆà=Kº%}©;¥;RC·¥ Ë·¦œWô$ßVô¤‡ô¤CØ“ÿ/ÔàMˆ7&wУ°œ(#³ÔQoÌ©G³©±¿¸0®6úN»X'3åZõñˆõÕÈ–¬gaks&%ë–C¸>üõä_GTä·«Iß+&ªgR¯VéRZfQ·6èQ£mvŒó­>¬ã-"ýƒ–{ë9ôצÍÛU™åÕ[^ÈÛRZÁï*^ÔQØ$Þ¿A¶.o³¢9wGxSîß#VçŒD5ä\ŽjÈ}¤\?!m,DPSÖÃO’È;ÈÒ!oQ‡z½R‡[3º¹~:õ¯ö™Ô7³©S½´·éío³hŒ³ßUŸâÚ»RíÕ]Çí\QÊo+¯®/]%n.Y'k*Ú¤XU¸MYW0Y›?U“)ººàaDmñ{Ùg¥Õ•AP_¾&¤ýç²(T°¨ûõLêf ‹ºÖ¦CnšAÛlMÛä¡õU»`Ö`«Ü|wóB»mMIs»2<;?Ëån¨-ö_[])XSY/^]Ñ,­+oWÔ–~¡¬*é¬(9]Vrž¸UQþ.´rÄÕ•ÖT¯ iääiQo«hjl5M]_§M:Ï4êÒç:ÔÉ-–Ôp÷|æ¾®ÝþöP³í­Ñ¶[ZT.k–zlX½ ¥¡Ð¿©®Bаò¿l×iT“Wðç}" a‰Q‹(”B @Ø‘m( ‘‚¢€"Š‚"PYdYŠ¨ˆ(.PÅjg: eÚñLm 8§§ËÈ¢õTEg®Ç™3=a>üÎýð~øßsïÿžó>9 +½f–ûí?pfÃÞŒ¶ Ý=!)÷B’3&‚wüÝ7- •éÙèºï:«Ã­ÀT~!3ß·…Œ”1áó ײ ¿~\­·btžqÒj©ñZVWdx¢,Ò¬¬d‹°èX‚]~a²ãáüt—¬¼,÷ŒÃù^é¹Ç}w:œ}.()»;x{öÄìB’ržû%FeÊT¤A¹:Œ']Hxœ ðuE:À€áÓL8Ë„ëM|èj2§Û$ì†Z%ÿä©ÀÕåÕá&E•›­óËâŹ¥;¥™ÅiÎûtK+ÌóL)(ñI:Z㟘ןח7¼5ïQp|þo~ ¨Ü^ˆŠ¤B”«ÃD&¼Øð}€ê8™ûjh¸]Ï€Í4\nåAG« ÝÔb¯yºÑWYïoP|&lmþ©M–95q¢ƒU;öUì–í.ÏpÝu<×c{i‘÷GÅÕ~[‹bŠ.n.Š.zSüÔ7¶dAW‚Šm¥(W‡I?eü½àÏ•@:@ÁÍF +ºÛ:Îë@Ëycª¶C̪nsÕ-9ç«Ÿßj”s6Êü@}¬pomâúÔÓ)Ò¤“ûä 5‡q'>Vn©ªôÞTÕàUyÁ?²²? ¢òë€ÈªŸ«æ=¢«Ñus5:«Ã€‰r%÷jú®œ8OfŸæ.-¨»lHè²a–^tætz/ÏéZ} =b]Zëf«ä–xÛÍ;íã÷:ƞ͒G7(¢êË=Âëê½Âê:}Bëú|BêFÉ:íV7ç^‡òˆ:”©{–Nºxàó +€ÛµW›:?y›OæÏ^T]ׇÒ^+FÁ5);§Çƒ—ѽAO×ïﺴÉ4ñBœU\çÛ˜ó{ì>ìÈ”F|rTÖ^æÒ^çØvÞ= ­Ï# }Ô# mJØ6'jE§àV”ªû‘ÜÃHÀ]r×.’;h¹HæO2ÿ•õÓpl`)0¥²?µcíïWh§öù-KºjðѨ÷c¯ÇšD÷&Zn¼–*øàêQèÕ<»ÀžãÿžZGß+2ïž[2¯žQ™÷•)'Ÿî9‰O7Úû^F;uß‘.> gÐ_ÐEî µ“ÌŸdþ+¿?Ä€¬ûÚpàþØ{߆‘ü™L3ñž—NÜpóp„~ÔÝÃð; Æ¡wRL‚†2,†ŽXû– +½km•ƒb÷Á>±ûÐßÄî·§Eîó¶îŸ¢ !T§*Ö„ðñ!ô‰>±¦üž8Ð^“®LI Ådð—©lÙT,Çq*‰+™N׶›>¬³~ºLW4Ó g3s‰'|:DŒñÓÏx‚Éžà ê©»ÔÐDÞa5é`áÉ¿°‹äÇþ | è9ü_òÁû•!(_™SŠW"ÚyÖ‘vœuc8¼öaÚ½Ö½‰Ô°y³…e=·c‰å\º¦ù\Ût¾‚m2ßÄY·ÐÍY»pOË'´ŒçãÍÍ-ÒBÞa éàÇýÿËßò-ÀŸÆ|P¢&(Pœq%HÑìÑ’¡ˆ¢”¶BWÚ=iS `¬Å0†F3 1¹ +Ó˜+ñ°Æ{X®±YËñ2kY|üu‘S—Žõ‘þ}ö.?æ@È€çOòßä2ÁµÁù``AöaŠæ”1 +©5¸žZ…ŽÔJTP+Л^†4#h=Œ¥upÍÅ,†–08XKt0ØØËÐÄ»‹“75LòU$ÿ;€àxü ™#€€0Ç%°uÀ—ÂjÔ}4„åd/KÑ ôP@é ˜ÒF)ÅAWJ½) ¦(Œ¢ˆt"¬ ê‰öEÐÆ–F;R!Ž"²Jh¯`¢8€…¶—  A­ÒÙhy„ƒǵÐâÑH´sÑüqè'î*.ZŒ‘o¿ω7rÐò,þÉ–XÑèD8ÛÐ(³g £œ‰?ÚE,Añ66Úîá 0G …Å\œ êµQÐJ\ ®·´ÑúY¿ ?ψ×r„Èÿ/j©ÃõÖ:YÐèB(È>\E t‘1QîÍB§0M”ƲÑ!Y í2¹¸¾PÅäèÏͺ(îÐEQÑK è¡èñ ñ£ŠgôijºoĨ;K¼£ÎËÿx¡,(”›RèfB£Òœ0ÐSÊD% Ý‚5Ñ%šƒÎ;¸è´_ótÉ߸Jkx(i Z—¢äÑÃG‡>b˜áÏ9<æÏJ¦ø/$/ùÏ%È&Á¥Oß’"oæ½?B§·ùÆzQèóo®Ë3ªÉ4 Ãoh""£‰ Ušt %„„ÞB 5@B ‘¦TYëX8¸VÔ;zгë¨cûXÈè¨<ûÎ?—×ù~ÞÏyïûûqY«Aè:5[¯¡tMÞ¨ ¬D`f/†ðð¯^ 4…>ÐÚ üz¾ù }¥Ž­ú‹zÈø3uÒøõŒñ<õ +qŽz—øŽú’øš:O|Iãç~`üÌV=¥Ñ.hÖ`™ t "ð7ÊN ¢qQTMˆ Ö†›—AH†ç¯ü$2øĪ3šl5žcî ~è[ý>`Äô-cŸéÆÑ5¯éSfÐÿeþ‚~Ëü ý¹Å#Æœù}Æ‚Ù½0½Ã“ÛL þ— Æ‹†B°çF cJ€øµjˆûHÀ}Ä1—@LIJÏQÉz#rô߇¯zVMü#¤ÁôÅ•Ù³àn‹'A–¿³F­²­}xÜæ·ÀiÛ»×íþøÄn–õÁæzЂõL0X^ÛæW6ÀšË!`º`áÜðU8߉øŽ4|ï3¤þ-¦5Ÿªó.!qƒ§1ÄGQkîGÊ,î†7[ßÞ¸ÝæVØN»ÙÐa‡¡{×ýrÔq&ä¤ÓÕËΗBî;_ yë4öÕáìF°=Ö§#ÀòTX`Ì¿6àÜMØ{ãW"H7BÀÁdÛ²]Ôæ²¼5_g.}ÊŽÖ{šføk"Ïäf|±ùõØ*ëk1õvW¢Z~Þ´Ãñbä.çó‘#®ç"¸ Ÿt?>í1>Kúgø ÉÈO.Qàx,ìÅ€ÍÑ°^ „`3ÎÿÛù8úxÆØ»,ó|Â+>Iýq®ÿ’»Üð峜DÃöÓK©–’ËmÎ%Ö8œŽopšŠks=±¹Ë}2f€43J>}ØópôIÊ¡¨«^¢~÷Ú3GÞ ®ãñà4žÛÅ@„‚xì{ìåØ;W`ç2@_ŠV£·BkÂc¡³Ú"_Íù!º—y±†ç³Ù¦§9<«ŸØB»É4±ã±™Ëáä&÷C‰íäý ½ž{ㇽÆâ÷{ô‰»HŽ»GŒç5˜¸@L×pH‡Å@~ƒ$ì{[0||GÑ +ô±Ô=/7A÷*l 7Ê)—ŠYºçQ†SüÓÉœ,«#Ùö9eNû2$ncìzÒ?ÒZ×ïNÝá5˜ÒïÓŸzw, –;XýȒpÖð>úIóÀ«–dY>¸-’–á-Ð\!B÷EÝ”j oÕBWÚhº‘ˆN(œÔŽÈ}µ÷É‚WŽÔDû+“,z*2m;ÊxŽª’B·a¹±°†"4øÖå«hR~/C’·‡)Î;ªțf•çÝc‰ò?ø‹à]Yë«ŠÀ]²HÑÆ[@èY1B¿Vaß©SG—šèB³:Ùj„&ZÔ4y/Ù#g­¨‹$öHÌ;$l›61×±¹¢Àµ¡¬”TW*¡H‹ë}$B%M\ÔÍ(/ÜÍ,üÈ +Î n½§—ƒOY x–—‚Çb íï- ô;ßÍj„®ÊÕp¾:£ÔDÇUè°ÊŽ0®ô\2ÔÌÔÛÙ¾ªckœY›,ͦ©&k\Âw‘U“ªEbÏÊŠ:Ÿòò¿’²NFQé³ ä ‹_r*(¯äVP~é[† |Á·°(B0ßl5ôg>B¿U t]†«‘€Î*Õщvut´c%Úß±–0ÒNÖêkc,ïl 3R5n^ÓÔb]¿•ãP+Ëu‘H‹ûd÷¥³³|&3ùeæûþÞ#3ï¾0ÞÊÊx«’=©öKvçH–å»ÏÛUì=kç¿i;m_’ë0õptò¡n.ðÈ>¸Ä'³n…_Zmé}íWŠÄÚ +¥®¶>H[Û4î@o¶Æ¤ÐÕ`€®ýGºLspf=À1Zƒýe_ïØLýRsŸî`6ó`aË(˜ßâËÌnQ±§5Çñ ›õ¢üSÙ≧òí²›Š3›æJÓ¾ýÐUÿí_Ü×zŽküÒ;¾qolc½oLcéó9aò‰9ŽÞ1 è5Rk)íC:Ì{ ÀPðõWžXÒÂÀÜó\(n·†¢vW(hdòÚDzsÛµÜñíé‚ ãDQª±Ð:Ù8[¢3.²O0–8ĵ}êݶYÙ¶G¦1Ö»Œ5]ƶõ¹D´š\"ΡKø÷Ô¼‰ÎÁ€Ê +€­Õ§þ*ê/= 0· ð"&\BÖ¿l!£ÃÒ:‚!¥#š•Ô‘ÄÖufq:'óâ:§ ¢;#;?²ŒèüØ:¬k£XݵÛ&´ë°$äF«$¤«WÜ1h|í‚~ú£zó =¸}?ÀúÃÔo¤þê¦þ çô? ±Û´ÝnÐ3b{˜¨ÞXFӛ̊èÏV÷åsBû¦sƒû>à)ûKøýk÷ þ÷«„~÷…ò×…òþÇByï ߎôyVüãÀÇæ÷?Kýv€‚Ë@ïx ö¡D?•€æ™ Ÿù€ú¹BžG0ÊqLà‹dÆ0‹%Ìcù Mc{ ½ÏöxùgŽûËu7Óv®‹©†+{uš+}u‹+5=åJ‡Þpñ_›ÏÀA€ÕÔ_Fý9¦\Èèw`l7@Ø0èÊJt€tôT€ªa4F1n¨ed˜Æ8ãÆ Yö8Ÿe‹bI°”eƒÛI Û›É52@¿csÀ'´ÿ?2÷ þ5€ôŸâîP»@ñ` ²ÀEÔ”PÓ\ÑœÑ Ðì1l1 l0¬1‘±ÄLFˆ“>Îb¸¸”a㧠ƒûÀcäú/ç£ßjÊ׃Êw‘JRCŽ“ä,¹Hn‘¯åƒ“ßï‚!? ’ÿ†šÛž Fz0åEüY¥f¡&žƒá\TOáaÈl>/ r¥*× Qù…ÛD¨('U¤Ž³DE³åï +£å°¢Óò¥¢Oô\ù\ôDù»è‘EÉùM‰Â‘0ŒúQn ƹ2ïÎà8_BëÍÆX=£&òP3]€ …^"°O,ߨ×[½VeõJ½ÓÚ¤ª°RU‹UGÄ/Tâgªs⧪+⇪nñ}õSq¯ú¸[â{j´¾öVwF@¹/ePëÄ`}¦Ð\¤Ðzèi=u\ÔŽçc|¡Åpì<Ñ˘¥VtS°~U*~µÑæQäVÉ@d™íoš}v÷5uvýšû^ÍûÍ¥Qw5¿Žº¥y2êFäkûŽH´»…¶WÉ•(”›·aŒŒú€z{ÀtG³h.ÆÓÉR±0#Ž3œšÊ{‘œgñ$i¦å€n‘u¿¶DÒ;nµÝ½„ÏíïÆovø%n‡ãí¸=N7c«oÄÖK;bOI¯Ç¶Ë®ÄÞ–]Š}$»7,5Æ£Sk<:žOÀQçÐþû0Á‘Ž³-õmèHÓ8&É󼜤d½ÌÕ°Ÿd'òdåXôdYÞI›gs3e©]§~…õ¤5NW7HL4¸\ÒírýA·×­][ëÞ¦m}^ûÇ9íU3Ú~fÝ{S"º6&¡ìd2:ŸHF'âø6ÔQ7úÙtïÌ“Ðœº©ÐyT bõæÇq~ÉËtåæ[]Í™i{iüB‡öÌåέé«\Î¥•ºMÝ8útêæ”2¯&}•w£þÏ }“ïqýùÑä_åGRžùJEϺ4t¯KG×Út”Õf ôm˜LÝ,+zºwN¥qÛ›éNðx†ôLdnG²*Òó/äZµN~Ïî»Ió[rËþMu¹G5}žqüI•K„@H &á8L¼  Ã5! !!!!BÂ-DÈý~A§uØm·γžÓMízº®ívqw—HEêÈÓ’ÛÕÔ«|­9×Â0ñú‚¸“¡µÜ;áFîzx5÷OÕ¼×ðQQ€ŒBägÌC$kPÊû¾|½sKM„gZ;ø¸Üé>€™°  ·›.=·w¬$Ñe@Éqï‘ó<;ebï6©‚tU¢!›Å•ÔFQ=­.¯•Q#ì©Œ…U"t‚G¬rÁ V¹ðMˆNô¿“ú|D­#2ÆÏ”fƒoà+)À ì;Ÿi ðDok•vpßà‹a¶2Ôv\wzÏ`Y¼s·:íP{qŽG«2Ïˬù6“ëd:jÔH343ôâë!ZñHXiþ\„:ÿ!«$;²Dü0uÁÏt–Ê𯟠ù[ƒ8?^á¿Ûì|ØûWáAµ ,Õ€9£a²†i;d8åУ;Ð^žrðjiÖÑ&µÀ«¾¸À·F¥ô7•QõŠ*šVnbh +ÛCJdCáJé,K!½)—þ.R.û>¼Hþ_†R‚T +DQ!²5(à{îA‰½W °iÀÎe$ÀJæë÷Á/©§Ûô£wwT_ÜßZÉþ IŸq¤®<÷xu™Ø§B£ð/Wk(¥%•´’â†RÕ"W„K‹¦Y’¢{‘â¢O#ÅÊoà T?1eÅ(¨°Q0dkP6¾ î¡;Î`͈½»ß"vŸ™&'3{Â@x½1ÊþZ}ì¾&ãe·ºjÎá*÷˜¾BtB«/ôS——PTZ}¢¬Ž.+m –húÂDê©¡z™ÅW?ä«¿‰–þ›)*E´ü2D—¡kß~[¥OªpõKfì]-ã­{`°õt·R‰m-»Ì泎uñ.UõilÏR£Ð»¤ZJ*ªRÊ%•Æ“¢Š¦@ßÊÓM„çèîFdë>aeë¾çêÿÅäé-·Qù(Àšw€—ø=|¦ÃÔÜkXhÅÞƒýk°}twK™ÐÜfWßvzoUkœ³®%ù ÆœyTeâ—7J|¤ EþùueTam5-×hfäÔt…dÖŒ‡¥Wß ¿RýóUXzÍŒŒ”eDL€5Ïø÷ðÉû;h¸‹çÏ´Œ`íê!@[¯+˜{} õ=LÛª®_9”w^ܧîHr+²pËÚ¸ž’V‘wÞU9)·ECÎi6P3Í&§©“‘Ú4œlºÂ6m„&™þ’lúžbB©&fBdkþ*Ã=à[\ŸÎÿž?Þ…Ý;`ë@ãð¨öÃðI¢v0ʾd ÖQÑŸà\ЛvPÔ“}„ß-<Æí’zg]/&q:+È© Tv{;-±}„ß¾À¸Ô¾Îˆ³¼fÄ[Þ%X%± ‘1~Ö¼Äïái%ÎwpÏ¿Ñ;èèh˜0L9‚nê(h¦(åT¸máäiñxœ“p,Ù…7šq0k”8}Dâ™:¬ôbé|ëü. Z. QÎÌÆ<Ƽ¢Æö¿ 8߇ü/ô!Æך?”á êV®ÜÄûà°ƒ6OTÍbÿœ·ƒ¢7(\ðÉ“˜7mÇ»uÞ!kî²SúÜçÔ›\7ölþ¡ÄYÅ‘K3ZÏ‹3µ^±Ó×Nœô==}‹3½F:5ýŠtjê­OÌ$:ñŽ™@^Ö<Ãwð ß!îïß;Š;ÀjœÃþµ ]®îþª'pW ™«DÎê»”ÕK»“î¥ìM¸—µ/nExàŠÌõܲæà™å÷SË­G¢—<¢–ç<"—×ÍbðnV€¾Å>hÕÃÄÖÉ0¾-<Ûâm[ãñ8—u{\Àºrˆ#?’óZ_#É3úM/âeÈÈñîo ewbp‚š8S-Ô]ƒYÔÄr¨NçÐaU‹y¨,"_“M|Tn'U¤šÔZú¾Ž\&M¼aåSÞ€²›×¯àúTÈë%=ÿÓýOÙz{½U z9ÐgªIOë1!€EÝ4ª1…C×¹z. 銌ÞéÖý¥ÝhÔ¯-öiw {µû…=Úákí a—öœð¥öº°CÛ,üC÷RøD÷NئCãò +Gõgû§„s¯ùY‚g.¶û/1nó_nÚâ÷•è‘ﳇ¾ß˜ß7ìwÏ°_Üd8"i4œ’Ü6Ô[4îX\3<³¸ìÛoqÉ%ýP|ÁÇ÷CósïÁÉ”;eàT3À(ª#–jšnC±îLo´7ÓÌ> 嵄¥ †Î3¾7%_Ôü…ù­ â›A_K®n”^ ,·¼PiõsÀëú€c² ?ÉÎ\—×´ÉÏtËN¢õ‰ ´¬ Béñ`”ñhD¹SE”oOuÌ&Ê¡'Q Ï<™Ö8æÁôH®1&ÉèFT–é•È…æ?G|&¹^(=7µØª.¬Dv6l³ütèv›S!{lO„VÔ†œ´û!ä’òûûªšÊÃaƒ¶ÃP~`*Z«êp”Ž†¡æ€Ó„€qtîL¢:RÍ ¦þL•CsŠšR|˜I¡¼_ã„ÒEuq¹âÓ±KOÆ,±®^&?µÊöè´uŠšÈ-ÊѪƒûª#¾wü6¢N½/â–º*♺2ò­jw*vE¡ME4ʈõhn +ÃÒµ³ÐŸÆƒŽL#ø#ÓZ3Äp'S×2'2õ¼º´há©ÔÑñä,ÉÑÄ,¿›Q ;ÿ¹mu\‘ݾé«U{¦¯w¨ŒÝæ¸+¦ÊigÌaÍö˜Ë£¯¸lnsÙÛ§.›ŽöeqhW¶D>FŽô`  }À£lîåAÓ¸–mstÌÙl®6+Bx4s†èPz†dÚ\«½©yòʔŊŠ¤BåŽÄb‡òÄõÖ›5e Λâ«]7Äw+¯w_ÿÈcmB·KI"ªK’PU’ŒvÄv4ŒæÑ tÒ6×Lç¦y 4,àÃõùB¸8ßÎ,pcŽÏ7p5¹aFrâD{çÌ”ìž=ÇjGæù¶Œ|Å–´ª6Ï\î¸!uµSiÊzçµ)å®k’÷º¯N>ê±*éœveò]]qÊ+÷âÔaMñLtX™†*b7Æ2€)Ô:óýF[\ÃB:ïäqP¿ˆ?åY@íÇÎP“çÃU/œbT5?F´37Y²-g–UYv®|cVž¢töbÕÚY…Žk2‹5ÿÊXë²2}‹Û—i•ËÓ¾Ó-K;ë¹4íÖøÂôNíÒŒA—¥™¨^6 í‰r´‘uè› ÐšÐHùW?¡wý|êò98™/†#j8¯g«> ìÌ›fúÍÂâM Ò-KçeËKr?R|•“¯Z•ý¹ãŠ9Eš¢¬5.Ëfoú/ÛuÕä™Åüÿ†²$‚FÙ!‚@èn”ª u lJA@ö5@Xö­YE#¨ ¢à.`Ç•xZÇjUl«ÝFë2©ŽZ;s¦nÔg.õœ8g>ü>åäüŸçÞû&ïu)ß1»$~¿»*þ¤‡2~DVÿÐ]™ðÊY•È$ÅILLl'báoûpk¼9Àù@[D;W‡"!ö+í°»HÆÛ^à««Q¶ç†Mm•G‹š²Ìê3Ó­>Êȵ­NSÚW¦V:–¥¬u.NÞà¢LÞæVÔï¡H:.ËKº$ËMºï‘—òr–"•9æ§1{b7‹P¾Ï.ÓÎõ‰8E¯Cä`‰{JmÐ]âÎiŠênT¶„«¦×åÅ›Õä¤XVʳmʲ ÅÅYå’¢LµSAF«K^z§›:kÍÐQ;‹k«ñÖi¬Z2E]±\PUnZV=CUœ`Q¨J·VåÚåª$YÕNéùM.)ù›g')v¹'(dñŠs²8Å]Ùšü»&ä3§Ä&I*`â‰FÇga¼4g˨”}˜öÏ=õ@W½:êEh[+åšêæè¨ÕïéWÕ•V‡š(«¢Dù•ñæ¹å©VÙerÛŒÒ"‡Ô’JiRqì5ªM®±ª·åhå'*o{D«þåºZÅœb‹™„ˆ'z”|K}øŒjpšvÏAÊß×H{WÐA¯¡­ëLÑØlõ:O^U“niC€aÑÚ¡¢nå4¹:vfæGÉ–iµY6I5â5Õå’تz§èÊv—¨ŠîÙ++¹ETœu¯¸éQñO—•Lºª‚9DU0ñD÷R€/i?¥WS (»·èl£üõÀÚ BÔ¶Û rƒW²~>¿°ÍoJnë +㬖“ôu1¢ä¦D³„Æ «¸…mÌÚRûUõjÇÈúõNauÛg}PwÀ5¸n˜|ï¬þÅù5s U3b7ÑêÃ%z†©Ctÿ}­ÀŽvÚ=7õ[€*J5Pjfqùoù–Ez›ƒ S:B‰›¢Lã6ÆψiO3Úk¹¾Ø6¬­VÒÖ*YÑÚ%]Öºß)¨õŒs`ë7Î-σZ˜Ã²f&^ÞÌl'ú–~“þLÏÁ êÿ!Êß½ÐPvK'í^Ûe·.=Ó‘Ó#Af'—ÚíÃOìÐÛl³=Rµ-Ö4²+YÖ•mÒYd±bkµuÐÖfÛM§Ø_³ÏÞOsÚa‰æk‡%[FÅ~›ßØúmf6þÌz¢‘ñÔÒPýû(›h§U¤¾(¥Õ(«Hí"yŸ5öÍæâöÍåE÷/æ¯ê_¦ÑfÚm¼7A¸|o¦iàžÑÒ=•3ýz›Ìõj,}{û­Þí=eíÓ{ÝÊg÷¨•ÏÎ7–>=ÌâÝf>ÑyzÓ  üÊßLwoÚTöÑþy€ö¯#@ÌàD Š°rH‚ˆ!O.tȇ<´TgÅ`°nÐàJý€Á8ÿÁT£Åy‚÷ʦú 4˜.8ºeú¼£}¢¹GOŠ¼®‰¼ŒŠ¼¿yb"¯ƒ“¡¥u°—ò·î ÐÝkh+: d ±´‹†žæ°lX€ a ¼¯uF€vüµ¾Üm ·HÊóÕ~¨³P›¨;_›­7W[<ÅK[gðí&COí#™ö„±ÇÙ¯Œ<†Ÿ»Ÿ~#p;Ån'™p¢c4û}”¿ò×S¾šî® Ð?K;è9¾õ0ÿ’ æ]²ÂÜËÎð¾<^W|1çJ Þ¹Æy^YÍyŒ¤òÜFòy®#Õ:.#øÎ#»øNW?æK¯~Á—^yÊw¼4¦'ùœéK.N¶Ÿæ¯›ò7R~ýA „îž}XCÙáç(ÿà{ðú’ÙßÀéÆTHoX@zS +Ç›žüàCàp+ö·WC|;¶wT°¹ÓÀYßíä,ïâ,îžçÌïüƒ3¿õ‚3ÿñÌnN¶“úßAù ”_z ÓÝ×|Jù”ý>eû|¼ó5àr°¿£ ÛBØ<˜«‡¶°xè óGž˜ùhfüìÑãL{Ó'é0yZLÖA8Ú á³c<» +ãÑÇ0~2ãŸÙ$Êo¢üòœaÊ?Où”@Ù ([ö@z°ù0ÌÃÌçF=7…é 3˜¼°…𥂗î0zå ƒ×‹ ÿz9øcQÐù- ¼7ÅÀoÍ„²1jîØ5ò„Œ‘ßþ«™ò+)?W $ÐÝïK¯ó(Û²(Ûâ0ý`ò +2=˜1 ™ ô™|f Ž‰æL<ÉB€ß_ý!3zøýè3:£B³‹äÞ$Õ”¯ üDú(â+Àÿ;`.e»þ °»ª3eÓÑ)߀z„Çøô]" &DD,‰=q!^d1 !ô¢Ìè‘5.BCÏNMÂÄ´[:8¿eçÊ1 OŽM[À1“Ž "8fœÀ1Ã3(%u¤c[ÈÒKö“ŽM9AÎ’ÏÉwä>ù…¼mµo0îÿ’8qLJûå8 ÃÆcæÞ›áGg ¥³Äñ˜0‹¨H YG6’.²“ôÑY“cä ùŒ\#'£ÜÁ+î•€q/…ÿóâ?d×yTSWðûÞK¾„!/@Ô Zª* ,²Ê¾…H€HXHØÔ¸ ˆ¢êТHÝŠŠ8µmµÚ©£ãîà^ENµw~ÌŽžÎŸóNþúÝ÷ýÝûr€ÝÅOqþw¢)Ð^/  “(sÓHl—CbŽÔh&±M+è_€°Ãà<ñÞæŸÄ8ç ñšó–xÅÁÄKð‚ƒÉç¶ÿK„O>ÂŽÐNÈcÊtÈfô' ú“HbG‰ùÐZdACô6ò=½“|Gw“¿Ñ½ä8}˜|KŸ ßÐß‘¯èŸÉgôcr”?F>âcò!S˜ºîMà€§Aí\„=íàiOÈc†áOgØ#ˆÀSbH¸ýØ5—|'.¡ÆÅ5Ô˜hõZ´Žz%ÚB½µSÏE]Ô3QõDt€£‹NSEW¨»âGÔ-ñÆM1füìŠ×ÁOà¸úì u?³AxáÙ„}aM>nðÛ“ÀÞóˆ÷žÄÛO“É_§)©çS ¨'zê±ÇRÆCÉ*Æ}I ãžd+ãŽdó¶äÏÌ[’}Ì›’~æ?$'™7$—XW<°.z¼6º0€sà;pv*f} Ï„ú¾–p¬-ö·†#k +€,æIÐøœÙÄKŸ`btVù`¦ŒºóY.uË»„ñ‹w5ó†×2ÖU¯&Öß½6]òÜn|ѳÓøÏ“óžMF<MÏy~ozÆë¶ÙI¯fCÞ¿›ðƦÇÁ€76ù#ì uç™Am„ƒa¡G‹ÑÓùÓÑý€¹Ä-ÿâƼòò\%ãÇ9ZÖy¿%FçüjMÎú®0=í»Öì”ÏfóaŸv‹o}¾°ø‹O¯å O¿Õ€Ï)«c>׬¿ñµ>èû›Õ~?lùµ¶èóÃæûü°ÙÇð\¨È‚º „Ãa‘h<‚ž„ÓèN¨;º: ý-4„ ‰£Î˘'¨Œ‡™Î×› Ö[ 0Xö4[ößÂ>èßa³ß¿›óµÿ~Û}þ'l÷ú_°ëñ¿o×0ÆÙ'([wb+`Ù5ÿ0ñþð¹Š@hl‰FcYèqŒºe®F9¡ Ñ3ЙèâÛ¨(ÆñÈÖ‘p…Éá°<ó u–}¡•Ö½¡KÙ{BVq¾ +n±ín³ßÔÉí +Úë°+èogÐY^GÐ-º=øw[¶k ÅÀÖ[?‚0^õcºŸø_’t-‰…®Ä›¡‘:•èçýñaŒqñFûbe¦=‹²-º£µV»£ÊØ‘Õœvk¸íᛶ…No ëæo ;(Ø6ì¸1ì'Ç–ðgüõ¿;¬Äö͑ض9 +Û|ì¿/‘=‚ú7SºœŽÐ…tú>ÕLµCÒOÐ!él¢/5˜Ñ“c´;)Õ´31ÓbG‚Úº=®ˆÓ[n×[ÇÝcàm\ÔL¯_Ô&XÝåØÝ'\=è¼*ú¢óÊè 1ïø†Xìì­! ÞBwaî¼²¡ó0óœÎ$Ñ°œ‰³Ñ¡ 1êÍð&ºåT§,Ò¨Cšhº-MfÙššÍÞ”¬á´$•Ø7'V94%6Ò«ÖVÆovZ¿C¸,nKCÜQQ}܈¨.þK]¸c]"¦ë’0Ø»Iûàiq'ú AýþR„úàúÕ :J™¨µÔm(sEM¥^¤¡ÄŸÑP¼Ð¸¶(Ƭ² Ų\+g—j²m‹óµÜ‚üRZ“W#P« BUîQVîW…ª×-SuÂ=#÷*x!ÊTc'… ”jLÞ¤Q8×'ú •ë\C÷T"Ô ¶ê ÔRÁFM.ÈP1hÐÏaÔ, 1ª(‹6-+I²ÔédìÂâ,[MQ¾½ºPÇSV ² +–;ej׻ȵŸ‹eš=nRÍq÷4íew©ö™8]‹…²,X\€iÀ›ôPŠÐ%ô28Zû 2¨ƒ À˜×ÔX¡µN¨¡vQSãKé«‚X¥•‘&Å æZ½Ô:¯\ÁQ-QÛg•9(J+øò’F§ô’uÎiºí¢ÝW®Iºcn‰º‹à©(Y÷»SŠ ªÃ¼IwàÊyÎÁôàpB{ëa4ÂÜ· ¡¦„–7X úFU7þ‰(o˜E–42 ëÃóëbÍrkS,³kälEµÊV^UÀM¯*ç¥U.$W4 *Ú\âôÝ¢XýqŒþ0ê«ÿ¾ë4ª©k ð»3€!ˆ„A4¨(ADqž—óp—U«Ö©½Z‡«­ +X+ +8"8Kl‰Uk+“âÕ.DéÕÚ:¬ÖZÀ«uÀ}_»Z¥þ¸?ž•äÏy÷÷í}rÎç?hžôL1+¦'Ǫ¦$Å;LZÞ_3~ùPí¸ecŒ^:A?òÓižÃ–Ìñ²8Ágàâ~ý³üû&îhÚ;±ÀØ+ñœ±Wƒ&½^úõI>äÝ7AþR9™ûÀ°ï{™¿u{À±dÕ*`}œªÂ¬4=f¤15½˜”©x/µ‹j\jÇÑ«;ø|¤vØÊñ †¬œ¢øÙG û­XèÕ{E²wÏ”Lßø”m»¥nÜ5ålã®É÷ýº%½ðé–$ Ý“¤W÷åoçÁ×óy?²ÿ»8ŠlJeÒ¤5œý29ûeïg»aÂ:_Œ_„qëÚ‹QÙqÊáÙ=ÔC³8Φ9NÛwíûn½×ÎÒõX³À£Ûšåž]2ÖxuÊØjˆË8ä›Qæ»úgClús¯¸4Ùˆ<ë+al܃½¬?/ ÈböÊ, q=0›³èDŽGcr5™ÛÃó04/ƒó"ÅÀ¼®Š~¹}T½s‡¨{æŽrì¾e‚¦ë–é.ræºÅå,ÕEç¬vÜœ«ï°ù GDΈÍ÷ôáŸëÃ7H}øzéQÏIÞ¸ï;˜¿q-¾Žç€ÙóriÛ9îâüÇѨŸÕ }¬>èmm‰žV â­±¢›5^t±öWt²SÆYÿ¡Ž¶NqˆÌŸí‘¿X–ŸæbÎߢm—¿_Û6ÿ´¶íž*mè®ç®¡;¥k莿+àÈgÿs˜¿–µ'ç ·³vöÃ÷}8 ®À±þˆ.B”- ‘¶8DØz Ü6P„ÙF ³m¢¢­m–²m‘ªµm¥ÚdÛ¤²}éÐêh‰º•Í®nyä™cà!éxP:Õ·çn+ó³9 +®dí ;¬À¤ýÀH΢ýl@—ã@‡“¡…„éѺÈÁEA0[T‹V%=вdKÆ Eé4++J“DÓÒu¢I©UøŸ>%üKïˆÆÅ¿+ü +¥ÒïÔTÙÁü ÌOÍ–°ï³¿&3{ÇÑþ_3ÿ[桧À«à_î ß îð©ðwE .†R¼.uC£KáÉ—†•Óàñý"èÿ“÷ËÛ¡»rœ®AWY ÝE ]…º ombþjæ/eï?fíSX÷hf÷?Åü â rh^øUž?¨¡»åÝmw¸Ýñ¡fp½íݸػÀÙ>šª±pªšûb¨í™PÙ­PØ‹!ìv êIÀþÖæ/ßÃ3x˜ÊÚG³îþ¥Üÿ³@ûïS`üð¾ +诮·—j'hjÝàXëU5@‰Â¨#ñ¥¤†ãÞø5¼ékxÐk¿ ^øñ]zB/ÞHfþž¹Žñ,d~Љٖ‹@«Ë€ÿO¬ý&Ðàà|P×Ê:¼t^¸’;5âo +¤¶@] ðªñaôŠë\‡ä:$¹d‘’–wÞXÈü鮹€NR]åÕïã%žü±Š§ +RJŽ:çR¼p¾„§ÎU¨u~Œßœ%¹Hüª•x¨•â]²…/d ²…×ÁϦ¯{̽‰Ÿj  ©ç~¸O¥9Ä^è’D.U¼ÔeŠçºâ™.O<ÕíOtûÄc µºBTëÊñPw÷Ük`w%î襸M·þt³ÔÒ䤇lÉÏVìGKž‹f®§#dã¾<3#ÄKï‰âwï™â‰a¾¨5,Õ†â‘!]üjÈ¿rÄ}Ãvñ³a¯¨2vÃ7âŽá¼¸á}[üèS-.û¼R\ò•Š +º@åï!ÌnÓ€\!CuÄ~´a/ZólEâY`<7"Œ¦Š{ÆÙÂn\$n—‰›ÆÏÅ ãq͸AühÌ?÷ˆËÆŠJã ÅÅ€2EyÀuŹf¿)Ï4«S–6—Êb*¢B:UlË|³ ¤Å 2œëçšÚû ÎÜÛšñ0¤#ªZ÷Å­àâ§à âŠi†¨4ÍUT˜å¦$Åw¦Tå9S¦ò¬i“²Ì´MuÚ´WUb*P™ŠÔ…¦JõÉàêcÁÏŽ¶–­¥ú~‡ Ó2W ©„Œá:b\Qíêq¯}n„EàŠ%‹󖱢Ì2YQjùPYlž¯:e^¬úÆœ¬>iNs8aÎr8Ö.Çñh»Ž¶vûŽ˜O82ŸÓ0ßÕ|aù¯Æj‘š|‹tÚm‘Ž¯íªGF8BFñ¯"xÞY º³ª;ºÂ£ÇÕ¨&(n‡³1PÓO|3Bq"z¼ò«èÔQÿt8õ/ǃ‘KœöG¦höE¦ÿé2 jë¼Âð‘Ī}Eb±'{¼Åc\jl!ƒB¬!„$K€@$6±Ib·Ø±@Œ… Æ8ÂÄX$vl'®×Y&‰ÓvÆíL“Ž=iÓ&“I:MÓÔmšôö43ûÇ3÷þ{ïyÏ9÷û^ê+b?uU¼L»$¾L¿(¾Î8/~›?b.ü†±”JÐϤ´ÅT‚ºJÄ> ‘FþIÿ‰ l€Ç +|,‚ßKið #Þ–í‚_ÈÒà¦LAº–YB e"®dÔD­±G_’¶Åž—vQƒéÚËéãŒeÉ sI²ÀZ”¬°OKBœ€äÇ/yÈKÿš3›þkFJ0§¥}únö3‡ÑƒL̽ø{T€¹óçƒ +¼Ÿ÷røð†r\Ëý9¬çf’W•” 9e‘AEEôr¶5öŒ¼‰º ï ²z˜þ¬!Öœl’=+;ÅÎ\æù2×øS™·ÞÌ‚ Ù‚ñ¬ï¹cr‚0GåãY)kXÿŸPû!æ¾Jî«îEÀÍBl½kªd¸ J'Ÿ+RRΖDž.0ÄøóÍÔ“yõô™ÜÆ ¥‹5©ìçÏãŽçLóG ‚aÅŸ!Å5¡Gñ®h@ñ™h@ùDЯ$¸ý¹a!Ì0ÿ÷à«\Ìœ¨ÿ/÷ñ¨»Ü(#AḦ́˚Ͱ¢y –4i¤Ó¥rÊ)uQäL‰6ÆWl¢zUúDQs´°=\ØÍ,â+˜ôçÏ {óƒ¢îüxwþ/\ùœÿ: >Âul„æG<Ú>G~‡¹ó=<òï˜ðž„ðýr9‚º8£ß óz1iV—Iñ•çE×–ÆŒ•¨Ã3ÝSZÏP·°ûJ\Üž’¾»x"ÎY|RÔ¡ZŽoS­'¶ªî&¶¨>Ij)þ{|K !hQ<‡šà ì0ß*°èÁ¯õèAÀ-3æ^d­ hŒ…E“ü¦í0cJ!M¥”ñ£ÊÈaCq´G_Ní×UÐ{Ê­Ì.m#Û©mçv”õòÛ4£B‡fFÔ¤YJh,]K´—ÞN²i~»É¦ù[‚­ŒÚ´ß®%¸'Ì7؇Ç€1wÞ­F0w]©Å¼cXªŠ¿™ÓÕ[ÁkN&U&VfG TF÷˜4±nãQZçÑjF»ÁÆn5´r›õ]üFýЮóÅ×ëkË/'Yt·6Õè~ƒü5±Fÿ_¡EO,‚g5Ü0_ã.|\Ž}ÀºßDýWë1÷Úñ®Ïy+¦­ðZ·À¨u/iÐ’Fî«‘EtUçG9ÍêØö*=ÍQYÉh®¬c5T4sm&¿ÎäZŒ“ñÕÆ@b•ñbR¥ñÆ&“ñ#ä«Ä +Ó¢J!@xU&‚æKîöþ>zpu¯6¢͘7ð9‹×o=Fl›ÁcÛ ½61Ù]ŸAé¨SFµÖÇ4[Ë© – +†­Æʪ­näXª;ùÕæ¸Jóq‘©ÊŸp´ê|’¾êú&]ՇȗIzó"ƒ™ˆCøGÍ/Ì_p…3øúu×8mxßÇ 8Ù„™§‰Çš¡§y¸šRHíéGƒ"²Ñ^m³—QëlFº¥¾†Y]gçTÖµóLµ}q†Úq‘Î:— µ“4Ö×6•ZßG¾HÔXÿ#*³qeµ_k%xa>Å}ügð6Ö¼ú—:Î:1wvŒâw ´Ò »MζmÐÖ–Ljn=Lnh•GÔ; +¢­-¥±ÕÍZe³™ijªg[¹ºÆnAYè°Ô>_b9Qe5©Èþ^R¡ýs|ÿ^¤²‚b;ÁGxaþ€}xçà&Ö¼Žú+˜?º1s Çð[Ü®hhwñÁá~Ý{I6W*¹Ö•I©væFVvǘ:Ë©†Ž +zy{-«¬­™£nsóŠ[‡ã +[gDùŽåøÒ­ŽÄÁ­dZ–$¡EÉ,¸”,…siœJ÷Á±ôg˜ÔÃ|XHæCBr<(dÍò–s r€Å뀯ó‰Àhf'07Š¹!™_ÌüRÀãÐâ¤å:ØW˜ÈÆŠ¶°«ôƒ¡2ˆúB_}Õ(ØVM‚®* 6U« ­Ú MÕ º Me´åâä0?ƒù©›É;Ï‹€ÄÌgns­e@‡@›Ó€s%`°½¤„æ²-T—MP^q…âŠ7äW;AºT÷á†(ŽÃÑĉ¶ff>X×xãkU@íc^_“ø “c?‡ãž\È÷p/0„ÙQÿz:ŸÚU­ÎŽÃe@û+ ¿n:Ô¤n™€ßZpCìÉÍ7¦Ý€›3¶ã!Ûñˆ/þão)¨caë؉ºÀïÏ?˜Ç±ŸÊÚã×CK€þìswöÙMö>´ü0U³ïµ€ò&³ïЃ÷)yoïcêÍÀ“ÖÀÓöܤû¯¸Q}ÍE¹‹Ñ.>o9á¼Ý¼ÛG윸öÁ´ÝÀxÖ~ØQ`³»Ÿ:±ÏžWXûÀxƒ}¿Í¼{ô~§çï½ —ôš5i`MÞÚ󞬉ð"¿¦C‹àFQ°‚íl‡`á‹.~ œ¼ Ì<_šÈà ¡²@€ç]„Q }Fãi +ͦ…”IÙ´†6Ò6*¤½TL'éÝÁ;<¡wxËßÞPß-ÝÙôÖl ùÙ¦-„â/ü¦õ§!ô9M¢J£%´œrhåSíaÖÞ· ¯q–%ºÉRÕ³doð”ß6zò'D+7·l9º²¬‡­/„6BÙBÏÿIhêûZ, ¥´’=ÌeB3·2³ÏX‹'(ar9‡íóç!^ññ¸ßH¸÷„»3DG¶ÃÂÙÌz´‚pèÀštÐs1ãE;w<öêˆ;A¸Þ&WÛ ÆE÷‘8ç>Uî“Qá1§=æH?y,’NxdJÇ<²¥2uÒQÏ-R‰ç.©Øóì°×iÙ¯›²½ÞOeEÞB¾‡v½Wøá§jz•­ä/Ã+«/ü xàã„ëí=ñK{+Î~†rK NX†¢Ì2Z*µLŠ-ÉÒ!KŠì€e®l¿%]¶Ï’%ûÁ’#/²äÉ÷X +ä»|ö* +}Ž)vú\Uló­Slñ}§Ì÷ŠM…bc#ß?4esZ à4ÄéþÕtU£¦“çü\qª³/ÊüƒQì]â¥}þŸIEþcd»ý¿”úO‘ï´Î”ï°¦)¶[+¶ZW(7[sUùÖͪÖÝê Ö#êõÖsê5þ÷ÕÿôoPçtêU]„*»‰²™èÊ60ÿ>§´k½€‹t&TŽÊ Ž˜QÔ?wÃ÷ÝÃQØ=F*N”m %ß4N¾)h¢"/èÊõ_«ÖÎWçf¨Wdkr6h³vج Øo³"ð´nYàoºÌ 6ABûm°ÐúcùõÌ¿ÉåîB$ÏQÀñ~<ó„)p0ÔEaøW¯ÎØ‚üðHiC¯xÙÚ°áòÜ°ÑŠœž_(³{NV­ ®^šªÉ +]d“²L—’k»$d‹>=¤H¿(ä˜aAh­a^èS}ZO¡#›¹=…vn˜Ð4Ý›–¹jfWpj/Äs'Ï ?FJØÓ×€‚7äGúbCdr#ûH91²•ýåËûPfö£Ê蛤^Ò'Y›Þg¦ÍÂÞiºù½3lÓz¯2Ì ßh—¾Ë8;¼Ô8«÷û™½ëíRú}J_¡#Ò6{ÆÜŽ~fþÉÁÜçóì·×ÝlËö:lŒvÁÚ˜ȉ醕1aRVtYFt¼bñ€aÊ…ýÿªšß¼&-j¢ö›¨iºÙ‘©¶³"Ó )+ì¦G¬7N‹ØišqØ4%ò¼Cräcû¿G ;Ò“î=›FÿáøתâYžûöcþPæ'p¯§FnœÙñÞXoEF|ˆ”×O¶ v"-6QùÍ ªÙÇhfLÒΈIÖM‹™©Ÿ=ßeœ½Æ41z»ÃWÑ“¢«èCRÌ[cÒ@a [Ò5{Ìç š}>•ÈðÜ÷Ãî³)8÷ú +|—`BV¢– ñÃÂÄ`)-¡·,5!Z>ëÓÁÊ”ÁÃUÓÖL¯MŽŸ¤›7]?1n®Ý—±öbW›þ»Åq\ì>óØØró˜¸{ŽcâìÇÆ ;Ò“m³û|/²ÇÙÿƒ#Y.õÛh=?góo™ÃŒX<¼5æÿ/ÛeÔä™Æñ®‡Ö ©GAkµÐ¢ˆÊ!¢†#@‰ AåðâÐz@TªBt]O »®°µÖZa»Ý]ÇÚ-3Ýum«µèn­­Õ~û×êL§“ùÍ _¾|ÿ÷ù?ïû~ψµi¯ŠªÓ扫t‹$å©ñ¥))2kŠÁ±89ǹ0¹ÐÕ’´J‘—T«2k7¹gk[=LÚƒžYÚSšLí^í &3iH™$¨²’%QùS™‡èû{Œý Kž·rèÙ»œµ>¯5é•Ø`‰:Ãd¬1ÌUæŠKõ‘kÆR‡âô$iAzºc~šÉ97Í⚣[©X®«Vuî†ÔúÔžé©'4i©ý^ºÔ›š4Ý#ušNpK× J¢æ×â?˜ÿsYÀIjËöç³çËe¿C?Öå¨1ù Ê4«LÓ±Òôº¨Ø¸P\µØ!?k™Ôœ©sÌÎÌr2ò\² V¹A¿Z™¡¯w×émꔌýžÉÇ5Iú‹^Zý ŽÔIzÁ-Y/(‰b˜kÌÃÀÛŒû8µ²ß(b¿Áq£¨1;£Â¬A©y<¬¹/£È,²˜ÃŹ9‹$Ù9 RSvŠ,3Ûà¤_nvI_^,×™*•)¦ nZc³:ѸÏ#ÁøM¼ñ‚&ÎøÇûêx£à–`”D1̧ÌÃÅLžŒ»‹Ú¿·²çbI¾c­¨°HQbQ£¨` ,È-˜-Ê)›,Q’LËR}~’,=?Ã15/Û99¯ÐU›W®HÌ]§ŠËÝæ¾ÄÜîkîòŒÉ=O®yƘT/6 *¢Œ5 Ša®pݧ' ˜‡æ¥ø.–Ÿ›8VrVb±ªk}9%“`* BfI¨H_²Pœf•¤Z—I“W¤É–­09%[\âŠKå±Euʘ¢-nÑE{Ý# ;ÕEç<]UGþàQ(¨" ed æï\ÿg¹ŽSëµÛVÍk€zŽeÔçµìr9²*¼a¨ðGFå4è*CD)•á⤊EâÄŠx‡øòéÒòLÇÅ«r­*q‰*«–/,Û¤œ_¶[^vÌ-¬ì,ùÔ-¬ôž*¬TPÎ[É +m¥ ¦Ÿt3Œûµ[k7Ö²ï!%uÔçÿµNH­õ@rÝXhë¸vâë或ÖEˆcë–ˆcjµ’èÚ iDmŽlAÍ +§ðšÕÎsk]C«[å¯WQ„TÿYR3 YsW²Zת眧'J#Ôo§nË ¡XÝ‘L¢m!®Q‰%#Û41MÓÝ‚¨¦pQDÓ"Ñ‚¦qx“NÖhrm,”¾ÖX! n¨wšÝ°ÓyfÃa— †^— »Ì¨¿ã¦Â¤c>˜Øᇠx±c&ü;æb|Gü:1®S±ùÝY‰Q›1²³#:ÿßÎáÛñµÈ÷è‘ÏAìsø9‡š€Ýô|Ûž‰Œ¹ÌÎÒ©w Xг=|™}é„“ÀèÓ2øv«àÓí ïîqðê~ šî xöÌGO4Ô=Ë î5Â½× +·ÞõPõ¶BÙÛEïE(z¡<ó”§(O=§Þ·Ð÷zú]ɸ-ÔÖw "N!§©ß ¼ÈötÔ;€æ<àö¾ ®ýJ¸ôiàÜ7N}“àØ7²þPHû£I2$ý9÷—CÔ¿èçƒûÎp¼Âñ;ò˜¿°“Þ7µqÐóBÆIíÄSÔï¡þÛôÿàÿ0ò"àñ@ù!àtIÑeà²ñâKo4pe" ¡WX²0û„/ã#ÜtÜpLòÀò.ùœÜ#?áo0ï5Ìw1cÏbÜËz©Ïxƒ©;…º~Ôõýà~ 3 é'þM®J€ÿp×T,†=/^`Qî|9•…Y0ÈyÜâËðüÛ\t·ß$o‘>r|O~|FÝ!žÇüÊDϵg¹/P¿d¼c©ëE]Õcªû¹An’ArKÄ‚Ü‘©øÆlÈX î÷Y,?`‘ø/ƒG|ñàb‡hôE†þE>{F)×[6S•DÏ£¨̘.cþ xò6o“>ÕýŠÜ&ߦw -CÁ}1µœù<%ðăÍ=&‘Y„EºÀy<Œ…„›N é Î>Cp Ám49qÉ42‡,&©$›¬ Ud=ÙLZÈ›ÄN’rœô 乎ŸqOð?Â/ ý +Á“ý­z%qâßx:)$„D-É"d©% d+ÙAöPg?uã1º¨q +ðâ¯x€«´ékÚõøžÜ%w~…àíAãE?4ô‚t¯N"³È|GÒ‰™XŸyñë8ÿ&>y;uvQ§ ?àuŽRã8ŸÛƒoñ>Õ?ƹpnóŽAþò+ró7Fx@ðq§nT¾\ü9æCô41$™±©[À§•1žjêl Îfê4ãh¥Ž:©Ó…/éÅu¼‹Ïñ®Ë<¨É;ãß÷HòæTê}Pem:–X5 $p 1$Œ$"B<Šâx-ÞJ=pQ¼ê¹žÕjkkÕ¶êV«cÕÚÚuWÇíÚÑm;Ý뻫Î:ýã3¿¼ïÌ›çúý~Ïó½€Û´‰oÑ7(S×韮W™°Ï_'Û}Õ”%åCC¾P>"úQmCTŽÆoB +~•ZðïÂC¾ øjü«Ã]¾ßóóñ¿·ùøFÒŠ›’6\—lÇUÉ~\–Ç_¤çqQö¾á¼BÄY¥ˆOU"Îü1J±¿”üà F* ö!ŸzR}ºÀ/Ãñ£&4ø›ºwÔ|£öãkuWÕa\QÏƗ긤þ#.hVãsÍ|¦ÙŠsš=ø´Ó1|ÒùNwþ'#ãøk"Žuq¤‹Èþb Ù€ç $?¢ø-2z÷Áýîƒp§ëÛ¸Ñ%Wºæàb7;>ïæŹîU8Ó½÷˜Žz4âÞÍ8Ù³'z®Åñ^m8ÖkŽô:ˆC½Oã`ïkØ×çŸÌî¾O˜]‘"³ãÛ_áǘ®Ù§ƒéØ÷1¸-ÇÝÈÎøºO$.EÅù×Çà“þ&œêŸQ…8åÑèEOÁŸ£g`ôf_ôBfOôræ½ï2»lav ØËlp‚éx‘ixŸm‹ù7»1Fd7ë‰w_AB> ¥«'–®ÚÀ ]ûÃ8\ŽQà|L|4hŽ¿9‡'ã€6{µ6¼§õ`§¶œÙ®­f:´S™-Ú™L»v.»Y»„ݤ]ÅnÔnb×kwr­ÚÃܺ!g¹5C¾çVý™_>Tä–-È¡ÿG<&»w銿6ø‚ZÎY=ðñ[|0,‡†Ga_ìpìÒÅ6 [tyجs0›t%ÌzŸmÕÙuº:vMl·*¶‰[ÛÂ/må[b·J–Æî—,Ñ–.ÒÝ’6x,m!Jæ?‡Ÿ÷ +OÈþ?Èþ­$Ò[F²M|@¿Æ380Jƒ]£ûb«^‹Íq£±!ÞˆÖø,fM\³2®]WʶÄUqKõ“¹ÅúiüB}£¤Y¿HÒ¤_%§o“ÍÑï–5êO³õW„†¸‡Â̸§²ñ¢”¼ÊO£¨%Rë¥kýLÍù™À!jy{“Io$(ñ'ClHüÖ&ÀÊ$–%™˜¥IfQ¢mNôpM‰åܦ$Š2BZó +⩦P ÌÀ)Òž‡s=´n#ÚŒ2´¦tŪÔh´¤Çâ´1hNMaæ§f3sR­ìì÷NŠ—Ÿa¬ä§C’°1,­36 +µÉKä5Éë¡äe0ùj’ñ¼*`¼§ +¤üG11EÙ«ü`®SÜgÉöññÀ~+ÅOëfz^—Áb¹)K2ú¡9sæ™G£1sÓ™ÁÌÈÈcøº 7_k*“Ô˜Òiª¬:½A¤/”OL_­¬LoWU¤P—§ŸQ—™î¿*ËL¢¼,Ch•½ä>åürp:Ÿ´>»iìØBëzòeE6él šrú 1çM4ä¾é¹¦>'™š“ÃÖd[¹P¶‹¯ÎòJY•Òª¬É2Ö ¡Üܤð™W(½æ6u‰y¯¦8ë´Æ“u‡øEåÉ„œ^òWÚ(æ“6ÒœN`g!å€XK- ù5?O…Yù=1#?áño¡vüÔä™`¾™ äå³UyvΟçáË-RŸ%(óZÂB±e®Â“»LU”»QíÊÝ­qZ>Ô8,·;9,?«y¢Â™'ÊQxÉ·T÷ódë8ÙÜGÚ³£˜ô±²X@~Í*P`š­¦Ú¢Q3a‚6=¶$¦Òfb+ +rÙ²WZPÄ—X}5 +²Ö .k£Âa]ª²[׫mÖ]šëÉNVëMâ_j«UTXEù „gܤ:œ![GÉæî Ý´-^šõ]4ë:¥¨u¾†³&¹´¨rD…ÓÀøœiŒ×™Í;¬œÛáâ ^‰ÓQ%µÛk›}–Âj_¬ooUçÙwj,öš\ûuâ±Úb•„‚Â3¾²Ð^ ¤Øw–ÑY¨ ÍGãðâr`¦‡ô†›Cµ»3ªÜ}Qáye¼ž1L±ÇȸÝfÖåÎçœnowKlE~©µ¨FÈ/zGn)\¨Ì)\§Ê*Ü®6½¯É,úŠx¤2‰Js¡¨ ä„ðŒKtNRöSìÛ*©4~®$š'õô®ºð—jà+í…’Òx|ÃQ䋃Ë7Žqø2Ø ¥¶ t7¾ÔÍç•–Kr½!Y¶wºÜì] Èð®Q¦{;TiÞ£êTï—ĪÔQ‘V"Ê_ <ã3:ïS öPìí4v¶†¨“¹´N¡±ÜOï½~%ÜþnpUFÁQ9ªF¢ ÊÀX«Ò˜üÊlÖRiår* ù¬JŸ$Ã?IšîËRýóåFÿ*ErÅVe’ÿˆ*ÑI•XñP™T!*’ÊE9!Œ{Á:‡©;)ö¶`õTÒu´i ’/>òÅ”aB0ÁHŒ½¼¹¡1È™ld²B™Lf(Ÿ5…\Z¨„O N”$ë¤IÁ¹2Cp…0¶º]<¤ˆ^PÄUÿ ŸôTˆŸ$ +ñQö’S”ƒýoÙß&Ý7h"i4L#ÝUOsƒÜ: ²ë{Â\?™áa0…G!=œˆÔp:c ç0ãÂ66)ìa õ~nLý>®¾ñ¿\—yT”×ÆŸï›°Œ3,² +ʦ0 ‡'Æ-DkÕ$F­5O465"¸eE© 8€¸ÂHÝpjÜ%Š±©§‰&*.ÚTÓ¨(1Þ> +&©üÎÇ÷÷y—{ßû¾ª¾™EêÔÌ +9³^“œÙ¬Iθ­Ižÿ³&9]hÌéBmž×Á^îÁ]ôu õKY²+Ç¢ùVæÏwÈÛdX¶'†äø!-'¯Yã0ØÚƒ¬ý0Àš†þÖèg#Y¬¤Tëû²Ù:Ga²f)’r +”/çlV&æ¸TÆœä¦Ò˜õXe\*TÆ%¿RÏ=PC_7f1³&¬àyÈeVr¬âì•Œ ƒódô³ia±¢¯=©ö¤ØÍH¶÷‡É>}òßBRþ8ôΟ,í³$ƒ}‘o·É½ìäX{­c?&Çؾ“cò~RĬäv°‹ywÒ÷RŽ@ùÔ´ÚƒÆ`5÷GÕQk׋WÖI%0–ê‘PCi4âKˆ+MA/Ç@ôt C¬c4b嘆HGº;ráX/us씇¥°ÒëRغv)´XH¡k…üœ­ô}/²q ©;“z“8¢Žåxøû@ÿM€i3_ôpz"©G¸3Ý*£ViDhe +B*"¸r8‚*Ç¢kÕ$T͆UüªŠá[U}•ºÊVè·<„¾B@_.¤ç”S}·YÔžÃqp +5ÇQod%0¨0o¥þ6 r'ÌùÔ·î%è\:­+’àã2“Aðv€—k<]SááÊ„ÆeƒÊUe]#9Kî@UûêÝâŒ{!ãm¥ßéôyê`<5ßäX:xõkƒ‹þ7AŸzŽª>Ðô†¢ÉRS0‹\$1θÙ„¹yáºYèÝ<ðn&ú ;¸|Æ&íy@Ä/¬a®—Ó÷Ìr`:}ž@í·ê€×ê©OÍø=@÷ý@àA@wð:(ƒ†ø_àíh¦Í´ã´8C;Îð2na±oa‘iá&o¡ƒ-\´å ¹Íß?$Ož±’9_HßgÔéó¨FêÓWó Î „Óô€c€ö$àñ9µÏ’¿“ój6@ÞÀWzàBW6¥À·.³Ao¥­¼Œ®òò»Ê¢w¿Æä^ÛÇæñKr‹üøŒÅN`Ãôî'¬ÔN£¿fêö¢nuýNÞ_Ð÷§š_“oÉÒJV\Wp(y ¸©es̘|ÂA%šÍzpvÜccpŸ…ø>‹Þ&ý7Vlc<Ú.=c6÷Ù$æz ã=ä0Â8÷¤¿¡ôWO]Ï Ô¹Ô©yÜ$ÿ&ß“»äBwp_ÉõF;~â—vò¨óù[„.˜vB¨»ò-¨ÓŽx’J†Ñd"ù€Ì%‹ˆ•¬"«©SB Ô© N 5jñ{ð‡qç¢á¿|»Ë¿¾Cž?ŸòŸN„¯?D_/‚§„b"ƒÈäOd +µfRg>u–  Ëp6êQ§”)ÙÈõ\s;SU[ŒÅwh¦W˜Âp•ÿ}•«´’+\îDøë ôZÆÂBÓ…9 à×îÄH^!¿£æêM¤?Ó¨3›ÑÍÄmæä&–SÇŽXC56síjnŸÝ¸ÈX|£8¯ð%­:ÇU¾àj-äÌ ˆ@oÚáÁX¨ ´^ÞzÚÂXH±Œo2ýLÍ7¨7ŽZ“©3¶ÏåñX€ Ȧ +êä󸮥F5*pš±hf,NÂc<ÌGiéaæãõþ& ¸ÿUÓnOâG;ôŒ‡Ö<#pW€ + .+†â¢âmœW¾ƒsÊ)hQÍÀçªpJµ'T98¦ÊÅQu«KpH½nu5šÔuد9€½§±Çó:½ÚPï#à"uOÑ +Ôv"©ÚA;öý®*< ·µhõŠÄ?½’pÖ{š}†ã¸ÏXÑNÄ!íûpkg¢I;û»,ÂÞ.VüU—‡OuEhЕáuú]¨ÕïÃ.ý)l÷½Ž­þm¨ +p’-/ XbEwõ(àKËeë‹Á*\Ðyã¬>'üâðY@*šº¾Ž½o¢1ð¨zuAS±;hv§cGðbl ^†š;ªCŠQ² ÎÐT„6`sØQl +»„²n?JŽp!•’’!­û "–¥¬'õ,ƒ½YÊù<Å÷ã8æ‡}á‘hˆHBm÷þØÑcj"G£:r<œQ“QõÊ£>Ħ¨ lˆZŠ¿D­ÀúèB©4z½T픊£ë¤51n©(æ¼T{G²÷²ä‘UHOicÙ{ø†WÞé¾À‘T^o}Økd4Æh±»g7ÔÄPßåñiØh‰2ÃX8 PbxņéÒÃiuB¦T˜#å'äI¶„b9/a³¼2a‡¼"aŸ¼ÜxFñqâ-ENâcEv¢³:YÚÉ=–ÓkÔ=ןóÖ@Î|¤áU –×߶Þ^pöĦ¤X¬ïcBIŸXc†Õ¦QÈ7ƒÍ4QZeú³”kš!­0}$-3-–­¦år¶©P‘e*S,1mU,65*šN*$ßPf˜)ç›…"ýîšêŸJ£ÿC€Æ¡ìqùs5¿•§¨±>ÅÅ}{`µ%v‹«,iȵŒÄ2ËÉj/e[&IK-ÓäÅ–å…– y%[‘a±)Ó-%Êy§j®Å¥úÑeÕy†ñçÞ™{D ŠK] ¢qÁD–pFf`¹âf–Ù•ÀD +5.ŒKãq-5zŒX›˜TkÔÚÄœ4§mz‚5m<96ij4IÛ“dú1ùãwî=÷<ßû¼ßýîûÔ/¸*×&~,×$~#Õ$…´Õýhªû¹¿€Ÿá…ì¸heý¤3xÙÄ9[/ ˜‰­)ã°I?-†8¬7°Ö`Ás†l¡Ù' ý|Âzßeí—mìA6³G#¼îËäŒÉulI„–…£ð¼1kLsÐlJF£É„U&›à3-ꌡÖX,V—‹UÆZM¥±I[aÜ(•wÈc»Îm<©+1¾Vlú y¨+6…ä"SH"Úâø?ñ7©w‰Úgø‰íÌ:xÝÃu¼H?6š#°Æ<Mæ‰Xm‰Eƒ%õ–4ÔX2„EðZò„ +‹S,7{Ä2ó +Û¼Z[b~^*6o“]æýºBó‰°e–Ka–?’º‚ŒL¤KÚ^þÊZ¯eÝÔ}%Ÿs¾ƒ™“4¨Ž…k¬ah´EƒíIÔÙ¦¡Ú‡*›¶t”Û²m©Pj+Kl¥b‘Í«qÙ´…ÖµRu‹l·îÕåÛ:ÃòlÃsmï…«¶/ÂÔ¬œkëCzÌÜ¿åÈužú]˸9Š¾D¶q-ë¹®Æl-ê³#Q£Œ…W™‚ +eÊ”$¸#J”L¡HY"8»X¨‰Êr]©×æ+~)WÙ$/U~®ËQŽ‡)Ê…pEy'<[ùœ÷!‘‰ô˜Û܃—YóÙöÁżÅ1t7Ùìžãº|üÛŠ¥ƒQ¡ŽB™Ru&ŠÕ¸ÔTª¡@Íjž¯:Å\µL£ª5ÚµIRÔ€¼XÝ­ËR…YÕóäVx¦úO^¿×YÕL¤Çܢߗ¨ušúÇJÙû@¼o¤/ÕìK¹} Jí#PdŸ§c:–9âápè‘ïHGž#KPªãX&.q¸5ÙŽš,G£Öjo‘2ì;uûÙq.,Ýq3l‘ã>¯ßÉéöP/’ùÞÎá^ ÖÉà0GOÆ4l¯ä^\NèG×Vâ G¡3 +ÎñÈw>ƒ<×\¨®$丌Xâʲ]9Âb—C´¹JÄL—Wcq­Ò¦»6H&g›ltÒ¥¹^Õ¥º®“Ou©Îoå4gH꣰Ÿ+y|'‹˜{©ßáåûÀX²…¬[Ôrz²¬TB^i$ÔÒ1ÈqO‚➉ŞdyRaõXéÉ,ž|!ÝS$.òTˆFOƒ&ͽNkpo—RÜr²ûŒœä¹FîÉIîÿII¥¡>’KBÚ^Þ gŽSÿ@-ûÀH þz¸Š¹“¾dW BVåHX+' Ã; ï<˜½)XTe‚©Ê†…UªVU(¼ebŠ·NLò®Ñ,ðnÕÎ÷ÔÆ{OKqÞ«ä)®ò¿Ú¸Ê6®â'.ÒïSÔ8\Ç>¬âûÀ8²4’Ê•Ì] Ì\¥nÕ …±~ÖOFªo ¾è}©HñYäSès >·ï«çùšÅ¹¾ÍšÙ¾ýš™¾SšXß[äïšØúÿhbëBšØÚŸ8Çt²þöÕÀ®f`£ÈÚ5Ü ÄÃû|?`ãï ›4HnŒÄæ‘XÐ< ÍS1ß?ñþ$Ìó›0×oÃ.fù‹0Óïfø…iþ„©þ½â—8ÙYŒñßcš¿cž õ1¹±ŸÓìûQêï£Þöu@ËfÏìC PD–3I&s[Â1«ef¶ŒÆŒ–hLoi­q˜ÚªÇ3­fL (˜(@L Ñ<؈ =x*Ð)Œü†ô`|ËWÂø ¡~Ö÷óKúÝÁšwQ{s+=ØÔoÊ^ì[éÁ6 •Q1žLßLjˆ§Û¢0±m,&´MÂSÁX<ŒÇø`*Æ30–ìè`1Fkð³àZŒØÙ†á;aXð"¢‚FÔŽG¶=„aÛÈÖÐËQêïeÝÛ©ÝBÍFêT'£¡²‡ùï%`Á^ võ÷ãˆÑ>ÃÛ£0¬} ¢Ú£1´}†tÌ'iˆì°á‰;w,Ç ŽF ìØ‚ˆö h?‹ðö[?øøûCˆØ×ÏAzdÝ›X§'Ï$ÆÁb꩹_f³1G©Þ Džqrº¢ ë¹+RW,´] »Lz?¾] „Ÿ ¾Æ|ûÚd8pa™D8vs éæ0ÐÍC¾›‡K77[7E.°˜ ̹Þç3_oI¨¬}ý^ÙÎ3™ÚvÖi=èEýW©ËG£ºA—ù €a…:-‰®Drâ:®Žå0ÈÁü‡Ãë‰À 3áGà_üløÝü]¿BèÅõGä»>Zé{#=¯`ݬ7‹º†óÔ§æ¤_£_†¼ DðQÍÛÔ¾Iþ@Þ!ïj€ÛáÀ{ƒ÷‡r ü‰aáCçÑ =üÞaOîpÃßaƒïÐÈžß“{¼ÔG{]EÏ Y÷bj§²ÖÙ¬5šµŽú{ÞßêÕ#´‘ᎄ|Dî»äc‰ƒ1=¹GO>Üg`ù|C ýxÀóî/yØ=dOÒì‡4öá r·jÚãbíÊE í20ç*õ©;’ºƒoÓû¨ñ!ééÕ"\>Ã'uÈgä_äßäKòH¾üŸì2ŠêÊÂðÿÞkDTÅ} ** ¨‘EZ nèÆnh蚥YDQA@ ¸×B"2¸¡b¹M0š1ŽÆrRV&NÍRV¥œ8ff\*5qÜ¢o~T¨™êúêÝ÷ªúþçž{î=缤O~âÞÈldÚ!³H’™ˆåu„Á'3Àen²üù²ãDö·ãÙöÃ^Ó‰YD¢‰…d’åd©"õd3ÙAv“ý¤ƒt“Sä"ùoq?ÑØ×xWüò’¼ä?äßä" ÙÁƒ£~Æ Ú1‡ 1‘t’KŠÉ²žl¤F3Þ`'5Ú¨qsÆsçügñ#>£Æmjü O9zÂ<&È¿Ÿ?eg7ÈN®&ýÏqd™OTÄ@’¨•EꬤF5j8s#5¶P£…{8g;çìâVõà!}ñ×iÁ_2:¯¹2Ãèÿ‘G;C5²äÄ·QÄL%ïó_AÔŒÂ3Äs»Ó¨“CBj¬¢F%5j©ÑH-Ôø˜óíÅ_¹'ÆQîB/¾¦/îð}Å ºMËoqÖ~¾$7‡ » §/DÆÃÃc¸ øâ9}ñr]*|=¾c\| ur©QDUÔ¨¤F-5>¢ÆVÎßÊ9÷ãwèd4œÄoé‹«¸Ë´°û”»wi0Z†"¡6¯;Ù™0¤eG'¼\©;‰kô¦æBü‰qñ5ŒÔJ¡N&gÍ£—‹p «y]Uâ +ê¨ÓDíÔhÃîÉ9ÆçYþÎÐ’Sœ¡G|ŒÒkwql˜Œ£Cyœe¥ç䑯›ÆãžäŽo$Oü^17Á¸æ Ã‡xô ³âÒ°,\pÌÇ9Çbœu,Ç™áU85|zœ6ã„S Ž9íG÷ˆ#èqGF^ÁáQß Ãù1Ú]Þâ  sÔhž¤!È“¨ÏëíŸÓxå»|¿ã!â+ÆÈ‘ãpÕy~ãâ‡ó.áè­Ç)×DœpMÃ1×lt»-C—[ :ÝÖà·jt¸mD»û6toÃ÷O°Ïã$öxô¡mÌ´Ž}„–qo°c<óÙ6„WL7OfRß›Ú7æ0L.OtÀ%wWôŽ†“ã|Ñ=^‰Î ‘蘋ö‰˜”}“–`老M.Å®ÉkÑ:¹-Sš°cJ ¶O9ˆ­S¢yêlžv Mï=D£ç+4xÊBý yÆ4óÀ—×ð¦ Ïó¼îO3 ž˜: +]Ó& Ãs6L÷ÃÞ*ìšgš°sf2¶Ï´a«×R4{b“Wš¼*ÑèU†Y[Q?kP7«S¨Ý+¬Ÿ}]¨žs_¨šó\¨ð–…uÿÃS^ë÷¨}‹)æ³Öù¼ZO.º˜†;¼±Žv{{bçûs±í%š}4hò1 Ñ' >VlðÍD­oj|‹Pí[Ž*ßõB¥o“°Î·UX3÷P>·G\=÷ªX6rÞbé|Y,y‡P<È÷\÷¨{-œ©]Í~‹t…±¾S²ï\ `ç*‚a­RƒÕJ=Ê”ñX©LA‰ÒŽ"e®°BY$,W– Ë”µb¾r‹˜«Ü+-]Ô--YtIʾ#e?!²”,‹C¹Gÿ߈.1Õ÷0ÍvƲ×1-ZÖ¸´£.Ø UÁc°6Ä«C}PˆUŠT:ªŒ(P™±L•†y!E˜å_H”¥«Ü÷^ú¿‹šXòµäò<’jŽ‹éú#5Y‚%Ù É`JžcŠ/bS`H …Þ…ÅÖD[ÍÐZÓ…(ëRAc-"¬Ub¸u“¨²î•B­Ç¤Ô+Rpê·R°õ9‘¥”_èã¾÷PëpûÞ|Þ l6’ +Ž iG}’dcÍ> +1éc ÏxÑÞÐÙ@k[„H›Ûb¨íñˆ°[fÏBí+„û:q‘ý#1ÈÞ&.´•í—¥€Ì{R€ý™h“Å2Þq‘ë<–Í3IÍÝ+Øs±¨#kþËv™Ç×x¦aø>ÇY›=–Dö…lvBP&-~˜¶ª´Ú,È"d“’åˆ%‘ÄžåXƒÄVjI ¥5bÔ´Æc´1ÓZÚi«CƒªvZ3ß\‘Ìoü1\rç{ïçyÞ÷{Þçæólb™>Kz »4&ÞVqñ.ß]#‚4"!RÃhXâ0Å&>§¡‰“øŠ'ÎÔÀÄdӀĦ~‰ËM}’ªÌ½“ö˜£“Þ5G%]3G%>4G%æèVâÛhdö ³e®T‘Á>` + 3“³8ßE<ˆgTJÅ&;iHŠ§bRü48%LSûh@jŒú§ŽT¿´±ê›6Q}Ò¦+:m–¢Ò²‘¶ÄÔ+­ÂÔ3m—),í„)tîUShêShŠñ„°dÃÜÊAr¬Cc#º«±†Ë° Jsùùz®ô2“ƒ÷ãÿûgÚ©O–‹zguStV ¢²Â™Ý_Ù± +ÏŽSÏì +Ë™¢ÐœçÌSPŽE9kåŸS+¿œcò͹,ßìûòÍ2L¾™†É/£·R¹ŸX¿ݲ|Þ‡B¼§…}€©0ß ƒ±ŒÑf…8*¤À]AÞ +, V@a¤ü ÂùZƨ‡e¢|,3ämIU7Ë"uµ¬”—e›<-ò°\”Ga‹<ó™XóÚð‚Ô|Ó"i z˗З–QƒbjP"M‚1+¤Xè aà·ÂFÝJÔµÔC]J}äU"ϲ(y” ’{ÙH¹•—kù«r)O’syŽž)/‘SÙf9–’CÙy9”~#Ç’Çr*az.nc[5(¢XÒÅhda “Vñ>®‘ÆcËF®g øWàA+%×êŽr²:ÉÑê!«„ÈÞ-;kŒl­qêl}Q­3dcMW‡êÅ2UóP¦¶ +#[yðº†LëÛØ@î«Ñ^¾RÊE7­_Wá?7Hq›ØƒÍRÄ)`+ú5èo—kÍêTg/S·Î |!°Žadg,ŒÉ@£©ãPÕ‘XÖâuw\ êöŸÁxº2îèÕìÚs­ìš/£õü)k¹S +Ü>i¸ì“Þ–lâoaHa1Œî~R=Ci}_šCP ¸æÛÀo Ðõ,^ÏÇÏÁ×<÷OXI½-äAÎ ÛèGhŽFoz‘<€^—Ã’s£dwT2GÿÝvNÇIâ8EïaÞg0?Ý:Ç™g +šhrMÙ@-šH¬‰Î| -ðßý·Š/‹:'‘ó´Ç†Ö£¦?¥ó†¿<Å•Ölíd˜løÔlÛëÑÍjÖŸœž%§ñhMFg&³õ…Ò9ÙäG–Eúq\#Ž«ªdÍÍú“êôGÐç(À+tƒŠ|Ç+e¨éÿ`؛؎†Ô‡=ßvEÓÍHr‚Þsh½@¯¢ñ:sØét4²ÑÈC£ÕjQµN«Fïi-¤9­cäÖ‹]DN£Èg:“Й¦CŠGaoA:»ŸƒN:KÑ(gÝJm§5¦½Úb>ªÍÎi£Í-mèøPÕ UÙª|ŠÇ´”û´ÚÊU¸Àçˆël';êà®c¿ðWCÇ(ìÅÊï±N‹zÔèMßýÊõ=©~W”íO™þ†2Àÿ|Íu{¹7WØéØ é?w1Ô„ã¹ÍZßÃY«üº«Ô?TÅ}µ40VEq* §ü ‰Ê zM ƒf*7h–§+;8WYÁEÊY¥y!•²GsC+-ô¢)%ì®)¹§ašó_ÂÚ¸ŵ7+x(×û0flØ#Yiók¨ÍŠP- õ”%,@ù=#µ°× åö¡œ^£•þ+e„OÒüðiJWZxŠR#2•Qð¢Ë>ªéëŒãß_ê +h- ZåE%¼Hy!„@$ ’$µBТm«”´µõ… õ•«N»!´ÎZµ­Ò­Ç͵{œ¶ÛéÖÍ3ÏÚnÖu;íÖžvNûZØñÏ!ü~Éý>÷¹Ï½÷û`ÍŠàO= šS‰&ùÂ'¿,V¥Ý iw‰ô¹$þ àuLësŽ×쯸£ä0¯þ^5õù®K>[R£Ñ)G{Z +6¤g¡-=­é…X—aÁÚŒ +¬ÎpŸQ¦ ?|™mX•¹ ™Ï +oæ^áÉ:"ÜY§Dâ’X©ø\Ôfß!’¨U<ຒ¶ƒš§‹X´`ƒd?¯¹|ÖÍÜlΞˆö¬H´) E±k³Ó°:;Í9:ørLhÌ)GCN¼9uðäúàÎ]‡ºÜQ›Û-\Ê°¨QŠjåIY•ê¢Ì¡úTæP/*ITÇ80Œ´TfÚ?Ú¯>²§ØÎ8žb팣E5ªyhV-†O½ jêÕùð¨ Q§¶`ež®<œy ¨É[ƒêüváÈß&*ów »¦_Ø4#2«ö]Y¹ö&ùŽHâ4c¼O79÷‘rZM;ë ‚—ìƱ™¹ ð½_3š9ðjâáÖ&c¥6.­N­Õ:ªtåpèªQ©÷À®÷æ_/¬ú'EYÁQZÐ'³ Ë̆wd%†ä["ÝG”Œñ+æà õ†¨?XÅu ;i¿ºøÿ& }6ó±Ê0î‚(ÔÓ°Õ9†lT4° +a+,…µ°åÆ•(5ú`1„¹h‹(.z^˜ŠÉŠLC2£iTVhú#ù†H÷…Ec¼[LÛËy¿Bí~'°×ÅZ$[«ésùÜÏ8ܦIpšf¡Êƒ +ÓbØLËa5e¡¬XÒâXŠÍ0—ØQRâBqÉ*™[`4…Áüœ(0zËOd:Ëy™¶ôc™Öò/"É´fIèÆ¥ÆÉJžGÔ=L+ÞëžsÓë×Òë3ŒÃY&Pa‰€Õò0ÊJÂRš si:ŠK•0•éPTf‚±ÌŠÂòʽЗ?]y‡ÐXŸùÖ}"Ïz\¨loÉT¶È×Be•Æ(—„š¼me-Ðr¡þA/°{"Áz`-ãòò]c,³M‡Ùb{,ŠìKQhO…Áž‚Š|è+ŒÐU”A[Y…üJò*WC]Ù¥£[ä:öŠlÇ1¡pœYU×D–ã+~–„¢òg9þíæ Û€}M¬Úð.¶G}\ÆáfN*KqõC0TGB_]Í"hj–!¿&y5*¨P9ÍP:+ëZ‰lW3® Ètu‰ W¯H«=*äµgDjíUòO!wIBî§F¯³Žsþ/QwÏZîÉÇ€'Ɇ5ìyøÌÕ0½(ôÈ_µ{”î8云í‘CáÉA–G‹L õV¤×;‘V߈ÔúVxŸÂ2oR¼/#Ù{Z$y¯ Éû¥Hª—D’GÉ÷qKâUÎó(爺=®C÷$ ðs[‚*Æe^ èO¶o*2}QH÷ÍGZÓ"È›RÚ”M*,o6`Y³ÉÍUHjö"Ñ¿Kü[ð¨'ûà?……þ÷Ém$4ßCB“„Ÿ$‘!Îsúû×;Ú¹›¸'ÉZ¶gîÇ[#cR3¦ôÖ Hi‰@RË$¶Äbië,i]G[XܪÁ¢€ ;âuˆ ¬Fl  Û1¿­1m¯anÛ%Ì Ü¼Öÿ"¦EB̺1Žqž}Ôéíà~ØÌux‚ë@šˆs `á3]9 Ë;Ùv<„¸ŽYˆíœ‹G:ã± 3ó;åˆéÌż -˜¬Áì ÑÁÇ !2x³‚ؼˆ™ŸcfÇ0kÝó}6Jøõ÷sül»·Oó\ê<ÄNŒDIäd ‰%sº§"*‰ÈÐ\™¡$’ŽˆŠ1#dÇôSC-˜ÚŠÉ¡=˜Ô}ºÏcâÓŸ¯1©KÂämcôqž½[™ƒï‡g¶í<ØŽUï`ì4»€ ’Hâv³íf…'`Zï LîÂÄp &„ Â4Baö—½¼ü{yù„¹©Ã,¢0æ`=ìïzÞàæ¿ +ìbc¸ë'.ýÀ¾.þ¡v7u7RÇj÷ð#%L-[Jଓ¯¦ßpm¾c£r‡ù¸«î±6îñ0”¸%&^ââJïËìö¢I$‰"³É|’Hÿ£ºLÀš¾Ï8þxË¥^È©œI @’„@ ‚\*""^ ¦bÅ2T@©W=j­ó>gm©­t´³]í3ë6»v[Ÿéö´«N»ÖvݦsýïËñTûø|ž?Dò~¿ïû;þïK ÄNŠH©#«H3i#Ï‘m¤—ì%‡È1|ÏIð1çÌG,ÜCšý¾å¤ÉyŠ|G»ä3ò—Áy~Äg_2•„)Ñ,’OÊÈ"ƯgüFü—óåCldüÆïbüçñOì£ÚajœÄWœL¿Äîá#–è>îð[_0Âçäoä6ù¹9äaOÆ’ #5 &±$…dP/—:jTàι_¡ñ›¹•ñ72~ãw1þN.Ï~æv·p–Ëö:þˆkø˜ߤËß0Ú>$÷†®É%œ¦‹×q®Î±Rg¹r§©rŠœaðgaô°ö¿·ôÈ¿oj²^Ô“2Ÿ4j™˜‹…ŒZŠ×èã}\¤ ôqŽ>ÎÐÇ)tâvpgîÇÏp/ó/^â·_ÄŸYop€jûGØ7‚0Žú¼êîò8ÝâÕû=]ÇæèOÍ™xÑÔ“3’ç‘I<î87+]J…j®übfÜ@Fê´Rcãnã)Ù͇¹CÎòÔ\ÁvîV¸›{³kð4‰žðWÊßy”? äõC~9èç•wyÔDîì)ŒBÍ8ê%3¢Ž§/ DìåÆÞQ¥ØíU^µèõjÀöÑMè½]c:°uÌtŽ=ˆŽ±'±yÜe´ÿ›&ÞÃÆIÑæ-`ÃS< îm^i¿æõ:À«¾?_˜Æ× ¯Ýã|px\LˆÄÞ‰R윤B¯·=ÞVtù8°ÕǃNŸ2tøTã9Ÿ%h÷]g}›±Ñw6øvcß>´úG‹šý¯cíäûhš" ‘¬xÂ^Q¼Žy­ö‘³1À±H௸}^ØåçÿYØ:9?"Çæ56±qj66LubÝTZ§ÎGKàB<¸kW£)hVubå´]X1í¦¿‚eÓßGýŒ;¨›ùKf ?â6õ¯Iø:’çÔçóE1g ~ÞÊsš7Ú§¡mFÖÍ£eV +ž™•Ž5Áf4ç`Up>VÌ.ÆòÙX6»õ!ËQÒŒ%!íXº5¡‡°0ì<„_Euøg¨Šx„ÊáG|L½w’ø +æ«öd*g>÷° éIàÌÇšl–°)X>«#¢±"B††ÈTÔGP™…ÚÈ\,žSˆEsæaáœjTÏ­CÕÜFTÎmCyTæG@YôÌ‹ ·QûoÇ +Oˆá}Åö«ŸÚØ‚Õ±Õ!½|Õu² iKdŸç…•Q~X=u1‘¨cQl2ÆjPkDeœ qNÌó ,®¥ñ‹Q¿Å⟠H¼nÉ (”œDô +ò¥ŸÂ•ðà’>áµ.SÿLç ¶>{H·h§%°’kS'öF$ $¡¨”Æ \*C™4¥ z”$d¢(ÁOB܉¥(L\€|Ù2¸dÍpÊ:àïF®ü8ìŠ7`Oú9IßaÅ0ï²Íx•ú'29g°ÝI¶°ýiãgkè­ž>È' B>eò`”Èç¢H![‘ŒB…ùIF¸’lp&9áH*F^r%ìÉK‘“²Ù)í°)w"KyUŸÈ¢ú(Sõ€ƒ`¥€¾Únæ:ظv`é`볎>VÑG-}”+Ç¢$Ååt(#àRÆÁ¡”#O• +»J•Ùª\ØRÝ°¦–#+­–´F˜ÕϤî…QsD”¡yUdÐÞ _AdÐüú©sŽ¹¿Ì6x¯ƒëàä:ðÙ’Ã>Ÿµá‡í(¨}áT"O +»:ÙêX5)°h´Ä„Lm6ÌÚ˜ÒKaL¯A†nôº6ètÛ‘®? ­þ’Hc¸NþA‘F?ŒV'ˆ.³§©÷µw°ý/äÌÉçZþ¾”õ¨d=Üô‘§÷FŽ.V}0,ú9ÈÔ‹aÒ+`Ô§!ÃÁ +}†ºŒ¤Bk\µq=ÒLÝH5‚Ê|Q¤4@îA¤4 "Õ F¯±'˜÷Aj?ÏxK1Ï#ŸMQóó2úËÏâÌgž€LÓd˜L3aŠ€Á Y†t³ +Z³šL Ô™yH³!ÕRUÖ2¤dµ"9k’¬¡°^€Üú>dÖ{|~y–0„‚¼BcÌ{?µwp$é(ã^ «J¸ô5ÏÅ™ë”i½ÕéÖ h­!PÛ¢f“"Õ– U¶ÊlR²íHÊqC‘SyÎRÈìÍH´oÔ¾’Üsç¾GîBlÿ$v’œaÎ3×#Ì{/u»+9ïTq/𹼜ýþ<ö»ü¿\z1:EPçyC•€”¼YH΋D’# +‡rGdŽ $:m:ó!q•AìªE¼k-b]ˆÉÑùg••|A#Ú% Ú9ÌiÆ?ÄüwQ{Û"î…î>ë9*VÐK!G½èè%Ù=²B$º§Aê…Ä ±;ñžÄyÒë± Æã@TQ æÕ`NQ#"‹7#¼xŠO!´ømò9B‹!¬H@˜‡+9Κ ~/µ;9­_ +4’ÿs]æQQXWþe‘ueß‘Eã=Ä-—º¢ˆfQ¶(̸ â`T 0q×8Q£U bÕ¦­AÁlVMM“`L›6{bÝCRc4ÖhÜú±äÄöoö¹¿wï»ï¾{ xmÌcîb-£×fJ½M”`ôP¼ÑW±Æ`Ř¢ÕÕ” hSE™(Ò4\ãž‘¦°Œl…dZœ¹R™[¹_þ™Çå—yEþ`¢ƒoÁجloCk£i…Y²Î§6BŽ…}à3¶UÃXOÿ|)‘uFç¸(<ÇK¡9]’ªàœå$*0·Ÿr‡È?w´ürSÕ%/C¾y…òÉ{IÞy›dÈ«•WÞ{òÌ»$Cî2ä0MÌicvíEÒF±•ÅìC û™Œ©©¼µð]oÖǺÂÀÏì*_³üåc—·%NËÓ$OËó,ËL¹[òåf)•«e­\,{äd©—“ù39›oÉ¥ˆ.¾°×Ñ߸Hª\Ây`[¸ŒÀt˜CËÈHà»HokG¹[ÝåjõV'k \¬‘r¶v““µ:Z«ƒu´¬$š•M´â„µ’Dß °¥\„ßÀC “-i–×`·|91†Í]%™^&*¤‘üõYH„hð¨r“ÍU6/ÉÖ™ 4>6— ¢V•ÜvЫæÁ +œdά<Ȇ3D¾Ü$­ºÍ­lÀ×J´—aÛb“²WS×2®—m”zAWÚ„þfô_•œ0'»Ðxn¡é³ÓÚií]¡'Ð Ø)ôvba'©ílî–uPC!d Ý|îRš[Y¿å¯pÐÍÝÀ 1þ5Îâ6©Ïv)–ñ4˜0úì”ÜwIw£ÿ¨všòjÖQÍ:jXG àÞ.@ Z.ÿZŠ}-»–äÞK€÷b¬¦Žßÿnaç14«íü,À·tt“цN_tb«ÑßËþï“\÷K„’æžKþø3Ž4@ o²/uìËaöåñh j !j 6pøˆENÖc¨þ$\bqä'-%¾ó¶r?£= Ýçk¥gøY,zAèÐëô&Z‡¡ÞÂÉÈ@ÖÎq†µ.ÒI†…¥XÇÇ4iÃ=9]lúi‚Úx„ç3ðo¸'3áÉÚ#MF{ºýñ-Í ~FK.çwÚuNéćv>QË@$M1p2 uÎ1(}ÁÞ\®°Ž«4§×¸ô¾¤7qÈ›|Ám‘¦¿3Ø}¥lÒdêêÚIèÆ¿…>_{—[4ÿÒ®÷)üÎÁyøWëP(]†«ð%| ×á[òõ6ÃÓ]?é>ùñ€FùÍÑCÎê#ác6þ1¢qðÇF¦./ðlÇ~ ½`0Œ)`‚(„b°ÂJ°ÁzØ¢Ÿ˜ò~dÂ|ÄÔùiôsæú‚Yö;}Ï/îÀà\óp¶eÞd¾¥Z ¸‚„AwH‚0¦£‘Å´˜}³îk1öË°_Ž}¶×ë¶ìºÅÄù-Óî ÷:‰ó5ÖÄã5þuµ]û|g ±u Nà‚Žíñ„|êƒOCЃ©x“®›Ì¹7T€} –c¿ ûåضa{ƒ.0éž×.ýSûññ0“îŸI— èÝÑévÍáC8 ÇZ× ÿá‘ÜðÍŸ"ð§ZIúJÃña/`߈ýÙøñ"ihÁ~1ö­Ø/Ƕ Ûõ¶¡\M¿¡?éŽÐ§<~£·ˆb*-ÔÃa8ôk¸7刦©ÈNÆ Õ­AèŒBc")š†}ögc¿ûfìcߪ£¬£^¯¨N›±½ƒÝØÇ*êÈŽSDå2ï½Pó-ÚT+ünKëK­éï†_¾è…¯´ú±³Ï¡1šL›„Õ4Ê“I¿g¿#?ªEhX±¿Š¬Ñrc;YÅvþ½O^ÃÖÌ}µ5ƒá1%÷;JÝUÊîç<Ÿf §È‹cäÅQù£?=ÑIBg~ŒE#ëihÑÈæ4ä£S„N1ÊehTh¹±oÖ±²5­ÑùHUD¸’ÓR‰nÅ|O¹¿FIùåí”7åc]OÉ;Ä99(o4ƒÑ‹Õ¯Ù“í€ÎpÖ>–h§°óiœ#:Ùèä£cFg1v—Õœ\;¯ªµ keìàÒ§.«´Ã]•:6k ”8µqÝW:DyåÚ{›+çeöŸÕPvw9º“]Ñ ×Ƨºim‡¾ZÝqªG¨ÂiœV9¥h¥sšV8õ’s¶Ê\ +´Ôe¾J]¬*éT¡âN›´Ðu·¸þA·2»_T‘Ç=z6kÞ\F·‘«ÿÝXôá·”×Ýaôw”Ú͆§´ÎÍSUnþZå¥=´Ì£Ÿ¬žƒUê9R%žã´Ø3E‹¼¦i—IÙ …*4,Ö\ÃJ½hX¯|ïÊó~C9>Ç5Çç¢fûÞSvçæVfµsÍ÷¸¾¸öpåîN”¶ÆÓ_FÑŠp®ôuQ™·–ø„¨Ø7N :÷’¥s’Šº<§y]Fin—ñ*ðKUžßtåúeiŽ_¾fûÏ×,ÿeÊ +X­Œ€m2îWzà»J:§Áw4#„Š÷Ÿ ÿ^o®c®–Z ×Ÿ¡­à½­»´‚R¿$´ƒxª(0@sƒ¢”Ü]¹Á}5'd f‡ Ó¬ÐÑÊ MVFèTCÓ•6[3à +5=|‰¦…Wê…»¦FÔjJäQ¥F~¦ÉQ·59º¹¨6>Ä÷£h@ h;ì™3¸bʹvK‰É|ö§ Â]9¾ÊŽ UVd¬2¢ž–1ª¿fF ÖŒèš=ViÑ)š=MSºf)µkRbŠ5)¦\c7+9®ZããŽh|ü‹¿©qÝšyþ…÷ñ»Ý}´;†ƒ¡Ä`síØ¢¾ô—¬#;ÎE¦Xo¥Çjz\”Òâºkj|M‰V“ã‡*%~”&u› änS5¡›Qãr5.aÆt_®ÑÝ7èW=öhTbF&6jdÏѳ¹•‘‰mû/×åu™Æñ¯—T@T@Så¢Èý2à 0 È]`H°ä²¨1¨ `yä ^ÖK$ëZf*h¹YÞ)=æZֶɶ—vÝ:¶µk%Ö´Ü“›®Š¥³Ÿ€ÝãÙ?>ç÷ƒ™yžç}žç}ßçËšá£ÆŽLô¬ã}%q4Çbb\9\ö0Í ó‘-Ü_EáÓU¥‚ˆåG$+7ªœˆ\eGÚ”Y®ÙQ5ÊŒª—5ºEéÑíJ‹éTjl·,±ïÉ÷5ÜãÝù?N“ÿÃøÜ›Er˜±a #ÇŠ æ+âø q”‡-z´ +£Ç)?z²r£ƒ”¦¬˜XÍŽ1*#&UÖØ,¥Ç*-ήԸjYâ—Ê¿R)†ÍJNØ¥¤„£2%öȘx~àÝ)SÂ'ÉýFàNÆÏmŒ›É[ó©q8ˆ­’8æGÁE9ñ^Ê2LT¦aª¬†J3D)Õ KBŠÌ ™JI,Prâ\%ͪ”iVŒÆ'4˸Q‰¦2˜Ëô®â“.Á÷¼;ùßÇñ³?—^À÷Öb¤€9Ÿ1ô1âA¶éaòa£VÙ¦Ê0ŽQšÑG£ŸÌÆ%#”dŠ—É”$cRºf%å*1¹D ÉÈR«ø”fÅ™¦óE[*Êò| wø›)É<@75؇¿øÞÂè¹~²ˆg×2jSHd¦“Åì®dó8™Ì“d4*Ñ£Àì‹åyS~ùNùC@žSûKèüoÅ÷ÆÞ–t/ÏÚ*zXlȤ,bI&–XÛPÍ,­é…cR4QÁE +*š¡À¢(M+NÔÔâTçÈÏV¢)¶ +M¶-Õƒ%«4±¤CJöɧ䔼K>áyC¾6§&35‹äÿ¹JzY¸îQÎ…Zz-¦ˆ§€XÒ‰oyaë+Ø>J¥ò+¯)¥“5Ù¨Iö0=hÓD{²&Ø3åSV$ï²ù_V«qåOjly»¼Ê÷ʳü¤<ÊÏ˳ì:8åe  ûÏ,â\¨ã~Zʹ€4\öS©š÷¹H£lâ2óy1†’Ÿ€ª!šPé&ïJO¯ôÕ¸J?­ HyU%ʳ*UcªòäQe—{Õ"®n’[õF¹Ví–KÕ«UõøF.•wåZá”ìÄþVümh È ÆFÎ…Ç©ï…ËéÅzr@LÄ´ýI¬ãê†ËÃ1Zí˜(7ÇT¹:BåâˆÕ(G²FÖeéº ¯«ÒÐ: ;л¥ñXÛ_ÁméQg?Ûñߎ¿µÍÔáI©~%g#Ìã=Ržb«Óù|J“ä cš†Ê¥ÉUÛйMÞÀÒÄÐ\€\kð1¯ƒ>$mIÈÒÈg¥ià»üSÎQ;ð½vÿâØM»ÉG'ùè$]ÄÑÊ0ÂP´‡‹`ÏC@ÓuQàN wäûôÄî+ +}àÔ|7²¶Å[Ù‹øËÃO +áFwJ]øß#y‘ûðùPR¸ƒˆƒƒÔåúaô#> eÔ¥›8º º¹tºÙÔÝ4v7‹íÆà1êq”zýîjÅÏiW|?ü-/Òƒø +ÜÿWðOØÁ×1xNÀÉA^‡S€I½ANÞ$'g¨ÍÛãR‰ã,áY†ƒú³§h¼žmÀþèyÏþ×µ„u/`Í…¬35Æb¥ÿº%÷ãÒ°“ƒ>ÞZ™ÀïÁ Ò…Á\âèOpn„ô!µùp8>cûœá"5éec÷Ò½,¶ý†KªÜK²f+¡Å³Î`ÖéËÚÜ߆¼…ÍßÀoá÷ÀOô!|…¿Áøz-§Kp…ú\uCÀÑ«×é É7Rn±Wosøܦ©ûHv‰¼ý;”–;¸ âãÀÂÁ™PeP h€fhµ(ÈMº‹²ûEyEÖ‡¾»…¶º‰bü7:󺮢gïéßþ‘Kp>€÷û5ïHCaxÂ$˜q`\,<¤ïÑu}èÜ[ªÃörl¯ÐwÄq8¾%Ž¢þ®¢Ì¾F^F)^Bg~I¿ AùÕgXú>?ÂYøu º!ƒyñaMÓðÉZŒøÊÄÇì—êŠ`{!¶—`{9¶›)E ¶×êïhÌQ»çÙÀh¥;Ê:ÏÐ.çi›o‰fÀï»p^‡ÿ'Ѻ7/}£ÉúJ3ðG©ÍøÈÆ~1í`×9UÐ~ YKmÒ@k6Ó¢?ªÚµzGOѺÛðÑ©ÓläSäâ$ß8Aä¯Q©n<ä¼r_ wà_pYÃX›;þ|ôrñ¾"ð“ˆ4ìçê-ÙØ"el• +Ö±ûì×c¿ û«Xù:V;•ØŽý½tÆý’ˆ^Àâ*×…·ÎûØ5·ëhíú·š 9óÂß$Ö‚Ÿ— V,æa߆};öplÕõZ|Ô㣠»-Ø]¯çéÑç¨É³|k¹øÓÕÓæyF„;lÌݘ؀±m°°¹Ù@°an_€L áb ’…Ê-÷¤Mš&kš¶k«^¢5´SWU•v‘¶6Ò¤jšTišViÓ¤®Ý´n«¶UßNCÔíÇÑû}ï>ç}žç}.Wy’Ëôä%zù"Y/ç¯_{•ÂO™b> +Û»þï3>wi‹·J>EOOUE79¼äð“£›}¸Ž!\£Ž+Ôq‰~¹€FÆ6¶øeƒÿõ­³Êè;K ¯0š—Éûÿø"ŽWœ©íÒ½÷€×úMêy…÷åÞ‘ÛÈ`¤ç‘ËHžRžÅÅsxx/YÚ±É8]§Ž§¨c•~9ËøXÆze TtšŠç™ÔOÒ¢³ø„QüB|„éÇøŒ¥æc–š29w¯²xzž£¶°8ž-™çRðLò˜ÉSγ¸hqy¼äi'Ooi=ÄlØfÂf1¾ŒÉðsß÷ Æö½„cï`4ò!F¢?Çјop4VÄðcü:‹öW»y,=Äó|¾¡`oÅ”>1 ëѬD¤`1*§£u˜‹±`6ÆŽ™ØjLÅzŠóa"Îñ¸nÀhüFâ§0œpC L¸ŽÃ’{ì¢_ò‡ÿŒCI"éÿð±šå†%ÿM–—{ÄM–»«l‰¶˜bŸdK° ‹ÄII¦%é˜LTc,QcI%‘:0,­APÚ€!i ŽH;0 ëE¿l‡dcdsèI^EwòUtÊïâ ümä¿DGÊçð§Šð§‰{+ñ3òïšè¶wˆëLíçYfV €3Ô7C;Éã1"—#˜’#) ¤¡/Õ†C©•ÒêГքî´6t¦wâ`z?:ÒGàϘÁ÷2–Ñ–y­YwàËz ^Åω?¡9ûBü’ï¾ x±œ6`¹¿ÌuƒïKlÉfi“qú'˜þL)„¬ ô(ÔèRâ Â‚@v9üÙN´g»Ñ¦ô¢UéG‹²Þœ!4çLâÀþE4î?‡Õ-xÔoÀ­þ êsÿ@ü‡¿Ãyö×Éý<ËüŽ‹m–“6¨à¼Ç6(dᜡgmÏG—J‚€*í*%ÚÔ´ªð©­ðæVà@n šrјۊ†¼.¸ó£> uù§Q«ÙDµö\Ú×à,øø NÝ¿‘Ï{x—mð«ä½Íÿt°M¬°œc[:ÎoƒÔÑCíšx´hdðj2q@£F£VÖ ·¶ õZ'ê +ܨ-ð¢Z€K×gá1TΡR¿‡avÃ+(7~@üŽøšÁN<à™_&ÿ-7p…%~ƒXâó îRÇudŒø Ñh,L‚GŸ†z}jõTëp¬p¨2Ô¢ÒØ„ +c;E즣(3@©y6óuX-/£Äò>Š‹Kü%Å"ßY™‰û´ÿ=rÞ`Ûw©™ó±p€s†¦:üÔÑT:“Õ&9œ&*M¹p˜ a7›Qn.C™Å…RKlÅm°÷ ¤$ˆâ’iX¬ga¶]ƒÉöŒ¥ïŸGQ©ˆ"›È}op¹Kþcmß*qš-ù$µ RG7u´2V<ŒU§5« åÖ ”Y÷ÃfÕÂj-B‰Í†b[,¥n˜K}0•u¢¨lÆò åËÐÛ¯@g¿‡Ç uü†ëWÐ9Dî‰($^#Ï/c¡­¾Ÿ£H0Ïuœï´G'}ãcŒÔ1fìöØìI(±§¢Ø®„Ùž“Ý€"G Œ µÐW4£°2]å +ª& ©ZB¾óòœw‘ë|j×'Äßø,r¨ñò‹PÖŠü&âEÚý&ù.wëã‘mç ×¾ l…Ûi“&úÇIßX9¾éëã¡­—BSŸ†üúä¹5Èu¡vÛ òT!ÇãÒÓ†ì†^(F‘Ùp +ÛHo¼´Æ"¥é!R¿ä³ˆô†=Ü%Çù.p$[;ÌÜx„ñÈu¸Ÿw’£I¿¹©Åј©E낪99Ír(›³Ý¬†Â«C–ׂL¯éÞ:¤ù|Hõu!Å7 yËI$·lBÖr Ò–ûHlýI-_ðY„Ì·‡Û´ýÓä?7¸7žÎs,› †‚Œêi¦–jÚ¥”Z ôQn Yþ8¤ù¥Hõ§!ů„ÜŸd¿2¿pAÚÑ„¤Ž;! Ì !°†øÀ3ˆ ¼Ž˜ÀO¹þqÿF¼_|„gÉ…|›£ÀAfÇYˆã¼“#¼üVA}ÚFK=Jþ}ª io{eD$‚ + Bâ â„ +Ä +D íˆú!L`Ÿ°‚0ó•À!Jà Ôû{âëe7ß#b‡ɽ6ɼ0ÅX˜a,LÓ|öq¯†zJù] PSSm$;†„á8D'!b8û‚ +„¿-,F‚I>È d0éÌ ÿ1Èy7ȹfˆÃÜÐ{¼ôœ5¿"ØIq[ä\9 œš§N‡¹úç€î98™ˆÐ|¸§á_%~ ‡/ .Ë) ._ì»ï‘\Zá!„,8 ]àu'0ÅòÃB(5w•N““ æ§FO3˜žæœœ¡>ÏÐdÎPØgôÙÝ<ÏòY Å]ÕÏqpÀÇ8­ð…Ãç{þÃNž‡Ç!dù†çÔ¹‘^Z±Ø>\Ò¤‹Ää}jäCtü‰Áôrºt…œ\åŒ\¥È¯èk¿Æ®µ©¿KàÎÝÇ8DÄ??8{=ä<éä<çäé°Þwâçrx\Ÿ€OÁ êõ&5òy¹Í v‡áìîh©} øÚqºàµÿŠ-Ç t=€ð!À’À P Fƒj0ÌìØ”þÍfó/¶ìTÿd»»ÏVù [Ù=¶ÏvÐ]Ð׿­¿éK¾u‹_}®ƒKà7àíŽ×¥cïí„+ð XA¦¾S¥Ø‹íZÝQvgê+tÜBÇèøœ-ìïl€7Ø?c¿kÓn¸Žèc’ögþ®òËËXû¼ëä>NthÐàŽo¾ð…ò+|Éø‹ýáú+{n›* ÷Â^§k踂Ž?¢ãt\BÇ{l†Ùu¯mø¹29®wttÞÔ"w†7Á1pü†ûày¹©ÞðâG\VxÒõå¡¿Ûa» +Û°]‡íx:Û ðk %´ß^ÖÏi opÂtDoq¤>!;ßp¼(cv;Áöïiø¸ ®w”™|¾ú-±8¯8,ØáÈÆ~>^À‡rlWa»†©Ø¯Çþ°¿@­Zª=ZAÛXÇf8Zµ•_m&:-D´¶`ƒkÁwp~ >s–÷…ŽãÖŸzãK?¸"à‰'f)XËÁ~v¡cñØN^¶©F[4E›ÐÑ‚Ž&tlÐ2­£™¯!'«ùæ*,4±2ù"Ñ^ï÷qÎ6çQ{œr¶›×¨‹½ê_\1øa…#b¹€P Gcᨆc2¬õpÌÁîÓœ”çõŸ.EÝÔÿ˜(>£©œ;ÀÒÿáFø¹vÎÑfó|ö¤únüÚ Ÿüá3a#<àH‡#Ž8Šñ¸ ž +-FÇ"tðÃ)¶Ír±k†K†¦wÉÕ´®ªëZ¬)®ešìZ©‰®TÛmºjºÍÕøîKUÕ}•*{lVEσÓó¬F»]W¹û=àx„óð‹æú2²÷‚u¼ndy>˜ÑƒøÌóêªÙnžšÞÓOuný5¥W´&õ²h‚{’jÜ3Tíž«qCUå1Bc=ÊUá9^£=§¨Üó •y.R©×J•xµh¤×÷~KÅÞm*ò¾*òqt¥éS¡â>TÔg††õY¨Bß*ðݨ|¿V ñ{Syþ+¯ï·Àñ¿0w^óÛ¿ÖsÍ6ò|–ÿš;ÇÃ:rTè¢ +?O•ûùj”_°Jü#5Òߤbÿ*ꛪa}sTØwˆ†+? \Cª•×ošõ›§ÜÀç50h²ƒv++ø¤2ƒ¯*3äž²Bð#èÞêëu £èŠ j€ýv®}˜Läê­$7£‚ÝTè­áA* 2¨ (FùÁåÛ588CƒB)7¤P9!¥Êî_©¬Ð)Ê £tÃ2¥1Œ¥†íRJØq%‡Ú•áàéP +x¿wÁÛœC¸^_‹²•§¡£åÔIqD7¼”gðÓ C°"”§ì°De†¥(#<[éáùJ‹¡Ôˆ +¥DNRRälÙ£–ȵZ¢wÈ}LÖ˜Kàp(щCpí€Ã`é¥<é9°ñk6£àd>«²FÃB£‹r£<”é£Ì¨~J2(-*F)QñJŽ¶+):Cö˜Á²Å ×€Ør%ÆÖÊjœ©ã"ÅÇ­’Ù´]&ÓÏgzWqæÛ2™üïà}‡àó6ø×1¯däZžÊ§yo":*Ð1CБç¦T£·’þ²Cd3F*Ñ'k\¢¬¦T%˜Êb.T¼¹Læøj™âëe´<­XK£b¶*:ᨢ¬À—Š¶::“àP+±ßç«Ã½‹¨Ö£yé´Ô £EÔÊ r–fí.›ÅS‰_%Xe±„Él‰•)!Aq ÉŠ³fËh-Plb‰¢Ç)jÀ㊰@¶…Û¶È`?¢PûïÀ-^;Âmí&ö-ð¿Â¸·¼„õ¤”zäù8ZÆ›2>†ÎÔkJJ%ؾý¾ÿóÿïó¾ïÿQ·ÑC”|¼`jK0­ó~Ïa||ø}ÚXÉz¨fžäŒXŽd/“,`0èÉo—JfJ€jü_5µ¨¦«¦ «¦é¨î ØT«¨E‹© +ÁWQÌ%+@3‚ÃT.¤VÞ„ð;@_KüÚ•|VKš«ÙH× Ò˜ƒF©7×D`aÀÐLr¸µ_hvÈ£<È£<iÄ™“F¼F6·w‚¯§Àõ›h Ðes-ô*Í*Ÿêë¨1Ë×2eXÑI˜ä3b3IÀüsøm2þƒÀQð"@òz­cn^‘N°VN “èó$‡À)ÀI&ñOœâþ/\¿T Üyp‡×²Ÿ½° ~ †é„éàhÿ +x ð©Nwà 8 ^oÜ7hð:9Ïš¹ˆQ¸L3xm\á¼Ê|pZ¼÷+À×>VÁ4÷XÆ9Înpšá 9ö癎·Ë +ãûÀ%p¼˜b]7ÀÇàïäñ9õ¸Eƒ|›Fäkõ.›ß=tq‚ߣp_¼‰Ë ~ @èz! 8Àd +ñ¨%8¥b&qOêœÖ×,”¯´ ï¸7·G÷˜¼;¸Õ[çs}„»úF7Û}ø#8Þ¿>ÒýoD€XÐô×xÌo•Çb绀ØEÄ.!î}FŸ’Ç'äñW\àG¸Â8Ä?#Öëˆç} +y 7{•//ñx§ƒû8xéÿäðƒBõ/üÝ?”¨»xÝÛxÌÏd!¾]Ê¥?ÉÃ8 +ôò¸J—q‘q`ïâ2Ï“Ç9­cÊšô¦vé·ˆùuXÎðßi²<©ï˜^Ÿ^GÁó õ¡~_[ÌÍ'22–8}@-®á1/iñ­äï š›zˆ]@ì"bÏ#v9±1®¥:¦:fà)dÜ̲ù%•8 ×)=‡`ÚÙAØ€}`7x¦#vL*%¸Èêºx[f¸áéE„Aä>š¥‘Nìlb»‰=•èÓ‰ïeæç2žùįÔ~òxV«aßÈv²];aÜA-¶±…Š63Ú§am›ÁFÀn‰fÄü±”:–ÖiôyŒZ¥G”ÌúÂ1”øc´W6—ÍÜÄÏ!~>ñg¡Äbâ—»E,C ÚÀÆú™¬%ÛF¢Ö3“«QV¼µXnÁù8×±wl=‡¨Å>tñ ÖÈ3ê¡mÌI³†Á‘Bþ6òwh=úX§)päÁ1Žb8æ{±Vò·œ –òe5µ¨¢Š‹YЕ(¬Þ‡q#ˆ%ÆØYÊ/²Õ>ø`ëÝ.¶²F¶(†ñ$ÁÕ žp< +G + 68¬§jÈ£ZÓ´„<‘Gëå ž”“UJ©\ #›‹J‹õ7Ía¥Í{6h¿^b{?Ëvö[É!®{8v¶‘϶ºõ +!J$õŠ£ºÉDí Ï@Æ2Ž-DåÊ‚Ç ÏxòP¨žâW²“¬`–Ök•,@9ùÌGó‘§Y?À[ñÔŸöã0GÌ^ŽÝméí8rÖК¬¢.5~aŒÍ_\Ýô¸ú0žAð ‡ÇO:¬YTÀ W*NüÙÄ.gõÔðd ÙmÕ$¿VMô{Mnÿ+rÞ“+È÷ÎÂ}´GXo|/ØÂýž­ìDëA]ýU¡9fyý;kfÀÏTØWùƒ5-h„rƒ,šlSN°C“ƒÝšâ‘;d–\¡%šºXã «•mh–#ì€ìaÇ•~I™wõ˜Ñw™à[ùá~É8êÁŽÛ:ZÚ²…´Eó¨‰7*HùáFyÂb”ž¤Éá=41¢Ÿ\ƒåŒ© ÆT3f(Û8NYÆɲGNWfd±2"+”Y«´¨&YMû•j:.‹é²,æ/€ï>RÁ+ð·Ñ†îâhkk‡â÷ÒnÑ‚”Ò–Q“|æk²9TÎ(“Æ›â•mêª,S/ÙMýõ˜y¨2Ì£d3[•n¶ËíÒØè\¥F)%fÆĬШØÍ»W#â^Öð¸wõhü ÷ý„8Þ÷Ó‚î%mâh«§õYÊÿ y>—šÌ NSiœ•kTFì¿Ù.¨¨Ï+Š_£‚Šl#Û 0 3Àΰƒl +(0.£D4DÃwq©;hc¬¨9©&Ù¬i’&VLlkšXS£i›&=iÏñ´Zcܲµ‰UÓtúœÛÓÎ=ÌÿÏðÞ}ï»ß÷½kPqˆQE! µ«0Ô©‚Ð,å…å+7l´F„SNx¥²Ãë”1WÆUJ3nUª©K)¦ƒrFž7äŒr+%ò.^¦önrïÆÓn-dÀòìóŒÿäß‚Y?=N‘¸Ð#£_<&0Š–Ò§z’ÎÚØsú+&ÃOQAŠÌ•1#RV…g&),3]¡Yù +É*Õ°¬I2dÏPpö<å´(0§C9ûå?â(8/ÿœ›<39‚§ÈÓAþMØÓÖª»ÖlîTi&ÏSy?žžŒæ;¹pM¡gqô$*ÂrškPHn„†åÅÈ— à¼åP`~‰òÇË¿ Ì–¦qHA»>+ßÂ#àC *üBƒ ܼgŽ¡ÞíŒþ«9›¦£G0»ÏÅs%\*èË(¾“E¯’Y³XÖ'btŠýT¤€¢P%ÿb›†—_q–†Òà’qò-©’OI£”,Wÿ’­êWÒ¸1 %¿åógàŸº¯Ø­Nò·Õ Ç:iV¨4‡xž Ÿû±iù|‡åU<}‰¢/!㤀òAò+ Р2ƒ|ËŒò)³h`y¢ú—§ë¾r„\áršYþX +0“嘵rŒCÆ ìSÀWæÖ.jÞBÎõõÜ豉óÔ4°¼/Æ–dÃ%žø„Ó› BûMñÕÀÉþêç +æã0wEß=Ì\°.ìBD.„å¢×"°c'1!MdbšÈô6ÜÚ1‹;‚œ-s8›æKópG`O+y‹ɇK*üâøžéaÉ@È¡3$Ÿxºé µ\òµ µ\ú5\t5\@5™×дŠ¬!`u+Ø-MÃ_M;…à¯"88Lu«m6{’¼«›ÑÂÎ&P»˜ð\´ýÈß U á»þðòjbÈibÀhÄ[6Ò‹†PÀÒÀÓ@/ØDõ¬G=«§ÈúÕl¶ˆ¡›y‚Cø2¸ƒðÜÚD›Ö-ã\XÁ:¬äÏ` +Ÿï¹XÃáÀÂßÃXÒà G}‡Å ‚‹3Œ5ã9pÁ5Û½XÄᲈõXÄ¢.$ÈÂml|Œå|Ìê<ÌàÜ[\ +nm ×Ú5ÒÒÊ¡]ÓÖ±@!H]/YùÎû@à &ïÁóÜ +Vx´ÒVx´Â£ŸÛÊ%ÓÂå×RÓZºöq.|æj&çULÒ+q4+ÜZO¬XÐ9HfúF$‚|‹6K[ЈÀw+ù(ƒ¡ƒÝ‹¶ïÀº´ â<ÚáÑí dÛ¹x·£‹vzÑŽ°Ú(¶­“8èrë96ÃçÀ­5ä]@Î:r¸ˆ;zgA‡”°K2>Aÿ‘‘ÿ†‰àb{û†îÿå©‹žt¡Ó} ÆÝðèA=ð=¶=ô¢‘u“hß à$ß½ÂÿÝV3uÌ"o%9KÉ“³‡ó˜˜FbvI9Vú†ýçÿŠá#ò=ü uÌôŠÇœôc@E«?e¿b(íE½¬Éa.¢^zÑË¢CœU‡>_¨Z§>ÉQAÞ\ò%!ÓóÔ¿_pÀßû5Ðë5AG¼øøyŸ1“~ ÞÇÁ[à”/Æ gÚßåâ?‹>Ïq¼Ç&8Ç¢ž%øYzqö¢ªÉ]ÑÃ9@êŠ"g Ë5à 7çÞ<Ǽ±=fð/΀wÁ9¯Q|Pšþè5X›Ëðø„½r…Ëÿ*ú¼Æ¹Ž.®Sø Ý8ÙçyïÁøƒP  Œãq«êÜÊüÃ-œÆM\Ç׸ž¯p@ÃE~‰û_õ).ô: x•~Bƒ>ÖG¸«¿ë"QþâñUàmp¼þ›ƒƒA0ˆÒ·ø™Ûx̯5’øc‰=‰ØUº‚›¹ Kðø+<.à$ÿŒë;?á1?R‡>@¨ïk?íéÅeþšŸ«´Î­Óàx¼ +^ú?ni 5è3|Õ5¼îezqA#ˆ_Lì +ý^.ê¨Ò{ð8 3øºwð—¿‚ÇÛð8‰#=ŽC=†·:ªn$ó2µƒÉyÒ?t,¯àY°ï?8ÜìVrŠšüôÈEžr¤?ŸØ£éì8ýGàñ:ërX³ˆÝDìùÄ^‚³]MÖÇØ2mú›ù~žƒAÂ馲.²= ö€Ý ÃËá&¸æ•‘GZ§ÑÅq’+œžYÈ‘LüLV·€­8†ØDžDì©ÔRKü‡©§‘øóX%Ä_ƒ"6’c§vñv'¬¶³iÚPÄ6Ô´…¬ül_‘óøÀ+uÏö:¬~ÔãG-rE¢.9ÄÏ¢²BŽ«1į þDâW¿†c³Žø Äž‡2— …ø›Y™NŽõçy: ³ß°Z_j%yWxñ=ð19çÝvž-ÿª÷ê¡{ÑE'{¤Cfò$ÃIÔløêqÖå1•¡Â ä˜BŽjrÔ‘ãßd—kLÛçÆî7ÛØÆ6Æ`0`n&&`CbH'@B(ÈHB¸Œ„[Fi.K›¤¹@š%Yše (m×fiÔ6AZ·N]5mÓº}˜¦mŸ6MÓ¦MÛª}ؤjÒÚ¥Õ4ïG.S¥ =zí?¿ÏyÏ9ï9σ『ðw fùå ¾8À©¦‰è$7e‚l‡{àW”ú('ß¡Ü¿$xýqéýêÖ§™ó8áÉ× îÉ1Uaû#ÜÊf<ߦg¸·3Øq;¦ˆËù±Ÿ§cX5Ê#DfˆÝ=úÙü ˆ‘MðSÚÌw)ï÷h5¯±Þàûì¡…pÎ$v1ÂiåL.x +8K)gYÃBðDài†§žmðô`ÁÓð ³÷$Ÿ«Ÿ]vàÉ>N×K<¶n²¿î'ø2LYÿ%u )tY¶€='±e¿Ì*•³™à³í\v/ä÷q~Jüþ¶¢íŒ êM5¨+É¢mIÙÚšìQ{r‘ڒ˵9%¨M)aESÕœUSj‡6¤õª1m"éãZŸ~Dõ ªËXR(ãžj ?Rá/ª1~ +bª÷áü:mí:rã"8…œ+GS ‘¿ˆðIñÚ–™¬VƒQQƒUͧ6¼Ú`(Vƒ±BãZ­7Ö«Þ¸Qu¦6…Mݪ5íRiŸª3)˜yNUæ› +˜ßV¥ùUX>T¥å3S¼ÿËÕÒKH…²‹õß'‘bCØ·©ÜE¼ÚÈ“&Kš"æL­3ÛTgÎUØ\ Z³_5–€ÖZjUm‰(h‰ªÊÚ©€µ_•ÖQ•gͪ,ëùm×Ub{SÅöªÈþg;>S‰#¦{LoÀ·&‘çÂÇYgù¾Ÿç{ø ¯(ãC$;I!›Qk³,ª¶ekÍ­*[‘*m媰W«Ü^¯2G“J[åÏîSIöŠœ3ò9O©Q–ŸsWÞœïËãú£¼®ÊwÅâ6ç¾ ÷%æÈ3š¬3ŒIcuèKZ~vlÁF9Õ{âÌIW¥3SåN›Êœ.ùù*Éñ«8' "WH>×涩 ·Gùyƒòº§åv?¯<÷U¹™åʬª‘©ªQÆ5í`‡ ÁQ¥)-¸¨Ôê[J®þ&øø›R‚ÿVj0¦œÿ²ûÌvÆŸ^îDw¢‡;ÁXÒƒ][ðK> ‘'ø¤Ÿ¸êâd­MSfI¦š,kr@¾ µ¥Ê¨­Vz(¢ÔP«RB=J +)1<«øðÙGƒC˜ÎF%„™-Ÿ*.„–ÿE8O#»çwr'EÆXw3ªnçy~iÀ–jlõãñq0:™R”±Þ¨´õ¥F²•ñ()R¢ÄH•â#õŠkˆòÃÕ‚/Ó-ÝÀ ù ø+`ÞŒ ¥àY„óä€tdw‚ŸŒ²îâûSN’¬Ýû0›çuØRÉ;ØãäHfb•ŽM ýˆŸ~fº/Ðäwà‹ø¢_ôዾÕKÁíãÂõaxõÎÚ†¸í eÝØÐÓ"Ü'qÕ!›a$ý|Þ:xÄžâqb€M¶ÊÀG‰#œæÊaƾÂ{i°{™s÷ÒpñÅ Åmx â´A±çY€2`¨Û͸ëÁÑb: ß þ}ø0q8Âk¬]‡ÙAî(ånìÊbL4ðn"ÐLÇ„àvLaÇvL"„&i0“øb’K4A<&è‡?Ã¥C)î{—Ãþ†Æü1ˆéœÇŽJÏ®Ðw‚Ú ÖJàå™í9>£«Àóøc;æ±cžÜ˜§áÏÓüçÉ‹9.Ò‰?7FÒ³ááëBîçÁ_HÏþƒˆéùçx| +ó¸:;_ ˜ÚÀU®:G;0‚€ ¦ ê‘Ø\|üùHäÇv,bÇb É,RØ(2 $Ôy{žœ8G^žå~žù;¢õ?š‡o’½سóEiã—©—¹‡W¨ÀH*Ç_…ã%p p ŒÿÇ' 67ÉÓ%ìXæž,“e +Ê2¾X&±–8äÒ«¼ó>ï’—_{ ðîÅ´n¸šá¨a¿"örÜ„Ÿ²·*ô_üŒañ î|n]ÅàîãÁäMð>¹gV°c´B~®PøWðÅ +I·ÑÊŠtÿç¼÷‘†9c/gÛgè–TW6\ÆÛ÷_Ý›²Â¸†ï€oƒo=^I/ÂGéÎ!†R6òä¿\—{tÓåÆŸÒ6½%inmzK›¦÷¦4I¡IKK EZ.¥ÊE ‡¥\„1AA‚È Û‡ŒÊ ásˆ²)Ê`âe2Ïq2&‡s“ÉÓéYöiOÎæöÇs~é/éû<ï÷ò¾ßçÔÈYzö,µÑG¯ž£G΋>Û‡“é;®h +{mfŸ5¼*c?™ìÅô,küòôs¼5‚§Á™¨1#¥ê‹šÄ àbÔ°þ¼>«œ_×8?ÿ@}^§GnÐx7Øüu6výÌ€çý/b@2°(CAw6·Ú¦Ïq-gÒ¿ƒ³¹£º…óùÇu7ôg¼ÝÇ8Î?R<7´{—{B¿GÜeýEï³R?.€ŸƒÁÁÿj臤êKüÝ?ðwðv·TÃú¬=:‘uïч踂ŽË8®÷9,ÞCÇ%t¼ƒ¯z gz‘â½@ý{–཮kz _ó«¿å>üŸ†/À߈ÅMá³ó_¹p•ê·ªÒ»øÜ·t—ÞÀÉœGGñ8ƒŽ×qy§qa¯â6†ŽWÐqR›Øý6ÒØKùü'HíÛz–Èé0Øvƒ]_Ñp|ÜŸ>ðüÝ›øªóÄâ,Þî´¼:…Ÿ:©áú)îìtGÇs¸®c8¾£8À#øÜÃè8ˆŽZ‹»Ü¬}äd/ µ‡_õR@»ôm!B¾‰à#ê_Ây \—¢åõªbá3Áe‡'Ž"Ö¯¤M‚z†ÚØG<ö’—ïS»q™½šÁú¬?_;µ„õWh‡Ö‰²²‹ +9È1ö’%c›ôÙŠP9œ`-¸ çïÀÑ’ïo±c-™Ä^,p9õ=å±R ëûô˜BÚN<¾£Q¬?–õ[92ÛÙõtÖïdýn=L<ÖéAÖßÈ‘¾]«Xe»¹¿»\Ÿh¼÷E±ô󟧢­x8zõ‹'¨‹môH2ÙG>eè÷k=:BÇêt5ý²J´R“ÈÂ=pt±€n¹ˆ¬Ñ½(\ÈæSÝ°té*ôO²ù.r”œŠ—ž?àä˜b¿Ì˜×C"œföã€'›½ÀQÆê~ôÙm½«m†§žIšG<º4›µ¡æªv³ƒ·û©âŸPAo“½Û ¢É ÿyš#äy®™ƒ©Ònžs´mE×»o +œö” ž8ÊáðÃÔ,ÕÁÓOUÑB$Úá™ÉúóP´”Ê]£»‰ä*§…,§£ÆéOdñ_ 2€“\ùGð³{?vòìáˆßÀX´-Ë•s^tSŸsd…+ž\x +á)‡Çcž:ª³Êh†«ž©¬ÝÉ_‹P¶’ +~”êÙÅ/k$?‚f§OþàyFŽŒ>OqÅm›¸r¿ÁѺ¼!.s¹Sc‰£>;\™°ä±§"öã¥üp᪇«®±pMdíptóv¹†‘Zª«f ³^Ðéw@dGÝ\‰øÈÅä¬çó +Þ-a4œÇuÓAL&cÔjHÖ¸A©ð9àÊ‚ÍÍžŠàò*P}LHuƒÂª4Z5±Œªê¸9Âàˆ_'üùâŸÑ`ÃKª0\REÂ-U$Fpˆ+mw¹´£‚äª_ÃÕ²¼„±‹q¬ÓÅlIŽî&&cÌqjHNQ8Á¢:Cšj²U“¯`b±ª+4$±JUIµ +$5È—4V•ÉíªHž%oÊ•¥¬U©q»ŠO«ÈxB…ÆwU`º¥BSDEàüOùèÆ®GÀê³m%ã#P1iï×É×(ê¤ÞbPµÉ¨!F«ÆtùŒ9ª4y4ØT*¯©Rå¦j•™†«Äܤbs› +Í*H½WžÔ5r[¶)Ïò´\–ʱ\’ËzD” öÃÿ$#Fžöá#ϯñw泥¯8!7#kÈßš¨ +‹Yå›J-*±äªÈR¨BK¹ +¬UòX‡)ß:Jn[«rm3ä²/T¶}•²ìßR†cŸœŽ•îxGéi•3-»ˆöÂ÷Ü[×Õ1n‚ÅŒ€sð¶ÓÐÑJnFS'džR¯ƒñ*¶U`·ÈcO“Ûž¥<{¾r%r9|ÊI );­AYéã•™>MNg·Ò+åÈØ*{Æ^Ù2^5óMð©l™ÙÁöý¼›ñ³kûî‹°HŒ=S°l-~ò@­ £Fª¨‘òÜy2“”ë4+ÇiS–Ó©ÌŒ\P¨ŒÌ +93‡*=+¬4L¡={ŠlÙ]²æ< KÎ7•êÚ#³ë¸L®_OøÌ”zÙÿvx75RxÚeXÕ…|ž…¦v¾‡Æꤖz P#¥äÆí6(Ëe”3Ç¢´œ49\ÙÀ#»«L¶Ü*YóêeÉk’ÅÝ.³{ŽLùËddHOñô*ÙsLIžsà#>¡”|îo¸¾ ï#øØÕcð`Ÿ;Ð3 mc‰ÑHrSCn|Ĥ„˜ä–Ä*½ Y¶|³¬ùvY<™À­TO‰Ì>™ +ke,¼K)EmJ.š¥¤â¯+¡x£ ÅßU\ñQÅŸáy|Î;îröÜÃÈ» °ª…žÝã±chiCG3#àt†Ð2˜˜Ò7ÙÄÄ^ž ÔR“L%V™JÓe,u)… %— VRYH å2”·*ÎÛ¡XïÅx×K^n"/·¢—ÚË´àýŒä2K°ç-p®gä]9‘ž]mØÁ œ èjF_-CF2óÖ“bâ$&Ö@¼Œ>£’*-J¨L“Á—­x_b}^ òSH~‚ègCéÓÀc˜c ê~†cÿU€×óE´þÍp>4™óq*=æNÁsµÓ¼–aXFßh©ˆ˜å11×Æ+)”¢¸`ªb‚A‘ M¤h‚PˆÂñ¡i`À†0MA Cá?ÈÄV†jæ)x6Á»–‘{ùLzÌÓßÂû‘Ø£êVB†V7ùq7 õ“Ò§¸‘É$ŠK%l\xaÖ0¦©Ãt˜‡Ù\xÀÛ ÇÄ Ç¸ÔcBê¯Huh¨cžCæÆ걓zœCO€N0yy@Ë0¾÷—"´d£×F\Œè1ŒãR‹¿ƒ¯kæRivHMdM4p…ÛÄ¡Ó„Ø&’Û4›€â5GoÅLíLk£.KhhŒh öký\éÁni)![€ š9ŸÚ<ÚÒºh#;’?é;: “û6ÎçÖëá¶æ·+X¯¹ÌyŒ#ƒtóöp÷Ò ¨30hs¼1 ¾×;áÿü 9éâ¼tG7Úè¦&Ý4¶nrÑ…¨ºèU]Gyî,¿A—ûÿ¡U»‘œEpܳŸÖÅ:^Jæ<ÿaÖ<2h6¶’ƺ £õÿð’gG£‡I'¨M/uéE½è³FÛG.úYë¥'ßṿª†½•À; ¾1p¥a0œpÖö¯{ðLß {%€W@æCa„!WŒ®Ò›ÄÑOý c *ôÍr1@áØÔö_RûœÉÛqpfÂËL´tL¬ôR€ãl`íóþuÁ€ü¦tÈ^o$6hVßÓqü ø-ø„8.sf¯pé†>¯rF®qØ®Rà+Ü_W.0Yë€ Ät0R·™Î¿gj¿‰sø;Îå®éÄ×ø˜¿pH¿ÂÙ}ÁA¹Ž#ûGøGÄs"^¡@Ÿà ¯·õ‘¾ÄaùÍGÈ>|­Túp¾ˆ!X·ð4ÿT |ÉpåàŠÆ²~kÏÒg8‹Ë8K8ßÇG¸®‰ã<ÕûÄñNô]<ÍEüÝD4ËÏ(Ìy}¬×YÕÏýZ€ûYðã;bà6×ßÎÔ®OñÀÛ}¨<ý +Ÿûnâ-ÜÌÏqSýäãMâ8ûz{Ž†õSâx8Nk{ÜM)»(é3Hé4’z¹~=ÈëÇ1pÄp;ÀÍTÁþ¤_+Œ}XáŠ' Ž,ý?u§ô +Îæq¼@>zñS'ñuÏã/ŸÃ >£zŽÍ:=E;¦§N*Ò£nž<¨7ÈÌUí#Ëû`Ý :À.ðœ_ORòËìÜ ÔpÙùµ/±ç°öh=‰kë!G¨Ë!Ü^7îï ÊY¿ŠöñJ¨gýõz\›áhçßÚ×ÓDt†*½bn¨ÞÐ 6ëù^ Èþ489x,ÃØ‹®h2šG»ÊÕâØM>:p“í¸¾6üåNèv4²­¶Ç#Ô¥Y ¬¿E‰fƒŽUŸÖRÁÕ0®‚weõÀÏÿ ÀmªíàX åu’‹Ç8#» ‡ŽtâÏe‡£ÉöD®ŠítØŽ2­!ŽUÔeÿ/ç‰Z"\B.jÈäƒ(f§¤mVÃ]ÀÛþ£O{í jþÖÈ­7­\´ÈÌ~ìð¸©tûÈ þ\âχc“9Óà)„§ž2xªY»Å®C-­Z@öæ³³R\ Ú,F}óàö£œçŠ9Å(ô48ĵ×É5¼‹xèêƒWã:EÀiU5YJϨá¼.&Žjâ¨$Ž +‡çn1 öBxæÁSÎÿ¢Ú(fYjç›C(¨—êõÓi>'{·ogžçÊïáºÝG;kç}+ñl$n9¸Cà5Á¥…r²§xx’ÙK<¹ðŒ¢sG“ášÎ‰¹®x*‰jê]r¶ñí~"}ŽnwUL%oß NqÅOà*c}œëe;WÝ&âYC›¯%/‹×P8¼fòfc_1ì)žö” k.\ùp‡«®™pÍg•ªáÓÕt¸ÔÉSOñY:ïຎo'ÿž`ôúQ:9-xÛâ©w3Ó—…þQ‘zݯ>;\±p%°'/\™p ‡k4\5†hò‰r¹È#{#PN. +ÆiÊædgqeê—dñÏʺèYŒ‡³¥½Ã1@3¯åz©MaL$?óÉÉr2ìC¤&…X5>È¡1A.å%jTPªFgiDð «a!w+'t†²C‹”V¡Œ°:¥…7)5¼C)áO(Ùð²’ å1|!OÄwòDútÎ.®÷ÝX‚m )‘1—‘›PANŠ©O!õºÇç´†*ßdT^„U¹‡r .eGx”‘¦Œˆ¥GŽRZäyS•bœ­dS¹<¦¥J07*Þü¨Üæ¹Ì/)Ö|Q1–ëà{ÅZ|zþýxÈÆÏ- ‘k~ïkËæ’§™Ôf2µKmFØ•i1)Ý¥Ts´¼æ8%›“•dÉÇ2\ –±Š·(ÎZ(—µL±Ö%rF5(ÚÖ.‡í¨ì¶—e³]_‚[¼÷©¾}ØÃvÆ­–IŒœ¼Öãm3–ßlò4Lb ÉÇ ‹ RšÝ Í¢„(›â¢bä¶%Èeó*Ö–£[¾œö»mŸ%‡£TvGlŽõ²F·Ã²D¿(Sô™œ’Ùù/ÞûtþN¸Ûð³›±ˆëA¶ ›4Ÿ8î§6SÑÉ42’ÚdS›wˆâœFÅ8¬r:ŠŽvË,»3äÉ木¨˜é²ÆË»Hf×™\ÛéîR„»Wáî~Ü×ÀM>ãþdß{àÝÁ¸×< =‚ZìQ1•ð]!µ™2ŠYäQ›,j“LN\ñr¸,²¹ìŠrÅÊêNi²Ä —9~œLñSeL˜«È„*W*,q‹B=ì9¡ Ï +N¼¾UH¢O]pí†wûLúÂ,úXÆß•|VLl÷’£)Ôf9É#'äÄCNœÞPEyL²xl2{œ2yâeLJUDÒ0’Ç(-U3å¤Ui0Ÿôv½|:É®Lô1v™ +Í¥B+×tʵr‚)—ØD]᥊yÐÙ +ݸü~0)\ü*°á¢Ö¸É½¯Ã»{‰œXfm…Õ°Èÿ³Ù2É÷V¤á|ÒßµÈ=růËÄ”èT£±MÐTÆÛ©ª±jE¤Z­WíÁj©^v›q–¹q˜*ËBÕ˄ΆKZã[µo¹5Öc[­¥_ƒU°`¥8,õŠïGñÑ ¶ôbK‚^2Ù“:£±hhÓ5“i|1•/jöZ­VXA>üÒך–Ï_Ùìé,Nš7Ѧ _\Êõš[=_Ôi¼uš`xÔÑD@Öyyö°_Í2%Í´¤ÍxÁ>r‡ÖØ{Ô¹r“œ`jãf>Øè+¶T±e$9 `cöäðU:› I ~Š%‰‡‹Ù±ˆ/è¢/“ñh¼… _è°òs)q¾©qž…lî‡îÑ×â¾Úêµý´K·3[èj¶’ ›ù¾M¹ìL_ß>Ôüì7\;Ö²c­˜4²£Q“kTT×((kˆx¤[í«w +ôሕ¦æ¦Èå¸Kk4‘ëU»ø@ú®ò{.ÔJ£1Pâ•¢4à™ öÅ—qEÛÚ`ÜÆŽ­ü±U®nÕ\¶ÒÅɼE±ÙÌi›]lÓÁˆ'$à“Ó{.þyìÙˆǵ°ð1€Ja}ü€ ˆ ð¹!»ã÷…Ïš¿:mfG3m4‹I3]4óE35q^“÷'€Ç$ã;‚ði츎[Éuñ är@ +1³T÷ƒ<ȸѹm¸ µüa‘éÀ-ÿí߉MKvÇ€z«˜´(j-|ÑBL‡9÷ðÏ~×àô¢3ÿp.ÇQ3 xÔ­ê€GònÃ߶dÜÇàN¸;¹|Ü›ü}áï6Ü÷'Ѷ$œ3'ÙqRý<%&§4ãS|qJ~œDzRÍ>ù”çÞ‹•x/Ã9×ÅÒf ž|®Ê¸'y®Òj= kÍâٱ ]øý0¸’Õ!,£‹Ú£p~ †œ¥Ó³´qÎ0rNÝ<ÇçˆïüQððÙ—cž;ÖÞ¥¸Ë`|øº<˜\ø¾“äø^ò\e>´›ö¥Pë³ÆF<?WŠÃO£cq|”€x™¯ª£¯iøoÐç›räM‰ö_¼a«{ý “u| ©= Ø&RÿŠKâïæå¿š—Û6¤¿˜ÛßW$þdvÿƒ}ê÷öºßÙ*ß1?ÿšX߶¥½i¿{…#_â¬ú\ü–YŸÅ3Nmc}¾ §àË6ü>±Ó|d†ÿÀüþ¾)ü]“ú;¦ø_šì_³Ãü‚/±ã…ê9ûÔ³ +Å3¶±§%êOl»OIœ' ø•3d,‹ÓmB¹ñ²=âE;ÕÏbW8{¼;Ô +Ã,g_æì…Î^êìUÎþ*IltþB¸›t®‡l®î ¾xÀÛ÷Åý×Çá(´À¡¤ Ÿ$¹/¶‡³“gáÊÃÓÇ ç—:{¬³'Äivœ—6½l`÷ÛÄîµégÇÝvÌ;Åå˜Ýêö8hûCºžŒ›ãûÒûQú§Oõ)ØÍ s‰'éÀÓI©=Ò.ù \¹xºãèëü¡ÎÅþ±Îïì)îñç×9žó)#+œ¿ÖùyáJ×ø¹9š¼½Û‰»xs'5íÀ󠒡 Tªx>)í3ÉtSI½•‚/ WBé)t~ÿø¦-ívì·Ñí£kè´É~¹ÇÆ»›FvÑÈNZÝÁÛí™ÛâªØâM¬ÞÀsëEp]ü†z>óDk;áùdŠµ¥ßédI8š,yùb¿iŽ|ENàü­b”¨WÄVûåf{Šc–ÍrŽVÖ€co¬“5Û(¥É‡¨÷žX‚e1/âýÜ sÐSG j+ƒG’¥Yùv¿œ™øºáꎣ7Žb%8ÊpTÈŠ*J¨Á3ÏL¸JYß–ËÙ²œ_h9õæ +ukŠ<©¡q쨔+cåìv”Ç0•´ ×jk*®zç/jWÎPY2„ÂÑÄ@º8~ïRø¿¡5î3~Þ¦µnÌ0^iÛè³5Æ%Fõ9F€|2™fÆ¥eàÍÆ™ÀW€µ®~î5ˆÃñÆUƒùbµSåÚì'ƒúRYo9Z¤öRm U…ÂNÿˆÂuo‹Ýí€Öº¶û{={V 1ŽòI=ŸL3¦N ›±9)1ªkב–%©‰Ò© ¥ÅÀ”þQœ2$ú§²(µ"út½Ó¦GQÚÜ(L_=Ó7E÷Œæ(È8ù§#/ã|$2ÞŽD—#ÑUÏÀy‹Õl¿uàjãÎ6¿×EWØmçóÉlqšb}'6åt2"·s ÎîºæD¿ŒDôÉèE]zG¯.ÅQØuXôì::zdVEAfmäg]yYË#‘µ1r³›àHädŸŽìœó‘•ó|äïÖ8†ÿÐZ¨”•#èåþ_æóyì›)N“è¤RlF‹Í0±)N¤EQnfôÈÎîÙyQÝ3ò³ûF^ÎàHä”F·œ±‘›;9rrë"»ÛÒÈêöõÈLì‰.‰Ã‘‘8é‰Ç#-ñ*|èïÖ¸ßVÃkZ;'}ý^kŸ\Ê–9[CŒ¤Åëkä(±)›þ=;EaAzä%²påFn·‚ÈIAqü—ý2®ñÚâø¹Id"‘¸‘AB’(‰7Q\³ „! bŠ ©)D1‰éQ5 mQã«šÕؾš§˜ªUZ´EÍJI'÷Ïûn¼÷Ö[Ëëzß^k¯{“½ï9{ÿÏŸ³w)‡Ê¢¤c aë¨ÖeZ«2ÑÂRÛ[Xh‡ sí¡q’ý¬ö$z}&fûDöUŸY‹™¶šÀˆÈÔ[SÎFÏÙè8›0ñ…¯*á\ÎL8”µ¥´öÂV[FØ8¹k'OaUÖOX– Îu„¹s3¡qahuaq¥—u¥1v¥Ùteæu92_¸<ÓÉ;“}G2 ¦ÝKB»ñ½#ÿ‹ ¶&`T—q©&˜€I%ÆYwøªõ4vî6ÂÆÍNXº9 + 7gaæV^hÊa,¹ÝÓ½)C"ƒ¡Gú`C³îÁ‹äÁÌëA—àN îyb*ùcß4Zï̲IhW¾GóóV ‰LêgMb©g½XÞ…8|ÍDIQÂËNh<)OŠÇòz”DòDïF(½´73–Ï@tßi–½iN½i6½ˆÁ3OLfÿ1ì;¼ïCuIËÙ…ïQáÌ|ÄÒ{b _âð€?Zøj`&¬Þ±.v?._ŠÆ ×û¤Žþ€éÏ‚þñ(3–ÿ8”—ÑWÒ—Û>Tz.²Ø?ƒ½‡µ¥.­7ãi<Ÿ¿%ÿoD,!`R•8¼—\à‰)ÚÖÐó`.Ð .¯jÄÈ¥HB–@H‘1°%ÚíŽá@TèªXb¨’/&f:û¦.c¨K4®#1ðwsb©‹=˜8|ÁÄL´¤fÇÒ–œ§µxxC¹ÐCxìtœ‡ŽóÐÁ $2Nºæ(‹ê˜mt †5&j0T?ŒCpmG2Žaôè×EˆhË9K(ñU/0q&{–´ž@âAkõÁ¢XÔ =—ˆžóÐà =‡§ÇYÏ&zfͺ ·uÞêЭÕ>Hð¡VË^iPfPwÞ‰žÄЋº¤”ÂùŸž¸‚ˆ¯˜¸±Œ¸ØlxH9,4œÙ²…5 Á‹f`Ñ ,ÂxÃ808Æ!†qÐMÙ )]I†ÉÆ l„Þ"‡1Ó0BTIýà##P$CcbÑa«Ü’—2ÄS’c5#&šƒF¡Ä&ÚG;Τ-gÒ–‰‹Hê#’óˆ„D‘¼ ‹´¡>[3ØFÐ5¶b( ¿Aüb${¦¼išz¡Ñз9×&žl^}„p"F;b2!µ{asÃE‚‚­ +D&Žxâˆ#Ž8j$,byüb!p,dêLðY¼õÃ]Ù‘!1š‰¢C¾H£l‡p…õÊÏøŒÂñ¢Á„\q .»÷…°àçâU%NšLH„ö-Rø‘dch€’Ê +Ñ^ô¡û@äDˆ•À½3Hvägxíq™|òDêp–„*Ýжhc´æHêuå»}û§6¸ñŠ7jê«ÊŒ› +?R‰#•3Iõ3<†Ã ôP€ŠSÊ,§>Ÿ†ˆÅ Øž„Eé†q…„Ž‡™\·¨=ß-øR'6û†¦;Ûø9IA³Š”zɆÙœI6—|XdAî,@œÄW°æÖ¾+Y?†µ[ð»Ú“©C ÷iB”F-¦›ý™(¡ÓŠ †-A[(Äã÷¹¯üožq@jÆ †âÈ¡^s8“j$,A¨E$½hú~?‰XhÚŠ½ôìÈ>ØÇõ-æוaÏ0|É!äStÅ+ŸR)5ÚDÁ@*zm«ah’CãFø±nl¢V7q¹m‹Íp›nÂyÓQÑš+£{±ŸOš#Ok ¹ßRã>«ŒëÊ5yî]…€Nb‹ñs›0 ©;P¨^84~…îEš±JˆcÜÇi¡¶Âlª)ûÁŒ%dátÍU¶Ÿ[ÛPYúÕÊ‹#Œ¥Wq†¢ý€rF‡tÅGz]‹¢âî(9dj_8ôºª`¿Õî…]Äü¨à°­ìK‡J7HŠÓK‡wsÿÙþä“Ø—1TTÖ..Œ(r°Û®”ÅÝõéѵ}­4fŽ>¦z±‹{–ÏŸ·à£µ»LÝAª¨òïÊÏ»sÆŒzfÊzkfL°½(¿Ö„ýÔäCõ ~ h?›j¤}«CJö§3ëÕEÐ%‡/c_TV¹ÙJÓ*½p°ÎT°_ëú²xEêì×y\Íùð_’^HÙÚ¨;WiˆÙÉÅ Y¡A›"ÝÒ­[öˆl£!¤I²vå–^ÙŠRª9­$ÊV¦d2öåþnqÕy>ÏÏ=/÷™—ë<öy÷;ßsÎóýžç+N˜Èw $— ÓŸ°ümWy0¦’ù\°*c »†<0gלˆuNä@§Bö„‡É!“ˆÉ ‰·ñ¯+‘‹G[Yš›uï?Rê|÷^î—Èrr¯ÝýH®¬/¯å‘žíëªüŸÝí,´~$¾åÒKý]×Kn±`ÅÀÿôlëï¥ò‡Á=ê»Zò5ö[7ì‹q|g‰Unr§¯Ä"~²F§8ð—ß›-cYþ¸ÙÞ Ä‘\à³–-K1¿EsÁÁ÷Ø)–`[ƒ©‘ŠË>©ºzy·H–•™‘™]PúF(볫Êܤ;ËdqÁóF™µV –Lk-²ÒzßPß”JäùK{×wÜWÇy~Ç×P®'C8Ød,ß´.ü†“®õ Á:ÚöÝJô£@7 òdØzqFÙ@ˆˆ` €Và"°¤ 6X˜ €#‚Ÿð¥#Î ¤Ž Z¼ÈÃÍÅÙe¾WÅ”õùÕíÔƒáþN~ÒaÉ~¿qfuÝÐ#E".Ýlßйy.ó’?XÏŠÕ µä;®ïmÛ HK¶=‡`(tu4äG!g‡ÔnÞð×_˜à:‚±^!ˆïB@——zhCÌLZ8ÄlÐ$@®ò +®²+è @ ‚eŠ°!¦Oܶ €Ax âCŒ8ÎÊÖ~â·ŽNs]=¼/‘˜I•õûÖ£ü3Ñ¡¶M*{q6lî°jï¾K~!}9Y¯áËÖÅm!ÞóÐ!í€Û_œ7òHlêÞ°ˆ(­®Qpp†‚j¢`Ö0G°ÀXs,Dà`+‚¡ØÔÀ/xŠGÐF°@ó§ÒšSШ +sÐ=ét¢®##URuMÝTÄü„¤ó—³ò‹Ëî?|òšÇÊúôzq+[:¨º³ÖsâÀê‚åMžnþÖ´áÛÚËòú›ä‚¹3´‹å3šoƒ\P…¡7.‚B ¬<¤L 0 +wzB¨ýFÁ1¶Ê2 +òȆ×èˆ ÀDG­r€ <ƒ6§Xà`3 +üˆž83ð|6 Ô~!Æ]{=i¶»ï’5á»$€²>¡*²OE®t³OˆªŽ¯œ1À°Ñûoâï,Nõ4•ÿªðGO\Ùž~ÙêpcÝŒ)ì àGàRÂÀ0X»èÏQAÁl²(fà4ˆ¦ ƒM<ÒAoc0n¦`—¹¿á"ú§0E°€>‚§“)hŒ@<×¹L"]ÉNÒÚJY¨·ùs·Á®«r ,¨'ùé’%‹ßìçhݹv +ÍÓÔ ݆/¢UÄ)ã öÐ|›íáJËæn¡×P‡¡W›‰ +Øx ì£À—•°k’àFÁEà‚Q®…`0|”=t€[“ 1x}Ôf«pÓÁc3Ü°A&€ŠÑ਎pJñù  ~ ˆò7%µ1ˆû¦¸ú,Û°ëPRN¹Dª,^ïHýU0u€©±Žf>Š‡èÏ7웻ñ]zQàÏZV «¸ÊÁqúˆ6 S𠎬d`Ç0  XA#ø º°G¼V´Jè[ò(ØÉÀ9 +RÔ¡àWvHýoÃ^0¢x¸3À bx +p`+gQ€£(^±£‚1£ö¦¤¡×±ÇûÙ>¡±—9¨½Ú–ã騬ÿ¡*ó®^¾œxú§ø#qb ,Y2aHwcýVMëOüb’/f=-ÄÈ/} Öô‚-ö ´£`=·œç€¾}’ék஄)˜ÏÀ +Âð§à4ÎÜì€`"þ¶ Cø!À)çp3:ÊmðÄuŠ@ÁXoQÄv£ ¸£þÀn¢gn3»”ñÀŸåw:sõö+*ë÷ªòŒÓq‘ÛÂBV- ü›—‡ë¼M$Nre®O{®}v“ ¨R`ÍÅ Â8 3J°yM˜OÁ4ì)XÍŒZGøyºšQðj{âDM {ÙÏ_Ï€ÑøøY ‚ÂöžÌ¸ÇÓºª|)ýweýŸUefÂᨈðÐÕËë.Aó]]éãdci¬§I~\w°„í<Á—€‹8ðº/–t•î èP°¿gÛ+÷ùe >*7E`S# Á Œñ3\ƒG„ëHpχHâŽn aKS[çÕK 5Úuîk;Åmɶc™Õ×VùÞƒ iEÞHDÊúòêíÓ_+ïß¾U\”'û9GVXJ¬Ýë¹9MŸ<~̨áƒô±´èÖƒ™I+Õÿ¾qøÖ¤ij‹L9%ý¸Añ©„0pŒ‚cF"((…`¢77QØÈÅ#¦'q»Üù h[:à-H,õn#*Z_YXOõ +=V…yí!´pÁ’°Ýñ‹ñLYÊú´zY*K;—x2þð˜}{"wîü7ûeÔrÇñ„"Iwž:G”Sy¼\šV[´<¬6'RÜÜÕ¹n%Ü:溬'5Ém¥¤ÖDtbè¸Z·¦òºL\·&$ +³–ܾŸï~vç¿×Ÿ{¿¶}ß»Ïç÷ùœ<%E…„&Ýg™§‡›ËÔIN£†¡DHÁJÓê"ÔNÆ„dDè]ƒ Qè!öcØ@ +°d *¨°>7 º8P°W% \ò² h¢`3‚^l:®9º3â Ðc<…ÍxWo67µü!ÌõúÊʺî¡Ìó·[ñЈZÙÒI‘ü4Ÿz{z?cŸvTäg‹„‚C |Þ®ø¸˜Xî.þqDh\â1ÓyâXǶC>¨M|;…“egA¸ ¨ð:Ü‚ 8XJæ[7XôIÐ|0|ÿ—ú›¤N‹7€¿ áõw[{çEkvËàŠcàJȬÅþìÈýi%J-žöQ–#¿u¯Ý|FBòËéѵµ<~ÐÔ V©Tõwž|“„ä_¥JvV’—{J,ÎÍ“ɯ)›ál/`¯ ¤ûùR|Vx¯ð¡®fmß „œ96 þpL1c½ÐÆ3Y0†Ïßœ,‡§/ÿ–™N‹ÎPà[@õ¶Q†t´+eK¢TÙeæ9Û-pó +âÈ®|BpWãù©×$ˆ ¨ï¿%IHþOÞ¿y­Óé:ß~0?_(g¦‰D¢ô,ñ™¢rE}K¾cШ+)ÊJ_*=ˆÍI,€?!ñ4Ðl=Ã…—[ P½Õ®¿=¸Äž¼ [˜Í÷þö ©}gö˜… OZO$oê!xLõá=é¥5ØdbBCö) ÉO¡Õ´¶>ñRÛIðrþ\šš’r"#;¯@V©2[ƒÙk©þ«‚˜›cç›Ìlz½Œi5a#*¹XÝmþ?”;¼6ñ2.Þ#œ:ĉÖ™Li­Ã’¿«ßÌðü_8L+ endstream endobj 7 0 obj <> endobj 16 0 obj <> endobj 17 0 obj <>stream +%!PS-Adobe-3.0 %%Creator: Adobe Illustrator(R) 24.0 %%AI8_CreatorVersion: 24.0.1 %%For: (Garrett Fellers) () %%Title: (RAM2E Label.ai) %%CreationDate: 2/18/20 2:13 PM %%Canvassize: 16383 %%BoundingBox: 2 -69 69 -4 %%HiResBoundingBox: 2.7548828125 -68.7060546875 68.0314956665043 -4.3984375 %%DocumentProcessColors: Black %AI5_FileFormat 14.0 %AI12_BuildNumber: 341 %AI3_ColorUsage: Color %AI7_ImageSettings: 0 %%CMYKProcessColor: 1 1 1 1 ([Registration]) %AI3_Cropmarks: 0 -70.8661417323001 70.8661417323001 0 %AI3_TemplateBox: 35.5 -35.5 35.5 -35.5 %AI3_TileBox: -252.56692913385 -391.433070866151 323.433070866151 342.56692913385 %AI3_DocumentPreview: None %AI5_ArtSize: 14400 14400 %AI5_RulerUnits: 1 %AI9_ColorModel: 2 %AI5_ArtFlags: 0 0 0 1 0 0 1 0 0 %AI5_TargetResolution: 800 %AI5_NumLayers: 1 %AI9_OpenToView: -41 3 8.27068089956684 1268 703 18 0 0 6 43 0 1 0 0 1 0 1 1 0 1 %AI5_OpenViewLayers: 7 %%PageOrigin:0 0 %AI7_GridSettings: 14.173228263855 5 14.173228263855 5 1 0 0.800000011920929 0.800000011920929 0.800000011920929 0.899999976158142 0.899999976158142 0.899999976158142 %AI9_Flatten: 1 %AI12_CMSettings: 00.MS %%EndComments endstream endobj 18 0 obj <>stream +%AI24_ZStandard_Data(µ/ýXDúîp…Ì /° JˆmpÛˆ—Hð©ªEÿâÕm÷ëR1ºED¤´$éÅœ¿ý ?Ïþƒsµ + +-BDWÙKÒ%—d4ö´]A2Q¢‹yåòcrݬUÌ(©êL"]rö|½Eõ¼|b¡êÕ~Ù+-2º>XJí˜Ê^GWŒ(I4 U1ºÄÒ +É>ã)I”P–Žžš,ÙK¬Þª}ÒT¨(éÑܤ{ÅB½Ö¯ûŽŠ‹×Ô“íÙÁ‚ƒdIåYÕÇXÌM|*:ôYZ)$íŽSVžM~³Ú®¬YkÝɶrnB9/4e±ùŠÝäç)BçÜ”³KüÖ]/¯Ð“5eì’»[‹eÖ9X@IÃ&hr:_¾U}÷¡¾X6)ÏÐ盂uIºäºûž…Üû2•UÒMŒ•”hÃW9: ˆÊ +, p°€  "H@hàÁà +$ †¤ P<@4TP,, (@‰ƒDBâ`i€ $c +$ H¦ ’&H&A€$LBa‘˜ƒ¤‚ƒä±à€É8X@ +ëfs+NqV‚yÖ9S¾¥~I¦‹ÛÄ ó„¿¼+hM "& H$(‚ ‚4  àQÜõY¬Ê  T.ç©ÊP‚tSšW,VIÛ–b‚Éañ§ô®MëÊ$7ºA Ò€4ÆÈ.È‚q7 Äë¢ÁHš9”ã¦I‡1"xÈ8Ò4dca4R©¨LVÖ·Ùmµ¢¯þ¨äh,¬—V"ÄVĉÂ@Јräàhh0ŒT!è€<‹ ŒÅJ5˪)•3‡S&1›§×ž]«²Ï«þ»Kq44N4Ba!âh` NïAEJeWäz˜ž),C¾Î|–æàýN/„9—…W'Z«ø™ycsç+ƒTÀ·ÀE.¼ø¢Ñh4 GááИ†6t‘7 F™ÀaGCa,n$™)2Ó¡Ajlnpr<ŸÅ0¦1 ㇙¶ÔªõŠÍºï¢Œ6ÚPG:T"*2:BJ2„0¨A ä šjQUY]ae¹^‹bT£ÊQ5V#+3;CK³ÝÆ°†5˜Ãl®G‡ÔÙÝáåù~‹c\ãÎq7X$,4›«A&¤b×`G:Ðqs å Gãphp4444 ‹†7¸!°á¬¡¨¡ ÓàÐp4Æ¢ñ†ÙÀÆ5¬Q j´1 †ƒÑÀh0 d¤‚7È—L‚DÀ‰ˆˆÇ’<"H0Á`¥ä¡"âÑÀà7éÀÅ„  ù& ¢¼S©Ê±H5ÆûØ_&›|¬-¾®Îâçu…ªœÕ}™ëLñv=J—ðWc¹µÐΊéDٜĕH7*-ûoW¿ðÖA|{+VþaáÎ¥¬Å"ÞîÎÖ›]æífuñ›¶±Š_º—%|“Ÿm½ÓÛÛÝíÜ‚ÙO–Ã&ÅŸÕË]mÊ­Ó£ßѦJ± áϗŲ֜ºb–sÏnY/—õ_ žšSÌjW–„·§•´RñCg¥®äÛ÷v²¶èiÂÙ Z¼k[¦l­_½¤bžod¢!ÃÏM–Zç6†·¶ +Y{/<á±5)2ñ&z©ºk.ñx…ÅddJÄ·+Þ…/¯k3W’šˆ­æÔÍn‰7•¡Ï±iß4Kî +Û${dOÛNwfFùqŽù‡é2[±íä²÷õ­Ò‰—eæ’âUžÐ?B³I;Ë…JˆŸ42t©æ÷’5}—¿›Rå‘ÓU¿Ü–¯[«1=]£K¦%8“Yùi¹Ôø0ónÊ +ÞƬÜ<¿”®ÎËÙ|rÏ+»µ5½ µIÑÔš’düûsåb{žkpÒ>µPïÊ[ç_•ÑÕ·”î¦iitö']u,Ó«;–KGˆØ£©æ%{gÌ£«çêsÒíaÑ5ÝÊ+ž£RÚ웳3KSÒ”¼ùÒFW¥7£{Êš³B]ùT-¦³É1CY¬»Ó|pîî¦*4¶SjW-Bs¹ºû§Ð~_j÷º´g£]!¼CßÑù'ž+ïn¦ïwöÉj|Å«=óΆw™<̦ïŸryÆÓ¹Ž¦opÐY^ƒù<·C…ðð…>ÿ.ïpêâùpªï./ñl¯Ä£ÛE{šíœe·*ƒg„çBœr¼ëóŒO,„g-mS—´ë/³…&´Ý¹]ù°]#³s°€dð©zÐ8ù2wGÛüòq,ÏsgÅðª²êw5<ú®WÊÎŽ:ˆF»ÊÔYùÒWÞ+DßP¡^ÓˆŸò¹ÂNÚ §P/ó¥I—U–ê¡}GY°Wµ›¦¯eezfCärs7sÙtbbɹ3"«çlT°WD·jÊÔ‘Q–Ðy_9»gfí°—>{ªåwTÆÓKÚá NÙM¶^Ýåèî~SèqN!;“gÐTt©«{6Ë»bÏWnIû”Cçó™áœ‘ˆJWx“TSw”W"<^1ÏæIg3e!7]wîÍ +%ös6ß{ÎZ•ôM͹ŒWèg;K:Î ù0o®¿iÞL6/ö³]9²³•«¤íÒ|/c‰þÍEr©&xˆH7­Œ%G­$]s3SÚK•«Á³ u²¿b¾YCº’—Ô<7•)&YSe2©£áÍn¶Ü¯žà¸äL>®âL mö”ÊIÖJYBô²³Ò>ë^•æoQ–Y‚h¬¶P%GÅ7e,±NõïÙ-UIÑ4]NC+®³W‚~]- UbTCcF»+T§ÄЭˇã2f2Sb´ke*¬´KR‚‰“S6´–IL|VŠ’²Ñ¶’C¥vM×.GÉ=&Ó²èd¾\bbq%×$OÙˆIQ1›3eJLN¥âJ¨ +‘²`%õß&§Oç)d ¢MžLվ͒–¸U×ò\º ÖÍKZrs’MbµØ’Bÿže,!_9!~\zÉËl2Wí¥„ 4ªÙ^VLGUn‰=Ó^•ÇÒKšGÏ2M^©arh-¾¤v¥ÌÎ +aR½_V!Ãäj….)|¢Ë>_qaRv,tÉ ËÈŠÐjJ1©ocæ1•Õ/v fšk¶Ù$LjLå‡î”cἌ“*sùÊX^˜4“<+g–;9<[µXk漧d1‹^&±eulÍ„Y=¬!]‹Ìto1ÂÄ¿,ˆ V‹ÌŒÅ¤ˆ\Ê ™§YÅoÈ*ŸV*7Mè•wæ“?«Ð“+WL’0 :qâ™óÈŠΫ”¼<|9­Y¬0)Ê)vr}¯f<«’ù$³·2OÖ•7ï¢ü4AlÂ$ѪØ2‘Ó\Š0AÃ,ó¤J„X¶©,h5cBCo–&EËRNúD¼šÃ{ò#<žV_y$¤RÓ¤jׯ01ߥž”.ÇÊFuÁ—ˤgö•kË çV~IûÉ*&_Ê’»,or‰VÄÿ“õ’ ¼÷Ð2-O¥¨ƒ$#iRgV¬.Aß•Az%mLRË£ßÝRl2 kS¦AÁÕFbU>oªÒç^é\‚%L³RÖ„îh2íz¬º ]¨0-É©¥á‰òÊ«‰yfž>˧%u¬+4k’•SN㛥—”˜¾Ê—´%¶â¾3TlMüɤO³üšQžÆN2—Jk±kBe[í£%”ø,Þ’¨ôšX!Ýi;RyZâ,Ñà]´’פùŽÓås!Ûm4x³«’7¦LÌÖeb¦M¥b¶Äm÷æaq6)ú¥ëkéí¿±f½œvf•Ú£2²»“Zz6—ö»%5>ò½®¨6¡A¼KSó +ÝŒh¿wÎÊ`“§ë•Fê”ß’t‘òŽÎ–c‘-}Ö+w»'6KÝ„\xJ¥ MÖ‰ +^š&§ô–`æ¥Qé앦ô‹o‰±Îìn;•qÃ<ÒKÕ/1Kb“JçQ¾’fðÕ¢âkRO¥}—Åæ›Ù‹?2,sMÐÞ´9_p즅7Ú â‰Yb•Ÿ-FÙ¦‡îås‰Ù =‹^°”àTöÙ÷¯9´ca––cÞ +euöJ°Š÷9gªø.¡ËêívUéPB—ÅŠÒsǦ{òÏð<5TŒ—œÑÆvÂÃrC bÞñ\TT/É{~öy[¬”äÕW|PÙ_öúXJ”˜ˆªè¹{±]b<<²[]¥=Yè̹ò:«½ƒXus‡T®«zýÆZÆ(©I¤Cç/)»rEÉ[çCÛ;¥ö¡‰æeÆe²×%$J^¯;4©P]ru« Ø–ž>*Ôª›ç[²…SªR[S"OQ Çž¹Á¾®U¨ŠnèRŽáЯŠòYúTÅD$Txð`Á`@D€ ¨¸€!‰ ª ˆŒòŽTä[Y–IgÕç¿ÉÅêÖ¥5HùKÚúáXûC?kjg½<ÖÞ%½ùUÕµ§/Ëï¨JâfY•UŽ¯ìŽk®*¯®Ži—»¤L²ú¶ ÖUÅÓ²Wxó»Â«“3‹©l•wT®ªM–Bš“[ÇÂ$«z!])$»rxÛêt—•_&¯Z§W–&ÍËCÓ:–a¶î^Ýù,xnôΪ¬Wfµ>cVÈz3²Z[åu2¬á[Ù» +-³ÊŠvG…g}Z³„·¢w6yYãUñéÞYþ®¿Á;ef1¬MÖ}ÆV,ëØ“Æ©Úy"¶Êj‰ïüÅ4…XuvÓòjÝYÁ¢ªÍøðêÐÕšÐp¬²¾Ö·T†µ¢µTX|¯ÔºxM£j]íj§²4 æÕõöððwÅ ûbgî~ŸRË`m°àõ0³S«[úâóYz—²), ~U2o²ÎrV'9©JZ]V"|­5Ëz‘_Ðèõá]3v»«’j/•)j•ÝˆªÌ>D·³+9X@&p?zN‡õ9X@2ð‘ÆȆ(Ÿs¬r#t íR$#+2×{D5úM"¡ýŒ²P)ƒÆÇç`™ÀŒ¹Ô, ´Å®U(=V¥ZÔÒ>¯ä •—]±ßÔPN[ÙŠ™o+‡ç¹+–hù’9ÅеbIH¥™äcAlËÅê¥X²ÊœM­`“èVê«i™[]••5íïzR¹ÛÑ”zñÍÉ_{wÿ§ðøÄRª ­nš=ô\©¦h4*>ÍU®<½ExOÞ¯\oòªîÍ–ÈìŒGýC¥¥ågúÉ9ž±UdÇ–Nb¹Åw°€d`XUlÚö²h2ÛRö~Ö°ÉÊaâä³TQùaMiU!´,G¤Û‹ŸnçGÕ,ßiù6WšÏ²rÏê•K2fÒÍefvJü‰ìÆjts"J<ÕÁÒ(9?ËQ+- ï;3k‹^ΊǪåX¡Yx†…vLß³&¼]ÓòV[Î U æõiDÑubˆ¥°æL#¼­·ó¬xºV'É}™Ý„yy„˜Ø±›â¤•µv½÷÷j5uçÚ›öÕ•Ý7WŠkEVʪ½(Ó¥ŠÊ8d'¢*'ÂñaÙ‹(‘æd·w +µÆFöêÍSY›¢:²’D­a™}ˆ>MûÞu,ëN.]³r,KÉ.^ÎÙëôÑÜkÆÉÊnz}é‹t™Í±ñni,“E“M½=YaËÒ˜;Þ'{43v'—lvw, ú³Þ=³“÷4¾ûº>¯dWGVi,ffÍ6º×Z6®ë2[g·½ö]j¨N–ó[œ£}JvMÛó3¥†fìÐ>%,-ÙKŸš¬õ²½ ýR‘‰ˆ>¯ÏJDF—æÒ½ª4åDýž]iŒ–öJC§ÙðŠKIT8W{‹ŒÈî!ûÊÁ"áDôº—{§c©œ4s•e•¾(kâkŽeª¾Ù/¹™L¤ºáoŽwÚªš)Û`Ýn票6çO…•X—WNÑŠlóõ’¬«™—OE¼ÏÚ›ó×ã'sŠÖŸ¦P™‹hΩ•, ÀÒçl+§çY•šÎg7X†ur±6H:37Òݬ-‰Sxwc„ø,D½k\VùפËÜ3¹òÜzu.UI1çèß5HŒõgf§ÅÔ ¡2 ^Ìx×àÇ¢FRYÓZâ\f•g¨†3/u¯ýDIÖD¾â%ƦÑ«\è~ReÖ3Ñ +õ¦¯goJÇ*φ{]æ}II5»â™ZW/¡±éeeË»¼s°¨”Qò©Gƒ-d”θö¾~–7óeՌˊ¯i-—7f½šÚ‡j¥Š+;¬óyªÊìj7|5ßì9_Яßò"»{²ÏݯÎÏÝ—Y…rŽy5h¯YÙÓìRDINólg,…—`O6-£=ø2&áìCÓÐà©™º)jI;ß0i\/¡aY©je 0Ë*ý«TìYœÊãÌ”e'Iº+úåŽ?"ËÒšä°Y•¯ÇÊßsóYd¥­*¢üX_>Éë¨(Ÿ·+ŸÃæín¡&Ô"5Kõ¬•“¯ŸËÕ—DÍÛÝ¥jSw74÷ÝYºõæÕùyuvž•Ïîò¬Î‡yi:ß¼ +ù>¦¡ó e9_ùÊt…|½ãéÈ®—îÜ|öÐ.é¼+Ÿ}÷Ó…Ðh +wsWYHÛìc1k|Û/¹ÊÂ×Ѭ&i&¬,¿çÐÕÄVßa–Ö5oIY®šáYš³Ìx*ô„dä&¦«¥Ù7SVÅÄ •Œ†ÓzòÒòIÆ£&ÎV¡ÙƒÉ›‰®±˜‡Ž¥&fs£VNÓø¢&ˆg˜™Ÿ¤šnðŠ ÉÁ‚AµdûÒüK=x0ö‚…¥êðŠéz™…næòXÚ\V|óTºü*4thjÛ+Ÿ»]Ä*׳ût• O–E$³–!Ó¯”¤{¿9 O93h¯>H+×͘¥¹|gÈÌv{Ú5íJ~9WÛ‡¬Ù9ÞΰUQë.¯cB‰TyÎ{I‡’<ø—2ÍÍœœdLLŒ¥O¾pZ®MŽš˜ÜÉ4ù´Â’m‚ø[Ë)ÁsˆT6{B &pæW¢‡|Ï›:9ßz"Ùx:ó?Ör"Ößæy¬VðxŸN¯x²½"Û„ÅÇ#çeËKõ1ñWž–Uù‡”믻.KMË~Dh*Ôžæ¶_":Óà[Õ»ÌZUÉ7!Ë:ífx‡,ée/‘™{vlaÚ‡†zŸbK½9iI ™XÃkÒ¯„8Ÿ»¯ªØ›ˆÕ9Ï ‹:wør¬³«o>'ÊJÎeCO¼b¬,YÙ…°ŽÒ6e8öœî›ºÓ;Ë®èrlrú™þÊuf=³º‰Ìð,ó¶±ÛϦ5]ì¶å?#{°d®»­vz‘!v¨Ÿ²žÌuž»,++‹‰í§¬„Iu%Ë°7ycÙ˜¹fƒw¾-í©ulí9#4¦õ*­÷u°œŒ®7ö^#{Ù]«Ù][Žì°¨èF2^b’çTÖª²÷Γ§:ZNý¥w6¨AûIÔ¼[UÓ…Ô¼l–³ %…—ts²šV!›Ó½£c5@¬´íWr6Hp¬g¦Ý–ówYMé>LåÉIù1«úUZ)¤Ûÿ«::aíêdD&J«×O庺£#5±nDWæÈYó¬ŸÑ³VWGØ+³úLhTGúés—A2WQ=‘>—GEk5©ÉÈ®¦^t{–½…’LÏ:ýI—%‘z“k¿k57™ú2]æ5ɦŸpúºº$$<ìÝÜNˆxWßÕF5fÝá_ØIËêkU—*1]šÌZYÕœfë^g}UfeÖ™Dh§2ê_yäzéŲüáÜÈX¯ “ÙM§’3Þ¤"×Y}|öHžœÄJŠ^¤ƒC¯J*³aéd×2­Ò«hÎf®%×Ø‘¨†®U¥{›Êög«Ú|Ên¬e‰G-?‡^­äw9E·Í \i¢œÊ¤¿èÅœBS­þT~gçHGeŒé:Û<©ðü¬×ýglaµŽÊó¿É­(M¥êìä‘' šè^…żªróLêCóæKÞêˆÐztRÖ4‡füŸNm;V¶!ªñiL›Éª;Q3Ñ–¶'3qþœúµ^*)Éúí®Œžúj™”`^e¦ËF§ñ¦mŠ*©LÏk–2%d.]úæ”gfÙ’¤´Ñéi/ MÉáçVvLLçtˆÍjª”Ç«›+‡²L±Ê ;tGŸSBseÒ(!ÛЬ™¶ïSt²,{pü,æØ®ôkC¿¬]1iœ×¬Ñ.[ËÏÆ5˜dªÙ˜‰Ii"³‡NcJìÆi6ž]bMýNIþìž=íyxn–š§$fý¯›BfWâ,¢)‡ï˯½Èï1²§9fˆx"ÊD¬ß•WÅ÷Çœ™ÛìÊ}æh7…ž‰§¹ùæ6Ç÷§Ììø¦æeSVÞœòlÊ›Wz:Õ™×­ÆŠ}úXu™ƒDBÌ.×qùªìªÊ̸jŠ’0iŽ­ÎRjYm!Ó9Ký™ÔˆôqeK:‹åVûÞÆOl™JþÊc:̪µ 3KÏéõ6›žñX½º1ë‹„v]©Žè>Æcù•½Nâ§ÇªÕà?f9gS5«Ä¨Ïy«ÒЉvžè°Ü•ƒ¤QÆÁ’«Ô˜:}1=Tl±ªá˜Uûj%u‡E¬Â™žì[áá½\U=…•„éVå¼H®¬oN>º¹¥õrûÛr+¤Ÿµ2©ÆŽµ×,ÃÚåûlQIºg°+Kv–ö¯…²¬†d-NÓðÆ›ÊÊq]ɺ±,Ofý¿ËÝå.Ä«©ë4/WÎÓ4æ"ÌSM²ÌXst¥Êæw”iíd :3yâ´U½Kfä—:3ñË÷‚=µNG‰É©öœÒÕÓ*¦´ ¢q~D9T-ãÌ„¬jŒ>%á”N—EeG“d“7MÌOÙ‰Êݱ:“Óº2,"«"}úó¯Ð•Mç­­¨žFE«|• Y5ËYeŽeZyÕ•W{¼ßëV¹V½4­Â~¨nX…Ê&ÖбDåZÖÓ¦Jo«Ÿ–™{*Ã+³ºªÜ£ZµW7ÌÌüàõ÷›bUeíçÊE9­«ÃÁ²º¬Ï)+‹¦W4—ž»¥R¬ïœ'Vá¼\ç¼ÄùùSŽ…7ã¼®YXç¼y}ÎzöòæSO©Ì,œBtygïÊù¦±ë_å^5*þùjÓ÷ŒÍ|ª/öª–¦®ôEš#õʹ:OW•Æe.ëPÍËÕʪ+» +_Yßô‡ÐL?rK=(tŽ§B§4ÑÍÓéËqyúúÒŽnì{¡|`—/?£RhHO¬=”UŒV~ÖæXuU»šºŠl>Ï)o̢ؔbYc›Ók$´¼aYa¦³\ÅlØÝ4++E³;Ã2³ñ,Ë¡½gN[ZKmEO>mÊLËÊ+!Zž õöÛ~&ÑdæíÚÙ6t¥ÎÎȪỄ¦‚wd·ÈÅÐÎì,»àY¡aÎ!Ù†FC‰V·¬ö)F»N9ÚØ•6µÓO«Ý´ÄƬB³"³}fvªø,ë;+ƒ6¶³${蛑Þ”›!ºØ,)ãYÎ=g-:ÚiFtiŸ=‹ËÆhî*[±²c]1³JËL»eµï+;º²=x/5»¹ìv–ý|÷¶{ÓÍÇìY3²Úm…W^C£o“Q&Uo´‘Õ¦YúzÞÞS›ÓÝ]5%ø_O94éÓï¤_¡±¼ÓÒXçÞˆ7²‹×RµÖz¾«•¥c¶ÝLÝ\ßãšRcÉ‘a½ Y–ÙywvåYÍ켡•;3uÐÎ,•žu ‘î«»™¹Õ»g¨åjWIÅcY7‘]_ª ï—¶™õΙ{ˆZ®ìó3RÉR}×XÁ±äîË»1 ÕŒg—’¡ÕXÇüÂV¹ïæÆs}se"¥_fÌ÷¤Q1ÍwÇfêe¢uæåBìïzÍ‹Žå\yÝîФ«èÍÏû6˜Es<Ž±. Ç&sî©!ÃùQY™,×ÜeeΫ…s¬©b¬“íËÝE·I*J²M#ºå]pŠÈˆrŠ(§¨\2ú©ËJŸûË´èÎ’èÖºÜTáôŠ§ÐJÏÚeZå÷Óª’­´4–R唩ô›êݯ¼é{|%º•hOöcuës*Ïú{µ¸ÊÌ­­œ·Vab_ž–)ʾX,Ie÷Ã,Õ{«êgJYå¼þ*†Õ¦Ûö*©â õµ½œ•Ïªï岺{âQI«ÄHþàÕ‘õ8Æ:N_ª+ue½w¯ªYS‘«zœz!«´é¯¯bWóÚ¬V]Õõgn¹ª|Zbq ëdE7›–š2jñi,Ïè§mŒ¦ð•'zs^lê² •QaoEvçêM3¥VÕR_¿KZÑõÙÂrU¥BT}•îÉ–¶Z~Y]åékt¡+´r«:‰ª¥¬ïjUU¾¿ÜÊ*±nZ…ó±³LÖ§uJ,B:ý¬SÆI¿'ËŸ#}’ªÜ¥ß –ûüŠž‡Vh +é=Ñ+ëBN£ •Ër<ŸªÚ_u»W)Ëä!‘Õï®2HXèŒhu²bñÕíZ9t[Ÿªʺ$·Žee­zÕÔC«‹ïŸ,¨´°H£Š8„Š!„“"aó€ $ ¤’ùl)?~d8L4  +ű¹q”„Aeš™@(ΈÛèÐ{£gŸ¦—§ûkĨ'~SÐalúU„?q;if£:ñ‰|Rï‰çÃä1.Z"@9ñ…›ÆØU çñ’Ê=Íh#Ñã ’6~|2ÏfÇk„/½ûxâYvjM|×ã)²kAÇ|’>?p<×Ñ—†¹øxíPèÇ3I˜Ð*¤Üùñ6 ÈÇæMÌÒàóÁñ fSÈyB¡³úÆ߯,7¬n˜Úo¼DÛÍzÁ; ŽàqÝ­ñN~®Dˆ—°ípWÏ\O²Ó¿píPf/NfÎÄ›é,]úÄû•©¢¿xè6ˆàp) òyˆÏñ[S›w.¬Õ¤4Š;möãv/jŽº¹¸s-Ò;Ôýúè]pù¢ñè]_CxÈzwÐñÈÕVÅñ=Nä¡Û‡èaÈW‰@BY9M½@V” SØèYäQ~Ê&~1«Ìh$ÿëŠÕQ1›2Z¾Cú(Š)$"ŽH–ºܲ–kB•h‰á®%çU©©˜: oBNîS¢¡AjsU‚±ª¼h Ö uÎW }=e¡v“Ú¾ógx=t<ª÷ßtI‚LÚoÔX-Ô>‚’*Ì ?º*ù¥È +…l8âé +ò*òÑHÊBžÖÚI:DzlH°H*V ëZˆ´fÎ"ˆ?vç¡À +﹑ B“`ùWs±L0¹ð¸tRÝÓ—áN…7 í-îŠÙ`¨;CFÛB!AËíÝŒQqî]—‘bˆMayŒI+z«¡|ŒúPqÌ êO㙲‚kêjH•jv/ïøôÛ£Õ+é Ž%¡¨ÒHœ¦Ãø’2§þ'ןrÑ8Þ^–c}R|á‹ü¤þlÙëÈìû°à›cÑÞðôÁüX¡„þÌï—›Õß YЄ‹™¹õ±OV×…<›¯ÑÐRï7ÙxÝü½YeLè=÷näþªs°œxί+Ô™SÅÆsd£){ d +ÍnÃp‡1uÙ(ȬâÇ*ÄÕÖ¡?"ˆÇì g2šû\8^®m§b‡OÄñ]øáÞÖƹ­J~?ßtmU„ó<‘×}LšÔKþç L0¾“¦;TB¦v±ˆI׼\TéÇßKíjH°ŒC²bh×zµh¥sB§¥Ùºû!Ù ‰Œá(‘¥§¶T¡ÈÞúà€i+ó{7­Îô Âd°L‹n/öbÉNa<ȆLÕ¶®ÐEƒËJPFo^&TÉ»9žÅâFv²ƒü· ÿôzI@þ`VnŸhÅ×oòW—WÔ¾Y{„øC¸Ð½ÑEÀâi‘ãNÂæ&±RîƒòN/ÅeHrá×XacÁ Ÿ†rMêÿ–€¾Æ“«ZŽ{ÐA®Â6M¬'WÞî±´°:KwgbÁî _ !•J#›ó`Ñéõô öfÁã$Fq[*æûŒ`}‚éF“q{I“ˆ3eB;°@:ؤBÏd ¥ú×;ÿ{Àfa O‹€åXÔ#±¶ð¥òú’ì.öhtë²Äú¹¹•Ÿ_k±£doôˆkk<^š_¬†’Îõ‰ä•Pœê䙳šüJ#žûÔ™q#ä¿J#ƒ;×qÉŠ¼:ÿ+ž{ºÊ¹KþDÖ£7+G…ASÜ44.o-F$\EZ—2”?õOZgæÿpjúFbF0ihͯ(ãDö ب|Æ9´ã—$†‹çñ‡'ÁŽÇ{Ãf•õÙGr᳚·pB¸X.‡XB”Š ¸ƒÇƒÔ”¦áZ›¾×ZAV¯‚RjðÒË*HQöœƒ‚Z éÄ‹/1à°H“uzïÏY5_ ÖWöQÖ{„ËnÖêÏ·I§°Ö\d%Œ€ß)´$L$o¨â/jKñ4):—À]n<çÕ³í…á£e=¨ˆ›Ýr¶J@èÒ©AW–‹;˜öžEDQk#n]Þú;DwN@¼)_ì„›Q@èœÑ«ËcŠV§0‡Ø§1q‘È«¨2U•¶±À€8R¯Å +¸cs])3®Œˆ ^ ¨·…ë‚;û/ȉ™îŠT ~ÌMð +„œpƒ‹|bù µÈ +©)Ë%M¹èE ®Z¥à´kaÐéam¯ ÈÿT ü­ DÙúTÐ?¤!j Þ…ZÑù@<–[Yü@\öêŃ h¾Š„~€‘11òYæAô_§‡; Aè:Îd@At1ýáú¡G]!P-^ZZ AL £ŠBômåÕ Ah¾w9je +²,Cýõ@ qjéaÈ*'€\´¸ü,‹,è¼*hl}‡Â1!oªåG’¦o ·¬ÑG8bú¨}j ®Ñˆίäùz`„Ûîi`NlõÈ@ŸÉ:•(Ž2T¼å*2÷àú²âñþ©|“pfâXO pÛ6ãuoèÓóÐ(Ó­AÈÆu"2¸“³(EÁ„?øʼn-üA9–q\Ú÷,Iþ`/HTæ9§Ñ¹*3f ”2C +äC¨Ï˜âÏ ¯ÐŽG‰DZpTÒǧÀF<°ô÷q›‰¹*îõÇA]Ö+ñŠ8’“ P,þü‹B|XS÷Ú~S‰2¿ùdU÷Í’CpÕ7óW­çÈ Š2é;ß_““ÜêÞ»ê˜6·™‚"Ôê |m·ÖB2$[CzçÕ?LzRZ˜Œ^°ýÛ5P +4$â?1‚o(+0XíëÛ'èT@xßgZ2û†8GÙ€ç*Ý?iåDÖpHöÓ'ÇËè¢ög²Ñ.™“œ—%€G s›Ž]×Msƒ 6=„ÄgÏ‚ƒ”±L9LÔø¸ü3ávé´…/éõ‡Ó\3Ð~†«%–ühØÉ{²j †zC¼ºxå£ÑŸ0Ô}’Ñ{SSà—ú®Å&_ò˜}<ÐË‹ÞÎA&²¾0Hy¤~ÅAÈê¹oÀÑ9È Ê±d*Ü¢®SÄNcî YÙnM>XUêü]3ÅAŒFË qÐ×­ˆÏAzM:Í(íTR4iJ„Þ`žþìJȼÖ=äˆÌià5²ƒÄÌyƒ¨ Å£7È0QˆnJÂñ1á.ßÓvaÆøÜ219õX°‡¬î¬†LÄTÄÝO±!‹>ø%¸±SuJ]Üzý»iKTV°IfxŸäÁè +]öÄš/FUŸóOÃ-eXs|²Z¡“ªdŸJwfõÈM¦ r©Ì)‡¸$VƒDMí®|I/LÇ…ÔC¨øœòý㽘ÇíxS´¼Sþq5Ì:f„43H²F~è4ƒÜÑ= “[xdÐy3'«üüîZD9ž:C ~Iqkˆ_fµ‹>ÎQk=$Á(B—3eÎ lSa¿5Yȹ0hì°çTBŸDJU¨ &}Qzêð0Œ0ÄË®ç"F„AYKßLq¹ùTô‹ë/ f“byc^"£¹cE ‰gá…H×O”ØÄ¢Ò}óN&û®5—®t$‘‡ÄÂ`‹®ÌíöµhÆ9¤ýü3n3UzguÂQ'SG*^E»ýsª¡øDÖtC(D˜¼-¼ÈÄÌ¥\ $Åãï»,hÂàP°,ˆ¨’Ô^©,ØZ íQàø%@xü¶±ì;ô¹)€€6òTj%¦À¸ƒ¨VPz&Åðe Ò +rÖˆˆFÚŸ¶¥:_KŸŽAEWÅöF™´ K ‚¤ lpÚéù0˜lv™(/A BzR2ÂõŸˆ¸háá6¡ÇìŽn¤Û¶ •¾SŒàæÛu´ Ž^ª&œY?Е±1It #Úì{>eþ©9c”å’G×QGîS²Z½qÔU1æÄ!QÆ_8[2‘õŽ´ò~ƒ ‹¼ÊÞXÄšf6ÏEøIáô|Û=CµAƾ™Í#ba}؈ûd“÷3:ô¢E>èU5¢jÐj踰 œ–1 e8…héžÿìMºTÚΈÀÿfµ|….sã# –{²¬Rãö£ììÕVPs^Z²dš²#é V_!7ðƒØMø_Ju ÿn T2ËüRõã:Žì×/B‹ø‹÷džÝÆì6¯SÇ “ÿ¹’…Kyùc:åço½¹J(Ú@ƒâ|?îälÊ´Æ7íw ¡Èyû©øCyõcÙîëÓ ¨¢¬*š\±hü0¦)αR~Ôb#­¢\ÆГ*ú¢EXRÑ4 ++øQU$ +Ø@ ~Ÿm9Öó¾:Æ:¥Q5sQ–õëPïÔÅ­%]Ž¿Ø·ô…çÐÓÎOf}‡úÎqüJ‹m’õ"J¤‰Y6gHß8P£‡¾ÓàÞíÃ¥#ø…%ÁÉ +* >Õ@I±%˜O$5;’ð[(OóÑ ÊÌÑÑtpœ£’îjrdñ©FÞdÖŽ×øžSÒ¶fOHô‰…ÛK‚ø~éÛ[—ŽmC“E»ü7èWx!X +ý0ž¶¡ºÓþ8ºœmUÝSÈ/ârï…¹Õ;îñ™’´g±–í!äuljŒ^–R{rªn =èéK¸–½ÖQ`{íY®¤'*W{ .ytäL4ÔÜÜÞàù™ð@$R±'­çA !Ö3ÏGºº~½ê‰ zC˜cÙ~¾:”õà¸ó›Θ+–!6¬ªL/tAªI3¥'TÒ³uÑ+ói ªj‡^þ˜\Ðk7;(5iXLÃfôÛžE3·^bNNži‚f”ˆüc\÷Éb™+i,WLog^),Õ‘b^Š—W†éúm ¾7^D*O.…y¶j_Êv,…ø’Çè"ÌvHÞF›*CŠ±qg¬]ìãÁð+áTÇK{¥g”…Qh`c͆âÃÆ£~NŸPBû ·êGÓÅKý|Ê Â~ØÄÛþå.CN—Üð·Šu’”ˆþéè'ÞS±°"âNµÉXò¦Lͯô’coYŒÏ UÓ- úŠ¤'—@,ñó("ùÉÚŒ(%ijë UétŒo¤±Þ5% µZ›wN”^Ú‰ï6ûHA×îˆìŽþ tR,?òµveí èAZ¯Ô]uxî´—<"*wO9"ƒq·U³JÙ îlõŒ^¦·{+Ù0äS§¢åj(|äh;Mn†‘Ü"©«’!Òw:Ȧ]ņòŽqÑN–ì³£å¢/Ú+m|šX¸úngÅjv7Ùf×ÒÂF>©ˆÿYÕrAAô³¤hQ)WDZ“ýkÉm3À±+gTr•J査" ŠÄÝí**%q s.„dã™­>K_ §ï¦$ ›Ï¬»&p£p"–*\½Ê%w!”R.3B³„•@ˆ0/$ŒÐÁÓhå¡=†PÞàù¡mÌÌÉV[B„{Eõæp|>ÕS¶!I`Fhòn±">‰iXáË-xŒe2BúG/#$Æ÷…ÖØ0OªvœË)Bes •"ŠBÉlŠ°Q$Í;ðD DhôJB„úÌ *Â1%ZðPˆÂk4Ù"lE-"üØÅ?TfØDg™ë¥~Ú-§l΂ìØb5i¼ê³z¾N´í*¤Ø´¤Å’‚;ŽýÁÐÈ€ºT!a‰F’Eù½˜•HP”#Š€…Ôf÷•¨¦~£$è³EBŸhXÎi±52‚,Ñq]¸AõÇK´Éóäø³Ë}‰j\B.P•|Vn‰ÆÔçn#ª*xÍÑj±ž =i^L:Q‘@Ôed‚Üs¡1-¾õ»èÀ¥DÛ»¹(탢enøä5¼è„"„’ÂCÍh*Œ(ö¾h‡{‚(¢ô¡!@Ô€@L·QõÎrëwh.åF¼CY×;ô*Þ±%²Ê¡d‡6즼ŸÔ£1¼§XÓâ3Íý«‚a™ö¦à&v?³;yˆÕù…˜ +Å$ƒ•Åûš8x ®MÉ7; +Ð&ßGR| 8­¶#NïØ4ê*Q$šQ²„gÙT×ÌšnÔ'•­|21t:X,N©ÿb—]ÛÃ,¤+¨Ï¶YAV"a’#ðzÿà³ÕX”I4–ÏVü>†Yýl—âÒnk£Ÿ-u‚¥Ï¡êRåM¯…=“EÚ¶‚¬LÙ]ÎH*€\„´½OVȲü®¨»µZßÝâ°e(j#´Ø´"b™Ù*4fVt"`.Òæ>ÒrÒÈ‘5pŽÎkõ+û½´ð”ö x¶$ÀCÙ>ÖÀ™†.êAìþ3S=ö(‰µOµOó¸¤+X©|©HguZd5#¤³T·æa°Ñ;üÓd ›oሠ1@T&4Ž]QLy .»ª2îñDRGKMÂÕý„)aQ8ðè|;¡Î‰c4*‡T#;ó÷áQÉ¡Áî2šá‘1žÂŒ~6öEÕC«óâ‡y€ú–º} õe[•+‘ÐPÁ› +<¡K¦·s/š;óæA¨LcF4+ª_½ô‘¨Ä¡6m!)‡Ø¨]z˜U6:B:¾šâþ†Üø'ãNTcJ€&YÚŒ:ÅVÀSr¸ Ëöpv‡kØÑKŽÌ\ÃhʨÌB@,FÑš²B× 'åìVü&¤ S#%HH?O8xRüÎe3áÆÒ&£‰"úvß5”Ÿ6°a¾aaQYöœˆIL&ôÞÏaw‘oÓžxÞ†~lC€Àò,2¢/êuÝÒt¡š + ¢IF2ù3ÿ !‚Î!OäÔ<µ(×oHër(‰1·ØºÀ‰0À¡6Û¾¶ +”­ÆYb®òߢî +°Èu.6ƒÍ3öŵ~¨,<“È1ÂE@ļ ’0è Àd5gö$'ê}ú„BZ@vÿ<…]ÓˆW“¯‡†bclË,HN+á8EÊH¤Ê¶ ‰ -Hn$r ;@Ò©:6ÒõS†3Ósd¦Ë.V Kl82ÿ4.UZ@°ÆJ¡(ˆŠ„LTÖ×áÖŠÏÙWO"ú="„"‰xT,á/¿â©æ[í–œˆ€ÆešFuú^¿`wÕKcéÁèù/£Ÿ%ð¬p LEÉùquç«,JÛ8‹@¢ Ö‹:ÎMÚÝ'4ÿ3IOÆ̓þ'ô•äHDSÅó¡5ëI\ÎŽÜÖç226†d˵-“0ñ1q?M=ð+¡mk¬¿A𒻥Ù߉°ø®íÄS) ¡ê©7“62ôlª”qãFÑN½À0†3=¤Ä(‹“b³H\¾šàD4œé¸B_ožpxÓ*ZX²n9†;I[ Æqµ„ôkÅhTO’ÍB×®4ÊÜ,@ #ÃN=Ñ&ÿN?xõMe)Áaaì¡Õµ]R„•èiÕ¦•]®Æ:oRÐ)T(ŠàFU¬)‚Ÿ7‰†o*ÒE’)âƒ*†’QûL"a܉™FøƒCèD5R“L†º0d%¨É,¢¼OpR +K­T2ÿvˆ<$2ç ÚÁñà4'|Û&ŽY0º·Ž#!šer܆šÆÂ$Œmz,Z®Z©k¿»H9’–Ï AÐÅT‚gpdÔHÞac³²gšs©>®à÷ o€¶QZÅû¢Ù˜8Uæûœ‘‰‚P'¾R +Vì¸é#ÚuaÉÿàÔ|à/:½0T½lºH¡r² + Áœ]wà"™*âp9Ó¾,Pô ín€ƒô\倛ꯣ—¶Gn” œ_VäÐïx±Æú×—AñLt|7ìœ|uœŽ.¿9tgºýγ W|×_WÍ8Ó¸5vÉç¼m{X—KJnäß:)\ŒML8ÿ½7øíÐ6¨Ý·ØE¹[´ëÄn8»îÏ›~-–U¿úʤ@ÅÓƒáQš¿ÿ* +x|ê¼Ð÷X3½†Óž+ëåÙ{¤ˆ°åž[ÄÖOñ”2C‡ÍÁÇ«úG +ݽÈÒÜï(ããÀñ®—”¸(£ÑUš•¥¹$aÒxA”tÖ•"V=‰6’ +ª/wRè€ùY´ÿu«£º¿Ÿ¯A~`R'èÃI<ÄüÞüpð\oXÕp×óÜAæñåEc–c˜s[PÔz$“°ñÓ­­ÓÅÐååÇÞ™ g>É5׌¢%κ 8 ™«Éì!äÊM-&¬¶ Nà6¶né Ñ\s*X h‚: ÌÿvÜhaÓ_çgÖNï;¼ÍÌ¥ÖÑ·2æ–ƒªd3‡“z,Ùͳ·˜ sùˆ‰&¤1PX Êv˜‚ {h“¾ìñ]ñ*¸ZUër,XóUaßÖH¤`›V¾§,T:¬€9N¬šzW0)Õ#ž3r'+05¡ÒÐÙQL+Ž©5þŸ% ;a™ÉJB\auNöDÞÒ²5(M)[.V¤$¡f '’Ä 8ö(¾ oH# #ì\Q6'ì2"; +ø‡*¼o#… –+À +d‡@{o¦‰?".  >•Çm4íwUQä1ç?ÞÙ€’b^Gx‰Ì¡S-À~•ŽîŽõjóðfÃ/Ž×F`ULâšê)ó4€‚„£•n~­™vaˆ2òAΘg´Â ì £¾tà`<î·\Bßd–YTmE(+{\TˆL B)†Ôé¡$¼j49ÙËFpL6¿ã•~ŒHÌ'ºFdëÞµÉ]¨Ï…Pø4 APó°Òøñ!=ÂÒCü7–fh‰.‹¤|ê#P0þ Ó#ÿVª™/ƒÙ +¡ÃÅ•©Tñ‚ȹ@x8ZèžäÌS²=* +ê’‘ØÖëa²W4“w¶5©¶¾IT±í¬ +eñdã:Oj¸t%‘H`†}³è @‘Ì”½¨gS/Ö¢ “ ‡R¶MÁÚ3¬¤ Ž;ˆ®SLeªJ–Ы\1+á‹Lo%-!ñïý‹ãNêÃR,ÍsÔßNÚ‰2jÇ| åÜ–þÏ-U)åbƒµ Æõ‹¢ìVò-_ÎI/€âùÄÄþŠõ–rܹA?ò¤o)âWÊ·ŒÙãy§ é[¶µ+rO¼bY‰«[½Áñn_¶Ó-A½#Cò¸Ô tû–zµñƒ"–ȃ9R¥Ja¤]fÐ=Â, +Z¦EÁÏ#æV[ZêìŸ][ÊGP¾ä«õò•Ôß]F|ðfVLRiyŒÕçD1K¢pó!3¨)q« =îs}Us¹Ëwr_ðp—/2gšÜ%y¶·”yú0I‘’yy•é.Z$ßk°ß´R9Â}ÌtqlþøŒŽUZ 9œ³y»y¶¯+Åø Xè¦|˜I)!Žˆ”ñVfwž0h^ZVÑTgÞvLtcޓ̽«7‚7spzZ‚â•‹7Ó ’ /¿™þçùkOík<µ”jÚËHRÚf£t¿™ЭáÌ]å'Eç©×öníõŒ}­¾ãPDCx*t§œaÛ¬ÏÌÈ™Ë&^á`xŒúo›Pô&ëÕŽ4·|ˆ\–ÛîÕ}„aÆ3kÀl.€(aÙ5¨3ÁÛìö‘ÓRäóF_ŽZÌYi§Ÿ^Y~ݳUu:S%zÇ<¼3\^.†Gšiæ*¸÷È„”fN¾ÓÌSƒ¹ [–fþw=c°:ɧ2‡™œ‚MKÍ|…tèÃí|­ú8Çœ‰lÂR…p×CDd ÄCs¥´öÏK:z’7ÄÖ¸Ò™=oã#“Ñ™ÛëaðzeÇüäâéU;®Ô~"S‰Í;Çè†ÇB}TŽ9ÅR¿¥¨w 0 }Ùii’>~J[cØîr±úÈ¥p,0 Ã„#ôOò³K£&„Fúhu¼ÌV,ë#zºè#2±ˆx}“+Ÿ}lK—˜5ƒü#¡Ž—ƒI›€O]–e€07˜æÇ$–ó»¬e8cÓ#þm«?ÅséÑ)ùÂZº÷¡Äa‘έίœ˜É‹(‹p¾hZÉ•þna¾Y„3îD¹ë[Špš5²ìN8…0¿¼ÂÙýydŒ(Ž …3ÝÓȃ'N2º7¯Bwmߤ4¹Ì½$g1Í(¬7N-ÂʤäåûÆ9E…ÂEvzî³êÔIËwã¼µ,Þ8ÉQçLµ*^•ÝŒß8óççvãÕ¦qnÜXjÓ8+Nq÷v­¼çå +“ΓM¨õªqÒú“iœãR¹û2ÎÆw¾›q^úžSÆy’ÑÑâ„S¥ö’"fœØÚ‰€âŒªÄgXm+Ûw«Ü@ véa}"¬ËÎV‹yÛo˜z>[g+$>ì{8‚^†œM/Ò¥¹Dœ­†÷‹ÝIœ smب¨^ZiŸ³}ôŠ\\õ×Ù šÐjf~…†˜­Ñ6ÁùfÌæT®3fe6aiyÉ”-Ñçĉ*›Ö«íΦl!Ç Š§liO–Ùôž&³}ý¤²E¬­zffÃpÌ&£’Î&ë7°`h{ Ѷs‡¶Ë$åø˜¡ÍùZÖ†6÷:Î0Ãó6 mM,fOúÏ3mWõ6…>´¨¬ +˜Dch{_zÚ€ jÓ]Ï,ˆ 6Umí¨Sâ6@=$ ì üɸ;^ê`· D6Ìe9ìy0XËŒÑÀ×Ü#ÖT¯!æGuŒ- ¨kÙ÷Þ< + öê¢û²dEmòm☆†Ä>jÜz{­Ï¼ð€Yš\© øuSB úrÙf?sz%ç3jÕ!ñ6ŸØÓ<)¸l¤¨/ƒs'ïK›S Äðêü#æ¡2|¡² Ò,ÞöKðþ0 RÒsÁgn½Ä¸ Ií‘sÄu)©% 5(8ºìŸá÷]ô@-„aB§ôÊ„œ·4T—L{Õ–Ie¨ úFê…P{)Ö5´]jy@ ¹ÿ=_§éå„Ü!|•Huy© 6ÒµI• g-˜[_áHp‚_ÐÐæEœ‰Ä—AÁÎÚÖÑ‘™q +ßXÓ«ÄS°“ÉÕ«ŒZ0RƈY€û¨þ 1]öüç“1PmoÔŸïö\Àe³é?±~– ÷¬˜b ZáƒlœEQ6Ä%I9²º¸[&@p³º<¼ZÈf|&}ì8˜SÀ‘úr¹92¢a@ú|Ð¥?oŠ'ÎFî†Ô퀖ºâ–í4 ¥<™h¶äRG=wZ³¿qH. &!jTÔ¥zé~é+¥æû„[ã–;BêжòHñ’SJµ~öP–ÈæØhJ6"»‘¤eBüþªŒRüL :Œ–ÖÛP¾L‘º…¯ÙOº”_˜£0^À¡¹…Àza™<<0bã¡ñ…U -³*aît‚=ÚŒÚ +©OŒv(ÁrjÙÛj“Þ¢ÁÅXÌv½Šú¡]!œ·È4âdÙ Sà6ŽÓ-Ž\µw„‡^0K7k•¶pð2ƒýƒM?â'ÔY¶´…øÕˆÞÞDgqü³é¤Ù)ò8áf¸ÄJ¯ãÜ,Êxz—€4«ÿ+_$“oCãý(W½5ª´ ô}‚«ÔABQú¬ªàtÉ\i±ÁžESbp¤±ÿ{³¢¿øë“·O,#ŠÕzŠ lá¢áY»E+ÊcJNŒ}Z—þ¦‡ûH¯Ð³ájÖ ÉÜ@ ‰“‘ÓMÏ6?€E½ÔL#ÉÞÝXTDYf'ò +ˆ¾âÓ&N ^/ì!6qÁ¥WÜ1 »6;F=Oñ¾¿Å  +Ah9¾ÓE„˜q Bé|…E}iJ!ã£èpX9Dn–.z’*|çNAy°_Ú¬ïaJd4,_È'íZ tX¹ÿ=â`ڢωG˜þp)ljHj!žÛú”i$–KPuÿš~à0=‚ùmüÐ+mÜ—ÒP³²YU³3¾¥cRB½¯-s¸ÉÖÄYàüœb#Û3«Q©D¯b»¿áÁJ§B&*a´hýÆÁ­Þ¨€õ£òr¸ ø Õ–¼¤¦û^"÷í£±vþ#š"쿳,jëßÔ<ãÝ|g"቗Öço3ÖCÚ÷"†­Ö2¸õ×hÓ™Ž>ÃänÁ:ƒìt^Ê‹±:#Ÿôâüç´ãÙz¤Jz‘ÆE‘„ÄìG´’€M¡öR¾#WÛè?ÕÄ©Ü»¼<)ŸÃÅ^²æ‰ì4:zæô¯XËd#æ‰$kÜ°ÀòN˜ë lB¡ȈÀ(íb} ÙW#ä¥ç^$Ò±h^—à$ù.c«!v6DoèN»ÊAa$ ×W®XñÿXlc[¤’äkAB•5ShšQ4®$)®5ƒR;(k¡ø [÷0¡°ÚÊ‘€¯dÔT›.¯ 3>[4e Ž²‡ÂhW=!I°Š"!Ì@Up‘JÝ0Š”Í'Æc ¢BLÏ@Þ–‡R’‚¦’êèI-GH­h¼öB8T³Þ;ï²jç÷ñ‚Ýè¸ÖðcÎrF§ÏâòÇ¡|˜B_-oÅe¬zLÓòÑÎóüšGôùHEj©_¢Œ[é‚€U1ÅÑ»›}(8y’I·ö‰Õ™P‘Ôß—0©Góê‚ ì“&}”,©çvÐÞ]ÁШ[Œ*Âh6”eaF»N†lMY"QBñöàìÿ8Ù`üïC|ÁðQ}‚wÑã ‚QýN.6¾N~–„USzœµöú¶¯ÿ“²Ñmâü¬uŽöéqéýãÌ3êÈã{¢¬sý@ ›žÃúàVJ.áç Ž—9§¹_[™ìNAôTu½eŽ!-Ù¤q~ê;ž.š¯bxádŽÝÿlTùÆŒ€Sà 9mZf!ìCñÛ/(ó+{º9i„"Û2TæMÝ#C"ЀÝÏïjÊwò|ȲNýúsHÍYÞMFeNóZÚ«59ÕÇIý¶–—_΋ÏËO/q#zdNÓ*Ž/0¹Ê€ÝŠ&t¾dV£¼“WB@n%S +çÅãJÜַҤÖï*¥R¯œW3ƒ¸b9ú—AÆki¯¤[*”¯E3ž­+øJ8qBlŠžÒåÛ5>½î‡ù ƒ:ærHû4¼æ¢è]v½iç!,•*¥ùNÕ'@gsoêÌ::oS⦠ê'ü¿vXf–×I=ç%ÏYÍKD˪·…Êp¦R‡dõ„ÌøGC(ud%÷Vµük÷X,i´“ć×äwXZ(èrˆ+ÆA¯µmß›]2ˆ Âÿ˜š–ÙÜ'ÿ~Sì9s±]˜LeÞõ¤rÀñ§ÍÌÕbJê”·OT•™ÿ#àd¬F0ÜsÛXž@V‡¦qfêí>l†*6dÐði 5O&࣒«±u@Ü  ÜÓ¨ÃvN·›•Ø¢‡CÊ) +ldÚäº3ÓcãŸzªÈìJÈ’9Í6óm•EÕNª|?ùu[ƒŸ6çâ¨å¦•a¾85º9y)#°yf+íëhi¨h%–¨€åp ¥5yhþ'Ì**)½ßýò,á +øAT‹vgúÏslZìÆß 3ýêFý’ ÊIõtJ {žkVIýkD[͸‰Æšé ‹íGø úN +½äË)é/ìõ‚åxð­·÷Ç>äj(À—\rŒö¼øq;~o\øA(9P½žÉÒ2ÖXΠˈx¾M•§D bg¨S[ê¿]T†KNvûQ±™ø²¯X¬cŒAC©ÏãÅàZL“ÖdwS:É«I¶‘1ɤ*T¹QêÓ·À*Eîü”Pßç´¨>.ï 8^ª3ôç”A­vÍIÿ)Z+Í-XÌÿYpŽG™S¶æ^¨ßÄ•fƒwÁC–¬ R|\ʸM…êCfíJ‰„âØ`°/ÑEÀ¿}¥=skwxÌzŽó!aNÖ"æ3"* éPÝÓѤ[h¡«‚4­ÓE8ûÁ^^5¨»|8Òë,h•ÍÔBÓÈ‚Kìäéf¹žCfKáÆ'f +Ž‡Ä0Û|š˜ +Îö, ^Ôн„–Ó©mÜf([úgÙcቛb€·¢E‚VKm§³‚ùv@öçâãQv²ù¥)Ð]UumKYeê›%Þê™T¾×a$ÒX Ù,8q aÿWˆÅgsít¼õ~h“eéáĶÍn¤`€úpªmÕ¡b º‘~`J.Ò#…&ÆŒÔ=ß‹»;ýÚðp"ˆcÐh1C2ãú‚_3Kbµ\ SbÃJ–N9tIŸ»Š öçűè:'2±,gfå"|Ù®Sµ~ `/jPl(øÿÁÒÌa[š±Å– rM'8E_gÒ0{Þ[¦Yó2…H˜ÑŒ`Q»I‚š\×Q6­9ÙÕ^÷YÛšßÙ€µs\r:Q‘šr«#Ä ÁÜnøÇîLmß$c%f&fk;¤Tx°¾Y›dôkù™Ì˜P;`#/ +±g7ë©¡‡qLK—€o……ûf0L™Ð­ ŠO•ß[æéÇiýœöX§w7`E°ú°øÒdîç©ö)¡)Ôf´ê‘}w©‹S:®=ªEà,D9à:$®ìíur9Ìê‚2Ôÿã¨ü_¬’÷\Å£qìo3ð‘Gí0¬ EñSBèIýOc5eû`ÛˆÜ4à~öDíAº¿V2ü¢ 5ÖkbK¿Ú\g›_Ð}ͯ'àpù«â±À.ÅŸxº¼O¯*ã3aÝþýö8³EŽ>ìNX†æ¿Y!¨ç#Þüo!|©Ñ|éÌén‘3ÎåMúðUµ”j2u¬¶³#êÞqJIfec€^=ì—`FÕ8å¯Åq°™ØƯA¨7„¥lBÄL,ÛXŽØÝ<£,¢ìëöù#MçUJ:t•DÎBjÒÒKØŠÜ?@%F £dëô d?¸þÐ:zôªÐ_h=?kK—=X½OR 8åæÉë×vvÞJ" BñÃO6s ¤í +[}4à‰YY“eùçdôèŠýNt eÿ܃'Àg=Єþ¹üËÊ…ˆSùÝDÿ©Ô3ç_›X“Ðûð·z%þÍò¾ìtÑ™‰†pP¾%ï="ÈÁR ½·•Bg +†Òz 'Ù»Ò¸1ãúMÛô¦Öô ”­¡7KÕþ<ôÅðˆÅŸúÛSû‚š%ë(ù­4/»[%\† êÃΧå·$’³nJV«_ŽE˜m,YÀIeÚjÀÜAš¿kG^Ub/Od¥l…ª¸õëÊ%l&œÞÁ¸Ô÷iQzæ•0©’µÝ¡ImÜphÂF‹› MÖxt³¡‰5*ÜPì@áÖÅ + °-r ¶šd”+XÓô4F%.µÊFÎóêÃOÐ7ö¼i¾w“¢Ç g@G,°!=P¥'Õ9D]?«;-M[þ‘#Ü)5€×â~—±9×÷vhÒRoûH©rÒi•8ßÕk«Jí—’‚pw*÷ÑÐ#Öo(Q¸Ï5å ÓÀé(Øs‰Wj=‚î©õx\éxKL¿zf¼tÌÓ¡‰}¥ð˜ A=³ãœÙš[M¤¬®R^+µ‚Öñ¼GÀ¬•(Œ§2•©2£OVInW Ë=VÉžŠ>½¡›5õõ[9Ds%ºHuŒWR[õö›%bÉ°g³”©YäE³Dì¨Fd ô ùPßÀ±?Z¢¨õpXÔ‚õ0”åÇ­ •Ðõa–¼>8cT´+¤ î”èß4m\د©¶œŽR¸Ñ¦¹x‚Cåð©øðCº8ñ‚$ždôª $ø *µî_ 5öTZ{W2´Æõê¬esgÖÚc+ëSž4ฎ5Ñðòš”XïgLµê¿7³&‡ÁÈÙ®q„Q¯_-Ѧ¥!±L>5H4ˆÀœ*È7µ„MmÄMS Äð’£áFuÐQº¤,O”®ð±~«$”•ât+û!à1Æé%Šg—Ô¾Ø3±™Ø@Ù"ÄXFñÝu´ +hÐãLæ…‚ò–*¬3ù·µ~½ïU$Ö¨!êÅp,ñlLâiÓB×Iû­gõb•¤Y›öQ+vˆ «jp秙u($L.d§¢´*´¶,p+ç î… Ö‘–L¢þ0ŽÌe~~5I«Š‹³™’ÐÅÏ’ANk÷{:L“H½ç@xJ¸c'ÆévÂ÷z©†²‰yÀi@±ê„ïUÔ‚Q4jWŒDDO7«ÉWBdJ0("´šäDö™T0œ$D`h=IWNiÃŒáŸAüÉ”mSöãžYé|dN? gÌ-˜ +‚8¤ Ð[Ò“)û¶,çrZ›i^¯¥Œm[‚dIüXIûIXoLËù~Á4(bzÐO(ZK_-ˆ¦<à#D€Ã—¬œ§ò.:n1ÕÒÓ&âÂÜÊËú_¸ûX²ÐxY™.¯Àoœf Í4ÈåÌ 7Ãë7×s<¶{lö\DbgFÊßä­Ë‰¾Á®Dw¹›÷©“4ø쯈á¿’eie(Äð˳ø;û'²æTÛr_–+'¶éÁm©zA[ðÀ¡¿ !àerk€%X GN[ZŸŒ¦ér"L™wåÕLƒ6ÜåõÀœú€Ýj|×wí`óâz$®à"#j†„{ÄÄù_,-¥—ëWb9Ôï¯Íã ]"Åø8ÜõòYQƒq0S2ûXƒ…³æùOØa, +z=(íiJsñáïã|Èúp0fi¹Ž‡D&¹:ÙLoE1ÃC†‹ÇdlàO¸yÓ÷ù‰+žwŽè>5 ›6V)Ú­M!øô yš|Š ‚¬ÞK ¨°s†’S†,ѯˆ–úRîÅš*-eŒ.nN>œÑÅ1¥ì`VÛ_®?ÀMqœ¬…¦QHá½5”²ÍÉ ãÓÍÜÂ.H÷2 ;k À„X¿ì9X;·œ¶íÖÅùÚ <•ÂFþǤú_Ôé·jºò!qä?úüœl6ÿŽçìnï5ãÏ߶€ÊÙÒånŠÎ]üY¡1]ͦkMk¦lp˜ÝK÷N­ï±&p¾Çl Û?í÷ïY +Oeô“gâRùl4a[Î`©@§8¹sò`ßaAk‡ím!›ŠÝlû)œåj;ÂÆO¨"³õeÓNmÆöÆCé:à"=dpæ_ ´òÍq#C™3¬³G6µ‡¹¸£ áÑÚ:ÚŽ¦ÀFc÷â˜ø5mA§ZÙƒpP"Ç +ŒI¥UÃ-wÕȦr¾¯Áø&Lñ¨Qnðb²Å2|Ý®X`íÎÂ3Ÿ .þK÷(D9V´½¦î†=-J¢âöçÂÕ¦ßí/a)fF +dNÏ¥‘¹‘½u$SÈœJýèdÇÜ®ÆÌebγGˆ¹J 3Ññaæ¡ædüe¯ñØòY<ò. D1ËÙñ˜@y ‡¥D¸z$Frì±qt‹ŒhYãš²ü^Õ–ù£t36XŒì§nr±?¥±ÛÎK“]MâPž5oöVD&Ôƒ4JÁ8> ŽûÁgxµEÌ­žÞi0'i/åfß&Õ…w*ÈQ‘,bGŽ÷fÏ„ O(¨°i£ñw Ò4}Ï@D–¿úЛ ‚3ßÅ€ã0@ ¾ U[ÕC’±øêO.8ëz€Çý·!`H ðF< 4Âã-xˆèã¥x<åw¼Åwh¢w³ñÞÓ«³òb¥OEßi<3Ï©’\IÉ›ß?ˆü/B_Ï +2 çsÜÍMox4[Ägÿë9Hbb0ätžÚ'>ä¬Ãº‚íV0U+š ­:sN½¡'èEz«@©’*Teu*Þ–ï·RaGVTÄ•U%¥2DpvØ¢ÂÀ +*=Sñö©€Žâ9<ö|a„féëö‚ÎZzÈ®?í:íƵcËNçZ¦j-·kQcµ[®} 9—nz´(]=0‹;–|ðœiPÙ;„nº—±¨Yo%+"Èñ! uæÛûõ†ÀŠ…à0 Á!8AÄ—SApæ@ n@@ýãü>º Òåõ| o\\ö8iÃPšŠŽ¥ •¾ší¢W"´Çó:ýÔ»2@ËA´A0 C`gH¾¤õ±Ø_HPy¬SëNwbؘƜTÑÀjݸÕIC: +ëAŸ{0 1>bÑ<¬‹õ:,,þû°H?¡ýIÕGZµN5j—Y·’Žnª»[·mÌpW›ÀºÒK [«gP'u#xø©Q:xp ì€Ð‡oBsúËßc #shQõ~*Z‚Æy:XbHV'#‘“¤¿^9WjH¶˜-Ål|/£†’äëí^øHA«âa¾÷Á(Ä7<|›oÒþîùþä#ßB"ó/êFgñÉ‚áf|»&Oå,&ÌϙٳÈ¢@2·Ö<ž²—û“‚ÚÊ£Òäqˆ< ýhUR³Š)⯭‚YF—h2æSiȈcŒņôapú`læKžo­8 Ù:±ÓY¬Ô*Ѭ){ET²æj-Vs¬Í à$Ú­BÉj*LDËSUcô†]´Å#FicÀEÕH‰×ÿÈŠ@ZZi|ꈱ¹©‘ä'O™J©êÛŠXÂJ`0ÙÐŒ Ò‡&K9Ëæ£ËMS–¸¶. ‡ cÙ/·»‚ŸËÚR˜$aݤibò&æ[ȓ.jõ7÷Trf®SšÕÆú JÊbãWqyÃkÈ=‚oZ>øÅÃu²ûDDÅU œ*¡óU©tœ'b-ÒcGr¹Á`Žð2÷Bÿšˆ´^¦dåÏ¡ù‘(| Ã;ï@^g3é¼®å̯-óß‚\.åÞÊ^:˜ÌsÖ1DQmî 5‹\s€—çãcÃa.Z¼4·nr¶‡».U¯s$wæ×Ùšg{ØU¦Ø0>̮Ϧ&ãv 80,Ð’^_ §dr(f¶8Pù|«ÚVÍ—å“°ÐaJWxº^*— ·Ve à–º¶;›Æs§®íˆô;¢ób]…@Ô,y¢5QHX®K$ªJ¤h—D¡ùOXà8õZTÄÐã÷Q#Lœ‹"®{Ãe‚·Íáª\EoEùíEEwó[Aõ-Öà(Ó½•Ñ,ó°ž#ÄaÙÛ03´üvà FÊcayHPðTo ªÊ¹ÕÌûH2?j(boFžWÏt|{—^ìàÓŸ]‡{|¾ ¯œQ˜eÏM¸Dïl¨Ü–¶ ¶—8×Æk§mt]õüþ¹ð–‡íNvPÄ îT‹ªSß«KÈ´¹ÏG–\µú«O1RÊkRë`ET´TÊÎÛYâAÁ+"œñ9#µ9&¡];¡°2ºü«ˆh(€Äöôºr5+óûXÞB$¿6ϲc& á…uÌ‹ÃÍ»q$ÝyÞN€mh¦]@ª$ÅŸ ®×¿o뤾:S§âéÄ[:UG§sè„+gMYûÄ…Ô9³ Yyq³@ŸÞO¢X1,AMBþ\¢nž„óW¢W@?€(pÿª“‰ü»0yõÒƒ’±[VG—$€b&ÿ¹ °'\¨Ð'Œ0—Nsº9wyŽŽVν—„Ž$&´8LÂÂ`Ò£œ& Óm “êÃÅp×xPgýöée…Äп£pfÅä¤÷cÕUv…§63fèEæÑ¿ÐÙ›y+€¨øÛPËs¢†pÊ?ß?ô|vÜ]ÚRìÛ>_Fƒy] ] è%¸ÉéÀ>Nq æ¼å9Æî6\WÒNŠ*Î*è(‚MXjhEÎ|Â|çz¸ÅÀ ½÷K\Ò´…q¶çŠñºüÃãp¼Á6`Ò¨ûÌlê*’9)rü ŸŒPtô,¶Ë홬ÕImŠÓæ)-ªÑÖÚBŸM‡jv>fuý½²'‘8x![Jm€6VÆiÅ{>i 0(‡ ì;d®ÎJx¶¯§|mÜë°^4¯£ð*ä®ì­®¹ +¬LVnàÄN”à$¨ +®»Í/xð1xÄÎ`À¥SZ5í­å1éMÃ3rÀ¡TÁ‡%dù•§ é&0À®(€›4?ÏiÚ,ú··¹ ?™ÔÁ‚xëÆ@Tt” Ƶ†Bm2·/vrÓ„µ¿ÉG¡l³’BÏKè·L†¦ãÚÏ(åA;c. kËî¥.Hj]¼ nptb>s‰«+•í¬Ñ^âÖHH"ŵàd´b$ê ÕÝšAn8Áw†öìër‚[Ê8÷¨uNZGÑ:âY}š5ĬÊÚ‡,cE(VÞ]P¼;ÿ¿܉ø]ë^j=ˆÐ"Ä„7œ¾ö– @¢¨-öœ}KJ@¾¢B_;^þHw iUqe(ÆÊðë'+W”³ºâCž§”°¾rÓAµ‹»¤üB’M†Þ†§‘¬%Äq¢(Ô§B±öYض7¹\þ ¦w¿àèžN³ßg©ƹ¤3o£9ÌÖ·ò9NÙÚÿ»ì¦ÑÊp(²“صÄÏËÝ\ _”€Ô÷kÝ÷ÔùJ£^³xoWòð] ¹D#®[ßbo»R¶ç= ê´~Ÿd© `)KÌX'ÄBž¾èë_^¡ÄxP‡˜Òê[°¢ÎêòíßÐ!G¤þ«PÙÊCNýiŠ7î6J–Gwú1ªã`[’T¶ñXüãwÁ».VÔ€ž+FÉ~§à¶$(†#[³òR% ôÀèdÉÈe'°;,åUOúÖ£õx ™À”ñf¹/A ‚ƒžå:ÅÛ 3׳úÒ.Л2+Š+] €Â1ÛàHR4br`N®|ÊaJo^g•daاv§ä«á¹aÃ}êŽ%3!h‰ÃƒIpAoÈÆf“¨!²*<…ǃzýa÷pú!ñ|\#€*yÿŽ’ˆŽÁ0˜¾:¬$»¶†„¨„2@ÆæôM”|ÜŠØ&܆'1Vej˜àʧL[<[X2ã+ÿJÈš‚&¤ùAůèá²7¢wÚª•-©æÞ r£ÙÑ2hpE6¤xV@„–]ùÙŸßtWZ”’#¡OeTBª?¼0O¬Ë8R:òFÒI¼Sb‹p·´„?ñm—¥¼£ÑÉýÓ=(òZ1Ì窜9õ|³è>"P²o)Ñóé¯(BNÀr‰âUðÚs(Ý1ä¹à¬Õ%VrfçºpÈ7Øò&vû²S% ½F¡   ´9ÉE,{wv¡yQd%”ý¥>ŽªVÆm~8cNÛŸ q=®Ç¦ý_>5¿y=ÍßÈ„!'è³—¾÷ub#”í•¡~7 ;¿Ö:IŸFe +kbÀE.NX´ÚY®ŽaÖ™Ce!fŒ$ÖôÍ´°SS‘Í–è—žé$ìØJG)dW4ƒõ芲«yh‘Z¦ÙÁ™ųÂ-z´Çyeè*ôY¤£Úsó±j·zu°aø°91¤`T8s}b*ôW‰é=õ˜¢Û}›<ÉFãÄÄânpZ¦>ӄ̺œ›ÎÕÚÅLÑl)cØ! k±'ˆ§ãˆü‡9ÁIí±TM›`3“ž\•?´É&¾¿ À + Á%Ƈ·}Á¥ÙågC!??"<øx˜¿Ñù‡6¤GØë£6µà üÁXÈwBäáç{s°¹‰S¶ÄgW•»9©IÛƒ­Ï‰®›.[†GŽE•gÎøƒ +7ˆ?©ˆ—:ó9›}uÙÖC(>š1±¦Ù=ðÆTK9Ls-\±RÑ#:œ^Fx$.°Õ}yL`ˆ&!·Så]©ŠØITï-ɲ%²jšm§æ졲ÇM,»fC`mf/ЧÉ`x *h0Ú/ÌR `”T®,£ÆPÈöx5?˜ÌÙxDDf¹­J÷¿ðE¯¬S±+FvPUÚqsõÙŒU¡ÐÅETÅðjˆ°Ê´æ0½ðÙ;Ro#3æE¤MÁL7¨ç=Êq„t³L­£m™PÐrY³0ŸšÊõ€Ù!g°×ŠHr!ý]•´šrŠ`Ú:‘1;óš€ÃNV´i_þ/¸êŽäVÇÞ>y¦Ô>r‹yÖh™¹hÕïyR:½ȈàcZ´õ/@pzeùkŠÑ*3æDѤ,¼Q‰äÿ þ¸·ë{ÂEfrÿO +¦ÿÔõîÒÄÇ yAáBǪˆå0ü¶dÊ Sà!%)eJIY@ÅUÕw B ȹ"‘‰©á§R¤™¢óÆC!ZÉTó&5þK1þ8~^F—Î0$(ˆtEh©&)£VQDB—#Ê2ª»Q35ÎÏÅ4DãJkåf•ƒAeœÂÑ„R\6„3/QY!:yÇ…%¦!ygþ¡Mé¹Ã=•NÈ|Ô@EŽºƒ^w…`V:G$ä´³8t¹ý耘t@%è p1(Á +*p 4È`ƒ 6À L€@à‚”@ .À@ÐÀt@˜ \0‚ ‚ :º—DB}%Р ø@4à DA¤p J@ 6@ÁƈP pÁ 0È XpÁ2H€ +404ØØ€˜` ƒ 6ðP84°à‚ HàÌh*K"³`é’·GÛú¥t¼Zw—X.´¥J8.¨SãÄ¿¨EÍWÌ8î-°  Æ5±àdY/Âl!1²†â<˜-0+éÉ# âœ'Û ëb̳có$S«ùLÌ_œ2þ^#—eho5¸!á&xÀ¢±v% +¬~£×£$_ØI©©ÎÏáLuÎƃÔO™pE§¡Ú‚§?)t üiø¹¸uR¨ü”Ÿ9(‹ø"–i¦nU$EgÐÎØDBqß«Öèд{žÓ!ºƒo¦æÎѪÑi|Q ÙÙÁe aãÛ áya? ñWŽô±u©ÆA¡!™à¤…0\/ÊÁžø›ƒ¬LÕç}ô„~¤«hKÍ^m¼5¾ð**°6šö½6}cFß] ¾›cnçÈØRn†ìÂz-Ö#i°ò¡-œ%Ž²,3dûF[Ó½¬¤ˆÈÄiª–™ D–g¤¤Ï¤ÿk™^( –´œ«¼ÈK U(j•“vGb‘k—Ëp>Kñ‚ 3ÞJ^딦¼J Rº65r’|4Í’‰¨Ýž«Âx5{$Úã+Ͼ‰~åýBÞ§æÕ“9Æ Ú¾ÙîI +ÚåÓŒ1$¯¬HF>dý%ï8uËp¯êåUSÁ”éH¤Å‹j¤Dþ’&29d3±Ç?a‘Û“ð6¡DAò¸‡â&ŽVô]Šš¡ªÏ3$a9Eɸ($fŽe‘‰šGÉA­F’3‚$bd›OPÄ?ÖW›—;ô¥ÛEÕÃÊÔ© kb‰OÜaÊ·9/™¤øÕþ.Dy¨‚¢$¨lúN¬ÔœJq¿ÊžÏÚŽjälŧ,Dú£œÞ%Sÿ8%án“²câöH壗„ѼöL[Ýbꚪç³shìM£FëÊÔ˜šÇ¸¤”#ªäyÍwa Îk‚Dsª"¾ŠÈ}ÙÔ·ç)¨$Dô"Ö)Ú‘¿CxpÒÉ=Ñ“÷ü b‘nFÖ¼cue¾KÌtbóy”WËØjÄINËr°eh +¾ü Qâž„UǦµÿ&¢:§œK-:›ˆIU1Ö÷ÔD—:cNTŠà«f1.4S´ô´ÎžVR{Ä΃–íȷDçB•`Ým†ˆÊש,:ISòÊ~{Œ1xs•š²nñ^G((„ˆtìlÃÝÔ”Hßñ¼d*¤p_ò ÛM«ƒ¦“¸DÑ„ítVŽ¤ +Åóè°T‹fˆh³M Z¤&jÙÁÓé4ÞKÈg¨Q&YdÜ–èºÚ˜D§ìh щ´×~Òy-5ק$sy4ȹÛC©>(·°ešz!E»†>}»ƒÉd“]Ðý°ä¢Æ&2/Ç­Í71ÅÔ'êV¸ˆ=F„â 3ÒV‰…ç$bº|BçÈb¤0*}(Œ’;EA‘‹…¢Eïv)ÓУ$vÒ*ëИ†BÿÄb™è\,uç.!—)+á-e²a—9/K9¿„jÃ_…ï!.úZ­ +±¢r"PÜ,ÀÁÌ}ªdŠ†¥ÊCôˆ]],1áÚâÅÿ7È¢¶mÅÅÄb)Ol¢éP¦:§(áƒáËÎÚ7c—0nšÆY„M#¶*¿‰Õ /âíb§*UÞöÙ™£þJ§b/ ‹F,¬j;5ÿœßkˆÄv´$X,i…̳†Ê³Ñfâ|üÊó>„î)-H ÅÐjõ-S6QoË'G‹–ˆÇM +»¿DÌ1÷c12ɸI¹Ä‰5Œx¯™ÐœÞ ÕŽº •Œã¿ˆ%ÎSî?þ‹%ŒßgE=ªŒøR(:XE)8nZ•IQ+ó7ÍA£ì;6†ÏÁÊtúgñi_é@â³(Sæ Ó£Fä<ˆÒ(ûˆJC‚¤PHCD”8½ÉlUp&"²­è Àø!‘òq;>+–Ò‰µÜì—yI4§†¹Ôº'jUĈˆ¼sLâ !òI¥ùSid2‘¦£Ÿ˜ ™$yCåt¢dsÚ—ŒhCR[5hZqÔp4#üLTÌÈî̆ãGQšƒN}¦ÊÑ{†®V‚¤ž7-yPÌ”Hf‚z*dÞ ñGF¦#\‰ÒˆpêÕ¼âÒÈÈ¥BvÇ#siÝš½¯Y/ãšOßË„MŽÅ¸fº’´®c¯@³±ËÈïMãÈíPI¼y’HÔ™y™*ìyåvTãê8ÜW̸߃.Q3òÅÈÁT$änmI•ô¶Tjwç’¸Tz™¾2Áš“ĘFª„¦«š1_¤R…LM&Ã3¯iÙÓε,"4\•Ô²gƲ¶L}{!›2RmYz»Xú0äÖSš©ªËíj| )•Ý–s2ì™–PÉè¨+‰y‚%z(JcªÐ°D46­ëlÑD Í…„,㡱7R3¡±7ä{ÓVö©ðÉÐê)Y‘êõÁÜ®ïÅ`YÆ ?QÙ2!©5^$òÂ0µ“ä™Ë“iâ@ Ñ!Å¢«õIV$"±&"ÏLIÑ)b½¹AEõ?ø7T=¶.á׫ +©Ö©öl8QÛ5¾°B©«·¤µù,œJ“•>øXî°ØЈúÙÉí3hŠ" ’ßàI4ŠbH¦¦EîH~ǶØ"Ï›ªoCõð?’DÜy»¢ÅÖðŸÁÒ÷äE§¥ %nŸueÖÆ}–Þ³Ï?u´è%MRG!Ã)yK©Õ‰Á!/j=Mæƒ.èZˆÓ÷AQÿÞ㮃QŒaªáR´~ K—ðmŠTPL y +ÞtrÉ7t0BQr{-Lj§Ù‰#§0ÂÐEmä~ˆÛ¢*šü ¥“×  +–q[´(;ÊŸMªZ :X MT5Ä= V¬Å öAE‰Ë´0÷`\ გ$†aêáĨyÅÔ8@dXªb|@"ªxWN™#rPüW%×…\hhA¥‘çÁEAþ¹ÙÁê›Ô…|µ#·¶¶ŠÜNtBQ(É"¢ÈÉnG®M+)98SãA½‚H~yðº¹:=‡b¾3$6­H52‡¸"›5Ÿ‡1ÑM˜™u@¯HÙ5 9 xœ )â”LcÍ‹¼UG-u›‘FBÎ4‚L†jóøBÉBÍɬM¼t6ù2æ€$/“ÿ™_¾%a –§(1+ÍÁ>–É—‡Ï‰‚eÈÓ +q}ÔjpLpËf>ÍBy0)AøÀ QöÈìÈI‡Éä’–lKâC~ÐòMj4+IÙ1uiUMýÂTD9î…2M"p&æ@¨ZDÊ0óCQHÉ4Ö²˜Ff]hÄ΃ŠE®²+3!xàêL8ÄLMÈŒK8cB•‡•PTÆcž”Ô‘ô¯XÈár ¹<%䪼ƒîñ€bO˜¡Çâ 9 PufSe'c\$Aæ]T(ZYl:HJì¢9{xNP„¡Ï&õ=0K¥MC¬7¼ÆÜH¤±QsC4²ð±ò-vb»¡‘î<’0bwmÌ{3b5¡›ÂBb +¦ƒº©5ÑF&ÄTæzU•tDÓSïô€eд0ó"LV Óƒ™™ùĨùŽVöŽBX¼ ÑŠ…£ˆ&U/¹)C/tjbüû¦¹CäÒwßê¦XŽo™ksPÖ(âBÄÀó üÀœ,âs<:~¦6WÉÁo:yð_J4ýË …S¬$úKIø¶ì/ËsSI(KšO6«¥à±ê„YSŽ†M‰VԃװYåÈÕQ_2M_GB]B$ÃÓ…¿Dºk–#Šá'ÍÓ¡ÑÐâË;MøK1˯–é”QË߸Á¡ÕÓvaÞšq òéƒ$5£‘ª‘QNC“©\•ÇAvf,"uÆÒª<®ÌªÜºkt"¡Š7fÚ²;Ò‘©UňÉU!]F‰PÑñÍèg£!+jFÆ«.s…pB,úhj±è-Ù.'õNª¬²~r5cÑúáF:5üÊ2(SN>3fd’†|•*âÐK¡j\oH…•qÚó‘”5”°RDFj3ãyéÊ?–TÐ34Õád6ä + ÒxÓ*-Ž3ÚD©ºƒ6ùçV£ ¡Š-nbÐfñªŽÐ7$_)èSâZ›‡NzÐÄèeŽÛwlM°ªpÅA_w«\®—ئˆMÇžRFÆ–ë=¨º¼ Òðü#4×Zešªìr…0i¢ªL™—YS¹L©~%3µàA«Þ‘Õ%HZ~Дn8¤áX¬`Ù“Ð:Xü:˜´R1A~ÀŠ]«Iˆx*bDÌä~dA&D&*çê¢ÉPÙ¹h¯¢oÕ**rÏ*"cªÆÍjŠ$d¨jH©”3¢*ÇI9DÁQAU4îPíAU5EÔ) +K†‹¤dŠñ•C߬42‰äSb›:™‰Úèc%%õÓ-ÞW“:ÔÎù¸8<¡„ÌáV½\U"’ÝËL7÷ˆ]”i I‘Õ™W”°:?ÕgójE|ÈÎk«×N¡ÎCÂ6e:ቛä¼SžX”97õñbtT92U«é¯©§dŽ»¹žPªù+üú¤ŒKÂRýuÒÑúDü("ÓÌ”Ð=âüãljHl‘÷(SÇ99ìž>âµài*ôº"Ô—OB;Ã=Òéì7R½JM{G¢ƒ’x=è$,HZfÍ(Vì¨Ig£=élû’štÖÿªý½³ÂJm¹KË[vÁu$E·2AäGχó™ã«[Šï9ä¹KjCø…HKþ’Ü*Rs§æ²ûçÈ^nmèâÅkŽ¾ÊgšÍ9#ôÍDð¡wµ’ÎO‰+Ôù1±ÉU&â<)hF¶ØPãdÍ¢ffM?Ç…vÎ*ÏJŒŠ*)võ¢„…§¨Dœ—¢‘—Z$­L­ñeD7^ŸÎPÄŸ©ÅžÒ[*E•Ršâ¡Rô4Ž¼Cj¹xœ†6"ƒÕUÑ÷J'#"FPŒDh3ñ0ý)RFB¦¨êPeÉ í' +ñ®t„6Q2EérêqÉA!:wÉt…P)/¥|‰ŠTÎÈçT µ¦é¬FÆ£Z‹è–¡Myê+›ú #A–‘ñÎÏåÀ2ýX¤ÂuðMˆìF;ç#Z± r‰é!)g%¬šPt°qM‰ +©ƒMË1Žñ¼1e:xFÇøÓ:Æ1©ln‘ŒË›ªÚxŸb¤@ˆ²¨ÙçRQžƒíÀü ÙÍêNkÚZ‡ªC5¡j:E«¢)’Ž«$T§jÛ™ŠNÕfãZB߃‹¸6®:‚as@£©ÃcRUšÄDÕ„àD£H¼ŠJCzÀiÄÇþœ>3³Íê šRu*Z"n¨5Cc ÍjsPt@2š­<'y+gŠ•¥\¹¢ ³0t@›Æ CÇ,*ú„6B#8+Ê_$-yÏùñô¨Xê¡@[ªBˆYØ-÷®W»9¨¾zAd˜UdŠ—ƒjÂú-¿& +¥njîÑ䮿úêÚƒEnyh#ÅZCõƒ²ªæ€*pÂ)‡V#Šûž!éc„ÈH¿ÈTÉÅ¡Xü1dwhÃ) GA’âÈ1­pMÊK(¥ÖȵÐE¦8–YˆTfdL u£é.>=)¹hC-Kd MEÏAKhˆ}›\¤§å‘9;4Ž i¬¡e4gmh„©FÉk¬“D¨^¥´ÉG†hô#úÜâÉñŠPþXV­`Qˆc¨$bTEvÄÁ QÄ –ÌZ$#ñ`q¤2qxLÕ¶¿±Ô•¯cúÀ4j]"4›ÂdÆAåx§–éÝêår;ÅG‘éåM½zMU%JÜ"JQ¢Ä®•êIMù%Ÿ_SW ꪙ©ðËR×DÙ¨ðD&Q–ÖËT!ED5WTyÜKÝRTž‰*ª\ñ äÒfÊ2È]¯ rX^iµ"ÊÍ”Îê™QJ%l_å©…HØMYØ­Ã’Š‹ÄXc¹°¼ˆuX–eDX‹¸”ØUe©‹8Xû%êbšxv’É4܈zKÿ©C?UhDΈªHDoŸN#CÏOµ¸*s0Ä¡PÅÊ!ä2',yI:M½¯„Ê–i”„ÝšOZÎC¼vYsiô°â4Óg“ÌrúnþH™hÿÓF?"¢©Fh1íÜÑ+¦Ë¹ÚE‘¨UC#†Wþ4Ħ}2EDŽÅE´ò…8l£-×M,ÑÈÅË6ª4ŸÅ]=[TQ’ŠKp6$ù §(ïBŠ’xЄáA•Azð +÷àëü˜E¯ÜÏʬO¬Ø¢¸4L(‚Šª,%ÛMŽGy’šêx•„㥢ÔÛG'¸æ+.‘­×¬áP1.fhÑ!/Š[sð5”#ftÑë9x©ØÍpöY«z’PÜõÐ-&¶¦Šx"E¤~Pã’î°˜¹)4RÌG&ïT&L«' ¥ƒ^ȽëÒÁÜÒ–9Uû‡×rQE uæ®ô_ç•:µ·H+”TÃËÖp: ¢[êÍAý²7ÐöÇTõ½?ðÐq¾$A:A” h>QãàÔ/’LG¦£85—hšÖ¡¦þ|—$Öu·t¿OŠ÷ 7üý†•¿M¾¿k">µ Ï°ÁE†Y*Jañâ‹FPãh¼($C–+6ÒxñàÔfã%~µ.Œ›zHÎróàJHjBÊ$-å'wDKŸÈ$x’#5éä6ª\—ot®tÓ79èKbEÿd6 *Ý&Ú2ÚcA±ÈbÆí˜+6FP$¨¡“(nS5#^Dço˜¢óå%DŒ*b®¬¢O,bŽ´V·‰íDïK)"­S”nR£¶ôÒC:šI)‘Þ!ý¦;:zHç1#m-¥Ç:ñž±ö;HûïÓÛEârVÕ,q›ç)g<̨vTâÃ/‘wm+lµbVc$S¾VÑÊMJã­Üdu5ÒE m\ï­uö#1îlEöIdŸpH3ÙE(“×èæö:¥|¥T‘¬‘ËRÓh«2ãar*Cñ(±ÜIÚÈ$´rÞ¼¾YÎÑ$ÿHã!Ö.ÈÆܵ[j„Ê;¸;8ÁrxÙ´p¼åÖ/Æã#÷¾ï·Æ´fJ¶ä4Žœ¤Tœ3’6ÈñC–[ÊWŽ]2y•#“WéÚ"'R&ªìԄȃ2!ùHz¯àÁ”é^_Õå}²Ú¾M¨„Hhß7áµ#ßÇ«\bl˜2+úé±V¯½h'MݶÉWå]¼ÕÃÓÌ¥Èl«ÄNÒê©!‹ÍMEœ±|†´p“2Ãî^áN,ÄýÄ\ÏBâNlnÌ1×›Óœ*T4$3‘Šµ±I;WZÙhÒ~JBîÖʦ$‹­Öž?WÞ4ÖÊ›F¼TéÙw©ýåËÑiAÅÓLf«ñomï®dª+©ÕÄ5Å=Bµá">få8 ɾf«ªv­â©#i>ÏDµÈšVÚ¶­³ê$„j'Ï2Ùï­eöò¨mö ×Ñr>W­”£¾›Ì&´Í´#Ù7ŸÊÈ#V¶’Q{’±F¬1’Ú(²‰R?™Ôœ7<ÍÊ“F1RTò[ ‹XIyš±”ˆ ò£‘È%Åê­WM>%…'Ÿ’õäSš*Ê("mº1©Ì(F¹ú§SFb"j4#Ä"VˆarJ©üd'§rs)4Î[YŠˆ{ß«6üÌ"úÀÇ­Ó‚:oŽzþœkûùâ*úrV™pïïù£ç¤:‘uòQI|ñGÉ•]PGÓŠ§®øyäËÇJÚHðT£!Ñ;½ùîÚ][)„>ã>ŸwšQ ž‹­®-<¯Z¬{iß7Æm“«BB¿j¯j"ÂýU£ëÿÈJHB+¤ÁOy.ݳcµÏov~ÃФÇ£]>ÊꕵÚDøÕXO9$Uñ Æx§7ÞçÝ›¼¹á+6)šáWáW[u„_MøÕF5޴؈'&=y¡M”¤$ˆçlÑ©á; ’‰ÂÓF9á¢'.~jˆ)›Y‰ž¸ÍÃÅS7³áiVlñ&/´j%æé&†FGû'¤E¡{Qä)îø"¦@£WmÄ}ÁéÄ8õ=:±}‚{”G"ß0¦&2æ8©††‚k¼8¥œ5MÆeRTB“pdÜS$—§ñ*Väg6¥_Ñ©`Ð¥,éìŠ$2[q"öqKä/kD¸ñ¹IÍ4Ÿ˜JTä1$^ÈÁ)•\êMä29%D¾¡ùzÈ©ÈÚ<äBÒl"„2"ƒò–²b%VP,ã˜NE¹”ß_•ù6f.r–5z¼†‹³ØÅÉ<¹Šñ0'µG¿”aœæz2kã4œ2>³è¤Ÿéˆ{ÆÃ\'Ó©’¯®;GŽîÝt`øCÝ#(Ò}H™>ʆf§Ùì_+S³‹.SäG+_´šM…  rªJa íFA-]zˈÚD‹›èK¢ 'âiôÃUjÛlÑSZá*ûtçúr╶õU!%}H‹¤.¸ $=C„ëÉcˆŸù^]»w6AÚ>Åí¤`Dѧ ͵¼DÊkÜHÊGF”ÉGR>¶FIŠRÉi,a7±¼#ò !Ù¾Vg/o±CË9RùÂ3ºšŒ3q_”¸~Väæý/t±T}C–¹PGÂ2y -¥mÊ23±œÓqj‘ÊìS—R"ó¸ /à /ÉŒLxYÅÌEl¡ g,2–Í)¤„(¦AT}lÇëŒ:t…j&ñšÛØÜÆ&ëwê{é%×(š˜¯®jø¨ñ¥‘·ëä‡VA¾´¯“n|tç£;Wh`x@d t€TÑ*Ò)ȸɡñ#ºû7QH…Ì‹JŒÔ÷n[u0÷Œ;xŒ&wôb’›e·¹°DÁÐ9({³¹žÐŠ§%ŽƒÇ?S{=Ö†ThhìA™¤! Gší#iïlRš‡!Ñgƒ¹–6#mÑÆXKD×YZ™ØÓHvær¡r*ÈY21Îv +´%^­MsŽ¶M·SuÈà·S€8s7ª!Û©åHÃH‘Û©B¯wÝíTŠköæá?ôCìm§cZ³¬$Ìx¨É½ÀR³=ØŤ¾¸r§’Ó’§ìÚŠÜ©¾15Ks|îÔB£Ç šXØq§6¦[6‡­I‚;Å**F¶²¯QewÊ!Â#‚ªªÚ( »S¶òªFÕ¦>w +ÑóVÉ”AàNY8{Ç;í¸SʧÈåž®3žî.vá E·¾p§NclOO¨YÏâ?'¶ìNyiÓ˜T—ìN=8={r¯3 £îdÇ\H©|(»R)žÝ©×M;ÙL’‰ºîÔ§«ì/q’ˆYw*~$Ù¡Ì·5½Sæ SfQ“³ ?Yøq¯$µt½S_¶º¡N ´?7j uõP GµÆëááF±<ÅÜ;u`}òNÍf~ Æù=ïTS.y•wÊè†wª8ÿÖ<Fh.ºHp%®è w*8« ?„F·z§À’µŠÐ((†x§d}Žò÷VUëLJÛ;•s†¨ò¹ÐÊëï[_èjJu§ ¢¢ïŽ‰–Ó:HÀªE»UÒ‚wpixÿVWÝ©£¢ +êÒ°žî +â>ñ ÏÞ©$ÕbšNzïôlm9‚ÞŠ)Ìž~’Ý)ò©â¦é²Ôh5îN¡Zgº E •íR˜¯³îL=‹²¿¦NwʸÉLï”eþ>ƒw*l©(4íkFÝ)¾ÍêúÛ¢2‡òzo’Q·SóåE´c¶S‡ðVòL`l§.Dˆ’©T-z¶òˆ\^]y¾#l§$2@swܸù)YÔÿ4º±«Í<ñýçù§  D@¦²¦¨“6bJ;•`èãµÛ©ôz@ª’i©?Û)¾ä sû D í›*²T„ÞPœ·S¹—Ó³û m§Øu…—|X··ªí¡Êä×Nù3šJñ¡ +8[å«Ipv*Y÷æÞ9wð~œH<åŠ'×câ)þ&2S%<Õ×yñ·ËÆSÊ¡évËN ß8žê°‹ÄïŒÔ‘§ú *A­×'O&ã=»èÇHž¢¾ö4¤/yÊRŸº‘–…Á"O>]xV»“0IžjÀ—÷'ÄñTƲŸÌxÊ¥¥‘DÓÌÊPbuö}"0€%á–ã© DxjO±$v‰€—|<׿.âÂ>qPI×ï>ž:M% ¾\á¸Ò¦ìq——¡Œ§H¦¬Ãša¹ã)ÉO$YŸýÜxÊpwÜÂ(‡¶ñÔM€¾)äѯä¶ú§òsÚÆðÏ„™ øÅ¥˜ä) ³ŠáÏxŠ2PXêœOï3ŠÆS²ñî†2žZ!ÒPœ!JLµ÷ð¼[0žŠ°‚‡˜ä©ðÞPñH:ž¯ÉžŠà›öÔhÏ6D'ìö”ö¶J õyý!“¬5°ö”g + ™Ží)}´âæ)íô#ØÊÛS]ms¥×rtOaYìlU`¿ÁJ¹§FiëÔm¹§~, +×ã0Ú=‡44Ž{Ê?’¬!QdÜS¤bW20uí SS>ÝÛUA:ø*î‰cRí¤³ÅÑ#²ˆÕ=•¨chª{ +b§÷¥@Ðr€rd@}ì=]ß"86O¹m¥úG+£#ä^Ö!Y* +)…à=•_‚íqÜS•ëx [<9B‡åž2Ïê¦>±ÚSÍ=øŸŸ)ÿ$eiO±êCqÖ +¶§~À°ùàÁK‰:N™U¤Úí)Í@ð‚k>œ¥‚A +‹ ÂØS¹Ä]¾òyžmúP³±ØS&ÈvTF…có#{ªg‡+ ¹£ƒÂžšž0ýÞqÍv²iuÙST; ÒKÀžúÕ[CÔWí)m F:GØ`µmO1¶jßÒž'ë”á#$UV§a}=QøMð©úß·÷sð)|ŸÅë@åHk—àÖ·©ð©=9’viÔÃr=Šä/|* +Õ£Õ/æ:O]—È°¾Ñ€*ɉ tq¶Ðe× ‰ð©µ!°ÁÜ þÅæ f­ åŸ"#¨­¶AõøuÀD +2">—Õ¥«Â§v|ÛÄj=ëOñíQq÷zŽ*øÒ! ”¤ª`¥—÷TßZ÷¹¾§Ä@Wˆ‚Ë,Nm“ »§’Ê2!n)ͽQnƒÂä+L»§r'´)7lÕÎÁ”’aº§,´‡<µŸÐόׇt…+Ž5วØα|*ÉÆÑvLPüÉñàSŸI^¼ê9øˆ‘î‘sXÁàSà†‡4~»Æ˜¤¹”)„O¥Z®m¤²z‘O—ª¸ŸÊ‚qì!|ÊtæûÀ§Ã‘¥'ŧ“Ͷ|Š#§ØïJm`øãS9„æVÚ*—O…´bšöî¼ôSÏwN>& KMz"ŸòŸqŒO•½QCBǧ:3™—’OI%ÕDÊÕ-‚—½m#ò)›PÕ±“OM&2Z÷µ±äS >P wV!ɧæ@×q˜OMQpµr«àùAÌ1oçS)aN—²Õ1Y>’Ðýù¯Sp/ŸòRCSl5aÓŠ|Êrð/ÜÝUÑäS¯ß@Í#ŠÉ§,ñE‚|j”Ÿ©Ò8ÐÖã¢N>åù™gZWš üª|Šo/ 04>åÓ$ë˜è >•‹²ŠôjçdÖüWNŸz&ìDÚZøT*KT€Íïâ!¬ *‘»aÌggÌ{*ß}A4í ¡V’mÑŒ|Š×bVY×ð)`Žß8P>uû¿µ\ାá-žŸòðÔõaZ3Ƨ¤b§hÛ²g|Š7Z‰#™9—È£Ó1WZ.³½¥Õ£Ç§XZã2Ÿ~ÖâS>«ªûxŸj³ @§ñN¥’åQêˆÐΨÆ?˜ÔǧØ^‚"ŸjZúÞýÀúžÚìX†~ríÁ{êîO²Ñn{êrZk5Þ„A´§\ Áý@W¦liÁ5;¥W{ª™Ž´ô‰¹=µÂ6‹—óö)$c +[ R•x¥„‘€}ªN`´â|ÜfŸrßóHö)«Û‹ÞÒ«O54g,¶E©ñÉæö)FÙ«h­VR³OÑ¿¢²º–¨Õ§–Jä2‡-ºÈE_1ûwwAoëk¢«ÿü7Ôö0¡È`ë.è>•îBÿÞ¨qŸªbh;ø)ŠäüV¬þ~ +?Ë_,uòS§µXÿû«㧠+`ƒ%ïì»ÀO)¨fØFvðSß–}·â’v'2q¯ñí>Uì’“¶˜aܧŠKé·x*ÛÚ³ðCQîSQ©dûx~àm«ë÷©j~ëD"ÃØ"Å%L}ã_­ ºÄè|ÊRnP æ祯ÛÙhü^Õ»B2 +@uq3Þ0¯Ti"@UväšµÛ±" y Ì[kR¶ +⪖7ÈÒ +cRìÂUe<qªöO}Z¥ îŸrmØnæ ¬öOyiðWã <ØÔ&ýS¬Ú‚gÅȤæÿ)ŸÇåñy@•—8"ÌÒoÂù×+™b*L[ˆy¡ªM£¬HŸ +Ž®¤©óe’Œæ‰zUeK…OUPýØŒíUÎ)¥ßÕÆMØ 20o›*AÅôšÿ[ Aõ¼>ò*— *ovÉAB£²ó5£ƒH‚J0tù ›¬ÑïÆýyq?&¨dwU¢åG 5*Æg$ +¯øÕØê¡Dí*† ªæjÑJ¸`‘wQi¾ú·- j Â³9Åüõô¶0A5¼îêH4¸Âò8AÕ6³+­ÛØ‹øKÕOp‚ªL×ðqWA5fä4ô?(¨ +- O ?ODÂL-gTïÂ3³Ž~ ‰5PKÙT¿g¥}¤Åu#æš@¦«ž—•§¶gÁëI@=‰õ´/ÆTiM—1ß!Î_Ae’‚Cë‚ v4Á»û¢äˆ<$x0x;éê¿*‡©ˆîTEMË`q=ÝFSPb9Tî9A9’ìÞ1&¨ߢƒNA§ ªÊeÁ‡åìH‚Ù "[¢D[dJ·åŽGƒªU'ΰÐÎnP‘Æz³Ìî ¢¹’‘:9QStrPÍ^–2H<‘8¨¼ß›ÅÚF©3"]¾R-Ç §Ø›ƒ* %Ë}Ò7¯/{L×  IåK¤ÃÞ¯é)ãÔoJM~}éªLE§puíæ*OÑAõ·¹#Îà²×kÛ;*:oaPa$õÀ'«µkŽˆLOµåDHPl%ÍéqP€ ?¨ØF¬!TÝæ¼êÜ«¼¡z§cÚ~D·É»`UÊkJWª«â*Ûq‡¬ËH=¨¦6m³Þ‚<¨zóÚõUdöŸu0zI ^ËtP…Õ¹Ê$zT€Â ]ü^ÌrJÖIvËL@ªA¨ã¬8Mu9¨8\ KÚZ‰»ö!”‘çC™•²wPQ!Èg‰Yg¥ÒQçÀ@<ï* Áغ±ƒ7䧊¸¿ rP]Ö]m«yP V:´êUþ“)r2åëA…€óá»EèŒT ^¦íK-wT`‹œ£à½T¢ÍnÑ»ü ª»nSߨ›}Ú)©˜+,öJ`©`I°…PY‡;ôï ÐpÕëÛί`­8FF¨Þ_•À#žpŠGY7;-¶8΄¤=…Ña<–}¤´œê ŒËa Uʈö„Šý›_¥P¥ÐË3 +ÅDÍáü‹X.[N:— ;¸ÂG“‚BÕûªœ† Ì;…JE<» +~²¥P±(L.Pß}ª2AýÆhM…BUiÚÍ ý³*Ä)?êyP¨ŠbqÐ6Uü •¸°Ãb-ÔyBE© °p—Œ¥¶ÄCšŠùª¼Çò[zÁËBkè¸i<žD<è^eBŽ —a}$\á¼ÇÈےж§oðŽª_>¡:ý{Ê+–U‡=HYömË´3¡àõ$UN¡¢å×r>Ý +µí(T•C|;Ø1póÂƺ¹ÊÄù)T#Èút·Í?ê!…ªJ½{Pæ(T³ÿ’hlx +Õer‰MRÛ­z‘r»ÇjW¨X‰4hk+·¶dÖW¡¢ÛIòþ ÕÛ@+–a”ôv6{/Tò‰úŸ ( VüBõkƒAí4þ¸“Ú…ªÈ›…JD6w*·¤°ðW+²&u +BÅÎu¯®üÝP¨Î]©´P*Œ¢– +UxI2\îÓUö:=£PUBíƒzZ¢©Â;š>$ú>¢t‰wðS»W¨xP鶋P»á.uÞê$"mªÆø$­©)Tô¦@ ­Åô˜õ[v™—xª–e€YÂ}r\U>5H¤ŠBEw³ñÔPbým(Tƒ0X4-½S¨fx’¶ÔbÒìíHùùPë¦VšEµÂSxÛŸºìú[Ŷ—»4 *TÌk¯J¸¸äo®YS¨0̼v£ªMU÷ë—0;]ìð°Oý¦Pe%ÂÖîÆCî¤RÕFx>Mþ0P¨ž4*ä+ñ% +ÕÝF$ßV°2/ÔÊô2…j…ÝçLh±-ƒ*×ô—xSHêǸžX{ÖéÌcùI¡Z”Ýx•_[)T9ë¦.òÏ +•~¯ äžÿ-ê"Äð£BÕ4? PÖ«Y¡Ê«ÞÒ^>¶€°¥¸8¨Pý½æ¬ +ÕÀ†K#:å¡+TÚæ*ït`Ž˜à³‡jÒÒapÊêò’iT©O¨¤aÆòPU€ vW,º+J,BO/÷'Hª>õ):öšGnWœN 5å…ØHC…¤¤ ÖH†ê9³!ÕÁ–L›Ùô–ÂÕþ UÓ ±¤ñ]=Ô½à8eÇS…ǦE† +jñaÍ >CUyå1`éhÖô'e¨f$¿«C1Ç4Ty\è>€ç=èhoT±n3èbÃG\ •ƒNw»ü>D&Ÿ'C;¦ÐRŽÎP‘Y ¥}^kÒ¢+C•Îx©]Œ®Œáu R%{öC7PL •IXïó#›¨†“ê¿×`¨ä°çåˆf4´3ÐF©„¡ZæU%÷Ó*^Y¢á™ƒH…jküý}(I­ÃÕB©µ,étÿÆù~ɼP8Ö}˜H±?"tx³©¢µÆÁ1S…J®€ª­i'± 7N åûƒQŠÎÆCÕØp¤ü-BoL™%]`¨&ÌǼc¨È@ mVlùP…0 +J1õ æÁê bC + Ñ|¡2‰{²/†*Ù,¤C°ÇŽÖ™7,Ï‚a·1Tɉ¼5N¸Ê ©¾è‚NÞVÒZ&öþSQÏ‘—9þ+ÔÖ]1£âöŽ²T •¸L`ñ²i~ëô{>›ÆP)pÊ„ßQ`stÙNs“š»SŒËç.CFRE†Š'¼¨p†ŠÈLÀ-Ö¼a"i¨ÉlÌXJÁ̦†J®üKïÂ-¼wIU†i?—q£Ò™)@†?™ý©›wÌP£Ç¼ç£[h¨(n.0®•vª€%‰ƒ!”èD0ƒÊŸ¡êŠâ‚­E³Á~g¨Zÿ"ÅnCCUf‡–X°êºJÓ×PµL ¤²ÄæÓP¹[pTCµÓü˜nr¨R0ç ‡jgóù3&ñŽ… 0B@J ª'!ªâÅ +k^]zO?CõQ®á$¢ +š¡º°ÙÁ'½±Ô •„!=ø¹ªTŸõ^« $À°Êéa5 †*¤£‰[VX7Xt† +ÐL ‰3 ’”¡JdpØL5Ì Õæ¾$©>3Pš0CåTm8ñXœ [‚Š×Fï®  •ØJÐÚyº*Gd¨Î÷ÕðÊ";_2TìÕG[•v9g©MY?C%Û:ݹS,„Ï2óÒ‡#³NýX¤Eª‡}Qª3¥Üí®%9ë (5‡‹eÑ© nñÌ\‡ÁÀE†ª¾ ˜‘%K†JRw+3¢46*î"ƒ2T ”ã8ÍŸ~l†ŠWþ©ÚÉ©«ëÛ ‚MDå›@V{¹íßê¶Uu`Ú€ÓÕNQ •Ø‹ëªB„yÖ—3fW: À¿ÇwåÞÂPY“WÍÊÈ=“q$"‚¿”ð]% ¨ÔTí(<.Gs@‰¸DG…ć ’cˆP¥k)eXxë9á>Pí°CcÂu… Ò—Zøö@Åܱ€è@Åž3ÂPŠ5Õ&o@¨È¿OiÕq‘Ç!³qµEº/ªpP±P„ô ÍûmÁ‡âè´ +ôúhT©;÷à›³œEn!T<Þ¡Ø@¨Ö¾0„«P%Á¨ï¯Bˆh©ž€B¨øᘠ+¹º TU?Ð<I‚€9BÕØ{->K¡rVmgç_3í!T( Ì= h+6¡7• èð8ûõžA¨¤pbŸMÖEBÅÌ—m‚P¼9D&ÉWÈ¿QÖmB¥µRÞ«ºEæ€80„*ãRW¡©o(LC¨ŠUG©@¨„ˆàoÿƒjÏu òRÊ"‹*ÞÄ<JÊ„ŠþMè¤ïþ +„PM)*Çj e¦¥þQMP=ÎÓ’ùS9V²mP™ÿ D¦›¯WË–,Q¸ØýBŽßÊÖ@ ¬ÿë#´Âµ/&-8µ½O‡Q8Ì\V@; +Œû*‘â½S˜¸ì®à¸£Å'îS*Âò 'åa1 +èEnä,‡d²l×Ô,ñ2Uʪ2ÔÁ´nd´v +x„N¼„¿˜¹²—Íÿ€ð®>×±0ÅŸegÉbyÛKG¬À;•ØRqFŸú½¡„QQ­¡’‚Ã<ô’T#f™ÙMòjCªèëúmóô‹ÉéL$i`;Ìuñ"  ßz¶a —à¨o?AèAP¾pnq,/À8b'“×óEPòG…TÞžA¦Š ]fŸôå]·Z¨l‘é¨õ*:šèPÔàáê!C‚Æ·Ó¿˜ë-ñ ge€ó·Á|ä[»±è”‚‰L†‡®ÞßH1É_*.ÕF .ä Êºït–¼‹‚±”¬gLe×ë$ò÷5„…DžX¶a~J&>O&Ùr™Ê}€ÐmʼÜÕb[•ñ†m'\Ž÷ +ü…XÔß&}”êæ¬sÏUPÙÜ‘Y1QëtœþxfÛ[ž;—-šªæèjëUñçîZ¬NŠÎ¶þTÈZ *äÚ|ÞF2h *„6@@Û YªœyÀ-ð—®(ÓëœÒ³;â¦GŠJnºdL— MŒ>ŽõÞ$¨ õ³’UM ìññ˜Z»2VÚ\¾€ô¼ÃÙŒBƒÃHš‘À¢á‰ã±NâÁ¿¢ÂsÌ'?-¶ÅbjCäŸÒøÕÉÎôÄåuxˆð_@˜p´Ô`mÚi‰5‘™°ŒôÌd&póÙÔAu—o4ì¢ûÉzŸ_îx/’ùŒÌð(ÅFMîNC- %©Ùt 3åw Ü0\‹ç# !ë:\µê óëyqE!µ Ø<Í8EÔÍm{£Û’a¿~„×=Ë*7ÄjH]Ëø_ÂD£3ÁMm8é¿=F«ÁN@È»Ò\ nÒ¬ nšEž Õ‡aïú¼âÝyžˆë)XÞ”þ+—g¬•ÿŽ5ÝŸç!ÍÃàV–è…¡*•©}hÐqL8h*§m°Âö#â@’º^qMËuº¨2—[‚ÏTƒ’ /(m ¸hÙàãZÄ)NšzÏ+§®''ªxy;±1gû”‡(èPV[äÛZ8¬Wß.v(`hö±Äqz6a3[á»#ø(Uô5†t#\‰ûÌ{¾fÍÓ6s^AE&g-­úÇm#‘”ê‚Ø°ï$©!64au:óÝà5f½ân/™aš…Âêæ퀧R§=lˆLË×Æðg¬–óªÖ>;°Çê—õ¸˜?Žm¼Õ‡/}d(RŸa í‚˧y~zúmŒÀÂxXU „?õÔ¯§ ™ÓÒ¡lò§&† ž@7 P{T^YUµoá«}U¬“àN£SËÈœXS'6šofœ£¤-”µ¼G"| ʵ-êL‰kˆ¸pçËcz¹EHA î]H¼Jý ^4ò<—¡MƒNX­d«2ð̹ ’þØŠ“ZÙtXL1Ü^ÖâP¿MW«i†m +MeQ}¸Ä*ð*ê{¶à!Å® +ðgL·‹ô&»– ѵâ:B¿÷8tßR$.Œñøíw0K\¯g3Ë|”H6–î ‰F ù™‹kÈZÑ]TÍ2ýu&óß™ú£LÆÿ Vjf +xp=…!êÿ$þîà³÷’e"S3Îø“X·4ÔGž)x±èáS“© +Q&ᤲ_ ö|CWi£öGŽº oäAÁ”äªX%ð²  +·ÎyÔbÎ"™¬Xcz4/o¥Õ»¤P õL¹–¿gД1f-Ø×ÄÊ[ý¸¬Ï–iŒ.œP÷+©sW^,5O‰{’œ5€Œ|R(¨wôc›$U,Ð~Í2t[Œœ%yæü᧥ +šyoˆËñÇrâ^¼i´({¦æ¼Ž)Už5½¢k¯èûµ¬¼ìQ‰H%CíÐ ä0§‰¦QÏË6& éE~™ÝY  évl”Vݧdm‰ŒiåŽ&©¯œ +wûÐ9qÂ'>¯Ž4¸ÊYZ•‰‰¡wZU©/né(©*N°ÅO£¸RWM$kΗ֎¸±§‰ÓŸÇ=”uhñò±¼Á Œ ¤ßVÎ%ë­ y%Aôv6åÉâ`úl—ÀëWφèqèo&!‚ööÁò!l^sEQ«Vô(Õg…Ú…E Á +-&~uá,Á…‰è7ÂB)ìÛy>¿[•¢Å6ŒD+Jè$o%yybuwH'O-\¤£jæL¾›+›°ÒÜr›¼3Ò3ì‰:§ûB¹c€µ µ?%à ýmDòIlô¢D¿²pþVu| aùìª ³´YѦ´7]Þ$@0~ŒiMª¶ÎùÐeôÍqùQÊ›6øá:1yNf©”Rü«~Ìn›h€¶nÈv‚pö½¶ –vâتȤe¡Rc$¹"½?$0GvIÖ`"×*[X–ëùUö7tZ­Ð䢄ØKøñ“ÊÈÚëˆñLJŸçJ5û(§ôîE¾a‚†{¢+:#ñq,=]vÑMëgË,‹fv Ž®6Cœ¬´°.ùÔ>8‡×Qþj×ò¯¸/™»¶NÞ }¢RŽŸ‹‚Írn>¢ôÉzáh"rI;4·—e +šGóžP®÷­1EVÒº)n¦ÊL£ Å"|úúÖC!ä`˜pââëhƼà¿0{“uPgÁ WF&f%þ2Úk‚-Ô((Ã>-tq§Esk«ýÄÿ]rlÚœà?±˜Ÿj(WkvÉC@Š;ÓoÂ[Þr1Mœn_±…hB +æ`&Ì& +.%ª4À£ë6õåÎØ;µG³™DØ€'Ð3Ÿ‚Jm–‘,­5‰Tp‰A;VãX¸~Åam `æÆbN$`ÛmººTÈåfŽU>h¹m®&‡ò•ŒmoeœWR"¯¨êʦ_7,Þ›ê)¹D'}Ä-úAÐÍdÖUû¾­ʘænI$ ç yÉr´ÐÉ4ĵöbò €œò +Oº´°®¤jd' x]£uDzdfÙRZ†ìCH’@þh=cêd*Ÿ÷ñhÌ ØVF§ý*4wQˆúô“O L¢š òeHœ9e®}@w A'àì¨R݃7¬ÈóÀ>QÇx×ãçÑ°/×(ÜÁN2ød¨-¦&Г£°À9OUõ&aÀ ý8 'ñ/nÕó Pa®Üdô2f¨ÜÎ 5¸‡c™’qEeNmÅÖv7_Ø×, ‹îE3‡±êFs­wLrÿYâLÍm·¿ I´î®;_\1… ู´ã¦‡¹îxì{îeW/†C¸'WÝ×èE’CuÌ 6G!ZÄ;½”‚9ll•dm=0F)ðñ«žG9ÞÚÜeW¹@ðáhLw÷•ïw`熵³‚£>ŒÎ 8([e”‘A’ÐÍo<^µ4“þ3Pè ¡G!j°:»è²×g\›5#󧨼˜›ÔÒÑ .7†´ÙUœ¤4Ÿä1" [ÿ2ø“\¤²€‘­néé0ƒÇ•m\Éà‚ØÞ¾XÛìÃu®ZËáI3‡iK•ÀÏÀŽ ®ŽozŸ›×ø{íöO:‘bO +ÜfÒ…!7±Eoç•‹üÄ"N46ÌoH–¾p!õ›W}LIýúÈšª¨ój/\¡÷™QJ(È÷ØÙ4‰¶1¹£-RsÓó|TXæ"‡äö=û–FGœBÔ î,H ù;gYxLüÙá/?yÅyÌ~S±˜QZCõF-E/ƒÑùÙˇ!+èØÁU)[Ëd’»8ßÍKž9‹jÒd™}ˆz…ç©9'´F>Íb<¼ÓaЃ‡ÍçEƒ +:æ§ö¦Á™bXL8íAå¦@ezµ¿a‹óûù‡„SBëà ©—¶Y †ÕÞÈ›³“WÖð<0È2¹á¨7ˆ¦–˜¹á™’J›œÑËXÖÁ7YØĉƒeèØí$ñŠ .å½ÞÁd’Ø…üá39ø“)¿ˆ/ê€ÎÂRVZd)‚—¸ãörqßžªÆe6ËX÷–E4@ìì€$ŠÊ„Ï¡¡Í‹RLÞµ< þÆmMùÔå>¼èVü픡ÛN]=cÒqV‰þ4,Ú,)Éè8y½ þWeÉ¿GÛfšÙé4wRL[hë‹ÜòaÂ8œz^¸Õott@xSƒ~ŽÓßjq̶ǒ{ +"%Œz Ð dHEùê<ÃD«vw‰ÒrÝPõíª)®„l˜À¾êfì8Ü £PÎù!RYi˜GŠ´aUTuÉ^phV·jLñþì©õ’"LPì„EÜ‘/ÿÏ)Ìñ%¬z÷äžµñ¹&ã5s‡ÈÝU à†¨YÌýœððÛ"*àŸ<ÝÏy‡Þ¢°ß¾Ë½a¿‚Šçù-Ø2¿ ±IÈF¬œ§Ýÿ™;L¿eŽ ¼ÎÛ†)ùü—n–c¡r­ÚêA X/ŸP×㻼e#6„O¹«ÈL‚:—Óã¥TÒl©6p^ŠÍ¦¶FÊyWª+æmçí»mˆQÑ ò¯*%d«Ü?5Ûjí´ô’-®4æÝ­3¥ƒšù4—Z3a ¾¯|þKË­ëѾõ¶ŒûLðÆ™-FU©õ™uÄÅhô¨,QwÌLQÉŸª€Üa5ÁWÍ¢vó÷݄椃~¾VÓih™Ð²èÀSãŒI(P¸3£øˆÝŽ@~FÛ‰ŒÖe8Šš20Å3Ûi7’-fü \Û››tÕAcN[]¹±¨¶Ó7I¥»Ëé! +´Ùõéš…ì´î +ËK`E/rJ· Õ7Fu!/…_^«0™ V3µ‚QâÎGQ¤ÊÈ£çvtµ¯iõï\îž6ÅòÞm–¬HDúwÉTj…R˜åŠÑÒf¹&i¶#Ç—ˆ'£æh¡W¤Ñ> I÷ìÙ€gsJ}pè?Qi!x¯pƒ +að,°þFJF”Ë!ÛVU»[~ðt¤Wuù^® 4¸ç+°ÅÕ ²¡Ô‹B¨Ã%íruf$IxB‹Y£`-Ñcç Ó¿ða áK…;5ìT ˜©×ôdŒOÚ«¦a '{'fÍw:Ö¸Á¦f‚ßÝGñ—}‰~Ê + ôââP +œ,'âÔG׬™^‡¿¦á˵²hÕ/zDÂïÈwŽ%$ÝZZAÔ3eDÐâý§î¨ÇàzühÔxuæã?1 iãxÛ‘ÍxÜèd+³aCBfŠ +ÒÊÄ>†þQęʭŠq‚ ²‚Ó JŽ£Æ×í#yU¡fy=áÑ6&ðb‚7üí²6N^(±8”­e)aß̸®^}a¿‡Sýbîð·… ·ÖÔWSný^Cõ pû¬ Á¼/™ +Xs³ñÉUFû#/Àâ^É»ƒ'ñù:Kly5*›-5Q`w~–,ÛHD§ów“9(ëuÊ3ƤDJ‹‚–Äj³@‡ Š…bäRLITÒ$ƒãº¤p¨§õd\„ìe›j¿Oã‡j‰?/,ìXÖ°š4«Ô""IÑüšyØC\ίK E¡ˆ4Q¿ŸƒrŒiÚ¶°ÅAc{5AÈ…]±R.ˆÑ@5ƒ&| —–÷Æ_Å@O¨ík…~>’D†A4‘Æ©“ßÌî ÓIä©-ø›š”A;»=Äùe¸"ž1® +PMjòàð7É»ž#mvXF5îGQ6EeÓ®)=psÁá„háœi±Ô*h›kIjr™ØÙPô¤|±0 ©j›1vÓifë§I.NÎÝVúSçRÍDý‚ ô£1œ.˜ Äå ®£YIdQŸIT´s·¤% :§Â+›Þ—‚`*†€Ï2sBÇMƒâù-½³Ê– ®(,0”KÏm­ÆEùW· @UmJŽaa›ä‰¾6÷i­ÓCT {à}¢¨E>«ç¬>Ø¡âB ŠÏæ~4HóÓùÓÁ™¥Ã)Ly*rܺ?:ñ/Lᬠ+»ã¬=çÝ@3OÀmööH¤Læj’‚‰§Ôëp}ãXœ1¾bƒZÚîFœn0)ðòiÚT3¯TPí@3ÙœCŸ¯¨¤Å߯G à^ü±ŠóŠz•Vjƒñík­Üj‘jýªÉ®#öa;"k¯}O(?aá»ücpcüS4böÄ«Ä85ƒäúˆƒ†¤7-õ…åÖü„§ Q ØÈ*þfp(¤OHÚT»u¹ðp`ÀUm1ãnìZèE‡ÄŒúI7Ûmâ +5Ð"ˆnœß.](Œ¾1êt¯IŠŒ‘ªcV-»¸Z®õ< Œ7ÈÄqNµ|ìÙ)¡³Þy)3+ÛI¤£Ë*¼ÙNŠ®á"ÄYžU„Dôš4Î@õ,#/ô™ï¼'¦~åPM‘âS়ÊÕY†žò›ŽÕŘ9¸ODZ–"¢Tž +Ed0(Y?H#OÃÏQMI‰Á¢—ºX¦s×f…yƒâº']f˜Ì,ÞÿiêÒŸR\»ÜŒ ¿¬dS/-k 6y\;EÀ£ºWÅ1— b3l(7^…•Cl†‡ :•X3F$¥}þßà6Š„%û¹a‹%5'Æk‚e­ +äæ‘—ß›ºõ#/iL!õû@DUТ ÎÆÁðŽ“Ÿ63£ò‚ÎÞ5vj3úÂd²qv[¸hêì+l€ôW†=R%…ÇtÁÉÇW‹>Wà 7§Í}[™íFú¾Žl–¤‹ ’÷†÷ß99¾›rgAÛ[m2C2ì*ÙÀ€Ã›Í +u3¯Ð±ãd–±¢‰¡ù¦W­•c$ð Ož-UIð\ñ¡5è‘W&pÔÉ¥,ɈM—cyÉQ(€$$CQÖõ”¹6))ËŽö²Ës?™0¯â°'b”ÃT©ã}ƒŽ³¤?LXä¿B®z8€Åe(ü DÁ3áaËï hQ{$õ +±NæBíâÐœDÝ›©h«–i¸>ë„Î’—V´J^-N6¯oÉ.¿AFŸþ™NÁ!ÁŠXP½ ÷ÖÒM5*NÒEë‰aÐÃ÷³°Â'Qž½â•ê– (B­P ËX»ŠGyÁ}=L¡ÜÒb#³ÛfÁÞÿI†:çȻߓËí‰)DÝÝ©ò«&Á1<³]©qÕ®‡°@¢?Eg‡}¶>“¤MÆ­Ë#€×:qÍà6@X@ôº;0ªD¬cx”¼Hº¯Ê$àg ì2ß7°²ùùf[LÈâ~Ï9¨H–·»Êž-òÖÙ‹E˜}ga-•¨û[±ÐoD º-’©¥M‰ƒS ýzLî–$­\´z£¬/¿ñ¬| @ÚgêŠÛnLD¡MË:X=u™&DÿZTšE׿Íœ ¸8ƒ-yÊKû‹„·îo±)¤*y½¢d8 »ÏÁU‹ozg ÙM®!sAN$™â¬ªRöØ~o4úÅJÙÚü`Aä¼\{[¤#ý*È’î–ý×·†t©¨™A^ÓtwJÓŸzÓ‡ y 'sª·(D„}ô‚£…Òtpu‹Ÿ I(¹bÁ &Y2V ·ð5cšáHu@ îv†Ò²1S¤³%(E_Œ='â±ýœ4Çj'B¤ƒ1Ï@¤ƒf¿ú;7^Õ:Š@ë¼æ˘¢~ˆ&ëðˆÍú"Ì(TŽWOSü†îJá¨<ã‰:e”ž8§€&pÝLt¡°ÑžÓYC´Ê:Ç9>sIªìDŠÞòñ@ª{š@Í(ÛvPša'Ôg§Žá6R)z2Mè´] ádEžT Gh{{ÀŒºä³¢P@Çèàu‹m©gq#: /O¼»—6\/´b¼¶ªž´E[„{a€dø¹ZÍ5ÄÑQuÈÈïmêùR)þqÊÔšð\[ ãÀ¥Ÿ"ø°@ˆèüïÌ.ÞUÎ+æ——oÚÍïgðÌÖq¿1Fæê¥øΊ§*Øa+I¨UšrçW)ÊVæ ¯Äø°§Ä„T¦†÷Axô™Ñ†¶(ˆ0p×4¯-j0I–A?2ýµ£·s˯ì9W‰˜Kà‹Ð_Ôº&¿#$h¨.EÌœ¦‰ÜUPÖ#´–\œ¬Hؽ:~ˆuaQ¹:¢}Bàõ‚5!¹÷?• Oâÿ`Fd¯ªh›”¯Îœ§ž-‹bUfOAäòå8æeBɳï5*šÉH;˜UïC¼%t9Óqä”cgZ–ðº6¹ ¨øÑ7ÌqžøYÚzêwX©°LQp½0_ ƒÕ|çøõšñС´­ðà`ö)ueCõ/ô.”¾jè›®:Ðyk2c‰nBÏ6 ;™oÏð: ©ò3ØÐÙ +?0‘ÎÐ’8²òt))ÞÇøšløâžàë*5“Š†ùÝ<rú”E¸†J"¬`á!Pö—ÑÒð…Q#|åDk `²0Ð[SÏdD¯e^hN“–'_×_ÐB(uÛ·´Ì°d€é&$³È¨@š£„qÚ°Ÿê eÝ€×l—oœ+»>¦`[ჷdH£à L²Å×úR%¯nXRöpbü`#so%è€iaÞÓL®b(Èà#tœ½—ª]>ž\ámŒ÷>Xzu¤†÷¯P°k$øVB +t y‰—¶Ì´! +m‰†qÂ;um¹¬6Žóˆô°ò!;M“‰2XµÍR¡9 ˆ(Þ©J´)õÇGV²§C»˜^­±´"ï(2ˆ¸;µ#k|¢‘<’: +¹è_þ¢]û8ÓÿÄX¢iL6LnM¹¯m6ôá²ü _ôo³ñœÀ&Ò6Å´üyeŽBI¨›;¤ÁÄ?s€H—Ö}RSÆöúÐyn¸dö9êÌíZnîj—ÏKcïú + ˆ~þ¨' Ö:¾¯ƒÖëZAå+l¯{¸)Cj=—Ús¤ÃtŸ×™6úúbÔFsšcú>¼ü_ ›Ò–à)q=vlöm–oh±ê…€ÐIƒ$z‹ÿésçsÛ]#¿r¡&`šw†¸Ë0x(ËÊ[ yýXæ yõ4•¤‚…c8í(J5š4YWߨj}ŠˆÿJÎ’0‹B;©ÑkZø®ééËðßDiÎÿs-§g ôÇà‚Y‰D©¥ öûiXªE%ÃCáÉj`¹i3Yšã„¤eTºÈÕ°©ž +íZó¡”à€PFƒ¥rG%r¸ñNi³#>°šª)9Æy£‡äN¹JqW ýL– ¦]fhy¿Èœ(°è<´p…ÂpúÊÐqGy³‡9)/%v… +¨Gá>þÐ1Ýmö"±Oó„ýÊs=&d÷–§?K b±¼Тìx±¬ŠCä†AÎÄ„Asÿ>l-À¯ÈÆWú×ù•f^a¼¨¹çöö )¼š_”} +Ø„ðVÉ\G`ÒYäŠhsØ­ÒûJåyQÄÀÛµ1þð!®JMa»#Ä8µÔÒNdñòˆ.p#Þ.;K‘ ñ·€/h]ß'ŽÁ-£K1}®¡^„?÷OkǸUX=v¸\Z]sEžï¼w¦ïÚ¬ÊÆö¼¥ H„QEªB¨¦»c_‘±…¿ÿ€8ˆðÝ9l±Ì¨D1¹›ðØ4ZË•rò-B *ŠOaâ”êh¾ÃƒåNdíËè=ŒáyËix&6²*® j‰O[?l!¨Õêó‡H÷Ù°´å`z%óþaðd{3]çÐüÉÑõÎPY tqÞ ª‡˜máðUgcÀeu‚“=ÃÈK¯K¿+îÆÝÒ “/×Ö€‰vªø~‡?g#!ðE„8P °V´eî÷¥¸ +·¯BàJ; õù@ñOÀ^µ¥-`ëjÃÍxó}Íî%ü1©s¡À¼-jCÏ *T^ƒ"{ƒ&}ÑòL¥¾JŸýK$Ѽ„±Dx—É™ÕGFhkR’æÝ€Èý`&½(ÑvÒŽÙ,UÁ!aÍlˆÚ +ÛZZ›(:Ž—ÀÖeO÷²†åŽ;ž@±l X‚ï´{ïg³9K²þÕwÛ!—ï^Ï6Q¾0P/Jz«CÇëû¶üÖÓ/ÌÿG+Hw´êAåÝ@E•†“2n+ÜkYn¹¾¯›€:Îçícˆ“ò½Ý»æhlÉîý™P™¡è¨ôxŒn¦XW;€ÙSÙcÕObïà+ûÁ¶2ubG²GY‡  +MB¬l¿=«)cpIÉs=…%é‰jæz˜è6lÛHžŸœU„ÀÕ^-a™Dngí3ã@‘ ×ÓM , 2ÄqHñxž§UŠPÉLz3—HC(5ÜÕ tÞ˜¶L®ÊL8æú䌮D~œ’»ÌMüUà. »µ7Ì» ñL2Àìñ¨ó? +&e•íR{Ÿµ’ñá«Ñ§-Á2ÇÞû{Ž +†á[ê‹„h#‚ã—Ÿ€ðW #‰n;úW%ዪümÙŽt¦{þ•K¹€Éãðãêo½¯>O± ¢»<Â$âçH”1BtP~“Ç ¡Mðdj¦Qy³_Nr[ ǃƒ¢•×° R²ù+„Ä©Ìpš³B_…9[ºb0`„‚½•²)Ø"~÷<”ç~-‚Àû¹(hä +©ä#<úôŽ}®ƒU`>~øpŽœÀác«€rÑ}òάD[°o˜x÷ÈjÚÒŠ‹~MPÁu˜–Å=0ca®ÍØ 4û§¦ãŒ†¾dáþÒnÆjÑ4pÜÚ%yØS:b+Kù‚µ“ÜòE&ØAÔ|Š´|27œ,T"¾2Á_‡¤°äk' [¬«!8ûéU´xb=É„¿½àÈkš(i_ÈëÁŠÜÛÖ›”àqö°7H÷·§ÍÀãÌH{ewŒ¼C•òÊU¶YQu,é°%3}‚ÁKlŸ-jAc¹ÓLJ'Šý¦+X¼Ôÿ9è:u “D˜âÍ8:.EMwPÍ’˜?4­·¸ª]Ya$ÈE’2Ù/u¡†÷gæ[óº˜Âï· +Aü=NOìpgù¬k&4æ¶6æ‚{‚ÎMè2nP ÌEüìbNx‚,Toµò§Ë-Ьÿ±Õ >!µӃSS½þ>aÊX¤yù„‰<{©äÛŒb¡ÂÒz_Œ5ü<@šÑ±½Ïc\\Rd?yпOË©B ðH^T7»a7"Ç U!b=øY©IW»5n=}jÚ©~~‚d2ª6…>W²ãÄL$æ[èD\®yCÜ ‚ü'3“ +ÂŽ ê\H™—û’mÁÖ‡ÇÐ`ê?‹ç"ª=ƵAõ¿È@ú&ÁðYUW‚Xº‘G¸Ð€ û „ç5>Îr ðAqC½+ïH­Æ>ÒÐ*-¸Ïu1– ³³¡ÖÆ©—ã{r×ì ÁITo/R£¥:e"V™tj¹€ÄÊY=–@†Píò¥F¯Ý°ÏUú¢7~Š›O.ˆø‘‚©ç•áäö~àk?bžÜ00˜‰ + +Kw‰+ïaþ„bWÖ˜*¡MâSDÒž4ŒÂ"»&và!sŽ© Y†"…ig2oŸcÝATÁ:ªß°§׈‰p¦lÑë?ßLCqÐÜÐ!:¸·wÀ+È7,Új*Ž?ºÄW2âªmô à !Fÿ®9„Ížev¤dQµÏðPøè<”IÒb®"}C¾?¹<¯wøº>-à+»ðA'  +õØ$”ÈSTçñkÁ«ÄˆéßIÜ-ãetÌ;o/\ðBNÛãœötB%“™¶õ‹2ÁýR£ú[ÁývÜ;${Ðxþø³ J÷d:Ao¤Hµ^tþ5 «ÑGï Œ·ó˜¾’P©QThÙyˆæÛ›œ²ÍVBøð&l>Ø£°wÅM =y2qì éñŠTÈÁúVKbÚ’ƒÎÖ«\ê*B楅1ÏÙG KÖéÑ|L)•¹2«[ç†Fé:}cwucÓ+ô, óýHJõtÄÒÓ¥Qïƒp3Tèà V»8vÙÿfgJ„rpÛ)6=‹¬«»Â—6.\:Áµ32µáþ0½ì> ät·ó#ÿ&1DKZ aŠgëqä2ZIV ]X¦P8¾ÔCì,/ÑÐ àä4÷HIJbbxÞìY +\>haÄŠ"drº‹<Àf,¢šq@q]ú’,ø(‚†% ‘þ›¶0ùftëŒ+J"»{;6³i“b¯_¶¸¼‰îðmgÈ‘…Y¬bvjÿ“j}¶c["“à`ÜÃ%ÅŽ¹WèȉšU@SZáÔógœIºxšP?ß.±U†d1á‘oL È.ÚÉ‘¦ +ûSR³ZÖX î«AÍéXnjA?W¾#„vƒŽGÄç[½ ½†‘•“‘×èþm¡Ë< Þ„(•Z®ôÄc·YeEn+ãÊåuCVá›ÏäôI©Ï¬M Žv;¼ãúŠªáô;¶¸“V.WzÝVF|â°ŒàùÂàñÀ!:Ÿïòà·¡ÝgôNQ§\¨FÛYïvü 7ÄþEÎνñ‹­“gìïêÆ¡ð“”ìã*Œá¯ÊÈE~QÀßÁ;Ü™ÂÑjö$‹oò6fUø/‰"â_ŸrÈ”’rI}‰ÞYAuz®bÍRlk[Ô©·”<+@p°~çã#ˆ8¹Äý\KHp€†pæ +„:®{t¡™uŒW²qHW”Îui%Òbênܾ±Ú ŽÕ¬ÈÐg´š=¡ç‚+üN +úZõCŽ¡`çx §î‘ÞõˆjØÛç³(º%Âa²0+›´ùB }Úù&ÿIjXål&Üä±Ç¤¦(¹3 E´%Iïñì¼ÈI~†PÊš1$XwT¶uR Æ9~qÖRo³¼\¥Œ „œ•îʤÂ|£K¤xª'íäÑDy5nëJ(HÓ_[¯¿ÞgHNWÑ×ãw 7E¿3èæðN3ciP ,H{4\ð¡FjÞ ‹„i )¢ º‚ÅŒ²`‚ÈAÎïɵf¦ºVY F!N`Gÿ÷P%fÑÅ8—éʨJy% †z [Âð„g[¿ôF¾R5>Š:€K–äÂ(üc`…½š†;ˆ%¹4vÝVqö“ˆ<ôÆŸçÓ˺p… |šv5Êì—ŒCÀ¸@"öÏ ÛÎSØ¿€åG)ÛpÔ‘¤:ì°?elÄ~#7bŸª„óMt1!è*„L—ôÔ iÕr5©@ÀÊÍi?” a”i/XDÈ£Éõ`U¯‘»ƒ—c€6 W 6ó¢‚ò‹ß`»ªga:WÌ, ßè-âÖWD[bnœÐ5ã!ë';‚zBa‰R& ž™ž‚.¤xA(Ê,rìÛªÚˆP^I®aG;ýækEÈ +/µ¦Í*²\`Bï=Mã.ò½JþXÊþÌúT"ÖÇå77kòÞ@KX·AgæÂn§'Æ?µôhtÃM`.W”ÍOX¼ˆº°d,/”3£–YÊø°]ÃŒô.œø–•!†!Jѽ¡õm6aÉÄÄðÍÈrΠ/ÃðƒJ£–}0«`Ö7.¢¢³ò‰A½\žêYS'\ÓÛoA3̾YFgš``«y»lA©~ž°F¢!¬ +Óù§¿>º8hIÒ.¹XäÁÃÇŸãi© ´þñGš¡Zº‡1µ€ò'(.U¡•œ"ÖdBÊîWÕâž™ þ€;)²¨1oÓeñZè–ôßN伜Ù•i)Ý<¨ã¿°Ø¼iš¶¶a¤ Â…@WZÜ0Û@úT•Fð}4š-^½(‘«‚¿ä¬‚úRÓp³Í†ë”Q§Lì£z›̳yr˜=‚˜EùIÚ@­êæPp…ز^þŠ1«Ä @aE³á:(À5D.ö0—‹­à®{-ÜÑ©V–h «u‹þŸ¤Baþ˜M¸ÓjëŒl@&äûwñ|\¿º b¬ï¡æ—§S¢ÄºgAÿÁÞÿúŒÕgsû¤¸lf•™À3N*Î8Ïî¢Ë`†¸ñ¿ž}+0lS´1•M«–³±PlÃ:×ììO$$†lÀÿü= ·«eù‰©ªGçóçq7òhô&š+Þ›jPäB1§‚"Iv”Ÿ„,P€À8GüÿžçµE£¼à¼iKÃóJ1¥€@°{…8ÓšÂx_&'C#«È+œ“™E”§´š &6-Úîã·ÂZ4+{›EG+Ú¾Z 4I á™ÑŒw¢hÇN¯ÎK…W\E£þ÷Ò0ÎBpFuh³õËS—‰ö°žU#3ôÂY 1ÍÇHbâ;!€È¨ì e" Èð“é—(¶bxOÑ,Gÿ—ÿžhuê˜ÂPA§hØläÀø+F”MKÎnR¢…F)1ÿ¥ô¾À re Q]Û³uݨØÞâû"2¥^q©_Ð[tˆ«oãèIá|_ +³x#P]±mèz¡ßº;‹íb¶ØîžkñPQH²Å6KxÏbh@B’<¤^³?ñùý¾¾ŠLç÷uüËó©¡ÛïV[0#´s2 Ž-N’ŽI@ÿ‚l…L#^Z…µÅúB¶×¨äØÎJAÐC¶¸“ŒÉÖ +pi²R +d²]){•-PT@ÖÊöÌ"èô¥°lOß³l«Ãb±l 5Ž³Ï²Å—V@ çz€=àϧe+ º^z“—¬&>¡Þ[ó•u5÷ÓÚùÔj&ùdUUóäS>BUïnƒ¾#œOÛŠ®€´TUË•> UÅ4aüY檪•ÆnϪ´,¯jGÉð0°„Núä¸få®*F…›§ÕH m"Éwš‚Žç¼7p%õÕÌÅ­⬹×䛀Jˆ/²ãwÂ]3W<4°»d"æZ Œ‚ïâ ~—‹KJþ5sxlÎË¥ø«ö·Î'Lä5ìÙjN¶0õZdU:ìjH,]‰Û ZÚ<ô ¡ÄõÆRxÌE]ˆ.ÂSzÑ\má£Å:ðe«³Ÿ“Ý +âðË°Ìÿ{Îâ«+À{œáU¾àȈ´ß¾°ãë·ß\† õWŒŽéGï™ÂϯÀ¹@µyªÀ*LßÎ3œ+{R«üeÃÿHÒÃw®sáNcöSŽˆ¨°Õds­Kõ Oj‚`IrŠ%<ò +øu?%C!ð#Ø{(z¿2§ÊOš6 •ºd UùQÒyÍì«Ãe›Žûþ„,ÜVr)ñ/½¸|çñà´îCW8wï›Ëu¬Ðc±®§•­Ç¶¤_ª€å +Jôt߈©7DÌðæ]]ñ89W‘b£ÔÑ ~p³°Õ‘„ðúy”Z’…õø඀bhÑoŒ[ªœü™ºre™ñ‰Y8Ä^&¸’±òzš “ÊR®]é‚óÓ½—içKĹj*Ä(œ\—VyË墅K_‰Ü·Æ`®Ù©µ-‘é#[+ŸOŽüÐéR­ž~«„¹Ø eeü I³ÄiÓ‡èŸålÇPÌNЬ†Šù›¿!ƒ¸ÉöÑ7u¡÷I.JW²o—Hä +z´³õ-ÎœþCíVk‚»-¡¾ûe«¸M÷íµÔ_¾‘IË'‰^Üô™äâ\/•Ï³Põ¤ÉÔ,Ž÷–…ÙäLÔQP¿|%9„Ás+È×›ó‹OÔά Yú”³k]–Þ(çS(]´›7HºÑÕ¤ç<3Ž>Ì”ý‘`”\” \ Âcê1DtV™Â_7ê#ÿ®Óø¯¸tKüN _ÏÒ-?ðþó:s=cA}p-ÅÌà·-ÜÆá”?#Ûf"Óâp#—Í,Þ öŒ—¯·B"&bËÀš+ö!ïq=2 Ê›€Ÿ7PK»,\¾‘+"|K껕JM'I\#KŽd»Øu"ÙEÐ +Éa*Vùù6´ +ù\õ“_O ¼ÚVTÏÇ¥—ßÍ Êû®šWbÜØyÉj'%™ºÄ½Å’k]KÝãÂ;'Õ)” Ô%LÎ}~D:OßÅ a øátEȬÃyƒƒÌm¸¼ +®ÉpâÂ)eÀ¢)\¯?ë”Piw ñŽ…³f‡[GëJ†)þu +ØÐlƒAøÉÑ ,®µRY}ÅÅ-üzðT¯1ü€Ûl󷜼ê=¿ï¢~½ßV´€Z5.ÞÙåâIÔñKÝ:ˆßüͯŽAڪĸ‚{±\SH)««t †£úââW'FßMfóâzÆ ôœqñêç{çºp‰Y›Uíäèm ®…Bý~ +R¾ ýÞùéÂæ‡Ë—¾ŽÆÄÏûøÔ3ð^ÿŒëY‚{3Ž îÓ>¢*öõÓ¾õùr\ñ¢zDMŸ…ã2DOê+™ +óë ôËܸš‡üÌcoWsLŸ»ßŒkïO¡8èô…ˆn§fß‘”¼©ßë,´{”âúÌÝ‚@Áõvèx\¯¬ýæèœ=1ƒÆ>xã2p~ý¦Žýr}ò5¾zˆWJ¡:zÆ’‚zvaÓ[ÏCJ%=VmP ]„Æu~…Ž=ðáçMCÂzq?°ÏÚè¹;±%4‡Z®/ßTqae儘ÔäXùIä[L\øý8÷B¯[«ž\íLzßQqyÒÂIWq endstream endobj 19 0 obj <>stream +ãí틀ή♿™ ‚!ù*†ë+9é,”Üši‰`J§ÏR«5 mÙDÙ·¼Rv˽);Û•ýR•}€•]>tfgQ¥Ù¿·4{¾"Í~RœÊþÙ"eÏtÚ²ÓÙ#aÈg,jäµV}´Þ¨ÒÎp¾ ¨`}w|h<³æh\ÀÃú´VÓí•-AtŒRÆ…Æn’Rc÷ꔢåc‡cOvr´‘=öŽd?¥D²wÙkÀ=öÙã»Ì`ÇŽP˱¿ø‹µ#b:ˆõSÑØh©(¯½Ïš˜vlE ú^òPc((0„VN'LåtìKÒ@B÷4WawɞذRì^l»è3ˆý!€ÅÎZbï ±³ ± ±_2 +±û,â¾bWäQØÕ”»Ùå°‹#ÕÂèa·¾›8Hk?δ;¹–ñ©”¶ÅÚÈ9C€!,͆;>+ášÎË!n°Ÿ@$°ÃØp ì·ö¦ ;úâÂÞ \ØM$vƒ ;Í‘agh¶°Ãp UƒÝÜ<À6b°ûÀì>‹¹ò¯o‹Œ¦q ×eëþªå=uva½¿R„ ašY-£aô TÎ]„:‚UcjÉ­ å0…ôù:èD}½-™¾ž—ð¯kºvŸÅ$ì$£ƒ=?ž>*ľn¯`_‡<`_G˜°¯»¬ÿõTúúØb¾þ…’¯sÉ×0îÓci&£õ…åµiM€ÓLîÙq‚øŸz Çý¢ÏÐPš–ì¢ 3rŽ­NZôÌn:¯{OŽmH,¯k.+¯ b˜×Yï÷úEÂ}öø¯ƒ±­–µ¯7:íëpðuŸ­ À×Íðõ¯ÅòúÆy}k}<Š 6€¬þÃc€ƒÍ+³ó>ê+ŠêK%Ñ÷0×Ef“º +„Ô wÄ>qF¯×åèu´«^G¹R¯WÎ×ëïÁõº§i½¾âZ¯wè×ipàõE‡õ:Áz=à±^/}«Ó¿Vê7š'5˜X½Õç©)å|–+VîÛ'‘×·@ nŠ:v%Xe£Hy¶bAðÆ‹O5Y'$'j9ò—TÞ÷%nÒõùóèz¹©t½d¡t}r¢»îŠš®û¬Sdº¾ÂÜ=u½,±º<ÀI×bV‡ÑÜNüµ€äl£A³lÞZpmÛ™ø¾BRÎe +Ìλ²—k Ÿ³ a—›T'Œ:}&€ iÎ_ê…DXÚàÞŽÈ01°À‚s5MiÒ»¾ûØ`ã>B¬q§[1 P8\4q%xµÜ±Êµ©7 Ê„ozxÆ+ÓE+ï3Öå¥ÜÙigl´3Ç!,(`]Ç.ûÏ——R-„}WÔjX€ì´5R†Œ™dš°0 +,[AvФ…ò Oì=Z˜¹eÜ™Seóga·‰»hj»h)W~Ñ.»%Z/¾®­ú^zM|*BA¹NÉŠpõ +Eq:Qv1ìqt®éKºD4Ñ ï¡ßç;‘Ä×7žñš}öB}`áKë¨[ÉiÒ 'eè¹BÂx¾:´1|êΦÇ (/\.¦–kW:Žy¤Jj&b¬2Œ7k|ö~ÈYGösb¢ýá´Ú@â±ÀTΈ+®'å +À}õ©…øÔ›Ê[(ŽXáÒp/±KŒ®¶í³WL¿’Θ" ÕŒ*¨Ü€l\Út‡‚ˆ£1ÚϳКçe"íÊÖ Q磆:#À,Ò¸¥Ñ¦&o)Àr¬b¡¢öHVÑ …j©Üœ³¢´áàƒˆãúŠû¤ýC'¤á'‰5DK¬BŽÒ€-Ô·4ò™bÒJ”§‡v2‰Eh¼+•`Á¢VãY)Y\ Åhy ƒsÁ4›æu–Îä³R©¤*ºN9z·ƒ¤vë§dš³vúºRsòch£úbS¹ã)\-¬T*Y +.«4AE-P…üà·G¡½0'Ž›`ÏÚÄ|2'+mTåÈQ…w§b1G«L `^Ý?w°,¬£I>\=8—Ï ñv, í5°xš—j鸠á•r8ÊùÀ!µÝg€zÔvN­i{2i{l!iûÆ¡h{L»mGgÛ_£×vSì@3ßE+ùÙ0x؆D{‚&!z‚AË$ÅM§¾ +ÈÚó÷±v‰çX;úQÖŽÒèµG¶ÉÚ+fí=b¯Ýg#ÕeíÖ]{CSèÔ“D…ìÒIhæÞ|FrÀl—ZaØúˆOÆòPN½˜ç²†ªK ·—@O|ê@ç6•@ç`Fnà¬ýÃažR=µcêNí®SÞ ø…ƒ³ehŒ…㈟éµJÍx2É‘Ó…ê8*'Î6+Ñî³hE»‹"£½2a ]@KÐþ?6Ú?àísÃöB«ƒöóA» =Vùgg©¤Ò볇‡z¤±‚7IŠRsôàQ~ÍÀ9'BÀ™<]À»µâŸ6³×£Íì/@`v’ƒ`vY~Í>R"fOu f¿x‹Ù‰Úì>SW³{ŠŠÙóÜ`vÔìÍ.œÊÎqYÊn± ÊNi!H¨Dͦ #Ž!IO^ä…m"E³¥Ï2­[„†\ý°DOÙv.þ¼‹LHv†'@vT#ý¦d7P d÷™šì P…ì­Bö÷±!»èÒ&kÈŽÚh²{,²c*Ù+žFö‰4vŸ1&ôÐÆ:§½…l#T2Îà&/a©Äac° ȉØrœ6,Ä €:SeS1©…¢V`5±æeT;j‰ýŸXb×Äbb_ bbW70±û&öV§»ˆÐ=óÊÅ>™|Äîpm±'4…Ø (WìmŠ]9×zŸ<› ãNê2Ú§¿<=V±(ÍrcíyES!ì#‹ö˜‚ö ) ;*Úag¡—°_°6wØK‡=á„Ýg'Ï ûÙ„½ânÂîÈé°#4rØ +8ì ìm ;9ryˆz–¼õ"ò€.ɉ†.™@µ„ëºú …* „^¡%íŒÁÞJ9Àþ¸4À.Ò4ØQ÷ìì„öpbƒ=÷6ØI• Ø3åì’’ìŒÙö‚ ûÊŠÁÅ`÷YI€½üÞ¦…ÁÞ¬½¨àVù!8<”x@Aè…§„BÂ,Õx*èŽH!®ŠQëÂZ;ftSŒÊ/h°u„pY毛ö×îåë>óÆÎ×Iƒûëçëmhóõ}ψ¾5_¿|2_‡Ä™¯#ºÌ×Ýæë æë'¦æf*TÐÊîuÙ¾ÕòŸ ?;Pn5<2¯ày@ ¢Ï†Ú4áÍSÒë‰;ñ«â€hƒ'€n*yýsZy]Ž©¼¾uR^¹r¯CÈÜë,ãåu®äòzËA{ºHEŸer@—Æ‚Ö¨åŒ+D0í…—Mz^:zÖ“ ¥å½n>»NPix ý;pÔàMh2^õxÙs9€0ä³°ù.MhòX 6pcë™i Ág«^ÆÝFµ§5ïš)Têrìô€ “êtQ´$Ð +¯™ ‘‘¦u”dÙR'ðµ}&ŠÕÛî‡âgŽkyÆÊà`›"áؘ"áÒ+ÅùÌ|gH +؆W:4™ÂʇÉBBZ7Z !ªäjÝà|îçÉ ,rÅ@x.„Ú@Q$’\ÉZ¶c4ØÂÁj°ù 5Ÿ–íâz¸¶ÄB¤ø´µ`ùJ9–×I¸Pèâ4¤£$¦ÎQJFCÉUŽ¼õv€_ª€¾Ò–Ä GÇpø¡&¶Š|$#}.S¯Ä8}ÆZ\J»&XpÁã"—ËsxAyC5´žS„p/'Ì`æN–.åP3å*¡™öå#B{Jr™”ž¹…E…âTòè:O©Ô½ Ù+°ïóÙý(>‹§þ|’Œƒ2ƒqƒ±Ò·ôàPÍšá®(®¹èá->‚%¹"É Šd¦'#²M öEMä˜É +!¡bk +„P¹ˆpK/,|&Òùr2Dï4KÝ „;ºV);ó¦”Ýjµrtù"z/yÉ*ñ}€ïó}Pç¦(°‚šB˜ c™ƒ¸"ädºˆ Θ‹°fÇAAS}&8¸*yº'XH&r„δõ)kÒÀÅ¡"Hpm„…´Nž{ùš[±#~±¸ ƒ\üŒê"žïä‚yŸåÌ„¼T…<—{H|>»Eé{ÝÑ ’ J& +²R‰‹Hêxv¼!†ÊhY)­Q²d†NH„Ês–”kÅp*Ó «aŠŒ9<ÃÊÁCÉÁ§)¢Cø,QºÀá_ÛŒQ`‘C !Êï$HR0 ¦Þ !D¥@˜±ŒPp9`>YBÖe™æ´"a\D³c¯£o°­ÒôAé³ÏHq–ËRV ,iF •†É´F¦†§ û€N•”whé©tÐ0´Ø¤% ÁR mQÙ-g +6Â* +šE9öH £L¨“ø/Ìt˜îh™ø,õø¸Ä”<[D mEör¶â=,"ˆ×qR±FCKHôsRd×!@Ê?ÿÑL ̆)KàÉùá⼘ SbÁt¨òc4xl)!P‚SŸ±d¡ÒfD€e –Z €",;VRká3h “KÇf[ÀÈȤêôE¬Þô’H)+T Eª>­™TÝœ”ì8)ùúMJÐØLŽ2³ôYEt=ÊØfŒ¦úz#ì:Š³›4Ðɪ'1ZR¨nôCàT“š4i¥o=IÞ€Ô:]lÚYÅÁib1n!´õýiáïÛ^†*ýI'44+¶K˜Z›–] Ï‚õ',¬ÂŠƒúŒåjhUàÐ(À¡ +ÅAORK1¤Q&m$nàý¸{Í€§¾Á=7óàªp‰ã¶¢Pá5V›ÀðZdh(Løplñ"— 6“‚{(¯@ë²^8Çp ”†Kà .0yX#³¢Þí£¥úln…!‚9W•œVQ«IvC…$6Ï,ÂÁªÒ…%Fìµ Âh5"Þi/âÄàNb©Û@Å„!Ò`/Œ‚¹íç|ÖäEp?C¥p¸ØX&dŸÑ‚—KÜW…¡ê–„‰(ÅíÖJ&?ãs%qØsuˆu4‰L+ž~$ÛÙ ì„°…l¬U×–H§åÐÎæöÞ¸økÒ_Ÿ¥Nª÷qNÌë¹tMd³ê-(DP]Xpn +Ç¥Á4 +©É@8|L˜Ãi~ÆŽìATl€»è"§+v33XXC5lHΧ ºÖg9¤íÚ’?»6‚Æq;‚•»Å,Íõôv'’ˆÆM¬äû¶~”¿'é@Ž'l/(|„.´Úͪjß&6 +þxyiàx•’Áöù@ÃP-Ç'f´Âh´có൰Uølˆ ¦¼b¶„&_ŒíŽz3”B–Ѩ -*ׄNã +«Aîû^|F+ +3¼3(¸ðÃ:ªK¤ÊÑ2:ˆê‹7 äÃ&áRÈ7BõŠ´DiÐ$>©™Í`+êî­4˜«Œˆ 0ËØ|4èXHäà[Äg'§L#Ñcóh%³È´câ¡œ5%IÇÄC«‘Î@>Z¬|à­/fù€"…PâÂ(cÄì’´„¬.4‰Š%\l+Øœ“+|X s…Áâj@3:Q½bh¨ JÎ9ª²±º@J Rd”‡è{ÜÉ^Mä6¨u— éŒ+zÄ<ÔÇEã«ðÙÛ*ÅeD|¢ÄÂV4 +[1è¸Á"Äê îFj´WŒOê…Êš%[@é£!±Ì¥SʆÄ!r$(¡â7Ÿ‡ÛèbÈ*ÑàJ¹sˆhíÙgžàb%?¥q >ˆ¬&+yTRV9@QÃHtì2 SÏI‚b´ñàÑ!-ÑB¤²c&EDƒT<刃ø Ì7¤ß-‚W šHjÒß™±óÄÈYÉͨà#@O.ŽJS=O%Šã‚U‘MQ¿øS +îm1 «æBNæÜO‹•°¢V— G0ªO,Òàj‰WÛ¸ä[é‰Æf¡ô Ï(:1–ŠûGb%r…ì|¾úÛ>µßbKŒ&ÜŸåõ/¨îIn›î³Æ„>#ñÄ<å³2”6“O—9ŽOž¸1‰æQäübƒš“ÏHy[ é­]ȾÀLvìr’°¶íYjÌeM© ùXežG”6¯ßdÎÀÓ|·ê¹Ÿ=×»c"æ˜G”X·éè–Ê”#Ÿ¹î¶4ZÅÐOé„’ +'SåfS¢—+²Â]/¶|¬¹l¶ÆŒ ‘Èi™<™3Ÿîï~ …Ï}–íÝér¼àñL¥r?-•‰H2…>:ä9ùY´9mÊQf‹¤‹W¥ºULtcÔœ"’EW—,èb´Öÿøpr$~pÐ…Ð^>;A ggÑùÇèF»L¢9öS’óô6ðRsjW¡ +”@lªÅx +üh´ŠûdÐàó=.ËuZ1Z¬×)£¼ðv‘qìUŸ¹ÏîOaܪRˆâ•ªKæ•è­ÅÖF0w¦ƒ«±ÉÚ+Ñ?ÍËÐ=nþvCž Fpž›”îI ŸÍå U·‹_Àí¦2u»üÜ.zÏí%ÿÛ>—¨¶¯ƒKÛ7 +¤í*Ö÷ðT]±}ŒM2D?]/ÙK£L}[Î̪àlM™í7kÁöƒêe»VrÙ¾ùœlG¤:¶þ¯½Õ¢ÖÞáxÖNùе/耡T»ÏÒ–ÃCª…ö +t÷7aúi ”½Á#pš…·£‚´EÛRíÛiU{=՞ƅ.A(Ôî3A$¡v +¢öQ Q{…~¨}ÑMµ(¨@œö¦Ê]Cu?»¯(4ŸÔSãM¡ÄÄS-LOt¥«« Y˪HèÍC%´¯*8Úi»…v°íiµ1´+X/í£l¦}¥)Ó®ÙÊ´7dÚß×–öÓËÇ^m:CÐÊ,)^‰c}7F¯É÷»)ÞWhïPï >$ï—wøým&|ŸuÀ|ßgô_’³à'h*à÷^øQyoÀo À?zÈ÷_«Äû¦0åý0ø>MHãÓg‘u¾OFˆÒÐØ—0Z€54hÛÅPõ¾éRØ)yâ0t¨ P'ÌJý¦Á,À7º´¦Àˆˆ5=w+Bì×ãÒ«GÊΦÕ$:w8Ë> y^¡³¨’^;•(D1å ¯¢¨4<@¢7ú‘aZÕÀš•Z b¨@2º³ÀgóU®Šn}¬‡Ž%²n7‹ØÕt|gº¸Ûñ¡½¯‡r© E•(þÄùLÉ9Ã{4~£Uˆ¬\!u‚ˆ¥ž +\NVöÁ1p|¦p1(ÁAýåÊjƒÇŠÆZ"+¢2Ë}$"VI}¼òø PŽ׊bÜÞ…æÚ.BãN +°ýÊ·& 8é û¬@2D‡£Ñ‚W‚/ÐÏ#:Ðp‹ˆ)?Ó ‘(®¯NŠq´ìé>¨´X}ƒU |† œ¸–öȸ}¼ä»U´>Ø%xwåø÷53›ü/oMØ.¹ZÈ)•U!E‘ `¦$4¿Ã!jEî*&¢ •!­®xÈ&H>3V„EÑÛrƒÆgugÜœZFUÌä˜L‚ãn=’¤¹ŸÂîÊô‰¹¥ÑÁ~=Nþb(„š0|µÐX L @JFH‡Cp&–x¹|¡R}‰òOLÖ’›cTh¯Ô\ÜS[eHâj¸;·ú€Zª^òùM~Ÿ< +ಯFÆ%9,˜ËUæ˳°,ÁÕ!¨…¨[H)o:‡¡Y‡k剦£€ã.W°¥•KrøS\ˆø¬ƒ6“‰‹s~5 +ùؖŸ9iZjè´Ö3R-Ð)=­Ê+HÆ?¹ˆ^7á¢W3 ^Ÿ‘8íz¹J!hÚARÈÃV ÉnÈæ6è‚IÐ&†²ḧ|à@ïѪâv>)†“ç ¢Ö&ìËƫƦ®?ÝÓÂ1*ä!€­d Ø©Üg¥k"¿«DÿåÓ¹n…_\ƒ@Í]µ«*/¹¹&„ŒE +]@>`ü N@‡Zw á3Áƒ+,£¼”i{ëŽàGσvcÆf $PÌ(¡G›—ú_O]BP¡‚;·§…!‘Á m7¿˜wäoÕ¤ø}I¡VoeŒYoÄU¡¼îÊa½$ì•6Rn\¦@6± C(E ñý™-‰Ì–Z\V.Ô¨À„¾”NH*çÔ‹2¥1o»Ÿ)Ûó +AP!û5˜èEçcÑ &•^‚ò{3aü¬Ç+ü K*<GÁA¨”…gä(ø,t{©PóŒÜeÑ4q¨û:Ñ™Ëy±a]_CCX¼;~Í’Ús"*•F±g(´I¹gE#¨PŒRá”F †©ât6 §]¯ùß‹…ü>]ÅžðǾu¾äׯ…îBmî4­²9àáÿ1 +‘¡$F,dš!ùãHd>»õd¾?öGÑx¬ÜbÓ°)CŸ2¡ã@1"¡×ßœ’·nTëmp­‘-‘äʦüíá$ÿÖâW½—ðû ty¿òK,·P±«~b1f°c kÄËß«Ä +b*-L=ÿȤRé}„.V´&Ÿ P{£A8ŽMñ”Ä5x¤Øb¢ |FJ.¢ÌÎ[×hƘ6¬å_ÅÐøµ”¿j ?|2NÅ ª +@M´.§… cé$á³-g# +X2Z‘GV 1Ç)W©‘¬'¤/oÛ®Qj±t ÒUXu¸º¨T¯O“zQÌ}j© ­â8ë9§“‡µ¦P—Ï8–Äü!¥ü‚ü0þ˜ ¿Êtüí0`¼íˆ ×±@¬¶càGìãô(â³ß*9ëkYp”éÀàgxoXµAàQ>¡¾ÍËt·‡ÊayZ¬ë@ym›€ù? µüŽÐIþ´&‰öÆ|fÈá+&T! Ì©‰+5¨W.iŒ¿³`_>Aò›¤Ïvhã7Ô¥øEÊ +üy‡}6ùªÛrH'õ@ S%ˆ^ÇiBá¿qò¬J! +“gB¨ +ë?)IFq«§ÚY‹ÎÖ¶1ÛÂ[q+s1k}‰ßgÒ0þL3þò"¿ê¥ŽßÂÞb¸ Áÿr©à7™2à¯=dø±a/ä00"Ÿ¥èOª¤*X(’C„Hq¯º\(å4V°ä²dÈ9h)¶NÁ vx¾ÖR@Ê?õ¿Ê‹ˆß~¹Ä Æ?&àñ£B¸ø+_ `ðûÌ•ÁoMÀiěЦ3ù¢­H•N ezE *É!¬6§¶ª×øš4 +l ²iñ–ÏäNYá1œ£\hÌÓo ¿ç4á?µ<ñ[Bñ&&ñƒ¥Kü¨K-~ +ΉÿÓð{ä–: ðûl3xѤ +õ0‘2–.‚%6ª[y@µ•1Z­ŠIÀçßQásq%`»|4-1ocð—ù ~I ÿ(3 ?%B`[ñ{ùCü¥ƒ)~‰Æ¿ëZßt¾ï2ià'a|æ3ŸùÌ£ Æ)ãP!Ï‚Uç¯8–”‹å3N'pÛtU8úˆ " œ\²<;^ CÌ 1Ð÷x~qõÎ)QÃ?9dÂÿŠ°ðw%Sü#4~I­ÿDƒ¿k=¾ïáß/m +à÷ÙGà šÎ,?®Ö")M :LZ]Œ2+‚¡xdA \«\W]Z}–ŽP™S¤bбÀ¸ÕÌ;æÂ"œà‚J ssÖ‹Ve“-IÊéHŤc1ÆX‘QÔˆµp¼\{Ì>Ö—[)­-¶%²(4 ³$Q"b?\êlb¥b`k%®¬Â¸…Ä:ØUhÛûD·&´‘t†°…è€Jb…™¦T†ÏHuó=L„‰•xVP&/FÆâð›Ræù–NDu*rò.&®u#cÜ® ùn}†DšK&×s=1WSýûþÀ&Y·&”È\-Üd …-„GÉ@:2È]#/¡râô~ÖXK +¥Ï¨”¢×\2]ÜÐT@„ÛÁ|(J@Øa÷†|b.r°_ +‚“¿`@ œ€X¿Z(¬õªÀ&(%œÃ‘EŸm‡ÀïW“@•|ò|FG2¸!y¤N0W¢n„¡mƒ Ò¸ ‰àï| PûR¸å³ïe»¯vÊ/ïOqXB‹µÀ )VJ-~½*|¾:Û¯* —î$hsôÅÁ‡C(f‚&ñÞ8»H?r–AÇAäØN&òµÅj‚{ë§6m^ñ™#… m¸WË~X†Q‘\½ib‡ü§¸¶¯Xö×ë?›B€…‡@!',N¸…0O°ÏP ¼a(u<ÆaÔQ<±gðá 8‰«È¸« CR9 ¯ÀA4ŸÕ¸CÑ&cs°|‹Çœìׂã˜9ƒÏè„FÏ-à˜¨üš,¿Ï°Š}Óׂ¿¡¦ [C =ö&ü/éhÀI,xX‘¡8NYèž@‹¢ô¹% ëI M‹c€ø¬‚YQ$ …I¢T’cq ª@Æ|Þ}r5˧ñi#Äé‹«ÇG™_1‘ Çï³…©²¾.%ÐzÑÅ—BC}8Iˆ„ûÆ~ÌM^ŒPC¸<8O´:”Õ¢eOäƉM7ˆè´$œ10¢Ôâë½@™T&1à¼ûDi–JE‹£š¬Y(ŸYþ«uÉ’nþTôÈßÇ–ø1 š"0NGJ„F3Á¬(ÌÂ7)M…-$ ý„;ŸÅJ "6[ +ØtÈ.S嬒egTû•èѬj,•¹˜ù}7Dë“:6∵µ'¸~X7ÝŸ0”ß,IÆÓ8â÷E" Áv'Å€!F„a+‚×Sàc Oƒûàù•‹(xâç“áâˆ>æÛUidNV††¡Nå‚ Æg¤†ËÃéh.4“FâHЪ`¦­•T+ûpqq ó+^&ò3`üÕ?„ì6ŸÑëÀp€ÀX0pZ›C ± Äã¤dAx'H@¡­3Ɉå]¢#½`<Å@%yùøPòNéºànnV {ñBqd1!Ü“k¡S©pÞ­Ž‘ä«ü>Cpäñ”Aü2¯ °‹€¨?68„à0$HÆdV|$xdt RÜð™Ö 0'‘Ì€¢óéZºÏ”XFß.(Z!n€ Ž$©x;(Xå_\¯Èü˜M£üqÄ#8²>[xçIÑx¥NÅüúª„¹/ö¬"^BM¸Å»©p%9`o­ËÛhš‹õÀ>âHä3¼s®¨MŠ’W +¦€§ï$»ì…ùmY~Ø Ü8ñÑ*~E1dO°`øÌA*’ +Äp9„¬ªb›ÆI/ѤN"¡·©Ô–ïûŒ™ãnïࢠͼܤWÊ5§:oåÃrÌ¥—+{çwÉ¡ù/†ùaìyøÿ‡‚à F 7d•ê–!¹iÀÄ8ØÓáz¨˜X1ÓÓHRÆžâ3Q%_jqƒEl2è6¢«aé„™)ôi¹é»ä‡ê‡wËñÐ*¯Ô ™¿% •ÿ²zÈOà +ãÿ\'Ÿ-:#öÁX4rGãläŽäƒ?âgy1¦9³[L$Që´C£Õ¯œ+Jr1c˜‘1= Ç`ÒOéAˆ‘¸bUTlKE+Ÿ}˜ îxyÚMÌÏ+å¯Ãù y˜k¼ø,” +Âè TÆ⣂ølÒåÌpÅ¢þŒoâƆ›fóŠ]Y=–E¥HŒú‰øÒl'`ã%PŠÐ¥‘#©ïr,ü[WäöÎo¦æ_õCùk€Kþw¿—ò„ßg SsÌ °@š 4#ä C:ÏXŽƒá° ÊÃæžB´Ž .“©†*Ø÷Z …ùr=!ó› €ùU)²ü•GþöC7º„ÿU¿Âï3†|zG AL £¥3©ïá:/qKD²aIøÉäXlC˜ (Äj€\× ‘Úq3£L·]¬`KÀCóÍzùìOÌ_ZHåO^ä§I°ñ»7GüžéþÜ~…ß‹:å!Š½”ÙN|ŠE# jŸÙí¼L8i;^ô#7*^³]°MB#© z|âÁ!ŠaŽŠéUØ¥”ÉØ:¬ØH¸D´&ÉeS5ÿب”eñŸæ„Æ? >{°œ¥A‚š.©Årˆ—Ža.†´“¡1œ¾‰t çq{Ç¥v|d +åò™Œj1$匠 ¨VbeNhM \®Ð¬š_î4ó†CùOù%“ÉøY™¿Š„ÿEŸÂï³G2[$™Î¼T8šlÛªóƒ) ð|ãya8'#¬Û²òO%b† IàâE´,ڤɋMãh¡‚cëä¸9«×Æ˯HqÊï³ðäÊo2¸äŸDãg¥(!GÙ¦œ«æÒ¾8Í"u7(”+Òñª€? CëÝžGÊÜè~ ©.ýÊDrj¶YËÀvZ¼ôxZ.XúOyg|”_r”ô(ò—ÖüóA?ûæáï6‰ð»žðûŒòwÆ2e4šn²œŽÉPݪDîL™Å!ä ÅšˆÓ¢ïcêRå¡Lt‚E¢æ,¡ò™ü`{ NÈ—ù¢œy¦È¿aqåGPÊò{ªùIájü3«M?>³ÀÿµÉÌšzw>ˆSg„*<‹ÉˆHØun>ʘ68É2ˆSCL§Èå³H=,ÃJ1;ìVa›_§’g)ȯ}È0|Èï…\òûlÐŒÿÁÝâ€sø;Œ~—| &¦:;ñÕðˆ*yä2 .£ÔOTH"°2)ùlc.PÓEQ’ÂH‘z¼8•l‚3¬¸nUð6!B½Deü«M'¿ yÉŸ +òûIlü!RaüŽÜ!~Õ…†Ÿ£ áÇãHø}¶ÉôÐxB¬‘E!±>aÄDºSä鮎“}‹"ª¢%¾”Äm|R‘S¹­ØËJ°D–¬!L\>[h)ï&~ü)eü•ÀhüXlüÛA3þÏECTŠ?`ŠŸ“|áo½‰ðû $™`D¨‹Eb¹ˆu:B(Ð!†HeÜ„'ea¬…“j«H«Ô¬„‰ÍZl\uë~Xø[JA^(» +¿æFÄO +øñO†ñ·yü€R0þã¿Ï5*ü(Fã3ŸùÌg> ±,®ÑêÉSj"ðR$O«:™“A• m«š”V[EšÍjHÚº¥pihÜ——›ÈÜD5ᇅ¨ø}6ò<â—¨¶ñ*‚ñÇÛø;…‡ø;ü¨D¿oÄÀ߸áoq6Jà³”–—k)_+¨´>VO‰RZ7"–Èb>©ÌÖ÷,bi ê@êR1`Ô$®5 Í}?w̬`,ÁDY(<†¢¸p5 ï-¡òw°9Hùè >¿ŒŠÏ 0Å~XŽÃº§b`!ŸAYš¸6Ǹõ>ùn7N¤¹1À»9 ¡q}Æjubîé´½oè¤É_Ç­ ´g¥ òQ2È–‡@˜¬­0ä ” ÃØŠÕDá‰z¤àö¥S;Î¥N¨>>³¬ ìRÚOÌ…œÜû2ƒý"Á¤MÁa<ñðéÖ/¾/‡ð™fvh ;6°GdÅx¨>¢ã@ho›:±ôÖ‘3k’Q͆fuî‚¢KC ëkJˆÊü¥j!¿ÏÀ‡†&pt"-ô»aŸ”š`¼•Ë†©-*ƒ‡ˆQaÚ>˜é!Y"QtÊ"(äð°6MÄòhM&QĨ$¼NsñaE4ÝðM©Z.˜1E@Þu£ò‡¨?~3˜Ć¸‘WÂ>¤L$ƱB†¼À}®ÌBC´¼ËM°,'\Át)F õ ke"ªø š„Ø¢¶¿µirÒ>C§[ÁV ÍéåKöfS°ê4~@ã÷Ûê‚R¤_è`*l­ÅÖVWw€É±-†å# âGîUñÏ×Éø jø¬ ^ dãÐé&ŠA••Ja±E½ÑØdb Má~,ÐwÉ=$kbªFÊËEƒºù…“ü^-¿Ï™MY””²@ro©à}¬ö wpD8¬þ#´­N€ÒØ;Oµy€2©5‹¯UDéÌ„}‰5T%M+½ž'’†0T‚ä³a¢ b4ëÐ:•ºóKò ´Ìø½aüÌí1,ŠÌæ‰l–|Âà3Q[p[‚Å>Ô©$8 ‹Z|÷Šsäf‰˜uÜ M"XH{ŽdÀuÖ:ý“˜C¢Eü!AX‹˜^¢×ï—«ô˜B¾È¯–ãïñû¬^ULœ10ñƒ´ Ä Ò£ET“518W•bíHŒô5yZr.´uQ’T3¹+>KOb£«Ðã˜&Pëó9R ÒJUPp6©Ún¡áßÀSå$AhþÌBþ€Ý‡ã÷ÙÄÃ&‰Úó‰ +i¢r‹‘*ÖÇ×BŒÁ)PX +Ûr‹ )eV¶óvTLŠJuO®;‡¨,rص`¹|ö0ó‹:§üRKþ”&‰Ÿ{ã\ ÃÉE}Š ‡÷0¿IÈ>#šÃuÕù£ý4æ¹Í0@ºM•„ºES•í¦>Žãrô‡= E4©|.X¶mjðØrïcÌJ5ÊßÈx¤´Äï3ù!d ÖÉ°àCgBn3&˜«P)Œ Á¥©Œ2pê€Ý>ƒ•2DÐ$¯)m€,–º[œƒ}Åšöüˆ`~І,¿ÞÓ°7mÂ`@áAƒyÐ.çR ÐËâ2‚.wÁ4#ØäT«âH¥tCËg¨„`qý|’Ê3šù_Àü=dË¿ñPò'8mü'| µƒ«â³JŒE×—Üž-!ĘL¥AêÂÆ# ^œ›;L:7L-}8?íD›‚‡‘4.HuqÀ8–"œ_<Ý|ú•¯Ü©ü±ïËÏñùcœ$~Ÿ™VüX?候-õ!~\0Q¶C-KÓ¸îŽàV­ViǤ: žÔøølãW^h“vð“h¸J—”…LQÜÐ¥pº1ÓÜu«æ·cÕü-¦R~XêD~MK5~ÄŠ#þsÀú¬>©²m«` Ç3hšÑˆÃrð ”ô8Ž® eü +]6#ÌÈr‡Z%º¢@&)—BÕˆI­y˜¼LK\~V\—¿²Õå_eùsK‚üÏdü›ÿ)(Åï3À7ˆÓuùÆó2&7I3:<© É]0‡çTk¢ ¹Š‰0ÃÕiœ[ΓäÄŠ©¹7âÕ"ÇüVÁsœ¹HH‘5šåŸŒÊò¯mN~W%¿])Œž9ñ›&'~­Äï3 +rêˆ>Öâ“C,‘‰°ÅD¡Åê2º)–„mp’%¤¦I1Ow4BAB1¡jôQuÀ?Ž³'’wž@þRÊ&¿Ïf Šüjh“oWã¿$´ñà +TøK0?ÀµŠD:øP äjG«ñÓ"ùl4:&%€€š0)(b²Èwy¢?*5‹+˜‚x8„ªa€mV +s¾´«Žv Hëø7Òhü"LJþáe…ôøkõ?A0‡ßsŸùÌg>ó™ÏH°Ö&” Ò +I&%Ñ¢¦{ ‰˜|–‘ãòT×jé4A2jf)ŠÔƒÄvTòâ°éçÕzWæÊàøñ<›ñ‹, ùmJ~T…’ååñ×h-~BªÙ¡ÂH‘âÏ<ÜT |ÉT ¢Çgð=%®}yĸe]ĸU]wkª„4W4ñ`÷f 4.&Û¯Ï&ŒKhLVº [pN…K™áœšÐ7z; ÊEž `@à`5³ùj¡Æ èÂÄR¯ +>‹Xpvl&(XaQ•†R2F²è0èq‚8ÑÁ8»€yÆYfµœïJQ{6 +%ÊHâˆ]fS&¢=Q¬ÓBl£hà5(/Ÿáɤ°ê|Ueº#0i¤¡`‘+ä0|þY2tÀãÀl¾8#8D…æh Éj`+…ÈŠá³ç#:V²½!fŠ¬%a)#V8ɵY6Zn"2Gð¿µB·€^šòû¬¯©Âo±LØþÑÐZï‘a…`,(ÉéŦ«“\± Bô:` hPY|‘ˆÜYßÓ)±ˆDBiëŠ*°A´íÀ¨plðÂãyp‚’ÈgŠW7®®ùUF‡üÞå¡ +ZwrÀ±’"òƒý\·B‹;öpÅ+È÷«­E/T"«* ÷Pa °H*öOt6:X2  ‹‰<>¤ »z`<¬Óø]Ö›…ÂŒŽ—K^ŒÊŸºòû,ô·©Ðy…¼ C—ЈÃÿ\ ñ/à "w .‰ð©‹×æ-å|†%±ÇŽôeÒ€ÏÉ`ñÎ:'™R‰ep(¥ãD ‘k#™P0ëкå”ù/ùQñ$¿ÏJv.B¶”a.P´L:,¤‰;býˆîâ( +€ÝBjt89:-]W—“ Ó¥ˆ»U´ŸK‹ò¹BÁäAãÊUj­¯Íšßg‡ ‚üfì]} -EBpXjx \>s›«Åbdª$|ÖÁ‘ 2ZšG9ò°æ@ QØ×ÃÚƒ€ä ÙõB“ˆ +L±I”J•.…†ç±îü˜¥üW5~3OßgŒBéní" §âµÄú%ÄߊcAxH@ñ‘œƒ!Žç8 6²n‘†Œ%!>šÃ¨ë ¤’Èg …|O E:IɃև•4öõú`ÌoYå/ ™ñ—+ÐøoHÇ…ðÙYüøÀaˆö» A‘R¨*´Á`@ÆZ{ZÈ $q]¸+²6cŽÖ߲¥HY3•æ`•"Ù•Ñê1›\0Ÿª—æ¯×ù âdü>#K˜ñgZ¥è4V(Å%àš‹¹ŽŒ„ÉŒíÜ8" "‡p“ÄgŽoûÊÃÝT.s§ˆ4‘hà‰ .áh±YYÔ)Ð+*u·fQ}`ïü­A«ü5y!ÿË1|v ¸!æt ˆ—è(³ôë ‡=a&‡C‡e +¢$›RÃ5Ÿ*SêZ>S¡ãë¢5w’*ó¯FNù+€ù_­0þñ#%@öj04|æ1åGÅBj“niAæÙsšg7þh a«Ldu¡´ M]. #Š,¼>5ºÙX/ÆW®ˆ}ÑÚåü)˜1ÿjs”ŸV>£Àï°´éwP(ŸŠ¥.É%ŒÏ.kæ`òGà£aáž‘­ +­PïP°ÐØåºZŒ„šOVˆó£a~Õ7”BòHQ³¿Ï*’’†X, éü*rùì„Fƒ‰å7‡Õ˜@8’)iHO©’ëÐB‚Ü…W<ú}6ç?Só§Ldù'ÕøÝ<0~ò^Œ¿2"”s!”óÝ™Ÿy>dLkb¶†’©¨êÚ^SÉ%#hÃÌÆÑp:Ÿ¤=žÉ…>£õ´!Ä•üC ?c¹òšm˜ùÍQh~È—_r ¿õYå› ÉÅõI8‚•÷ˆVÛÆsê.w^” Ÿ…Z¬{Dâ@ÔÁòXŒÎÈà§BÈ#´Êü%¦U~TçEþy’¿» +}VIaOÛ©Æ@keDÐH<£:f„D¡DCú æJƒ=¬ÅÇ´®S±’äEÆü>#)¤ò£0'òG“Ñ&Œ¿–]å"Ÿ>…c÷µn $Ûg* ÊËœÀGcºE.çtÁÐáƒ|@rì‰@ä¿”:…™ºœ¬­µè0—ë”Ñó§¸ïüxbþRÂ)ÿ‰â!ÿÌ`øì‚ êÌêeÕœü`^Z»“y½0Ì/­Ö»„ò´nOI@=7ù [®;"ìó*ùLC§Tíà–¢ƒ°^å`åÌ?"å/-"ä +ãwQ€Ñg™Bl^4l©tñ8NƵþ&5"\œ8Rnæ•êlÜùá,^‰Ð¦7£Ì E1aj…¨š´hMK1šæ|ió›>ó7@Ñü"áP~Ÿ™ òG +*¿Æ£ú0·ªÃeBáÓ¨R}‚´ã31=’e0”ƒsOl’ÆJNµ"e¨ 8Ö2˜s¦Dó“hÕürÇË/Êò“V”üT+jùì6td)ó K#@uJ÷÷ð„p?~:*m2wʬƒ³`#KŽÀK•°ô@ѱòY##_p¦CåݦTþÐ[*?ƒÅ)ÿ@‘_ÆÆÿøJâÜ$ñ‹s8~Ÿ…ÒÉä>iÆÒ“*>Јø€>3qNÚ&ʬ‰>²;£?ªcœR¢¨ÈiPå¶7V"1¾ðA#E~ 1(ÿÇ|”_Ñ9”ßk]È/ˆÆï3G¬Ê€Ñg>ó™Ï|æ3ŸùŒT’I—*äB§Öç„B­‡&êx°F¤YÑw„Á4¤‹iRJ*e’$@jF¨ªŒÒ°âLq­ ùë'¿Ï:Ý,?B•¿ %ÈRMÆßXÄ?ÐpÄÆ-ñ¯—„Æõ™ ’иéÄs͉ßqb°ßp²Éߎ±É_ykBwØ8áaéjÑBaÄ|2›Ð×é«IÌ(“f@N]ñ€t²–D®Dýz@Û6‰ãù>êÇg”¡&L„ši|µàh è£Q¯ +‰ŽÂ‡…:ÃÄûŒ]œƒJåC J|bδÑ/­Î©É8Ë$áóÁd-],_±€X ¼ÙWb}p©•|–ŠW“ëFa‘KœáO•†N‡> ó$0#)êtôÅ4üᙣ%äU+hdÅT8Ù1Úâ¹FêÄg[ÌòÕ½õjLg6„8…w!yÂVJtPæÇ×o‚Yo‚}¦2Ü  +Â&Ì:L.D,ú¥@ÂóhÁØ]YÜž%c šâ1ÙR{NÌ ”.8ÖOÍR¼ó 8ÞÍ'ÝL‘ÑzqÁ¥ü>K°DÌ‘ÊW%ÿø ÐÅ,@èŽ[—¡‚hÞDÊEZ)ù§ Ÿ¡>”l¤¦çaê”ËlbÀ%ÊÆn Å…À1 É»C<#qH 3‚?,J‡1?á4–ßg"4hÓÂû¬‡¯q‡ø»añš˜nÂËT®¹Z¸À¸$çœ!~|24˜cu€¤ô&sÁë*ãáÔÄÝH¬ ŸÅGJ¹&¦jdZ/‰eT~7c"¿# ¾"Q¤:>ø,Æ4qln|D,– 1C²è¥!k°€ÇÁö%âED%- +D°¥l_M7{‡N)ô­ @" Õ; + /Ù)ó?H_þAÄB~Ÿ…+CÂðá+;-Öj,Ö^[ÐóJá»L‰Á•VŸÆ×213&œŸ<€«h…)Ä C§,”Jb‚¤‰Ëõ:±®dyé¤õ æ—Oòòû ½?œvÃpK(Lü}ê Ñ9:…ççÓâBò(ò¨'Óó@¤‚(Pfµ-ËÇi¸3 ÄDqé%7ò“eð1©ƒNƒûìœçuRù™“ül¡%?¡6ô¸¥7oŒÎöQ¢BJÂgdBY/Êç¨68TœµF\„/Mâò« +ÔÐ#‡ë‘¨@¢Q Õ]lD•±%qëP3*/îƒÌÿÊ¿¨xä_Ù“ü>›:! ñÚœ Áâ ”B-Ð2Cà:A@ +n ‘ F_‰œJÚ¶ ™íöÙý‘#!{‰™b8FU[±8øÃ(Ëù¹ÑÂü YþEæ³Ðf•œÕ#2æŒEdȤXBV´!ìH°Ô„JS³ÃŸ:ÊDƒxYlBÔx^±Ú3:p<g ¨)„B%­8… Æ=åªòB|çß0wã%@=ò{(,߇F“s˜Æ}X`‘ñ™å#<$–×ÙQ4)>°t8å ’Kí.AZ(ÐéTcLžxpRÅ2,nq +Áëò°‘I~˜½ók€ùoƒ‡ü +†jüª¿Ï8ãðXPÇiz=îŒX"xÞƴ¼:)S'‹RžF€ògpƒ¬XFÀ¸G¯Øèð:¿!V™¿Håç´ò'>ÛX*Èá ©ySE@³KJ<ŸÄ£?/D¦r9ÔËHìcædl8+Ö%ù°L˜#± —9ÅyÅ®•°·×õÝ5÷¨žóÃoÈü§üR‘_PÂÆ¿é槳˜#¦X}vAÉPk°”Rg•Ú¼…»•øhØ×÷9©rOy9A1_+åÏx.ù‰Ï4¬X”lL±()=Ÿ Šíz¥Dj]uÉ’›ö©ÞB™• +“á¸^Îʳ:|£Pj\aJ¥–ê”Ò &Üg Q¬õúî×ùo-qþÂÈ0Ìt”?@IŸi6AbÂiÏD4¯…–ho6®Ó´vP&ý˜ÐÕ'2ýÌ/‰B!ReobO”ÜIîÕžóß±öü„®`~x(æ‘>Û¼ …ó^”Ñç}UT±“ÍñÙ(tD”ä3cQĘ>•ICU³<þ¸ªœS$ÎÏAyç»jþÿÉòcZ°ñ' +™ñ«Ÿjü>ÛØ#Wå¾ÁµÅ*) wŠ ÞC€ÍitÁ6¢úÂÞ Æ_u>–µúh+?òl&¸é>ˆ ½©`ðƒÆ=¿Ï¶zþÍGe~70ÿwùòÛ'ÕÍ RŸu¾Ú¼Ó¢púÆ4ºÜ凃i€¡ÎçH +C=mp5K/(Væ€+ógêŒù]S«üyêE~;?‚Œ? …Æï³Ïå1!OçƒYIïæÄú5'Ô¹·‡¾H:7F<›SAùlcêh#F0$Œd¢:Ù¨¨XA‡ëÐæáóc ó6œò¿:ò_2“ñÇ(Ãg¢õÕhH”»Q ô=zá´ó±/¦§ÃÈÏ&‡ Íé&B˜.UÙìê*Õ UáDŽÅ”µ‹ÝFóçÕü>»¼¢ùW‰£ü.K„ü— cü •á3ŸùÌg>ó™Ï|æ3Ÿ•@­ +g#V÷©Ö :¡;òðx8’üñÙÆ Še +Gd.©f´‰") 8°g‰»©Rù_¶f~KŠ—]°åÇ% ò×uüˆ²0þ@Ž¿Ï'¡& œŸ_-Î]hÏzUXO…럄óa &ñàŸ"ö™«Þh‰SÞb¡Š¨ltƒrß”v‰`4:óD¸Ãj;¥ǥΰ&¥Î°íR•†Úu> ´ R2Ì”nôÅŒQñ‰0GKì­Pc#Lp²có®B$ÆPúÒÛÒ¢5¨"H}Çg!&oˆ +d¢€Ÿ0ªÃ@ÂLH†‘©eDݱÏ<NÄä·Ìj †Kdʱ^X< ”i Ÿ„ÚsûèfZIoKÚ7¨fÅI ^£B1)UR=Xóû 9ÀC+TAÕ©Šc•d3 RQOœXLÂ$h¹¥û`”¯FƒDÐ8ˆHña%>ã0bŒ +í%ÚÅ1VöÆ:+dÑ°Üu¤¤õµ0ŒÊï3ñã9ü‚ã» ‡1DË“óD«qR-×;3ZõDn¸&óqY\®9GMaåem»T÷YS*CÇMxæPKL§—uhmóû,q6Ê?ÂCL‘ØÄ0Ñè#¾ø] X…Xø æ_F ƒ +½8<´šÄÎÞy)a¾Á\ÆÎ9¯‚ÓÁ„Û +Iè–”¸RÌIRdp×꛿^¬å_[ù}æåe&îPõ‚à8›ªàÈ9²èF† Ò«ƒctHlHjZSöàéTàxU1‰Íç¾!Ì$ ±»P*(†€£`äLëÕ¢槜'òT.òûÌ,,ˆQcPbDp.DÏ‘cÇô4Nû{øLå”&³ ¦>JüB .Žï7´ÝÁ?´€ÓO(ÂQ§§±zÐ ˜çW æ§Cù$ù}¶Š8t"ÍŠ”A Ôsº†ÊR v:& :S¨! +S«€N¦À=Dõüq”*«åÊg¨<·$(ûJ_ïü¨ŽSþ‰¿ßàéßgð*œ®v>íÄU€® bI‚°AP ÚÊ^,c77¥BùˆÚí.YêU­´b­Ô­»h.1ÉO0d~Ôo”_ƒø¬QÂH‹»‰‡y7:$dÊ<ËŽ HGXLí3K¥Œ`:"|“R‹)´Ú,ÊR‹ŽÕZw6|ƒ×„óœßìó›LkÆB~Ÿ]TS½I¤eÇ ³I#„&&U[¨˜F,nA‰ÌÊó:—f±z|£H"W“›N_1®*·)†V üåÊèŸ?çùÇNhþå_ ä÷Ùi @lqo`£ïß®O'ùàk­>{*¥fѪòmW«q«¼Î©aæ÷Ùhi•Ÿñ_¢jOG¿DŒ†â3M`ûÍk8«Uf;ªõQ…!btZ•SˆÒE+Êf_ƒÜ£¿•ðœÿ1“Ê?Z\|f²7 +ŽÞ•š q#6Â>U,o›ÓWÁpJ¡Üê”`dz±î‘ÏF5…rò,X‹ÖÀ•×Z:ÅFÎÿCæœò‹ ‘ÂA~Ÿ™bl«n1`‹«Í½ jƒ9hJ±(¶!•Ë-òh‰Gÿ0$:4R¨¥¨ iðXóc«¼T;r~UéžßOÌ"*å÷Y¨M&§CÈtlDFÕ 4>+¹™Mèá溠;2öø|Þ.ú„XÒSf°"X…òJg÷ü(í;cÀ0?Hp”_´ºä÷™Ï|æ3ŸùÌg>ó™Ï|æ³ÔIî2- ©oJ ÿ7 ~q<Rít?âé´ÄyQG¥¸”4ƒ +ui– BX¯Ž™ß„ +™ßgŒQ5ÿ`Ë:QòG<ù Ž–ü>“lÔ†l&(ø1:y) “xˆS²èPèŠOܦ-qÆ[ÑÝ#àd‡Ë\¹s« =(-ɵ7ƒˆcC0Iƒopb’ÏB=!<Û‰qàŒ/Þ¼é'Ö<ò¶9ZÂgõ껊 Jã ÇeT6d†ƒ¬ÔžQö /Gìâu¶c£)0 +‘ A@¤ëòÙiáy±ÉbêĤm@:›¥XB¤I­ O ‹Ôð`TH†&© ª+ŒÐÔ\ñ\n|öijŒ'ã Å¥a­è c~Ÿá*†` Ī¥íÄ +ã©«-d-VÚ”Wå t¬`d•Î- Ë“øê‘¥äÚœÛ.7ŒBðxèú‘:Z %¢ÃÊK2JÍï³ÿ2ˆ‘÷€l›RÁ<)Ò‘ +E(™‚I5ä•Ï¼9i½@chþˆdT~dª$|¦lt±±%FGʘhic+»"ç#eš¬§„S»Z +Í¡îY[ˆïš»D1û$å~çÌóü¥ü¥ü>«l…¢HÆ·Á„dqFµGé.rH áAI¨IW1X«í3 ñq ‡OŠ·ÃÆû¼8êB¬]`_ d~ÆP~¡Q~Ÿ² CÇB.;±w õ«…¨ŽÇdv;,}@}·È£’¡%ŸLÇB¶ )1ÀÔ(mÄÉ•fÃøQå€ÎÂü>ãXÖò7VCù"™‘z­¸á3‹y°Ÿ%B8Á“¸‹•FÆÆ/Ñ´ÉŒ¡Öçþ¯˜I!רª“[¯ÅѶËðœ?öÍ¿‘åoœkù}æf.¹]AÇÛ¹.ˆá@$ rõ(ÖZèsRf6 Dï„VŸCä³QýÕÞVŸÞà·Šâ¯Â|ž·ÊÏ‘åwÖòûì@2hŽ˜‚³ÍBÍòD¥ !T¯)1:`g:‚o(ŸTFâK$MQ-n¢õiD¶Ë“x©rB 3?ì%•Óxœ˜ËÃá:W‘Y{ˆ&>£}®Ø•à¹T™ÌnUGò¹Vå$¢KÕâc‚ñO”¨_žÂëüï dþoV$Y~ŸÕ”R r¡AqbG¼›²Áj‹%z`F«¥³QqˆŠ'aÅÄh”Æ,Ÿ1Jðë +qOçötþ7͘?>xª(¡õe-$E§Ä¤8nÃúžÃH‘(—¿›Ô + iª‹]‹±Z±¯07 Ÿ#^Îßv ó»þZ~ âE~Ùs•¥åQV nÂHÌO8¸Ï^íøÞxJ¢=x%ѵR t:MÐG Þ-†6«ùW"Ñ¿‰!Î?èó»XdùeÏ—ßg>ó™Ï|æ3ŸùÌg>ó™Ï|¦µ$æõxŸµÔ +€³ò¶üFýÆÊ“*|£ÐÉÅ ”JF$•E gp(UîݯókðöüWÀü¸É—?C8‘Ÿx‘ßg>ó™Ï|æ3ŸùÌg>ó™Ï|æ3ŸùÌg>ó™Ï|æ3ŸÝ›Ší‡@<èšKtÏ$m;£i;jžÚî³ Ô!`8Ägµ²êm઎‹}࣠Q»8©]ôv‚.K*‚~ªúÚóV±vQë´öMhe{…Xûa01å³ÙiH…¢ö(#y+éD}fH(ÚÕ™öÿÝiïÈ€@üW=g Ë%Ya°ÈÈúÓ9ÌzOÀžº1&Bõõ²H©.{Hm•=!ÍÎ"f5,f¨´³·¬zvŸ‰+ÉÙûŒ9{*GjÞ±!¶§¿6•eû +:Åic Á˜7S@\2?öÍ}…aÆÞ‚¡Æ^K²¿ðJvp$“ÝõjÈ>ú*²o0*²K|áÀ:|ÖŠvóÔÄžSb… ±[. +D,Qvš3ÔЉ2›×IŒ¤z±›(°@¹F½là€î:Ë’t`œœ×åë_júzgÔÀnC°{…ì)Nì1vŸ=4°ÞØ×ÞñZì1Öªí_GyŒÖ)6 —Ý8™uâ}6Ú¨:ßK+QÈS b +¯s˜…×3Ûë®Ããõ^›¼Âq¯Ó°öu+üúÚ¿Nž¯w¯ôë1 +Ëë­Åë§ìuŸ‰2›ç`é:§¬ë×é8äzåŽ3‹¦Y#w{'Y^Ÿ 7œn˜$ ´I3±®ë•’ÙuŸi$Ûu Ltý¥eº~à®CF¨®VT×ÏÓ©ë]äÕuXàÕupê:ÊcézèÐvý½®cV)®[4“ÀõÙ$ù1CN÷™D6a€‰F\7J~J|E|=8»¢=N¨u>Ñ]ß$E–×@¸ ¹\§®æšë­šÃuŒiÃuÆ:â:ëqÝg!+âzÇC\o]B\?%B\¡®wò×í:ä:nù>ß,ƒï2ˆô½ábuÀ#ý-òÐ(Û¬ Í^RjMŽR6äîRù|¼'n cyCŸ`[0zö°¥fãc b Ú÷YÌø~Ž ßU)ÊwËâä{Båò]-8pßQ%î{oùÞµ|ÏÌß+éÁwŸÍŸôÝzmñ†¾^€¼¥j­‘Öôæ€ÅÔCjXÉE>G—£Ï³LPܧñ* ‚:Û_—Èä„7pn¼ÉƆËÖáÖ³uØiںϟÖÖÉQ÷Åú|§xŸï†ç;#}8ßo™ó3à|¯Á|ë’”gëKdë²å¥éŒÎÆÈ®¹Öän@o²YB½C‘)9ŸAê³ÄÍ%K…‹?ÇЀ ‘\‹§™ƒ"_úsr•Ïª¨+ €oëƒÖ¹u—j°u†|ØzØ0l½D‘·>!1¶«“­—Ÿ…Š­kFÅÖkWbë´)±uÝzCrÑôh“®×äŸO6¹{ ¾‘j(ÿPdܬiÅ.RÚD†T¹!(µRGÔ½(•>{UÐíHøDŽ&ç²™‰ÖÓHFëªHë ¥õo}i}Dpi}žàZ'Ä[ã–Öa+–ÖQ–Ö?&KëÖJë´E¤õˆäƒ;ã‰õ¨‘|Ö0¥¸Öp}&[c Þa–Nþ1K"‡HÜc>ÅR|Þ}9M ÍC”(Å0óÐ+“z°LdUjá D­¥ªuRIк!åjÝg ¡õ‡Ðzäðкûqh=4ZÿwC맷¡õÏØ5=óÝX¯˜..Ô`µ‡©ãZ#5O¶ÆçPïè∇ ´’{¼çPÀ®ñ@0:ŸAPr“”ŠÃg …1PÓv*bäPd*¯çm.L8XA k ¨Šº·jº§Ät&è[è W§õGÙi}0sZSN¦ÇP=ÖWûð™H‘¿œ£¢]FRK{¸lÕæøùéÝŒø‡Y"¹Ç@upŽwB⊓Ñ5j—çAð•ÿ&n-'É%Ü,ªJ„(,\TTŸ…‹FhÀ®¶J¡Ôj@×ê + g@o@×2!@µºBŸ7¬rÂ`ΘÀÍ«´4Ó§Ø@‰jÓ($ª­€|æo’s˜šƒs $Ρð¬@„:ÇGöxNÁù&‡C¼À:VÜ@4ª1$h…¦ +ÒXkN¢%õ´¤†cz¯™Ž©_Xw1—Àüà3R ¿”Œ¢ÅlÛÒÔ—l ­ª6˜;9½ŠSA¼¨Ô<ÇŽIIŽß ÈË»Ztù u ây [àñ¥•á›ÑœúŒr \ÂÑä,Æ–&ZdGùÆ}¹ÉP:éÖ‰¦t ©xºÁ °}…d;a<©Í⑧OLdˆˆ"“EÉň·R;#Îg£üq’v™»°è,vÔQjXçIèáE-‹ئŽ±]ïqÃþs”b›Â} äÀià +éSDL «ÆŽk%•$Íã­‹áÐ<¡kŽ"ìõYãÓƒŽ\YY•¼Š£*ùX*yÂŽÜ©c9 9’¹Äåq4v!nRtÝA]+!wžIÅÞ‡û:'†Ø¾‹±}5jÛ|t î D$wÐg’Õêgü#€3gc@ÈÇÁÀ¹@²„“Éb-Þ$5”iÊ'„ÔÕRp PŹàÖ‚•ôéTÒ¡è¶ICÑÍš¬èP0ôé\Чó™†Âu^ÃbϽln¯dûöù˜ yè¢ùT•Wì%Öô¸ܨ¬´ËtÖâ\‡…‡“/ÇCIyˆ3Zˆó!@g†%RTwj¼¬"é3Nª±0AqçQ”z±òóYS{”À‡€ +}ëà˺5_J¹5Ÿ'äh¾B®Ñ|œ‹}$¹}Á +«¶AEÏÁ–T¡©’ÔawIáala +DŸáíƒSgi%’<1AÆ1¦Yƒvbí§«;²°Î¶¹  ´*D8âXB*¥¹¢H%ò6G%AøÆ2txcé³Õ)–Ëûp‹JZÓ@“ñ!(ÌÂó1YÅ >ÑA}Ÿ£‰k¨×©0ºõ‰íÛù¦yþ°ÓÖª”§*Q)OOšRžŽjqð(ÄA #3êÀGã Ôчºä"ë2œq[' 7ä‚éj‘lîóqnî3¿À4´2 }G,ŒzdEƒ²0¬úYÓ7½À"v*Q‘ƒ#Ó¨‡ÈøŒQÐ烌.À\?SZ5ðXeìÒŠº´ÈÉÇ Åš„Ï:IðÔ*<À¶¦@-Á´*QG+µ¥¿Tv‰Yð²;(ÁI†«'Õ”ÖÌ8³\ß 1(ŠÑŠk4n  “æ0$ì +6£˜ë3ÑиâÚи9ŠùÅ¡&œ7*V08°]‚bð.ŸÁˆåâZI@·>+p&w{NØå8ˆÆ…½Ä÷e…ö[ª„ò7TÑD`º`IhV +Ãá™ñ* ¥dH¨”’æ(%C»¢K…Ê´*‰CøÌÐÆØ.ÒmEå/,…Å)A/%:Þ!r¨>T›“ž¬r€¢p¬"°-LDõ=$!‰ƒ2Šâ³’åàˆÛÄ"®–ÈÓR'd5ÙxÌ™¶=¥’R‡%Ê$äÉ•ˆjSÔÿÞF »$fyO³ÆN(s¾HjÆã„tÆg‡DerÎFß`1—&"Ghd• R¢ä^U@ħ®àÛ"£®fÍW,æ\Ep̸"éÌ·¡Ÿq±XXq^gûQINîÅú¨!Ó sŒn¦]0˜rtW‰’i1‹- ®ú(M)WPí¥A”‹Ò¦ÔDœ.c¸Îê€<"Vå~8ª‰]JÑhbš]JôH¼¥‘ÃÓJ”D;5ŸZP x*‘Ê#+C7[>{㌢ƒKty·+Ö™¢Öé\Q+f;°5Æ .yXZa‡ÍnøK© ø5çÃEy?®5Ýw]1÷O(Q©qiá…Ð~¡ÔÐnÉ8h‹:Жød*Ÿƒ[<,ºFK 0š¤`Ù¬6 +Çg¤”FrRöÔÉÌ©ðCÉCPä’jD  '`ΈJñB%V޶őÞù‚½"ð—6*ø10Ç÷ŸÂûî~;긯šP6Ÿ©VÊ&ãâ€Í¿t‚ÆèjË5E¤Þ4L£€óJD+NºˆÌ·¡<:òŽ˜Æ~Z(L:i¦-*$"Mè}êì4EÆ…ø˜±Êgƒ‚ÿ¬SåH]aÞGð÷÷/œÜûŒU¬ûxëþ Jùß"X|‘IïßPÍwx©{sœfç‡RÇT)1<>³ ªÄ‡zp ÂG½Aª­*„JÑöŠ¾’(i±>úÐ\ZQT“‰Ä2¨Í‹ê¤jÔ'¬—m<3êZW#ÏÎ÷]›Ç÷E§ùýÚ1{ŸqÓÝ÷™a´pßµHüÏßÏçSp¨7Ø´A7È£´ +!-ÐÊ„^ß¾ˆJ¦VkT/R*R£-J1AE2¹­…q +´ð‡"!.峎b¸S1‘­Q­ò–½© Ãø¸äž\ˆPcäOå}ô½ß2¤¼ÿ9ÍÞ§ÑîýÅÚbZ%uó·"‰¢×©~6$Ó6+B'üPñ©‡¨("® ’mLß’Ëʽ,+`ßÖxOÔ‡ê x®¢Qøéå)¹:$Ì+kÝýC‹Ô}Ÿ<¡÷}"àý•x¿SéÞ—àr÷q‡ûp*åÿ %m¿›Ä ÔW©=(Ñ#Re¨ÀI™AÅM,í +f‰QX>VU ãmNûNÿaŽÿ§•ä¿Ö¹ɤÜ]÷ÇØ…û½ôâ¾)ñâ>ïp?"#¸¯ØTž Û÷Lå‰û L ¸ø9&œìøè"Søú¦.¤¢í§D¡ígÌÐö%¬Ôö”Ôö mjû‚ðo<åÛß.œÿ.Cé?ÉcýÇþ;ÿÚÈÿOóßgßárô¹Ü·5'÷#/ÌÿDjá?Ü mŸõØíwf|}˜âÆ-è5Φ85òö},o?ÿäí›nÆö}fÛwtÛ7 Ûœ’ퟯyû`ÈÞ~wØÛg>ÛµNÛ·L^Û_”Þÿµ$ðß“eÿqQãh¥òÿòù(ô¿@pøŒ{¸îÜ«Þ“™O›™O§²>ç–Aì}A}{§®ÂŸÇe¾ =*å m +á!d„ ÅhKGD*V’ð‰%l}æ Mqçªk„–" 5v|Sf=’·Ùß·µñH +*V~ˆYó¨Zà%~¼H“ä/8o­µJxˆ2”ð›\´˜pA+q͹tojÌ„‰;ry:àŸ)b¨¸óN@î3‘5h]IØé„c¨•½R¼\-DÄ _ܶ¦þ¾ì@¥ {|pAICøÌõ‘U Ø¿‰HbN¯µ€¨ÄXÊ‚\24¹,Y¶¨„Åùg–:«03ÊÇœi|Æ$JCYXCƒš*ðM}ö©¸ApâàW +³RW®%(uº´~ÆÓ7ØÄŠ»µØ€÷ä¸Zx¨§ÒÀ¸pŠø`` Ø÷F°H +~tñ‘.Ce ȳ ŽÆñY+ÜdÐ’J”AíÃ*ƒæ3†‚¬ØM]ò}IE£Ê0®T+é<&j ÑPA ‘뉰ÖpA‡X"áà±Ó¦4yûŽ4U à3N Ÿ`Ë„âÅ“‰[e!⇼­›ÇV g‰?‘ Ž §v8‚›SA>ÿ„²9ÉeLŽ+`Á;¬€ÏÚîJUPÂH©.0d +B£I騣5"Q)X…ÄÜ$ž× -¬úÝF,‹àŠ¥ðÉ) Oß 2¡êRÐ Ù”˜ÂqrVH¡$_¨*>û 4ën¡BsÆ\Oš!l#E„$è°ë°¸„Cð©!S;'ŒDô ±¢Úï±÷ƒ:TZ‡g‚µ>;œû¶ˆÆ„b¸?(dØb'vÀ‹€ö +YØ sFD<¡ Áp*sƒ[B±3ÿ³`ÎHx0·o„(ó†ÍFŠàê3zñSB†ÏTpM¸$4ñTVQ Ç‹’„‚T%î¶Ò‘ÇR‘Dª„KŠPÁó1nÏ|˘“ñ:*ë1§ŽTÄkádÁÙ(IæUfe“ø£éŸß>K­dÔqÿ=ºÄØ©.U’Sˆ% +\žo ±„÷Wö¸Ý‰‹—œ")J”Ñ)áMgbÅH|=‡Âg,/dÉ\~Zn}~%u$—WC +3)'Ràp‘ö´Ø(4j©òÊÄ ©T + OÝñߣ6üéû>S >ï³b /¿pÕÃÈEª‡‘êC2AÃÅ«@‹QƒÄ>£ä[%Ú²ø´É†óßѨ*Ãå]ˆô3€x´Z¬««cxÖÿ*fžª â¿;^øç‹ãû‰Ïªû-õ‚iøì…^0/z0•BÕ¯Ëð!ÝÈ'‡zvL£Ô™¯%† êâ|DiêœÁ) xÊÔÀ>ˆ[òlÔËÔW´„VL ÇRxño$!ðG"ì÷¶¦û­ +Hyü +HyÄ")åáÊ5‚‡F28ªîP#ú:êâ3@äSa”ë+5vˆ\(–™IÚ†áˆÕw¡ñ€|PEê}—O=m´PiÑ‚÷‘$~ÓÀ~~H‚û>‚–»ï3¼u0#0ÀýˆhšfŠ|‡ã (ˆ¼è”‘ÖƒKÓ'Ds7B#¬úÃQ@.š#ÄøÌâZy úŽ#D¦žx”C,NÂJÕ ‚úÚGgÕõÎrõÍ!~Ó˜fUÁÿ`?ÞeA÷_†S!‰N…ÄgžùHâ#9q˜„dî>ÉaÔ8LP£CI #‘¸óÙ¸6æeaK9ªIH(}àé–)8F©r“y[> ¨2‡•¼øKý ¿íáßw”³÷êh +t?Å2´çm—â©N ++‚Ñ–Rª¥,å…'­Ê)h'µÏÂXŽX8Í‹t@‘Ÿ7—Ia, É´œŽè§MæÔøT·'ô çœgèj°.âUšBÔ8Ä»=á'¥Bà·´*â5qßg0¸Õªh€Zª!ÿ´À:óiùœ.Ë|XÉK\vÒ¥-¹}Š$FL 8®ÂÈhúkË7®­Þ*Õ!¹ùã³)é„2§%QÊIäÄx*B#Ž±}‘iþÿpÃOê0À_{Ø/N-ÜášãšÐa7dûÌŒ= ×A 0¬w0ö¢°É@Asð¯qÏ•k“®/g\€ªÛÛNÀ ?¹cå­<‘6Œ Tb!§Kd ¥ @…eZ¸ +H¹èJ„ßg¡†þJ%÷~£¤é~<"¸¿‰X›Ì› šRÛzÀ]›ñãpmzçpDšÏ|_³óHCSa¦dϯ¶øtj`C(ÌO‘/H^£îQÔÔªgEr½N¥QE:kÒ0Wœ±L/wÃZDàwuü µþ>}˜½ß¸áîºÇ}Ÿu“ ‹#ª,© ¦Îºö†'ðŠ‰»C¶÷'Ú¦û²¢Ã}ƒ ã¿YÓõH ¡V$‚ƒð0& ñtˆ˜¢Ó[À=(T qRZ.ÆT*ê²úDÚ|•‰l5Ëg•ÊJm=*—U 1!Süõ¼¦+%±&“Œ^°ÎÊG.†÷+˜÷˜÷SÏûþ–ùÞG…ïk ÷%(A÷‚–û0Hâ¿Ï-Âà>ºN¸ÏBÜou>÷;³ûwÿåTºO2H݇yR÷GŠÏ$4„û‹žQ]¨@k0>T­‚Ì8á‡Öp!V®Å‹P¢½\PŠø/Êÿ_¹ÅÿÑlñ_#èøOÿÛŸ9F%ÿc +îÿÀ q¿ EîHî§ îsN ÷$÷‡œû"Êv?'­Ý¹¾ûöªÃ)Rÿá ÁŸâ _åPÃõŠ:‹—áÛ òØ}ýw¹×Täúï)\ÿñ¼õô@øo›ÿ#©ØÿÅæá¡Qû¯žµÿá‹ã CòßDpó¹ï³Fåå¾ú)¸ÿáî»*'î‡J÷í"Ñøcþæ3|­èår£Nþ– ÿ‚üóßg¤æóƒ?ÿ[¹çÿ{þ×çÿÃdúKLÿ]ÃÀÔøÿþ‰ÃÿR€ã¿}ù¿8°üWc÷»Ûå~ëà~ˆKpÿR{œÏRZ rÁª±¶¢VÛëÕöƒÕöW¸jûXµ}º«¶/oRÛ74þö}ÆþöM@ç?Çáüw!JÿGáÁ §á¿‚¥ø¯®Dþû9æÿ +ý¸ÿYyÜ¿Ì*ÿ!]Á¥²ý%ô?ëÜÈyÀAæ\ƒÌ™×Y_Ew sΓ*·'¿RøK¼P±ÏàK9øzFÁ1C;\üýB>ÛÄ”Èn/n¤¿€†ä»â‚ñʤü/¥kÓ-áX±/[žøF_p Gl°4O9¸}¹_¥O úì¤ñ¬ÄнA·æ}M.–Që3'"ÉÇ„¬÷éÐd º@=yŠHt +WØYð¾L™]Oת˜þ¾ë`²*¨¥O>xóô&:\:䘧dC4ÇË }æCˆËÂ<¨±{j§#ð$NƒFeÊÆF¤=ÁêxELC-Ó$Æ«Éî` ¥IŒÃžH‹ÏÎ'¥šRJõ,á¬BŠS@ãˆÀ¿L´7«}!ûÒxoP’)G1oZ‹ìÀ¸yayõÍ"¼7Ì„}–âôÈ ²ŽNìIZ«È_JŠ±Â ‰ñ"µºH^PRæƵ¤p‚QsÌiOe "l\W)À‘«ÏÈ‹±â.¯ù“WyÔŠÖÁ•`Ç“æÐÚÕ‡cmÈåæî䨄ŠàG +ÇD4(pš‹ ¬#†H=%u%Ð÷ÕIÔ3ˆd£&±âU|v@Ù¶åÍ%'L‹Òç%)VÂb!j<¡"Íu˜Ã6AC‡H6{[DËP:,ùð TëÞÆÂÉo}¦"M‚WD@%„Í¢a*XFå IOC,kÐ6~jÑzÏ¢²Zˆw» ¾Æ Š‡`áÔ4,äº02–2sÈ ž{ '–xŸ(69é©„ßg!F~µâ¾´£vÛ˜«”hZ¤Ì@bʾ´á]©¸± fò®BŠ@‚Yp§}¹C¦jâÚëÄ,¢ r¤ høÌ;d`ZRÉë +¬ÁXD.2€±M(YAœFäê4L*1´0fçk õr§h~Áu•¾>ƒY +7ã¬nB”R\UJßn™„›B+2Á&’Àp,æ`ºVÂ6ÑÓ‚R²½FäÉ<Îd†¨ˆîXÍ‘iŠYijÅæ¶;š»’ŽÏèkÍŠ~"Pêô1IñÓ°üZx +0£À.bÖðLI@½ +Ú. ‚”-DSòÁÚ?ðD«9ø úˆÑÙZ%>Í´àÀ®asáA“D¬HdÔ‘&ŠÒP·žSµE +‰¡ùj$v“‡Õg£q!;ŸãQåcTL&Ù3¿î$üOåû>û_³1ÄúhcÐ`"ƒ=pF4C…Åìð`Ö =0iÆ€Ã&µqîT«“G'ƒ)2F¬–k|j”ûظ:r ÙqBé­â³†–rY ᨑI;‚ÃÙ>©úY…†(äÁ7Ò±&U,€µT¢QÄQ~ÿƒ¨:†ywjk*Ï?øŒÃªƒ^ôƒ Çÿ`®ØS˜ÒDÿ\L +—·X0TÕ7L`æ•jÄ¡’ BÂQ.ñ)¯gWLÙ ªÛ +KçCo2ô¸l.˜RÚàsÁ} S#"~Håý7œΉNÒÄæ[+ÄgbÁcŽ«=Y!Ї•호Øòч#ÔpÃg­â;R¯Í‚ð”iq"Ý¡<2Ì<š\(“SÎ46€ ‡ðñÏQDžXO>u*VžM +¼b…ÅøM±¿(€ÿÎÞ÷Yý`,`ôƒ±èˆ¸ØÚX\^«Sb¿R‹:$…õ} _9Ùc; lÔ+ ÓdµÜ¨œÄ\T0·ñÙÜÏA'æ&P +hlHåÈL¡F¿Y£3å15´x üñõ>®2ȱ•Ê 6/o”—W’ŠÇðYå2B0¬ÂË-ê4N7Õ:ÂI¡òØZ# ¤êšÔ]ROŒ³ÈdÈ9áðʳ8Ä +‘Ká,¦ÀæU¦<‚µJ 6þØGêá·ÀHßh ÷KkÄDkl kxÖk¼@¡aLBC¾¨Ÿ… ye%ÑÒË É‘«Ú6·áðºëZ‚—Â=2°Â5h«Àm¯®ÇŒ–7Ä/byá¯U•ï?òU÷}fÛ¬‡VÛ¬'Û¬‡ç)D3EXMCE?$…Äþ‡ •y%;U£8M©²a8ŒDâÉ ¡Ï$áëRJ¸eê…¿‹ÅÙÀ‹¼ãÏE¤øC#è@iº¯á¤Gd[‘‘š"Ÿh1Î]LgP·)E|Fo\yV@V…¤`QÄÁˆ¤¡Oñ)¡ÔÎJY‰RÃþàšÈ´p€f“j¡‚Îèß#è.1†‘…¢˜œàÉPè¦õ–"$é–øÿý +h“¿Ï*îû‘Ü}·UH<Û*$_ÁÅMDWOÆO¾'§Ò€ Ñ4ŽÑˆ”‘S^˜QƒŸy0K'€Ø„ ì©Ü­µR~NƒÕ'ªˆm Ó)O¨ˆÀÕY%>N( ããŠø_ó%üHü• ÊûŽÜ}Ÿ}-TC*TC‹*K!IÞ¦œ! +[yeF¶ÒE­ +‡LêNG,‡ü⸼n@d¯•›Ëœ¯ÖŒ÷o¼ŽA¹·zòüN÷…X·A32ùÓ|⸠•Ï&0Ý[r>§¯7o‰_°âÅnø; ü†íý†=vÀ+¡€WÈfR£ µ$Zyé.òbò$¡í³L¨ Ù“PÀƒiºCá錦О.£À9)X˜;¤ Ï%u¡- "Œ~¡ž˜TPN}ÆscÕõ¥Æßà‘»‡øÁ þÖ¸?‡¼}Ö¨·î“Àq1MštIÓa Þã*F†ŒÙÑl2yzÁhD)A»Étb® ÅD¶8WªºæãÔ1È°ðÓ¢4 ÏÔG™~ü£ð¿“¶‚„)|s8¬DƒÍnaJôõˆ±âoå<üŸ)~V§ÁÏÄߧ¨ ÷åÙÅ}ó³1d|†¢:'3?Fó²%Ëæà€±8mÌ”Ü!­A:,.3zDx<òlrècù.„mB FŽ!B’ר`X©JƒP:™öD‘ê + a•÷LÛ*ÑîÄ¥‰Í¤ÜgŽû~ÖT„¿“¬À¯‰àç´¸ïÓß(­L(GÅ⼯NêŽWÖèaP båÄ ¶¥€C\ÄñŠ|Ö +„_T +˜®‘§UY$ ¥cšjÚ8ÍcebÐOÊ }ÚJ`¹, ºZ€†@âJá”F®¥ágÀ`ø¬ø}+ƒ¹¿¿áØÞŸär÷å+ù€ŸÃ->†®v@²ÒE‘{UõiEŠáQi +¢ç¨×*çR(œt§DL£â¨®we9¬þj¾/–Ϫ¹Õz*ê2òåSQ~ú4À‰àWl ð,0ø5€ëû¥€Ù;Ü.[D¨_ä3÷¡I‘‹äaªCX¢¾8Õ8¹ +*ɵW¤ÃXZa„³\›nVŽÕZ3®i¤LEr›\ K¦ñ2¤6Fž¦bÞ×6‰ï—äÓ÷)ÛéûñÆô}Ÿkçû±Nü}äýÌÕýÉmâ¾[¸‡ºñ”PÅòÉ ?(I#q§*JLUù\Í‚t‘n!:…£ñáò™Q‰/ÜÊ/Ök½J/­È;ƒ”_—î;¶S÷ ©ÑûæFö>,y?~ߟŒÚïí÷KÀùý¿Hytz½)ÝŸî3^÷}Ð;•XD4•Ôˆ©æNÈW´à¡¶hûDá-¾¥È—¯5…û `Ã}ØJÃ}•FÄ}Ñã¾æýºOƒh÷,D÷}6ÀXº/6\ÝÏS÷=&†÷#Œ÷ dÈû£ãýIðþ(Ãê>†#»=î3îÇ Ñe…Hùªav8Ëg®jEî³tÍ"+ô‚$Xî#Ê÷ÕÛÀ}NÐà¾k%sßdÓÜï(4Ü·"îG¶÷&¯ûÈÙ}/€è~ëßÝ¿-x÷§èýVð~ xßgø‹Õý#2Pù¿H[îFSm!I‹ñ(DðG©u¸ å&ô‚88î/\ŽûðWr?½IîkéÊ}Ÿ±Pîj˜û›Xæ~e”¹ß`M¸o脸Ÿ"TÜçB¯û«Ñ û›Å£ûŒW¥ûl†Ô}%u¿E¢tä’3㳃Bàñ<9á ‚är”Ðëáøø¿8 ü? (ÿ[-÷ßÔ¼üï©ç~ª´qDÜÇ FîO:÷©÷ ÷ÏvÂ}Ÿm€÷U›Ï}L ëþ‚Äv_À8t¿ÝgeÖîÊ÷-š–3Oîî–ä:”,áK!R¼\fÌþûl‘°ýYÛBùñÍ=þ¶Ç˜èñÿ$2ùß‘Mþ[R—ÿ‘ûŸèhÜw!"÷ËSå> µà¾©!ᾂ¸Ÿ¸ ¸Ÿ¢9÷=Š¼¸ï37Nü_Œ÷IÉç¼RßL€k_Ëœh>Sw¾à§›rPãbÔáÅå DÚÕY‰ó‡çÑgŽÞM’±Ù%2aVDåa ù˜ð\ÒP×ÅP‡ë€Šæ¨ ­¤DÖ|…¸ö„ô÷ T(œæ^kã:PxÑ"Ôðî…ž;àPñ† +D:² E Z‰0×¥£ç FRDxœæ‹e¬R‹J|¢*ÌÔDÅ¥ ä>C•F;œïM5$?Ø­VÎ%T"ë³GGĵ2ȉ¹‰W ±Q20`-ÁèœTXžŸÃsxóçKJØA%v¸É?°`æjc¦ÈDù˜>ÛlÒøœ æûd¬%ŒHR eýÂNQ@7ˆ0ð¼ÌJøvüQðX[oDÄ¢#®}×óµ5a3‰ àLØ)â Èå*ì9œe¦ù8È…2ûl$¨ +™úZ—“ŽãM'D¾éC$ßôÕÈvzÆ¢<íÀoøy D0­~ì*°î,˜ßW¹Ê0Kd}¿³ˆuaÇg *wKʱÆýJmMØ> +Ã$¡|À;–X}f|: JPèu€°˜T@‚nBJšÚ­Kj› K²ÑÏÌA«Z(¦Uà^©” ,\ JÐÆòr=Q•ÒêëPf_ Ð7@âÏTP +.͚ܗjX‚!±® +.©,ÔÁ!ÊÎÅUøì{cTÞrÁ÷ø”…;c2¨tÏ$¢ÒÚ%~añx¡q3#¼c°¸ #Ãi!׬D®ŸCt¬&Ï‚´ZJLÈú¬³!–`“T^øöSÄ7VPaîªp¾^ÒalîHâ„ë˦a€œX/F' Aèx( Á`@6N‘b€„*Eòê\’"©|C­(¨ûCƒj3èø ±A|"†¦ƒ bG§`“XÁ +ÄZÁæjEÁvèчñázií ÅŠµ¥ •»Üˆ„¿ùªK +QS‚}6*xðpsr q ay"‚‰ÌŒDj‚ŽÂ×x#‚hž„‹‹¢â²Ù~±,ȲÎ߆±`wƒå¡MZMEü>¼àfP¨íÍ€…mÃÀS~ðÙX ƒI`´ XÓ²§ŽÅ%IÊëU¯á˜Ì’ ÞÁ„Ã(BZœ•>1,Æã˜c[Iœ%ívN‡Úg¢Ybí— £2˜Ñqèoºz€B@ûS mÿmqR–ð£˜uÕÍ—·kǵۿ¹íÝ2í6Âxä­Ï,w%bõ’®¨,pƒ†¸øàzÏ‘¡E)òKŒÏê‰j‰TÔiÒXiCý +-»Ìcáæ%œ;„εg3œ@™²ëŒ08‚J•úø}¦¨À/’“Pž‘Ô›ž‰ÀûòBwsèZ.$¯H^CI!¬+|T(5×3xnçR‹ÈGS@Á­†ÏR‘s”iͦr’‰âŠÄ²º.ûlhÒÐÑw­¢…¨:•Sb*¡ ÊGUºX,ÿSf1Íͼpúã³Ï0ƒ£ßY­¤±Î†jtM§VŒ4zÂ_·8ßçZ(àà>ŽA<¡ìUHÀrâ#6ȱpðYû\â_µä€Rt¡ƒá†»ÑÇRþø€Ñ<ãÿ+èTÌ@¨I§«²7p!±‘0yÇ°¸”B¨ ‹•ÌùBªNÏñµV†ã±Úð[H‡ïû̇ÂóW¶–XC/˜ÃG…`‘ÖÆ‹·"Þ’,I¬%K.ÌHb„”Óè,ŠËcƒaªHWsÆÄg?À•‹\NC#ã©€»&TE +üŒ·@Eµ©c .íê5j'ùó|#Öîû„_*|Ö Tô˜9ñyit iDECÓ„¼M…³åÅÀ%trêQ7r5X ©"‰x¶€$ñ‘À‹Ñ¼~1j”Í}âÍ(¶ru0¡«‚(pX Ò@R>+  ¸õž¶ò‹(Ðø]"ƒø=” ø+‘÷½DýB-Àg,FR“%YR\ +ÆÂ~ Ä!¼eŸ.u¬1‚Ç„U6Ì:¹tQˆ‘yuxŒsB?éçn Þˆ"‚QN²öŽTÇÀÆ žùÅ{1~¼öâï, +à§$fïûŒ3!8ò7‚päÐáÈ£¡=ȪÈË›y(4äYVÞJøR)ï+HŒÏ´˜Éo`‚ÛYEZ%P(ÕØlÜ’BEزc1<"îz#-ùÁ9$ˆà§Þï@Jëø:–Åá3ñÇ,r³8p‹ktÎÅQñÊãA~*H¡S2È5aÚ ùe:·g8Å¥òà¡Ô'2 ~mÚp†QE3Z…Vã”Q½|æ`ãå–øWo$üœ³ô=¸„¹d$ ˆ1.m¦ñX£C·jS2D]¡K2]CD.eaT¾l#¼U—7£qcÆ…#@ÓU]!2h|,6£®Õ'§W ÁPØœÐÊxÄ£?»Œßg]çÄr…À¿i•ßxq5´éy¹´BÚAfÄV•Y­(¿ªQ‘MÄòq|—̥Ű)¡NñÙˆišfónæíâV£ªó†"hí ·( ÒSHvd*Æ5<Ëä YpΫÉÆø×›#~oò„ßb€_Cʽ?AAïûì ðJº‚&µ –¡–‚Ú¡ç²óÃ9ÎCæ³€ ÍætÙÔ©^s&±–v øfò0"åtX;á °%6B>P>+"HAB 5Y°\œcü ú þ “‰"‚_ãùxŸ.»÷©‚ã"`©B»ý ›‚A³ö–eÃIæ•óŠÙ¥ ¨îý8u:¸'~0¥áU2‡;9ïÈ1VX¥„F“¨÷5'Tg¡aù¸tá+Žiæ› .~†V‹_}óð_ø}FZ”¾Ÿ™(¼?ùXÝgiŠÏ´5ÈÉÀ(¦‰óðµ‘U‹ä^°±ÑsŠ\à‡«5 Ï¯x: •‡µ •Z\Fä³Ðšy8zR²aÀãDk0å ±¶•¡¡fX‚qTÁ;0¿XžZü6ÿâÉ?íæð÷UþQûø~Æ‘zŸYÝ÷Ù[Ð^ÃÆâ(HãÙiÞ†'²r:Ðw‘a F©ïH @”–4’„˜^°¢qRÙœª$B<©OÅÕVök[E õ …p™Xøç—Ï>Ñ?êðÃoÇPáOÈsøÑÔþßà‘æ÷1V÷'ܨûB'=  +ü8@HÒAº¨£®‘Ï4Ÿ9ž$ÚD‚Euœ +ÜŒMÞíFµ¡„Õ÷æ–¥ +8^,’$ÕjqFê +§· ʵˆc>°[àçdBøMß"ü–û œ‚á'%4ø_ Ò÷C¯÷}f£šîËï¹ 9F4Pרf ¦iCb(P7a£QÙÇ€µh%‚–v”ê•Rü$¸F¶§Ëg®t<¹Pðçxu^Ìk[ñ}Åõ}fÁ¿™ðD#ðÓðü°‹ÿHÁKâï‹N +ïÛÜÚ}INwßgö–(ÌÃtŒ *C¸Ü) dSe:Ž³lÙ…Â#Äø‚Í“üt†Ñ‹ìÀEÞm6(‡ÅHï£Jï{î÷é¹?~ßï£ãçû1”¿Ï@üsG‚Ÿ€ÙÀzË÷qÃ÷~ˆzÿ‚»¸?¯äîONXªhœ²H<¬ºtR{uqµe¡;(ÜgÁiñ%AóDq9ÜW”-î·¨Þý’»ïi÷_ÜÛý*Ñ}é¾Eáé>÷¥÷Å™Å}€ wŸ•Ç¸Oú|Ý¿k·ûô›ˆtítºï!\Ý_ïc0 ï+N†÷ÕÁûÞ ½¯ +mºï)_ܯ?>›UŸ5ª>ã.’kFÓ/0Xî7 +î#P÷ \…û*jÃýpqVŽ¸¯ÂWÜÝ1îk_÷}6 ÝOôD÷H÷¹¶Ó}Uß¼ˆÞOxª÷™ÐûÚê~*Óè~‡óD>c÷}ÆÊä 4:MP º‚óܧ£ªd˜GS©›¤¨d—HŠ•KΪõ™™\ò1-¹Ÿ”o„ÑI!a§†¡4<9*X&¥õ°ŽŠ 2hÜ‹«Ÿ¾‘xPøV“xÏ‹Š1NhÎ#l½æd¤t`5¡)‘v˹ÕÊ¥|r}&|(ÌÁdŨ#rŸ>$ŒzŒQ Õ +;5¸AÀÕàà³RO›%¥T"ëŸÁFÁÁ.f5¨ u!‚‚+€øWFt®°?Ý£¶¾ûq¾91;–Bm¦ÆgizÂ(jž0걦)ÅЕ,¾©è å©ôÊÖÁ_ UÅ(³RaP; Z«\¦•Èš®Ó7ÿˆk}æÇvû c /Õ +…Y„P> X-aÒ T)±ÉŽŽ‡Rˆ \Zd¢v$\«s ‚"ï}«8ê3Èá@«‡³RYTO¥ýÎy¡RZUl@fEŸDÄr8S1°ÄH\;k!Íep˜kø4ùÛVº zʇÁ<Ÿ8½\…7‹³ìÝ÷óˆ¹ùì³YÍKþ¤›úI"B$±á$6ž,båª"båꢴ5DZJ«ýRe’™ˆXDäT Úƒ‰kOö+?ØíŸ'æºÈMþ¢N'|Îʪ`·©Ò0±„¾ØÑ7ZBAêE¡Œ9ƒWyCT­N¼ ,Q&*1cap,( ât1p›‚ rØ­Õä³”`°‘N‚Á¾SˆÝÛ7HÁ +‰ÁQù¶â€pÚFa,¸°kßU}­„²¤´ž‹:áà†Uc:Œ6*b³±Z…Ïêz¡bH\7äf&³4'­Â¯înìbfSf¦8œÈ/H>’;/B¯'}9"87h„`ñÀgÑÀõÖ–b$¸Õ @¯;ÑÄßUЪë-h iA =`÷#ƒ§‚â8Ö(ôDËE ¥9`’ïo?lXi™')ÙD7JÁ²r—½qì¸éÜ&Íí3»F'Ì—ŠGÉ[•fŠøcGh% %ÀÎ`k°í‰õÚÅk'ÍË]Hò¡€ D +>[]xh0͈çjì ÂZuë9In•´ûaò(ðÙe +¦”ŠY‰Ó +Ó¾B#à­‡Ti¡ Ð%öF s³:Á©ñ‡:Oø}F¹TÜÖ⩸“¸­„ümÌÜ‘§kC:o„3¦„)ò(!hPÛÒ}0A&Â,Ká‰[CÖ` áñcÊŒ` ŠÏJÌ™x"™™ ~8…Q"÷p~ +á–ï3ú²v¦Dw+¿‹ ÇïéÀÀŸBBœ¤ê]ëäõY'¯¨’9 ·= ,L*$/„¡@úb6d\ig¥R˜1A”E5¡=¼³Æ#Û é/‘»eUºSPhz8t²Ÿ¸~8D§ÂÔM«ãö­–Íï³/Ÿu7Ä# +à7[«,€} ¼žVr!ç0Æ‚ˆ…‰ +—X­€#_ì6, +›7†/¢ !)…ÏV“åT~fb³FÆŒœ€v‹SÙT/ÕÎQÜ”™ß¹ÐRÔ˜ôŒéàh9T±ÿZ×à—ß»AãGůðsø÷}F¾ÈÌ=½‡õäoÿœœa¤š8†Ì'Ê$ÜéËî¬&â¦\ZÑ'»;Ö[UCÔ ‘œA!QÝÅâya™éŠvûLe¤ ŽæŠI”ÐL£¯`-žõb/&òsÂøOf$ü›ðþþPf+]‚ÖûŠc!¹}VrE–X#z(Š +ª©±X¼nO>,NGaŒYÈ«P3« +(hÕuykT„%ö¸xx®”¿:8¨(…D‘‹m´ÊR5!MwHFågòûAkCïñõ÷ÇÀã¡0}l*Tï6›f§ Ô„L%îhRÔ‡r´˜=?–çGp(@ï +9À“sö¬Î¡ÉKB +•AÚR/†GΠ#U¿?N›|bsË3J©(*êö¥ÅªB ®ÁPþ•õȯñ—X*ðkïûL\„ ÓK0ÕÇð¡¼X©8‡£` _õ+ÿ“=àŒ¸ÚyK‡L´dÈÿ8SòY«FR sÒa]Ÿà%‡/ò ÄÐHêLÀOš…Fiè.4ÒŨ5DÒÍ‘]«¦5|Fò`°ç„ÙÇeqš@èÔ§œ# +Ø7‘cèFQ„Rƒ:î¼RƒHdd^Xžá°ÖʃzdèÐëéHT£LÓÒXPCäåˆ:ë#EÑ ‰çŒdF©c®ŒÚ7™ˆ`9TòŠìm,‹Ï[ØÀ 8×o ­Èv\š{€Þ&„óЪÔBl|³YË/«ä ãݧð$"è³®H ¨ •‚ÌÀ€Hd ( ŒÝa“x 9á¹ÀÀdjVSª‹¥›å³b«]É‹ü ŽGþÀ0~} ¿h?Ób¿~ºÆ¬jâѼÒ7(qéH¦Ýä5w‰ÏP³¸¡C˧Ü_ºÖ˜I¨²XN™dS\,Ofþdb‡Ewd—Ü!Tg›âÕ ýŒD*­T5-•D3yi ò/fŽø¿œÿQú>æT~ßgœ › "OÜêž´_¦ßØÖ)'MÛM€b|fKˆ0]:¡ Äd(§Næò2› +Jâ·Ï&gáñyìNÔ¹¯Ò¡ Ê”ŠÛË«¡ ¾² ‘\sºäOã?ñç0žÊïûL½/ePÙä”w’ÖC¦¤ëA0[@S^ºv‹vˇ> +Ç™è¥Ñ|Àáàm “á ÈOMé$B´hñ’"!'uJÜjåâB«KXZ.%A~Ÿa^ªñ#¥ø_æ +ü¢@üý ‰ûþê1jÝzÔŸ…ò‚XOÑF,DLÀ'&4>fwã9,GõзIsMhòŽ>‚ªÒ˜ØÈNhÐÓÒR‹‡ÁÀ”Úÿ›ê¥"ÄÆoÇHâ';Tø]ü ß}>k1Ç…•0—BÁ°&ÙƒYt ™’צ0>Zœt›¨nð"Í‘»CR(eéô½’ï÷·Z5Ãr…—ÕøS!=~KDÿ¡ä¿ÈŠ„wÀz¡¼ñäÞ' 6>ã³XF4 à²q”º‡â¢¤çáH=PAÍ; €È1„FDy‘Âe¤©\-RŒ> L®‚K„By¡jËVy«³´ëeX¹W€Ço"iã¯Ý[ü>ƒiNüa ?>ÒÀRÙÞÇpPÞ7à$Ëf¡¶ÛÁ!Iè~ìÀ‡CœÎ¯¤ˆÉ•” Ää³657N_ ÊÚâIy±ïV­Ø +g=>k4pW#R¨\R-s·`?Ç™Ä_ªÔâ§pñâOø?É +ü,Ëý}çõ¾}ùxßg‹“ é8<ø™,TzEœÉ"™B.uqˆ6Õ#qÙ¨fõrP-K=‘^¬ª´¸v¤ñ¼¾Ì®R©C^>Ó|Fî('á_5Xø5Ñ@ü"·ÿDô ÿk¾áïZü¬Fü}O&õ¾]±½ÿØ I‡t‘Ïju6¹ý0‰Nˆ.2 ÞÕÔ­-ŠI àr'$Á ËéjYôÉuºÐòõmeéS¢ü¤šàÇ|5ø8 üªhþ>ƒÂÿ‰ÍáÌoø[× +ü>ëÈü+B÷ýN”ÌšÁÈÛÌ¥Lâ!Ÿ>ÞéNuó»Ú°0‚Ö%¥S¸ån4^‘Ïæ>ShP‹œps¼ŸÒ-ïƒÀ÷svñ}R™ú~—àGÜð£s ü_ „¿#ÃyYà_72øýëþ¾êy¿3éÞ¿DfïûÌRù%“ ú îÀKSm ï»Úl A+£¤pJí_MÊ!¢é}D~ðþàvxߤ9Þ÷qËû¸ø>(¦ø>Eôù¾Ï3~v€ÿÅ‘ÀÏ¡7àot ð«‹ ü)þ> +°ðþí`uÿâPxˆq© ‚„UŒÂ^ù,ª‚–[füRJŒ¯IŒ¥È¨û +íw¿€—ÞˆÞg‡÷µ˜ãýUÙò¾¿?¹߇Ÿï‹#~e¿^Á¿0mà´ïk—îû>C©@ïw"¬î[¯÷7›˜Êvå,ËèÖ…î ðõ/ÊÇ‚¹ã#t?›»çŸîPy÷}fÚœ÷½ë}XÁá}ÔWñ>5ò>dîß' Þï‡Ýþ>Kóú¾ ž¾Ÿ°X¾zí÷÷O!V÷oÆÈg>3)òU=–Ô–åqÎxå<\>£Ä.¢]»ÏàÝ7äŠî¿¸Ý}èÓý±Î»ïœ÷s–õ¾I;x_#¢xÿ!¼ÿ¦à÷=“û}Õãò}{»|A|ÿ„ußï‰÷OœM÷}Æ9lºo9¤ÞO$ +òàd+fJ¤*¶rijQâøgú’ª0(wæ¡3>q’ICU'Yƒṳ́úÞtÔòäéÀÁwà£ÍB}¬–éPºæJæÏ _GZAa¡õ¢ò¹EG pp#(EÑ©©¨\|¶ñyêøü°Pšé”0J¡84(¾©Â°°Óƒ¡Ü5®‚U¨èšY©dÛÎ!x¬¾Ê,l&W飹*®`¿§ ½*øŒ†ò!4‚ º¤$Žûy@D¦t«Í>>ìe u@šW”¨¬¨¥Âƒ¼×@«1q³R}ÖÊc¨À´º ŸÏ«\57¥´Vh–ÈÎô,bå¹T â:ŒÛ×î`W *ØïɽÔÂ)â ³Ú +Mf ä^È—K¬%‹ÜÀ ÀùapCã³CˆxDX¹ÞX¹RHuimTp‘5T™°ªop~§bði&®䌻u‘Bš› +!4®È³½¯Ï8¡K¨„^j›Q0ƒÀb“FK|1(¥Æêá…HêJ¬&€ÿaTæÌua´D ¼ÀX:9G>P("–\YßàÕA“äĵ–Œ[Ë9Ò\ìƒÝ„Ês ­Mþ +NoM C¹ZèN-î0óa`u²è0øq×¹«°KÈŠQm¾ÇCM¬„¾–Q#Öjl ­Qˆ%9Ý\X y4˜KÅðSÃfóîW;¡|N°X ‹éMäWi(â÷ÙãA´;Ì´•ïÔ´ƒ4Žµa +`»–°ïIñP ž˜ìGÇKn÷;44À#&…!óÅUóJrõ2–¿ã'¹¼ðûŒ@²Là +žŒÆ!¤%h\"BX‘¶²"¹ xóÚx •3.Ĉ—#ãŒ.«HFœš‡![Í>#|¸‚Â"Êö‚⯆æ#$ +ü ®@Î÷‘"0NšŠPÜ ¾ ¤æO òÃbñL*ð³¯gð™ûò|àƒç0ª&F µ-`U„î !Çe;l1´¤‰}*>­i¡Y Ùv2ŽÚ.àŠ#}H&KL‰h‹ãåOŸ1â‡Ã«ûh;Ò¨êX¨üŸ‹4Úæwó#Tò“šÀøq8þf~CH^“qá³Ö3LŠ6Ó2B9é3.R­pÁ…–ŽÜ¡x0Íø)9͵Ǒ +¦•[Óø µçuÒ84›¶£X)Ž¹&SU—ªØv2´NƒëuéPÊﳘƒ ÿøh‰¿e~áYàWN^&Nv ['‹¸’Â@S)©à±üɸR†ù ÕøAó ~V×€$)€íØÊ£59­ÇëÚ´>+t”Ö£¤¦JH%©ëOÇM]nM¼ÈpT½Àɘ¯‰çR9AýB,&FDBªT•jkq«BðJ•ò׫‡ü…¯+Å¿b1À‚¨àgáÅÀ H¤ðš§¼u§ˆËâ4æâ3ÓêŠ\FG„Å&Ûæsš¯û~€%(ó’ïQ=ì“\éÂÕúBtÜk?«ʵ–¿â%ÈOø +ã÷:'~VªþD¿Ï>8(im”ì HbCÝä ð>ì¥h)j6oM‰á?G)H<  +u ù¥t¹Q3å3G¦îX,à•ãŸEå(“/?ý:ÉOˆ…ã÷X§ð¯@"Øj_gòr‰†5¿¡1ú¥øLVQu…½½«Rj@Vý­*æ z ûKD Ì+ 9šV,sá¤HŠUçÄêÈ ‘È0ŒB Åä”Á½¬zxT¸Õ’Üå­lùMù'!Õø]TKüè~Ÿ©0ø÷A ü¯ccS +‘þ)ñ…WΪnd$(‹aò(]Ž‰È&#–æ;¡›f;IïÀR×Ûg±Ëæô¼ªjÉó-Z©V ´)ŽàXPÆÅݸzu^NþÒ"AþI&3~÷ÿ·¸áOIîïƒø}Vh•tmx9VuÂË”—ÆjbØÀ8(M/Þ˜f]ò͉Áàœ¤çŽ7¯&Wo¨VŽ(Vª*%ÜPy NX·º )Bk~!*.Ÿ½­}Êý)B~Òú‘_â(Œ@Äï!_øQúû†Œt‰­h30ra½$\6>»”Bª»ñ@D:†‡ìð´ö…ñ äédpM$Â6Ìü€‰ûl +Ö•*oôÖ)¹p‰Gª^ï9“ßsPò‹šñG\mü+̉C¬ÀZ<ø÷Ëg ‚“i *0ͤî* ‚t>-d!µ !GE!87LT¸,‰âf;·#Êg(:ƒRâ+eXi4ÆR•‹ïp&éKBŽÆ?hÅƯ1Dã +㇈·øTøü(Cü}ÏZú¾ÏÀe#¯MD3IîÊ!ÒðhH/4ê@,£‘âpš4sªq¢Ü"Ñ"‰Ô¢À:¨`Ï^µm‹a™ïÕÚ¶J gEîÈËÓ‚”òHK0þõ"ßg±¿§‚‹ÿ‘įvsøžü§Ö‘œˆƒ†thÒºøØ$h y6ªÁH¥fF'Ÿ¡T®WyN$G…,2«I»¬G#Ķ£Q€¿ÝœºÒ—“rqswz±H¡ðß¡VüKGüjbÿáâ¿ ñËw~Ôô…?Æ¿" ¾ï Üß÷ÙL:@ÉIê@KÝEœîºF¥RkœHªÕ¦ +µ$̪c‰_¬ F­´$£SÅ]u-¨òcî3u5å\ ¿*S& +ÿâpŠÿ!âyâç ¦ø³~t#Ìtÿ´šßïõ÷}F1=º¨î#F”Q,¨¼åÓ¨%è¤<ë³ê€kk3®$xmzN×ünN.†bv¼¢#'ä©ï£øüÛ§¿ÉƒÿR(„Q†Âoæø[ŽLþ ¿~ÃoBdðÃ0ñ÷Oîûðñý‹†d‘29˜*y*ˆ +y†M‚”˜Õfô- (KpŸalù¢Ü)é¹?aÎXqB9¼ +|_P’|Ÿ,ýïÇ.ð“5ø+%þCŒ~òƒ ?« +¿}¿áG€,ð—†üÒ}ßD~ïß>þ¾Ï4DݤÐÕiGÕm)ÿjãÂZ¶@NáùbrÕxæt{¿¡ÕÞ?à$ï·(À÷Qáû]-ù¾Ï^ŽÔ÷C¬ þIÌþ‡þð†_%Âoy°ÀoHÉà/¦ï¿ ï—<ŸùÌgœðr§îu…U‰ø¨ôK`GbÁb€ €€p( +EA¡8õ“ˆt02ŠÁðÑh ä¡`N<- +A`)0”A @,¡À9Gí{‡Ç «à¸)¡\ÇATRœ$|…p($›¹Ê¿DAŒ@GЛ&åˆŽÄ «¬2ˆ»ÿÆR§zt¿Z"ÏÁÏ»@ôaô—*s`¦©,@ÒÚ¬=•å¨ ä[GVè8ܲÜÞ€Óà xA$eL°YHRl6Së‡äV Ò™šùV˜›¦3µžEPäI›©1‹`Q§Ô™š>PÀKÊ_8:S#±ìبÎJ2äªÆ^gó;9¡vìÁÛãGAœ8ÚTÇ&›ÕL¸M&Ê ý|%1¯‹ç&À‡LKÛ¸xføÑ K`¿`¦’­%(ZË!}ägƈ(Ì›#J/16S»×9ÑT 5îLÍ}p/*l.Èt¦Ö«¢’G´4;S»‚ó£$ QÕ3 +C‚±Ð%X¶& §ì¡NS%: Ü;Ìä™c&ðÇqRbU U¢ž¨¥‚ŠÂ y¸àë$lg!¬<À0 R¬;õ›¤Æò$fa¼éûÙ'ADþqæ?$6ÓàŠèD®8zDÁ  É©zþ8¸+Êñ¸‡öÑH¤F0±3µ3Î:;kN`”i×6@S–ë®75¼ësø¡35åY€!‹•ì–£åµ:S3³­n5xt嫳-º'ø)¬ÈZÕ!HBi X&€»Êø(U‰À^½?„¼Ç‡Kÿwœ-µY†©Dbm(T@RÄIº@c.Á«‘!0ÑÀ†ËO êCaF0²Hxð?€¤Þq ¡ M”€âUgj4è/çfj ,"›+¡$woè_gjf†²c‘ù`(l¦Ö«si²¶´K©EYÛ#t§‡ÙÑ™Ú ë ðæ$~L¬öôÀtŽ¹Jj?¿Êƒª5H!`쨡vî¯ ÐÙØÑL…)ók¦§z%€ªlÓ|³Ê Ê=Yæ1–D¨LvÿAQüü Ô/(˜¡áO¯<ïÿ½|ñÿÆÚ)éwxÐ)Ùûïç½èôŸ çûÇßzá&Dôd3µ~ñˆ¶Ø&A:S“=—Q«õ°/ˆ ë©É' +ÝyÙOUŽÌs7SSÖ +1_¶Þ¿à¤1ý:S{\'Øèkb¬[Mc²6—R­vè)^öncK¿.·± ¿Ã»¿Ð ñ²ø¬›zV!·±­„§‘_ɶþLGOY 1#¡ÖШù£jíJþk[Ž*j°.@•l*ϱ´b·á›ežJ­ÿ[¤u?ˆæ¡³$/ Â.}œØ÷Ò»¯‹E¹ÝÑÞšOèbë¡Aë®á&³ðf…Q.YB_©e+LœTÌÎÔ¾4—¦xš,ý-Ïȸ•*Jn  ¦õ.5©Ýï8z•âòÚ:SsÔ®0=«êBátÈ_Ì£Ò] ¿ù¡s³Ú~WŸ +°~º £šê:1 UiᦤõRe¨~gV™< ¢óÿþS9uÊUÍ9*Ñ`½Š*9 ß,ðTÛ–†ŒØéN¹Wºõ Æc½Zœ÷y»ž‹'.‡ ¥ÃRªþpZ½™4¥Ö º^ݽ«µ“ñN4@l©3µÅRÇWYΠ½—‚¸_©U3àv…³3ߙڵ¢%!AËÍÀLëLÍÅ\m¦ÆV‡Œø`þèÎÔT°‚)*RWg.½¨ŒDƒ¥©)ñY¡hDÝ Ü<£u¢‚1®;–YÕ_á˜ãXûÄýÒjãT<éçƒz´ëc©¡W–‰LÃoYrZÒ3Åv‘Xó£8•£@OŽ$N¯~u©^%«ž‰0ß&Yf§(Ê©Õ¬æžÊJ)œá3ÿP¨NŸ%,ž¨J‚• U<©pcím¤d«Y0U›¾ºâ=#á •SRMÃ6ò¢»€ÐjlçªHð]I•„U$×׆8 hÔ +»‚mk$µh+kxG^àõ)”±-@máÆl‹äU¿¬ ŒY}õàl«ÞXó*™÷ø¨ 3µ~£éA„’6S»ÀCæ£å¬g…ÿEEp5«!Nk¨U·k0lU.qp2óôùû)&ÇnŘVOós€vP$ë·þÒ±¨\e)bOBëLÄ=wú?áË«™ÜU¿ˆá‰kà(¿ +¤g!=™Oe Ky¬k¸úu©.ÞdËÔ˜•*ªÌ2kÞ+õ“§8ªm°0…çÀü¢U>jýWkF£ºJXÒž«4”Y橤g]Õ"uø¤{ý£BÞ=”kü˜xKñbxˆ…KÎå%.›‹(a—¿¿KÁOZr+Œ .³e9––‚§¸èÀ˜—jk ‡ jY–Â3õ—Ý[° Vª +S$ŸP³–˜]Ó®AÂçõ|ö™7Q.¨žãzË׌Žêê°¤?¯SUY N,oäõpŠÛ¿ðQu9×ãK¿Kõ6YB|£ªÄY #Ð!x–§ééL• ³À¤ß¥:y–È|¢*cY Ê£~˜Þò>N)ÆÓ“á*Ó§?ãšÁR]/K=x4‡"ÍJ,:ENeÕQj~ðTŽOJá>óMuZ–üö<è(ת¦8õâ±éÓaÍUÆyF—|P³Ôª%‡´Dµ¤’NâÑKž¨.¦f¿@ššÎp#ÐÔ¤†;¦¦w¦F=¥öG¥Y¹†’cMðiÂ_ãXƒÓœtÐÔ²G¦†C®š)ÎÔ†›@Sã¡MKÇãö¹& ÁçšNp%>×$hü¸£ºIìÎçõ[ÜѶr¸…TÑejjO,ÐÔ¬ÁaM35T ©U ü—þÚ¢¥-f<[­n%{M‚´¢Úrh[>Ý¥ €™F¬Ew«Z7W>k¸Ð­3l¥8”~[L¥N‚~€ööÛÂ×jvc¡&wÒ ˜(}âe/Õ…ïiµå#X±¶,X¨rì¿“ÒÛÔÉü 0#Þ Í­O¤L|¬Ýj5ßÅïêeb¨…JÕÈ$ÛÀV¤);§(=õvuá[ãÖ‰^&§ :Û-ÿË!"(„ÍÑW´§ +¡B¸N%ºòVjj¦LÌM°[79Fµ3I멯@.ƶ¡fL++X&§…!øÖ–;O­¶cMe¥l5e·â{³F[æ@ScÇ9±iüf–rËêÄNttøAhjÅÚùÖP +hjKl䪕HfÙ²Ñ(îÍnã7³H^hjT~üHhjËÑÁi¯ ©1'cñJS¦&WM +•nc$çæz@±¹jqü¶G'#d*U÷µv'©A;Uròsàÿït´Mm±¡vÑÖNöB¿>„œ.OÃ?z 4µ0VMíït3ˆõsdòbËEšêúK.5e¡Ï<Üäß®©âEuÖÿ ø¨¶6ª_ˆªKï«„å±5ô‹’B”ÎUÚ¤- §Å¬þÏ“f^²Ù€öê¯sÃßc- *¶Ä7èñEñãÔTÖ±«!QM ÿª¶ч(öîPòUS'¼q€ºD5ä_vã_[¢ú ÿ/ O`¸‚åЇ"ùs¬á©o¦äT‹·ŸŠ¹ „9÷šf[; 8~vß#T(†…2ã «Úõ%kÛ*»âÖ”[¡W…®í@³±kœÉw¡.8h…ÆÄí>!% ™‹§g bŸbÌÈ- ¾‚¶µ”À·b¡Öÿ€/Ø’ ThM]^ðÓ_§pwÙ+á ’DZ)vK¨¨õ$”÷%Ä jh€ë‹C-°Àž¶L=\÷ÚÔÆšó©Ën‘1.[74¥fãES²nhP,…}dÓ·ˆ‚ŸnåÀæm¨Í-S›²0SXž´©åÀlÕÿܦ©QLÙ™˜%]_!co´oBáoQ`¤º„î ’„tƒ;˜¿é˜ù&B—5ÔõÍŒ˜Õ«»Bê[ë꤯{Iã·‡ŸÑ.è+>+ohë[N²³H‘s÷²<:XO¿M~BSÿ ðA¾CuMÙ˜•É˜Žâök¡‡ü^CÁMú´ç`‘Àrh8SëÖ´jVBNbÄÖ± ±Ô2µo^5µÏ®²DÖZx`ËDèÕ¹ŸAþÒo>*ÐI„jmlòG#¯jŒFTEpÈBëUùñU«ûZŠC ÒUþmÁ¼¿ÖÑ(¥³»z.ÿQ®jÖ -\1«ì¢q@•ñÒM¤)wÃ_b­’[®+²öqð! +I¼ÒdÕ"@iÊÊS‹ J«8ùâbå µðȇþ$2árM%k¨µˆ®Ò 7³I ‚η2¾ìÄõžXaf|Õœ¯†TÐ4A²^a[²”iMÉ­&xž-ùbîäßìë”ñœiÚ ÔÀx&Ü9–.ÞêÊÆa¡¶—[öE7òtÑ”a%qÚÅÏü@F@Í[î>maÞ@6÷»;´‚MŸX‘¶ej9%Q¤]UÛ¦Iÿõ˜59ž<ù*LN[¤ñRva©O,q@è\é ˆMW¹üvG(s.·ˆÜÊ<ãÔÛ„ãÖü™Ô“­é‚Ž‚ÎóÅÊ,G»e +\äÝcûdpÐUÕÇÔܨÐ2œv¾ÖâZÌ Zý%q£ûôw&ÇÏG¨AeZ°è +®yhÃ¥ L„/ªÜð)s=uj·ÚE5 +y¾ê§¬èÕbU°Ð©Á:»¸g&OŸš‡ø° LZx.ª/ììùR¨oø:âÉsQa¿( kÌ·´!­CSƒ[{˜‰\µE~›þMM€bϽqÃPÊù£’2É<- m~knúb¨„–—)uŸVBÝ”ET#+$ýåÚX7ý‡äW¥Z•½©Õ¶Bƒ¨",#´¤Ú 3¿EÍQß;T]ãµzTö¾1¿1µ×b‹ýÓP…Yô\-ܨl¡Ÿ]µÍÇUŠ„J’ÿÏ8¬òUüL³ 8%0þÕ#D-¯¨š²êD~Ѽ¦ñ/?Ì@ÁÂàظj€˜ˆä}ŸØzÇN†îŠèª™–\Mzià ¤Q¢â|•D‚8Àª]C+%†5ØÄuî¡ LŽ _a[eÐ$Zd¶ÊÆíÖXö‚'âJÀü«t½/=ëÈVÙMæ6ÞÜš&MÒΧ øØ­Ö >K’ñ Lw&sÜâà}U™–ŸÚ¡À…à@m¢;uŒq0J µÃoSfa_\|ìå3¾À™*puK²m:[>£Ìtp\T· +—Ï ¦lKcùÈSŸ›$Âl6̼fØóu›ò|É“OEJ HÞóåó{œ©E^7È‹ç%–é›Dj<¿öGý®ë^\šß¸{㦦tlÉ€?¸îªÝ~éiJuy"¿®u Ÿ~Œ‘*^ò¨|,³Ð0@Öø½ˆ­†ejj¿Ö®yU© ÿQNûªy«©í26Í’}Õâ yU¢rXÍï«T9”ÁòZsTP¥FP¬0·¿$QX9Œ1¦üULu-N*ÉTZv€.­r8B&òw—¨™Ð/rðe/ë¦,fÀøªíˆRŒ÷ú˜4…„ü€*J¢ÈÀ‡Õ\'V‚‹e à^ØÖú#ºRÅŽ`ðUõ7 «’¢lC®ãn.®KW +o ç»,}Khw—ŠO]ÎÃz²‘³)Ó®¬.[4kAãÚnmc•/*1Ê gCMÂÿ`9ðZ`§/ JQ4_}ß<_s´¨ø$G™çž²Õ Ùó¥Ð-Ï×íª‚zÏ×>‹*Z.xêˆÂž/ÝOm14µ¨eæ&TM9÷|Æ<_÷­oŒb' ?"õö|Y¿-á¡lç·¿I«ë^Œ©y›As;5 r +¦Lƒß”ùŒ2ó›2J/ê x¤«:èŽ à…µÆ…éQ’EÚ¬JwÕàÛY%IJ•Æ1~ÝT©¾ASk^ U秢¹¼ª81æ*f@Ìüà ++Ëj@—SÈ/J5 R·ZZ«a¨¢ Q½<ü!Ò|fðWÙfP‰…Ðfõ‡‹E”(ˆù*D3‚À<±D'ä:Du¢ ©;ÊO¨Ö*É^K\”yÃbÖOKc.¾¦úôjË9¶uAõ ¥½‚Ar)Û»e ÔËæWõÍrÚ>Éñ˜ßåç_ÞK ü€¨X¾ó0)]zq¾J À^‰"%„©öŠª&`õ˜ö/cj²ø‰0!jqóp !`¼ä(¦r–.vKU{9€—O›Û‰Rœl—S¦ƒXûíh6é&)ª¶!o&îÁò#HØóu9 ™ÓõÑ)£]µ¤ÌÿÒ ÁºÀêXö0cÉ‘_Tú;Û4§/¯…ZKµØG¼ª‹Æ”­Ê ù*òs±ÒÿºÈ¤"²3¡Ôìªî´¸ªH…4X9Di©“(Ôõ7hUkL·N‹„ rù—Ö®¦Ì”$Êäª~ zc@Å·¯ú%ç¥õ£$¦&‰¢ÿBµT|üª–CÍ.Ê8B-í„þÒF逎‰®|R¬p UƬuÿé2e‡¿x„·lµÓ*绬ÚÿEñ…KÜ‚Gž™,R©ÚK^™ÊÆÁa·$±[>ÄÔv˜…RQÜ%¶4.FàâÈwf.î`èØ/0Ï׃90¯¾)ãÑb'釄G׶çKœÐÔŽIdkÙóø(xŠÎÒQì‹ß^ðÄF >ÍúÁMI±ä)x(,λUqul1Ö?ß)]`at”0ñà#=Gt9þ0Ü÷Éb çxbÊÒðU‚¥$šP™¤æùŠPhG*€õm%l"(VvH•Ä|}2ÏŒòEÒ_•"äoÆq²Éb×vºO,ŸöB[>ƒ—LcžD¥§L^¡)~®rºV3†U¿蔜±ˆ[›lëzCµA+m!ÿ*²2芹¸¡Á«*gA+qŸV„ÀGdSSóS'¿³®džá¢,•a¥ž[_ባäjÚùZ4QbN^s¢¬ZT6[aŸbaU¯£²Rß*3@ù15¢LÁSÚNÄt.ïd¦¢CüN1x?,ÕÐ/>T±ý Ç”‡× +^‚e*g[Àßþ:nTåkŠ’©Uå²sØ}{¡ÎŽÂQ90¦7+€¯šÞ¯ãÖêêØí´º^‹C1²)ì/mØ8ìô6!ä‹B”tªõΆBkq¢e)Åšá§+³Û¬(ª¡hYrÊ"HÜj.¤¤ˆ%¹]¨îC[ÿ|9Q 4­I\éȹuVóZÌuyoÙðæ §¡—{ë¬7)º(q¢d¿`ÝÎWÍ +*Þ'#£2 33vS†‹`*X†xj6>h6ã–Õ¹uˆ’n=Ï®:45Y|¾íŠRL_YyÕÄA:ÒûÏ-$AS# ¬%œ@ÎÔ}»ñ„™®@?ÔÕÓDÙ¡<Γ1+°°Ì|\Ë •@!&–ÿ +·¿‚Ë¿”ɽ8XÜ] +Ÿ[L  9€r=,@D[«µ¬ YôÆËÑàuð ËL®L#LÄDm³º¡ÆޞɮbÿÀ{~‰ÇPŒ¿Ù†Ëô îÖ88Hò+À;–ÁRQ}œÑÊ“'r*0báºÐ`C•YŒ(!ba>— `ä%^§дq½i9âºÓrĵ焈kÌ,ÈjÆ%…Õ£§Œk×[zÕ¤,A±3¤ŽM@6¥U"± ¡2æ¾-f(‰6ÄÝàÝžgoŒd]á ø J"$ôŽ<Ó6¼@*„£r‘C§TaÀ06 mäé@Dq„ÙvéƒU")Î!ÎCœ'<‡8O€ižà|0O<`,Oüj8W‰Œez(PE¹ð,NÓ¢(6ÿ6 ƒòÛvîöÍ ŽÓîâÇxQ_¼½,DðÊà‘ÖIä&ÆËžx<þP¡°ÎÊE‘BS 9‰Ž†èüÀ=·˜Ošp‘æ}ɦCôÙ"Ó*ˆ Pª 2D©‚ÈmT‘1á + +½ˆƒN[7tXòiŒ¾t¾7Úë;$XKðp`ËãlŒvñ‚þuÜí+H겨ˆ8æœkFìTÒÖ¦Â,$VC¹hl*ƒßªÍY DØçv9zˆ^«PÅ3Ú¥ ¾N(Âû÷‘ê’í?²œ;d0pnÒBó$Cþ˜”¨à¨O‚àQNôÊ+W×#åJIp‘Š¢B2¢U‘|H#S!óšc(å†S-’¸'²ž ®t¦Œj'Sთå|eÁ¨tVCúDDzæð'ÿ\¢\@)G’„ã+]@T ô!¬ÒOe{ég{赑à¢Q©û-àSUˆÇJA ¯ëˆü@[aù€Lùk?‰’ˆB)b¢Ù 6 +e3ÙP›B¡¢Àxei. œ´.ª'U˜Ñ¼šŽ.ˆ6/‹Ìé N¹ëóN"g|€軨*ÔBý€éÃ)X'Õü»¨„g»I”e{I”%¤'JJ*[’j! Iä¡'—:7P 3«œ4À¥Ò‹×âZ‰(ÔÁ^Dué€F8æ*šÚÌD:$@†Ña-4µéeobS‹æ¬bÓ!×ðñ Â\>$µâS —²šò¨;he¢÷ï;Ãû;ÀÇd;éf;gƒí?`JØ—Ê zUR˜îµ¬B3Å’ +µÖ‹‡B1R»3o¤`Ê°_h¸’òm^Ü +Ú¨TŽS:™Îç¤ËL)‚y&%ÂÅã8±”O¢ç ÇA… $ý@êÙ6“¸sþ4X˜ðÔÍ,O„Év,Êv½…d;À Ìv‡„퓶§b€Rfe¢¦u‰4»©®7%J;s2 <Óùë`ñ´•ÌýŒ‡xòéÚ— +œHÕG(eúR4)%чU HÃΕ&†Õ7Ý—À^ÄîÉ ù+Ô‹&ÛØt-³TÂöÁäg»³}åbaû”" l/=Ø^š]‚…ªCž½NUûK%I"/3ÀÑ${„EÀÐè‹@4iûCéÅR3Ó)u¿G&?1HBŠ2¸tÊ­f +å¨L)1rŽ*ï0RýzW®Â´Rµ5Í*©äÖòœ`¦[ÎÒï×Áï?@[$~wk–ßÉ2ìÚªìúä!ØIe-ØIèC°—ÐZ°›ÄO°ÿS@ÐÚ`; tŽáYOœcœ¸ +»:a…JÙœ)õ‰ãoõïv¬‰ÅaÉ’Tk¹T¦•"JLÛ¬møÒÿ_ºî_º ¾t¾ô‰}éŒFýÒåÒòÒÕ×þÒÁëwU©ñ»©[ù½Ô™>L°t#¿§>¡Šc¹*.sEªœ V™§~Vúwÿé…!è“ûué ðué†ëÒ]‡ëÒÏÖué!çºtîÔºôð“Ö¥¯—N\ºæcpéÙàÒí.wéðHäÒË…à¥s)᥻4ÏKG™ô—nZɦWÄïºì÷îÅlX?@ûßòl³Ðê4ŠŠîšE»^z…v}‡v]ÁízÚõµízÉvýSv}ËœvÝ+N»®"O»>Rìzæ°ë`× Ó®»¶æÒ@W—ž@\ú*O¹ô…~é(”°Zý~2i~h䎲îú_¬»ÞúÖ]uÔ]ŸŒê®ÿ€Iw&¤»®r鮓*x×= ¼ëY ïú~»Žrv=”!ì:&ìúCwÎé]gYÊ®ƒ)ή $ߥ§^Õ¥Ÿþ¥Ÿ4”ÓHé?pêt^úa_ï5xSW!Éé|½Bq¾ÞPž¯wuóõhÇ|=™{±ùúØ &Ýo—AŠy¯ÍÌ8}!~Wm@h”+æ¶B¢±hRºh)Ji†…å*b‘.8PÔIKHM¦È€ž|²È£úú‘¾Þµ£¯%Ð×c8ôõ8Ç°:(>–¹àUÔ8æZwÖ–âÅG9—ÑÿdP­¹Øa{•o÷¦ò´’YüÒ‹´ÊUëªLb×;]¡¥‘9âÒ‰ + G5Z —ëY—ë=æËõôér}‡î®75´×KFÿõ³!¤{“ôZ¡Ì*ïã؈X—2ËlJS&pÿ>üÑ·[H­ÂÔGƒÉgkIYæ8·ä»—+ͽì!‹`§fò¢\´ +»2¹K³JP¼0Ô‰„…62·ƒƒÿ€jåßÒÍðsn:üI)ñ;(ú%&ú’ùÐ B+MGû!}ÛXxd³‰LQÆaR¾Àå!Šï ÓÛÍÅÞ½§@âý@ÊXž&›¨A–9ëP€r@ +™g©9uÔ6³æ®aƒúÄvζ‡6gXÌÈç±yY$9*cáPÚ÷âD¨óÅMhÄ‹û´`r»]RºOZ½ÇãñbÍ]y¥†ôh1ˆ°^pù‰(ƇaïÁò”>DeA6ÜCpT­ +Ð;8±k/;Ùy<À)ÞmMgSÄø'þ:öH W ÕÒ¢/(^<4¦Ïñ4R Ji´X|&T¾øH:Fú.š¾b*-ŠÔ5NN):¤e †¨D6þ@vñ˜‘5¿ž$­:…Ü`ëNæš[& ¡¼$xÏ*Í›šŸc:?ÖÖò£#n; !b9´[?€µC¥r9æ:.÷(ˆpÖ8Xsùͧ š@§‚°j3b&' ƃaãV:áѤ:,£å$ºäâ!j‘±‹ˆ”f·¡¦§gN¦@¯”NÖ‰U ¥Ïjv&OJQ³Êõâ&¯çάà%nµçÄ‚_IX1îzPÔX²ò¦˜ç‡dt"ñpDÚò9Iª€ÂZ‘'MÆ"OEn xZŸ´ün²ãeŠcS冣ºÍ‡¢2ž3œƒ(+¢!”,[OÚ},ƒ*QñÊ"K/[ +!;ë oV[á)—Qò/\SF +G@øTÕ±Lð#Cp6~ B +9¼rØ|‡F«bÁ|°C)'À +Ïþ +OÎXŠçálÅó«sŹR©Dgçá¨Ñˆ¼˜SE5IlMÑôµþˆ–:ËÉêª}L”«b¬¦wÄ8GN%ïâ ä¡&¿‚ì"›²àØÅÙXn-n(äÈ=‡\VŒ{±ÈÚ…ó7 (uk>?«›ô„ÿCzºÿ!Ýì¤-¥?kKÁ=¤,Žc“~æ± N\”°.J²eå2UÛvuO·tUòýê7$dyï§R£B!´s³%Z†À³Î9Ï! I·Q„ ,@¢¶ìãí„|²@S ¦LÕ•ÈáÂt +.‰#Š-€æÖ×SnRÖîߕú¼ÔZz©"ʽëèe ÉËZ\.mefº4Ú/Á AB:›,6Ö-î>•¸ Pi^¹åuƒ‰M¤Ÿ[dД"„X4›m [L‚ ™Y Ûõ ÔM‰P@‘òÅ*_6®¢é@ I%J&(rjS—õÑvö‰ŠU 8*V7*ÖÅí¨v‘.FÎÄ×!^DoíþŽeO‹W…ˆ~€œœ¯ÂwÊWƒÆЃ‹ ï9…›>„häèÔ™'p]BQ P]Ê€µsq'Ô ÁÇÕCRÁBŸ +æ!°`4>r<ºt‚3€À¸á´ò„ÞÔ‘x? ˆä6ÈÃ-]Éõ¨Câ5E²A3ƒ<ˆ\ÚÀ‰9…‰e…^)¸BKFK•!tÕ§w–Bs¼5¢5h¶é#Y¸±.~`2›(†ÂÍ(îB½â<ŽwÍ!4'R(Ýý/—‰‡•Ñœ³Ý™uD„Š¼(€ÜÀ©ÜÀ*lc€C†ÍCx (4ál´:ì•nðp^˜3i]bIÚB3Î zpy¿"~Û…¹*‰ R"KˆldJÑí8¹ÔZ ‹â$‰\ÒO…‚ÊÌå“››Ø$ Ú‡Ô‰K¤T c¥NŠ¥’Ë· *A¯˜Ô©/q“Ábõããxƒ)¯8%X)…Ïɳ2壣†[$0ˆÓ6ΰëi–t=Ę„ÂVƒNls©³’ÀØL]´&%FÉ¥‡îÎéÚ<"!N€$T $_ìŽÒyeŠ|J2U½àp07 "¡Ê©Uh‰,ƒ~ õPW2ë¡®ä’ÎXe Ë3)¸Ã*w‘LDf©Ô0: åÁ"ÀLB_ì‘$Ò°MÚÝ0\§”}£Tìðø$2ä*’¯æ ‰iœ,¼ƒ§A•^ ¥±¥4˜Á êtRŠI¨Ô‰‡”ËT:¨TÒ%¢Ø—Ë > „B}2x"yІÒáJ F"4Ä&Æv)}7VnÛc•8]ð©dE2» l°WaÄx|‰t¢æçý€É`N¯}ÕöÔ‰ÕôêÄjšõ󲈢9Ó™$f™Ä +Í¢šhß:yhTŠµAt6x¡®x&ûA´ ›D·‡,W)£å´ø•ÎĆÙiÔ÷‡ :Ž_\÷°Öˆ)ÓL ‡5Ø” +ˆòÐ,?P½&ˆv¢4’ÆHÁ±p%ŽgãY'¡‘ÉE˜XêÄ´Z±^l¢Åz± ݃ﶱw[TÂ*öÂt:ØDÇY ]#Â?`ÒÜ Ï%—ŽlDLïf lÏM¿G¡Ì`n½¹p”óP#!W8å›#"šì& §Rêþë’)dÎEœJÉÅy.ƒ:ÞÇ1½Â À° ”¿· ¢{±›²¹xy«¹`„æ"2‰ïÅ‹z˜aÞ.˜õ5Xó«»Gë¤ssÖ‚Áa‘éøË¢ÇÂŽÓ ò²eæn[`HΊ8  Xû¦"H;Ë%¥ UÏ ÁÉpœÂGS@šBp!C…Š¶m•6”àE…ܺ`‘¶m¨Lßæßw$Û±Ë3ù"£h"£p¥ø@ù®õÊ*zbÆT>\¡b¹/ö"¯Ö%}±RLˆÂSå:2Øê“šV CÒ”èÄ&ÔR 8›ÿ8t&IÁã t–½1#!ë +ImîΦ´Û^§Te—«XI¬æûß}æýû~e{kf»&H.›d ¹të2ðTRL˜S˜ ƒdR´k¤±°V ‚z™pêpØ“aèü@‚™$QÏ”þóÕý£ÚR9ˆêxÈóDÊ— EL¤ÍÄušç…2p-ÆÊÌzK¨¸¡l×ÏB¶·%lÿÎPÚoÑm¾¼ÔqBMHsFFë|( +æqE/hõ¬ ÉJ^:ä•RôÞ¬I”âèhD殚4À%]I»\4“k2kL¨Iáð¡ M9iDªjâÊoÕ£P²Y ÒºÑU¶¯ÁßENð÷,‚ÉvT³½õ[ØÎ*°„5“OXcúGT +ˆÌœT SÅx„~`tÙ 'ФÖ~sJœ„(›òS$`0Ýê¸â“<9­œLëdfTÈÙÐÔ6ÑœR/HÝP©$ Åj„A}X€‚¦OnlgTLØ.ÄlW¹5Ûàã¨Ù.p¶ë¿„í-ÛY—`7!+wb"‡’š:¿‰õN +2_f·§—IA…lÜ‘º¤%©Â}ƒ¡Z°ÀpõtÈN¬â +(´r+3‚uB>× +‰C‹KÐýe;À¶ +vN(!ØóF°+Tí…ÿb»i™±Ý“ØþjµØ®ŸZl×E¶ë‹`ÿ4ŽY'Õ¾¤¨á¨41S¨¦u§BGRiÙc¬„­ÕÑvM‹2Z3ýA‰Äú"?ý>ǧßaðô»š2ý.JL¿ÿÖô{Ë¿~ÿfö;†Ððûƒ+ùþd‚Ý}Ä‚„/‚ý¯,‚ýõùv×ç$Øw‹E°ë +T°§£ÄH¥®BßjÅöŠý UZn4ëHÕ´¸¼è¨Èâ¥s¾ÅK—€/a+^:ÂT¼ô‚W¼ô6”xé¦#ñÒj⥠\öKOIì—þQñ—^q}^:Ãâzé2Lú=í~Q#ÿþò€ûÞ@»üžŠ¬¼æ™–*¯:;9¹ôÑ=¹tläqé'ØãÒ/ŽK:.=áu\º€ãÒÀ•p\:zà¸ô0ä¸tÎEré/ É¥Ÿ$—Þi\zä±ré }éð ñÒKç¥sôþÒ³;÷»¿W~w)0Á¾Ï«@aØ¥Ÿ.ìÒ? vé¯ÇéÒ¾Ó¥[nÓ¥;FÓ¥#J—KJ—Î"\—nž®Kÿ\­KÿœÖ¥ëˆÖ¥—¸Ö¥k2¬KÿlpéˆWÄ¥»‘K ƒ—¾¥Ž—.`7¿{:÷»KÖø}ws¿ë"ëwÎ%5Ö×OVêëgVÀHóõ´{¾>îÎ×·¯›â×o‘ ØúƒÂXËÆ”­¥Ëè›"÷d4®k@*]HbŽݼm|_†•9 Ý8Fƒœg~‰°@>~Aøíë3ûú•h}}æ[_Ÿ°Õ׫¤ôõ\›¾žEB__ò¬àãS,ãéÌŒ²á˜2¦°ø?pc<¨-6f“"8 ÜÁ“qGðàë‘êB„­ež­[”= *?¯‰Ô&õÞÁ&G"œ]A …æÕE‡K>Sì:ŽEØÇÚD …m'ÐÌZûÎ,%nü6ÊßÕ5£Ov`[Ûl H{Á-½­V–Æ•>[Ã}´.î4ZËõ*D÷ ¯y5¸±õÉvØE†þÀ9j’EiN'l mVæz¸H“!ŠùAS«L‘éûî¬ò D[ÕÜöu ·±8ä¼UVì¼²œ³qÑçPt†»´A÷‹R‹ÐÅ„«C]oâ :Š:ÀV¡jÐ…€å%AÐC'Y‘#è` 2ºŠG$дa<Ṳ̀TM_ %X¼{Hp©w±ÈhòÃ}´dÀ˜,…jü´iÐ#TI41…6Ô§ƒl¥ñê4Á=K Ô16¿/±Ü_É#GÐRY,ÀE‹¡ÌY@!ÊèD0²èˆ™<ÒFVkÆ*‰iÀä5¼H„žqK‚†1Y½N¤îÃðr3 6+kòýáª9ì[ÂBJÓƆâ˜qRãYP~=D„?ð»ˆ'lµ‘BÊ>¤a´–»ïòR„Ù æT—òd´®é<¨êmÃTžª>«ƒ0¢­XèÀX–·x±¤¬ÖÛËf¸Œ–]¹2÷¡^ChæjܠηãÙ9á¤/.£6rlL#BÁLL .Ãü€‰U"9Ú­9Ì-fwY¦ –¦§q˜³`ŽaIž‰tšvÕfDŠ‡ŸSmÒûkáÔlK¸Ø²°µÚAâS¹?€A$AuÇ!,º'ˆ¸òB……7¿lðÎö øJvýh‰^ŠIèUº3ù^€xàRJ2â4´¥T±W À °}4ZB¹n1¡?àÒT5 +²=¥35U›.Íq'=©/Yõœ?^'¡Ù©±-} +R&læ»:êa”l˜ :±ß±œ(O÷±¹iKÍ ÐcX((˜â Ö©Q1UœÓ½X9ˆsƒÒ¡½`J©ƒ“Bµ¬hãìQ°v1F}­(”v +*‚äÔs’eÔl½ÖÉiÙÏǨíX¸Îé>"òUFá@kø•08q +€ÈᶺŽÞV‡ýQ—ti’šÉd¶!T&Á+#'•KžW"¹0‘°áB’àM Dx<¬º£(ý€Æ-à´õìÅ +ü(gC™¸¯vaÙ4‚ÊYnm¤-‹K˜®èßã›á" !?wf°CŒHp…BacWäv/± g\qÌ?^à‘­²OÍRÅL"¤zÚEe€E÷ –î‰éÀ@0(.ñX: Š¨m_©ÄàÜŸí-Ï?]6ŠwÃâÛÙœÉŲOÃý‘7@µ‰]ºéƒÓp;7!¾zHÒ@ÑÁ›Ð¡Å“3Ç +„Ë••87øà©LózklÄh:lv·Þ^ñ’TX € ìhlt/ +Ê°êR¨!²PC¡Àá†òoÊ'ýÀ,›Æü©„n•Äã-¿¡‘|å⥠Æpe`ÁxBD´ÀÉ3Pê 5HúßTPŸ0ÒöðN®šˆN5 fýÍßhÖëUœ)q(·­˜ÑC÷уBØ!\fŠƒ]‹íÑ"&òdÊÄŠt†9qY8ˆ +é# +0«jBŒŽäˆR)ÚS¢¿7¤‚;¢’Æ HFæÚ>8rý B­[Ì´3âuòpˆÌˆÂ!~ õb%A~ bÞLIV‹ÍÒ &‰hQÌ'~ÁaO( &怅«#9(Å`Œà¯hü§öêûbYgG®ªd7 ¾pÛÁY#†m/%-N‚”ñ51X4x«`V ‰¹)…~¨cÚÎíSz5/JÃ4H¬O¥pê$è¶ÿÁ¨í?¦N•$ T +Ñ#P)HH¢ØN_UZ©wñ”Â`:™‹TC_Ž“ÿ2 5Z“âàÐGH)<6ßBÀ+p‰T&ªƒå•:ÆFx…k–8aÑëâ}¸fgu`'õ` ”­yR( :RÉúÀ¤}ÀJ;¬´]ãö¿ƒ"ˤIev 1–Ä`aôƒÒ‚Ø@Ô)PÃ])ômºƒ£ ÙCà.<Þ:p(?€k™\±õÀ›Ë:Ý 9Bµ.Ó$‚ù:æ‘Ù¿§¡I+Åf”8€8 JóLÀÝK*²e-Q2œFÙI–xªjÎA„Ûø¶§¸í¡c¡í?Àqž¿g–T¶“™Jê‘3 #°´¡ˆÀ³KZ©´.ÜZf Â\TÄ™qü픩[†Fn!ÂMÛE›S5BpBêÐùM0ùž|V†Õ•4Û*ä)"É2ét¦‡€ŒO Hk•b`°U_Òm'¤Ù¶Ÿ¡\Ûêþ÷Mõþ={@²}eñøÌü€{¹82 + S0Í «iÒyW§:"JaÓ±|Áƒ³ÿY°rå3›‚§H ÉÖÑw³H£¯q%ý:˜Â\púÔeÓx¨~@’n#¤ÃÐvÛ–h;ach{éØ´sÑÿ™T¶gµšíîìØ:oÀÐ'ã¦S°2Ïá„a<†Óãý°™ <Ô:[&ш\øb´i5lÒ¤z¥G)Õ)%2æf:dtéÍdbUäyO!g±Ÿ´Ó)M¹²‚CeÚ:¤ÕÇÞ=­Œæñ÷ýù;íþîn<OÄß¹’ÿû$²ý¾[Âö¸(à!ƒ +Í¡÷ÕÙ¸Ùég“ +žk.¥Yª1ý ãŠIî)4Úú)ãA+jÂ:¬PšRlTj&•·êž’¸J7Ñ*ÜP@¬¿ÉtZºiÌöQ¾ÈöË«Év‡‡ý} þÎ"U¡lç&‰l×æŸíÙ7c;MaÈ&D‡”1ÕRÆô6*†¯‹@ñ½îÉ ­+jÕéGªÓdJ*ˆ+“X­>/}ÀŒ֚%©¸ÖCC˜[ŠËþõ•õ×Æ°?l×H'¶¯n¶Ì +¶SvÛ`³]FÈÙŽ~9ë?Ûµu‚íÙË%ØîªBÙsäL%*GJ19O©…€¡š?£ÄÊ͸<¬QÁÚ´0…Ñ@Õ"»hB +vL +öÉG +öÇjì6eì²Í +öd;Z»€¡ì^D°wR)ÁžCòû`ûz±¯/5Ö×c´õõ‹…úz6•¾Þ+¤¯ßYèëKôõ}}epÒ}N’V¼¡°ûÀ1e Dañí„5„ƒÒèM¤³ýÀœrh8y#½ºÂÛ±ƒ¹SÀ¿4µ!(&çLg¢‹J.³‘…„'5ëb¸¨EÍÕ–a Û×ÿ}=X³¯w rŠ=Tºÿ‰v²ÄÅ´°±ÇDqgÛ¶ÿ¥gF_…"ØZi´w[h”w¶Î5pšw˜/Añ*($°ŒšpƒG> +˜á#Pˆ·4DºUH4öðœ&a ,‰ —“ ÖÏ©,@(„ýÀ0Ðè¿TŸÑ×hŸÑG¤žÑGC²­a¤lk¤ +¤UHïm Õ¤³ÉÕÀ±3î̇éÕý@é×@ä,bÀ¿Ý™ kÓ.†õ‰" ª=ž’FZ$¸Œ¿–5Ÿ’"M@F‚Öa›$œ¯ŒØ`LˆÍÎ ,>ÕÈ1Rt¯Èp¸KI¥[º¥[µÚ¥ƒÀ…WG à®¦¥îµ.‡uŠ³Õ`âñÇ× ‘%xlJ Á+”QÖâˆ(q£wÀ|;P%Ë-¥iLÌðl;ä¤lÄ[Zµ!Ÿ¥½é—çîæb¨2 „€,<¹<:D0G’²> PE$H !'·,ÃxG^"üèŽ'¶V-Z Q ÛX‰½Íx˜Dl²/aîeš2åfR¤ç¶!˜èNaÇ´Fé¬mõN æ•p’¸ M‚#¨äb ´ Dfc)(~ c›î[´‚ˆÉå=&+Ææ² +6…ÑUÓÌhrvfÔD.™ál‰x­0™æ*©§[¦@Vù¹dT&eAxœ·Í6РãU˜âÓ@=ÇÃGýÀ˜³¾V®k¢HíÅ--d{±\ü¾ÁïÃä”h 0•GAÑ¡)æú$Ĥ¨$)‰Åci´tóëÍËVdY•)ç´*7·MÍÍjHÍDžÁM5S¡ÌnsßgëV,БáSM„bç`à çÀhË‘‰¿Vn)`ƒN—Yc¸2˜ w9‡4‚ÍÁ „E. vDä½â. ¬‚4•÷0Gp²iIþ+WÂ11©#Ï’"±.˜UjB7, +ÀÀ³¯œ=”Ád`ô^Ûî2´d÷´Mä7SieWX +á­ + +¥—„‰#•OP˜ÛO¥Àž$‰ü≂4µå8膷4§.¢‚´çÚƒ^2væ¾—Yàòn$ük;ä@ƒ«Ý¼GpÇœì‡Ý® *m†Yy«a-&Ž®œÏkb¦FšÅ†Q?¦,Ûã@paç1@ ãèfÉ +OX–\C2ˆáetŽCÉsçÈWÄXN¬lD6àç`¤²†Ï¦•iá.?'XÁNµá²ÚmJF§WÔFïìÏ.v‚@W,J#‰m°@R½í/Ѳiø,IóÄÐb.¿•é°Z…æ¡2&îC‚Ô3ì Aõ˜bZ·:Û+±ÍÂ6o—ÅÈ‚I-<.‘lhœè– ÇaÔ(’ÊH1‡ŽE#'/Ììý±q]N±ãz­ŸÝm±"L¬A&Ônp»HB·àñ¶:†`:ˆBÛêèã¢]°ã£3¸©¦£¢CÈžC«W/ÇìàlÀÃÃ2jHDö" ·DNd•¢®¤„ÃxTDã=§ØdŒÇ§( H0¢˜$íA’OeZr»èÁ¶ý`/ÙÏ^ì˜d‚m¹BcöêBeêÌ„i5çEëG¾ lz±rÙn¹ÈHŽƒáñÙKù =D&˜í8&^D¡OË¿´˜y’3Cƒ£¥$—(ËÃV”}«QiÜT«qCmû¸i;"ŠÂ¯ +ƒ_µ?ÐäI¢ƒ.[ô' ‰Röê RÄ+û´HõF‰!bQƒ+=Ÿ[ ¯8Ž–¤Åp äBF¬œG:Ö”XÖH‰´Äƒ%á U0ñ–97&mx¼‹+ý§ií!®ÛoÒ¦ i€öÒøèdvÙvQ’k;(n0bMÚ`È°Á8Ø’³ÆÓ"T gXX, £¡0ÃƬX÷Û pŽ8#†ÿ?Ω$.Üs¡¤eXÑàâiHDßå£l&˜Ner¢Ó ¡RÍÁÞÂΊ?ˆœ=©ènh9L,èz¬ÜKƒÛɽÞ~@FÅÏ]µÏ=¯ˆÛ@™÷¹ùx7+´Ó7§oŽHo ®Å<äŸð–,[ÈÙªj$LÐg®A¤Ä§öÄ„õ¥5ÅÔøšåBÃë‚©lV|\GNbõØ>ÙÄŠ\e› /¶§RÉšE­Û]¼äö2õm;XØ´ÝÀ ølÙxÙ_œ^ÿö6=ž…8|4þ·¤.9ä‘âà aæ2ë%,k%IN¯M@“á¡lôeS€TÁe5Ø&“„C5í9”Ë>{îY}CuHŽ%¢9%L ÔêµÛg­Ãí®ÚvÒ3š[7Aœe*’Pg3Wp¥™Çµ«ÌÉÕ”³Öo!ùèžoR1-@‡æ£)¶æ¤U~À0baºXÎW‰r EÃÕ‹TÆ8r³½ˆ3¢œÏ.Ý…5H hxܬžÂg?j-`·P.·¯¦½í£d¡í_èøû üÞQ~ï( –©£4RúLA$´…m%ä +æz˜åôMK§”p/”©_S£©™ùä)5×Hܨáë㌄ÐëtŠÁ#ØôªÄ +•Î­Dâ„XKœq¡(ŠdM&n_”4·rÐ62FmÿçßmĽ,F¬É¥Ñ!M.P¦_¹Áü€ ¢ÎŒˆ!‘k—4¦­Sl<¾4à\vüäʹÃ`µ¾‘ °OÁ°|ØÖAeNBE¦‹¤ÿ`=Skgd'R¬³©ÏjáXq,!>©=n_è mÿ˜Ž·ÝÜ,´]l=ÿT©lW,‘’¦1Q…‡e Ø@2Ñ&Â@pu~èÀå£àt„Kñ¹>'ø#…\†Ò  *ô”åAjiĈ¼"ÍQ|J„R…cB?ˆ¾–ã¢0‰ U±0nd<&´Î© Û.۞ФÛNð6Ún:6mIÄß?$ÛàF 0G¢|"Nå#Ù´öO…bð%²+¢q´" !Ò Ä%ïªl&i;˜HMÐñÔLˆ$œòk?1< ŠN• )Âî*s3”\ƒ–Ç;mgmß|mŒ6Ú^Ç Íò|Áþ—DA°ß!D°Z(Áþ–JlO3.¶—ÛÁ$Ø:*Ø]ªõõõõ›o}ýƒ¢¾^Õ×›•ôõ[‹S¼/”V‚`Å!ÀÒw6ŠåÅ÷¤™Ñß°[³°{·Qè•ÆMòÖILàëE4:@PÿsÅ62¨-BÎ’FÎó¡R.™E‰ÝK‹©aEœÐû¡CÂ@ö„(Hcö”PØzÍìû‰;Ûö‰ S6±pã·áÍèÃ_lk(ÊÒD”¤³y¦ÔÀýUw¯SéÕé®î±žÕàTÌ.11XŸ”ôèI%4dÆ{^e³FNFâUÈ;¿Ú‹Œ*b€Um‡p³Å(ɤž¹z#q.ípÝÒÙdÛ¥q¥o œÀ‘k8; àÕE6 Š·ÀÔù`†péK2d!˜b&£°ô@@"Hº;£çGX™eŸWù3öd­.Ž¢fˆ)…dzýˆÝš¸—¹Å¡ÛO%÷àá'Wb•Ð`™»d5¤Õ€ÄÀÒ/¢"àßAv >se*A —M j,Âu•Oļݘ¯ñFí²åp)j×tM‡õLWø1S)å^!‘ê_:ǦD¢B+Ñ„"略 +îÞ’æ³Exªe”P—ý8©>%o…jQ寉ñ‹ãìFˆ¢äÄ‘#ûˆÊóã´QñP 7CêK¥,Õdkn\ˬÀ Ôy‹®­K•‘J3¡þÀŠÊž•t+YÛÁ«×uœä56œ ™pŸÂ†4±¢ãZ˜Öø8•&¯K¢)QJ%ÓðÄyë‹L€·üÀ£”^åRÊNØÐKÇ„´š²k¯LóåzœZGR¢- .¦¥G9J;¤V"^guD4 ‹°^-aÂê.Ù¨Q‚¦Ø4à•zQÄ¢Ï9È „Ìš?©Ñgÿ€ µ©Öäa9Ø’òŠ! ¶L$až +Ä<Œyžãä®ãü4HÇ éH#zÕ$4½¹Dê2„ú>~V”ÛŠYÑì m¦ÖY7œ—ñɇ™ˆÙAj“¿/ endstream endobj 20 0 obj <>stream + ;]9˜6 +ÖLAÛå!×`}0©S™EX €ÇdßÜ%ódóóY1ÎÔ ýFj6Nÿ¥…O÷¥ÛªýRÐküÒ>ú¤4[ÏêêäUôl˜ýÛ춭/±ºkçñ‚“Y|q¤Åu +‹3~@vˆÖY%JD:ŒjÖ"Ù¦ IJ@aÒâ\ Ö±’ìûi`ÉÖV“±¤Ïã͉ñ;ñÚÜÙÊP5²µ»õþËTnبo¯ˆTų­'ßYÀ•º‰e¾®—Ë ¨ÊL&ÕWðˆ>ù2H´‡cUÍŠ=k8p × ‹Œv´)˜E°’ØSµ¢"pø &ó>7çS&Ð@´¡Q¤m¨kÛø¨:c—ݼˆÌÜesÒ©³‰ »j_ŠrKHkzžËÿÆútÜúšÂIñ½†‘Šµ:pTÊMW<»~°å&Qö…û¹Ì,_àÃ%Hø‚ʹü S7ßÚžnU£Òsæªh ¶Ò{ <Œ·‘ñN®ü²OWøT­¬O—ÚNn‚¡a¼°ƒPøÁMã4VŠ ûWÓ2¬:¸P%:Ä€j5 JÑ?Àè0ó–' Ð-yYdû¨ôÜ°jP|[â.Ise´÷0v´KC ,'ÐÔhë-vŸ¾K²0$p®Ñû#¯ Ï +DX8,4\p°4ñZé01”ØåΤ‡Œ¶Ì; ªP÷Ô&Ì–I|Ær²ÀŒ:§¤fÔDëk m«Y%Ÿ*))çcù! +!³™Ôæ6y8휤÷„ÛÉ«p«ä¥à<°ÂÉñ ûØ”ÆZ\ñXä˜!‘À…æ5‘ÝP˜JÖ:|&6Ò?°á£ÊÍÖ L•B% ‘¡àhl°UÈ!™Ø²P “<Æp%…ô¸µe¸EÕM·Ø,:܈#ƒ ìDbéÌd©¶Ëí?p{JôàpàÃC%µdDcseÑL +qi)`vR+;H Õ0‡Jçà 95"Z¤É®î:Ùþ¢â•pAØ|±ÚJ³QÂ_Ðr]ü¢jT"?p3 çd!KÊ2˜/þZš?p>Ó«ûxÊKmÂwŠn_ ¯m¿Yji~ZŒRisýσy‹ª!EI@ ? I½óñ4r®·AHØXcË¡&s0cƒ˜Þ*6Y>hÙÐÓpt£FrçªY/ˆ&Œ¤’àA¢$Í¥¥°­fI8£~±=á'j@`c¡ýY07'‚î`=ÄÃ# $D'NWŽqna‹Ù“+@Zbß–ìVZ CJКÓ¤2rhËBñ™XÃSt5CÓÕ5Ð(^¡ñôa”y–Z½£·«£½í?ð  bb;2n›zÁ0·ÚSá’Q!zhueÄ©…Ãó¬È.KZ‘“ªt’„*ùWÁ šË8°O®9Ô¥E§ýˆ4 Ôr^B¨j¤„ÀŽ¨ºýíL¸]õÒmÇQVѸôD~?(‰›•yÙð‰ÛŠe±Ipú² ƒºz"‚V +Å*rÃc¹=dÛjIäÕˆOÉê((¤”…cáh:n&³JN-òÞ>‘{‚«Ñªv"¥«ºŽtû¸¹Ëí©Ï¶GS%¢%P‹•á œf  ÃÁQp0Q¤T]t2¡ÓBé”w-:Þ ù ’Gº—aJ2Sƒ4Ù#gA¥%„­Tš +§u$«!öIYZtrΉ!r$*üÃÞnÏr·¿x±(¾û$ù»'°IÔÈuWÑHŒ °1r°ÑJ$¢v„¸/áƒsDNÉ0 + >#J.¼ày×ËR ¹Ér·D½> +‡ª:A Å\X¸oeF«‹ÁÁÖù󧋷àˆÔœVuqœ¼o ¼jÝþ.PÛŽ†rmÏ­ü˜|R~PD•ÿ¨DÿÑ0¥66uÙ8²Ö-Hécl ÕúØ+ª@µD‡E·WJZ·3JšÛcßö5•kûypüý2‹i…éd´4²ˆxÔXQŒ˜ihîÑ­Ø´©øqÀ=ôád÷ÜÑG«æIéì3ҷˇ£uóPDB‰~€qÊ,H³*ñL/f²(=€ +ŠË*Vêa‹Åع=4àvJäæöÄ +µí0 nûbµÝTÏ¿o¤šã€ HwUð¹cÚ¼¾çs˜3K¨Z>5§œ€Ø¹¤†F¢ +që} äHi¤'*"Ò)a¨K!H=›4¡ a¡àcTPPzc¥ØŽë^‰Ÿ™hi³€ÛUáGdr{¾¦¶½¾ÄÛþfmW%7CU¶{ Z & Ñ]iL—1B4§¨ú0F +D’Ü%g¢½ÒÆĦìÀŒ ÛL§ÌSpôŽ7A@&5eT©Ì +ऊ`™iekåˆU=Z'Q]Û3mÝv”(±íœ:±í=ÝvÚ@ÒvBjÔv5ø»éJdû&…AÉÄÁ J¨’ÿ”V®û`j <“JcD%Då„ùhX(ÅlI¥b‘;U©np¨Fý#W¨f¬#2dµ8’Ë”‰-¥û´~ ÂQ9:ãú{¼zmM¶ 4 m¨9Ú®ùJÚî H´Ý°‘ÚNðxþ®¶‚¿›§0ÛM¸r:9,û 9±¨†” ~ EÚ¤©)N¥H@¥Á$@–q{­ÃÀD·\WbÓMQÈ ƒs£k‘;Û÷%ÛOa¤•í6úÿÞ®Ò¿¹ýw úûH{ýýÒá´ý •¯’™l7?%lÈ0·ê”an +ôªÔhŽ«–Á4Z­nˆeº=VÇktœ¥ÂöCíl(ÈöO>Û¹ˆÏv?{Ù® +½l'µÊl×LÊl‡Àn¶Ó¯C¶¯I¶w/)ÛY/ìï!üw +]ÿ]áá¿6çßÝ‚(ÛSÁšíedÆö0Ð2ˆuº3]+EЭ•©¢é+L‚í£ËÛ3ïÛ)¶Ã­ÛÏVÛ¿ Û Áv„`û©…c;ˆsÁvË|ÁvÇÂö0€NØžÚ\¶o^›í+Ð"Û=¶&Ûso”í ’*Û Q¶»ª"ÛSU ÛIE‰í¤‹CÖM €Œ`Ú3ú 5bû˜F#¶{#¶WÆÛ ÛßPÃvòó±k„Øî×ÛW‚ÛIÛ9 +Û'hŠí Šíñ c»i>°ýx°µØ±}3ŽÙIÉÙnWÙþ2B‘íî@Ìvô3 ‰FÁ.¢ö ëìùÞì +IG°·¿#ØE Ìì? Ž`?‰6‚]4! ö Lì¹Fì +ÐJ°Ã4†ídöa;†!`;ÀëÀöÌÞÁv|£c»í³]&ˆÙî~ÛÑÌŒídÝaû´JŠOe"ÿ‘Uº¯t'+Xhî\`È8¶f6ÆÛø=V3ú™ Ûš«³€´V)él©25ppw%Eøz£‰ÇF*ÿ@œÅvZÖ'þÀ†QÒã „•—Í@19G#Ù!¤bº“}?\ëSU*=244@ùnÚóŒ~Õ}T¢š×ʶ¦“ +¶B`@ÚT—ÞîVKãªÖÅ¢ûÒµ'ÅCKvP¶¶ôf‚ƒð%†]ÛúD-aÒ#†QhH×Èó*Y/Œ=Wî…¢&÷Hý¬ÚÌb>Dþ‚Hµ“C›Jÿ‰ž;‰Š=nUËÍ"Z&réôBäÒi2©Ww¯æëɨÅ;%ÝÓ¼¬F Õ ”Ïø—Á 78Yø1Äqýw$þ@;:£á²Ð1êó*]}£›içS´„#¦¬¾Õ}´vû…‹ë±hpB¨…̲(tª´ Ÿt'1W5T'”¢WXÔä úú]°cýÉ1¡J6†9/B[åúD÷Ñڢ貺ñ—(Ô¹††M×@?Pž_dÚlNÛ ì#°R„YçRX·×.¬''`5ø¦ÂB Ikß_å·wz׎"„IüÄÞŠ›¨Ãè™Oø¡øt¦]±,à#TW¸$¹pOuUrŽº)Þîé ˆ[Zšóž1³XG.}`©8w;wÀÃð¬ÚÉÉcÛà ¤³›é, +?äí&ÍR7OÝûM˜…A6t@0‹¶Â©¯.rèNØÇ¡S³€¬Iµ%L2°àüRÕlVÑÁÊdM1\ù|!×Añï•!µÙáŒdžœÐ$»¢nçljÁzˆ È*$TNbã=€Ê‡Ë0N.ê%‘/…‰WÀ?À0Í9>Ä‘Lpa]ƒª"‡R†”ôWŠ|õ† i Á¶¾ ÕDƒ7Fˆ”ýÀlŸ,ÒX4$’ïPH»”šï’9»ÖÉ)a“÷)E ]Äf *ÖÖnÿ i“8!Æ&þ@N[L9 ðÜù BGŠCÕ{ñàÉÙâÉ†É +·©dí +ôœÝï—hôaBÔ£«òÊ¥øoІËEŽ(h€t ÙžGt€B‹ú¢bø%³ºµY#„å²Ú6¥:s†ô‚^âöÍŽÒåNæwDŠ_ù0¤äR@ o§»ŽÇb21@ql”jŠ‰‘¹/%ãfuôXˆBÉÈ›‰¡*|£†è@‚îä¢=*¡†C6 aFyÑ9¤òÃÜ!MàÏ}*jeÎ\Æ{±j¶9%vZi»H¢ƒ5 +²À¨âÐU%Ck°ÿ€ãòöGIÔí‡ÕÌíï˜m;HD~:‡étÍŽ“ Ñ9IØß ÿ†ÏP /š1 xÁ5§´+'%?ÖXòc|˜ÓŠR¼H6ój,'…m<‚ŠöÜ?·Ãpµ+€l¸—eÈf +‹D€qÛ+<Ý$>l~¤ÐBRň%éI8ú +ÇÄÆÁŒÈà4ú Iþâ[°NjNH£ò ½°õŒ°m$aÖ¦D«ú„¢vƒ®õò¸†…˽*ÛÚ¼?zõ~ +…!ìšRŸ3Ùß{hŒ$Î^µ 1)‚ÃŒ8äz;ICœ‡4u"f3¾`…ŒA•ÀYGÝØ<þåQ‚`x¤…{ Iv·îe %6?pn +,è’qïè’q¯ßx\ÐbãqñƽäGÀ½-Žpx?SFˆ bPë!»—vg‡¹QgcaÅ,=•N«;U¡D‡ì1‰FÃdF’A¢ÙÆ"÷æyq2Яaê…ÃÚ…SÍXŒBëcÇ“,óYùˆrŠÐ^0Sƒ¤9åÓ‚HÓ”£)šd€L.4îJa0ă‘¡ƒÐßAå韃g£vó$‘âÌŠÐÔè‚Š“¡YQF˜ŒñE^·æ"z«Æ‘ˆ½3N4¡Jœ?@*, +Ž ++”“ñaÜáåß2´8 + 9‚74±)‚q9èÉ(£½eõbS˜ìSu\Íœœå<ŸPƒgN¥ON™L3Ä8CÿÀÈžWg´ FñÂ…ÀlؤÆ*ªmKvˆ]`äg¢E §ÄÙ>H…¨’%En‹Æ†k{y+¯µi|so&‡ÀSq@Rƒ’PÎXµ“¸„,KE4FV–‹ú6¿^¼F¬b o]h/× ¾2•×Ý™w×QYsÝñè¾ü‘X!Vµá8 ÊKÈJY5 'å!FÂüðåÜòð6Š#QÍ£Œê{RÉÌô©W‰ ÄÅúh,!mãˆLïi F)P—7%S3¹Sìc$·Zš’åÖGáëÞW ס¿¼îøÜwäa‡ +üÎä„o¢²Ñœ0RR¿"â 1ƒÜâ*?9DÔà$OÈ‘ØœParÈÐ +!¢W(ë#ÒV̈Cµ| ÃyùÓJBd²Ùp*”½“Ù\&(cÇ'ÐŒæŒBäò¸š’Ç¡¨R§g–{â^º¿¿D@©ÃˆàbID0S;ÈK2É“?(ðAòf§l:¶Â$+h<…¢Š);±о`U0Mjù NYpÉé¾0 ŒS;@FF\ìÌ›˜Ðš‘0lFFçÂá'ëyå#ÔG5b}"Ó*ÂJ.Kp*”X.s;¡6æÀ2]Ô¥«‹KÿM¦¼”M¡S([CÜ)xe+®Ðª’—)ÔR 4Pó D –Ñ#Œ®;ƒB}Fº¼Ñ€"Ç:/œ¼àvš…ÞY€ê•§^mJÐȯ—"ô¢ªy„ ¬*º)k¼§‹Ô°H“¶ë *2v«}¬tv†$q:ÍO|ZcbÅM~p5›¨¹ÌUø‹£ŠJJgÌ”VÏÀ’Xy´‰‡“N PÙkQ íŒB¾•8YâUº€ 2 +ð“bÛ(9CG)×’Yêè‹I×¹[ÛõÓìzÛø\z.óñÏ÷• <›@šW¶å¨W-r¼ÔÎ(±JɤƒéÆJ±i$rM?Žº©m9@8Ò §£NÙ¨5¡T¥¤"‰ÂÎj“ñ4¬ük§èúºþ€OÁ%Èm^JˆÔäšÐPB7 T/@ùzªT^*"Ñ©›`8ºU¡0¯ÞÂBc¥í§açþÿ7$™·(»ëñC³ë _0¡.-w”bDZµJ}áÝê¼5¯<ÝÐX+´$³JZ¨a…´ÜâèÝ¥…Ñ9®>1}ŠŽw 概 +öŒv^VÐuù±ØõD¯SÞC;ÀKkãíWk‘à(ºë2èºøÈ|±WÐõ4TrÀº~ù†’]Ѻ.ÏÛ®¯'öõžü™o}ýÌb80…¸>#¥®oÙ™ëM©õ"’¡õ ÌØB2“Ï¿t¤F_*pIÁÁûÒž¶ZRÀ1ן®Àõžâ]ÿY½Z¿ð^­/ÉSë[Oë7™Nëå>Œ‹õ¯ñÃúÉÁúƒÀúM8`}Eå#ÄŠ pªgÈ0s”My­£IÊ5ê¾ BÿF¶-ø Bð çϯùáìÅœZýk­Þ§>«ßl§ÕÛ-ÀêI…iõ+KiõÔfõ v³úµ’¯¾°«gtÕ‹ZÕg ÕOVWõ¬T}¢Ã©þhÜ•HS@ë.(³eUF»v¤›l•Ø)U×xJèë‚ØÙÒúàŠÃZ¼:õg‘úìD¤¾òéÔÿ@,Ω÷lFêK”Eês–"õ†V‘ú’“H}VRš€©ï”Yê)¦êù +õŠx„úÙÓ žàn¤ˆÅy7–!l‰|zŒ‰À¢aìc¨…Q•·¾¬Q +‚·Ž\²yè$®ïŠƒb ªqzOÔ8½Nhœž2KNßòÓg’ÈéE,Ìé$æôðãrúðe9ýKa9ý”0Ëé5¹ãô‘ÔqúÅ~ŸÞ ¡OÿèÓ³íäÓÐ8ÈÔÍÞ|+›ìjnÏhb~ô1Ë£“q_ë +×”^ìB `L0Þ?`˜À¾—V¥?E0Ó[téÕgú×Þ™ÞÓ–¦oDHÓ·«Ñô^<š^×·'QD&¯¡ A>zF‚K±ÃF¶±×‘aíÆÓÉ~%}±ff }c;¦†Wx0fÐN(&cäR*ÎÍiEXI,š|Y/VVøâǜłHc¯vÅ |ûÇa8‘ÚKÐÚd-îs2– tÈ6°„ËbVÃe? %sVx9Ù„Ðùþ|ù£n!ó7ÔE µ/‚FZ]‹¦Yµ-’3\ÚÂ@j·ÃN¶×Û­-ø¨é…^::9μvÑfäåÔŒ`Nµ?@Já-nÉúÊÀqJº.SX¾X/!ÑMkÄ0x’Oç[jp益¤äè3³[b%a·k•o©fmO%åê˜âZ‘ÂìÀYtwX‰½P©: o”ÑHž¦–ÁÁdÍ؃Æ+Ð ÓûøMë-n` b_Ñýî’&=:ècsszŽGAs.Œ%1²NdCuBdÊÀý£üŠ€H"ODzDž£¡‹¼ðm‰<¯›õÜ$ÆüÅç;¶ v¢O|98,D©-†¾ÄfJ+W(± ®Èë‰8£Ü:þÀ‹"£ªÅR͘õiظTÆJEº—ƒœ‰I Æé »PNÍ‘;d!f¥Ð­¾ˆ;ÁoÉË 4 Å XЈgpÌ)ðZzˇžR Yj ˜†DT¡:~a2fï$[¡ÙAH8$ˆQ`”†TF~ +4¥2a"ÙÎq¢=N ˜Â&VR´òh… Ñ? “JB#s†h¦ ôUÉ#ë£MPÉJL‘6+‘T!­ÄˆkµIj%êß™Ž 5F^ÅMΩ‰d+ô¢hr… Èü(ðNÕV霤”®¡Íj@-ŽˆÅ ƒ8µˆK +ŸtWeUªue!(1'  "¬b¨YdÈRh‹}‹ý…›/•«–?WÜ +Éü@Ê{b©ÚL±,”b99]±DµV,_r®(A&•¨”,µYcwº,ç,=lF,Í 8Õíï•žØÚUE£«¥jvv]%ž<²2ÊþÀ|øœX—l-Špáf:€0öb¯ÔTÉøˆCÇñ±«!_«p‚Z0‹ iÇM*T¦Ìž‚;+ w®0΂üs8`¤qœ“¢ÑЄ¤qÊYRtÛ´*€MT-dQ×—¦U­*\r²¥Ezb=/N¶°+µ¸ç$\;”ÞX‚ò¼¬'Q€ãËC•ß š?¦'~ c€î¥UdÄìÝûÅõÄ ŽŠ®¬Ÿ¢X½Tn Ú64‘| +0Û•Ô‚²ìiãr¨2©«š^R«º¶ÛZcûVÊh[&èhÊ„¢”¼wƒ'!$ød…ãÀŒ†ÁæÏÛ²žÄæ§J‘5˜7ŸGK,¡øÅC'Ôu @M,6_ddÜRã099dÇ ‰¶¨5P¾Ñ Šˆ9ë$}·(°ëʼn`’¨À 0!€Íˆû«—’6þ ™¶bGé¡RU ŒLþ+Žã4F-Máæ”`ƒ2±xƒt9 +’ä¨m—çN…¿2ÇIe #"ºw"ç`‘G²Ÿ{F1–½òœöbb6¤)Cº¬ÝRÐ:[Ö?hŠv%x–U(úÐZ\÷ê[ã×CcpG6¢ÊîV‰ÀÜ|­8fÈîHžä18;).p}þ+Æ„Œ*&„“¸ÇÈ!’"yAdçuʧ‡–Ìdn˜À4£¤ÎWBÊZ4uie÷(CòG„^’Ù=û` ÷€„â  €0ÙíÕÔ›‚ß?Œµz€_šâ hãx¸‚P8öjoÈhb"ÑçË q ÊM5K:ÒבxÌGž°òF)ŘòaÓ%âne’uz÷œˆåæq¡Se‰)x…"ÃúÊG3úOæhžÔzZ_x©>Ž©¥’8$ŒÓ1ÓÇwt¥Ž*¹å„ßIDÑ;KHÁþ'?à™‰êpZ(’Œ6©ÔpFµ¸/±” û¼ .âé’á"fŸ% j­$2$”h<ßÈ£ÉtõaCѸÏt™ ³ÀP{gÖBõóvP:oˆÙJ+¦+*í¨«Ô$>«^È­·E¾ô8l¼ôrP-(f±œ Ä‚nX)‹ÊÂqó1®·f0–Y¸jOSȸ:šõ!1lÈ:Í8?ðͨ GƒoÇ5àP:¬Aõœ8ÏòŒ>ÿ|‘”á‹…Ô€DCCZ @¯Ò,Á¬fšUs©ÈZÎh×ãÌçÒÙìÒѺvé«åãÒ aùÒ`A0lØÛ¡Ù¬‹ +Æ! ì…ó½•ÛÅ'¥#ÙÆܳ¡ŸÙƒ@}•í­2!ð(4bZèó¤çAɤŒT‚K.ÐjP§Œ)S±4¯ ùÕ²k„®êm×aE¾ëí<Úut]í:S»ô™¹t›ôˆ¡·-B?°–2Ÿh“ ŠË5’§tjHÑx)圑krdjݤ°p9ý8¬äG†ŸÔùÕ@•òDL3äSm° R½Z±³RX«äE‚®¯«[×UmÛuwÖìzó¹*ØB7Ý£AP°=èiÒð“k‘)Q¨˜`B‰Ò]‘ê˜ù§úŒ·ªUïÑ­ þ4¯©±³²F²ŠÙ¸úQy\®»nà¬v½¤.ýF…w”êV +Ò‚t+ØMÍ+òÕÐXÜ(Ô°^‘Öb=¼ËÕ(µõ°(t×uú£aÑ L…Û¯Ö|L«G<E/„¢ãTu]þíŸC×î+Zš]OEìëAÅ>7Ë—b\‘b׳l€‘ÕúR¶i-./ãx(2 ÈÑ>¸ˆÕ<Êè +Õyéy£Y1ZåªðP€³Í¤ïBíú |®|×£ä×õ“Üu=¼!]ϵF×›ÈÍõ”‡æzÚTj=:6\)×zÕÀzR¥ÂzN‹ø‡Â?ùÿŸÂ`Å[f”SOEÈ×sP>éwÁU÷üäÑÍúZq³žÔ4XÒX/aX‡ÖoëÊúÒCeýHL ëid}ŠYéÅêKª¼zO+\=&óWKªghÕ+V Õ×Rõ‹õ%awFÕù“ôÚ()åqLõ–TºZê[‘n,‰Õþ€Wè8%VY•bÌ8§z8æT_î£êX¢ê®Põlª£AÕƒ.ŸêñìS}á P=yòªÏäTêS§KêCKê/"žú€˜êÔ7.uêk»N½aÁ¸Œe6œ‘5Æ)ŸpIØXlŒ~¯Z¢* "õ¤=o;¤ÍàO-¿J¬…Ý‚½ÇyXQÿnE½ +¡¢¾áƨGmõ/ õž ú»@£žð™Q/®3ê}§Eý n)õw¼xøÄû•;g(°¨œ¿Œ +3=o-ŽqrHƒˆly&b^xZŠ¤—²âÛbqãƒòçN†&B¼÷eWÌtØრ\óT’€E‚”ýlã Dã˜À"Eûù ²TD{2¯îlÿj‡ðù‡ïi6¿R6ÿ¡ù‚fƒ^±f¸4üëwÚÑüZFè ZjJªp&,Kƒ*¨CÁ“Í SðŠˆ»Áx2µ†Ûˆ7’‡¹EëNEÃþÈ¢Ú ¬Pï¢}÷üÒT«»e⌲1`}ƒ–•3CrÅu§Ú¹ £G‡*|¨.Ö¥÷™–§áA&{ÉS̬8 å•h`(á™0Q9#$„âŠNM ³¬¤ÂxYXX(„ªÂ`¯´‹3àr9fÁw#6FDš´€n+0ºÈ +¬Ñy>Ò£''鉫›áíµ<«DbÁ½ÁGƒ4âsYŸKLávÌô§ÿaŠUBѦ 5Œ’s5$ˆŒŸ¨ˆŽÂÉt\'2ÕŠ¶Uf¹¦•A{€ºzÄtÅJ(nx†38M 8$4ÆÓ©h wƒÌø! $í D”F8~ŸtYñªw«³SìÊBMÉjúL)Ë}+É1fË0˜-B¯Ã2ûÂlFÐ8«D5Æþë`ˆ#f{¬¥+¸œr1 ‚Ëa,06št'·YºsË,ÝyŠ Ó0u§)uçï +%ê èFÁÁRZ¸¤?`kþU /Ž®²«B¾š(Ÿ²ßˆ‚b9“|·®HÄԦ砹ß{Ñ  ÷aÐÅŠÕÀŒšËÖé£Sª«ÈBŒÔS:ÆÑ®Ås–SúP`ÆJ‚ùÙîÐîátãL’ õX– UáyÅ™ ÚŠ<–rîmIUª–+íD 5›ëšMªõ€ªNì+:-Ú¢+j#‘ëuúÅVŸ÷X¢ÅL(M0 ÞÌ– œ£ K|» V!ñÀœ €ÃŒ@¹@|‘8Ú¾"bj›†„=a¾®|R™™"Â>Ü¢l©%Q[(—Dýœ´KÔ÷³-ÕkDÔµEžªt29XÊDA¶ Å ÔÎ3è2>¬¡`v… BŒÌ®øóaI + +"C2áÉ (i¢9È2õ BSl`RæñÅ™HÄ,qÑdU™‚ ’ŒµO@ÜEu°ÝEu°ÞEu°_§à`÷ïŸlÅËN6naûeì^­è@÷¢r„ÜgD¼?Pˆ<Ì™C…]‰9EÙs‰U`B)™t҃毌ƒä;Bó}ÖDžym`@ÜDlÁ½wðÈK´ûPÔVg¿À,ÛæÔeŠŠ~ [Ñ ë­hЬhÐýV4è¾`ÔfèŽÔÊŽ莂íz ì½$ÕB¿™ßnü´Òl‘%†eΘqYÏÒÂåŸI݆pyôÅ…QÙó‡á¡iKÛ "Åž"æ@U>’@<ÚÃëdSYCMš3î(,_AòbÞy?¥_e¥_H¥ß ðÎ[¶(¹ BÂ`NÁ¾À9Ù½ñâsôøàŠC—78Á΂y4+ã6Ä`…ÕùÚïSůŒ&‰yüÀk1C"Í&&¤¬b,*‰šxÔ3žÅÉJƒùàâàüÀàLG`°èC`p=v`øt´,°vBÜxµ—züÁLÖ…¡® +ŽCê!ÿ€MH<4Ä–h5ˆ×Õ§wÖí‹AZ!2(£Ñ|›ÛŽ¿(*@Þ埇2Î!ÊEmOâ&6¨\“éñ€¡ÈÉôxƒY­ØYµâE~®…u§#…ÎÑQZ¬üG› 4döNÉ!ÉŠÃ#øfĦò™ea¦Ý ¦!Ö‡Õ$ic©Ahbÿ€æµU¢5J%“BÁà˜vî®t%ü(9FhdÏ1# G±h*䤳¨ˆMÍ‘5c$Ô |•( O²ÈÝ'YÐ'ÙûÌ'9õç“|¿rÒð/½bBï£èp/s'þ>³å=h6ѲUíJ”´â¤ØŽÒB`Ð ©õt¸Ah,xdRÚœJ¹Ëdš)?À/ ù‰”ÖóúžƒvaŒ × +%ŽUè¶ç`n9¼ÁœGfÄ,c­.óô@k1*C°¾AÂTB½Y¡özÒ)[ûšËft D=º +ƒi%„Õ2ÔÕ8h/”»¹Õ¡àpµ^û@•€@>–‘—XàgâÂV §ãÌX"(6­-D#á)ÝðVýÀèÉ3 °Š%À*FŒžUŒxq!xÞšžè”Zu#¬=ÐS¹íAwšHMÄTBôPÝŠÂ1.(óHZD+›qׯaϤ§qh¤›~”GÚ+4 ÿ ÕY!  Ä„a(/˜¡b:TghÈÁæÐqY$T‹L€†ç¢X™­•}ÆašØ|•øŒî­`$$&Å>K\”¢ÇhÁMH›áJºJ醈i÷f0kîKÆŽ|z9My(B9ÇéCàÓpGÐð1ÊÓM<×À*ª”²^Nš½Ì¼&%IÓ)ΘŽÉþ‰W\ˆT ¾…¿¢¯Ÿ#m´@Ó•ü1TLS3@¡k¤>NCB+äû€ÉÜlå…bBw9´su»§j=R™#‚“2…ü€'y@2g¡°F1ÇF¢|‘ú|$tjÙR/AåL%&Îc:1;jiXîÌá>æñN5åƒzITXS0@­JJ*œFvUA"8~`}°Ž5ZlÆv9ÊG7y¼-ž‘ñ²}9?•ÎJ‘pŒÃœó^¡Üû B²2±<€~˜ˆ@å§p4% ÅrI*‘ ÝZY½Ð‡ó/ 5d¾Ù8[çW<ººC‡<…Ä> ­IÆ$[Tgê6‚½ +;Ë) -)#n;`DÞ‚Y["ïþŠ–Œ†I^Ò6“HD21¥0|e-+oeÄƽâé¢Ü’,Ëþñà—TÆ`bJ ãi<™Ìƒakò:¦7ŽI ëЗâq#d¶8ÐÈ ‰\€ÓlÙÉ’Ø“ëfR? H%0ºJø=ñ;!õÁ`ßÅ”‚ÉyQàT¥TȽË-­…ŠºlRx‰Á c8ŠŒ¬> +€Ô³Uv›PUâl¶Ì×8? °`2Ä;'‡èzD ÁúÙ$öTÔ¥„„s$¨"˜êŽœl˜¢P5 XglJ/ÝÆ„3ãû ú]¾ˆ Ì@Šæð(2óghØÕ‚Ût¹¥À1½XA“*<†["u±<‡­U?pÆJ}VVí™)Ž …Rˆ Å QÆšxF/M••XâH0 µ 4ƒ'ê4 )eq­«‚±]:A¹t£|é6b~é…ûÒkç¥ß¡ÒK·?%!¡âìÅ_%ÇC>àz› Tä€8(dúJyÛ"H© G a %Ð-+06.^ªW‰}9(¦SYp¤YxXg¢þWX¤ü¼Ò«Ä£a¡HW…»nav'€.]AÚ.}à.ýðA.ý ÷ö ˜Ï9¶‰FB¤R ‰… àÒ4^%Õ¤˜DÐ +ÀIÌ+€JËAOy[@ª¿QŠð5¡¡®Hý€<ˆ|ª—;A*u0vV&á·ÀCFѱA[ëú„;éºCpØõº¤Ùu9³ÚuZ`»tåÒoG÷Ò`"¿4%J]¼ôüS©@«ZUÒ<º(?Í«Í‚ì¬. ’Ì’@ ëƒàÖâ_­@èp˜t}Îä»^»>—Îhq—þ`€\:ÈéVÂÙY-\&™Å΢†v +¬õÛË»´^"úÕbe:®~Šh GGÆ]O´F»Þ8S—þ8Âvé#º£è–lÖuš > º¾­?€s +®/Z9¤ºt3þÞÌ:öõ#Åúz¼£¾þZVúzíL)-v¾¾Äýë½ãz ÀvýÊN]zAê[õÝ”Ìö+ãèªjµC 7G +gXÓGæô òq=ãðqýùð¸Þ£:®ÿˆ×/bŽëÍ„Äõ®ÍÂõÁõyu}AÓjýirZÿ‡ÑúWܶ~µq­/…vÖwž aUÂ2 §qFšÀ‰ôÑ1Hµ Ñ7)¬­¦+>Þ¶EerÁ\ÜtÒ°â&áÎúÈßYPè¬×ìëA9‹õ7ÀŠõê_±þEŠXz„XY]XoxqÖŸ˜õœú±Þ•° !Z}è̬~ガ~bIüyOÉüIá°Ñ0žÂvË6~¥‰ª[˜ÏÃü!G_óEO+Cá  æY¿d‹–¼_Kõr‡¥úpc©þ“R©^ñUªÿÔM©ÞÕ@©Þc:©þ‘žT_¨xT_*ª×,·êY'­úQÉU½FQªž²–ª‡ˆ ˆ¿ë›„9>Ïǹ6Þ&›ÆªËØÛè¹-Áˆ*Ô'óEëõºû’rÉ»VF}ÎFãÐØðw¤ž¤þ; R/B˜©·å.õæ…L½ càÞCPg LÁ,ñ˜éÀc DÚˆýÀ ±.0a VêË0ÓÎ& +({dT²Æ¯ GÌo²U fÅ Œ£–À\ùw£b wÚIÇ&WŠ\ÐÂÙqU í=î÷F~€MA›ß¾¨ÍWÓ×ægÓáSûá,+çXäkª9UÐ4¨2£9ì†`+¤‚-•lÛGÈ,›@º6•çÑr#ÇIÂiZ²ÅM µ{(ïNèÏ;nàÎm’ +Ù¦²hǪÍŠzb@!¢kºvAO  CAø1ä1¢åD}+n5@VœºðiÇefÚaRmÚ¼û±±Bu/îq{¡€É£ ‹Å€±ÊüT–`âV}IïÀ=_i ŽtÀN&Ò +É3„Vä%æxŠ*5Çœ–BêÚ²:µˆôŠº@$úÔᱦ25è’EÃ;’;b ¢’ÚëàSoyð©âëRAýmF¯þ‡ùóªl³9怂W!܈61òˆ-b½¸DYt'ã¬(Fk/$•äl0B¾P[E¦ºîQ~:í©ÄPX 3Òá PLfTYE$z1ãŒxAD¨º‘EjPš4lÔåò)ð +SÊþ +UÍæEÏ¿âÇyÅÄWDUðîâ6iåcJ…¤¤ç¿)’RjÝåŒ&"b’LX¾ÁSuÅÐI¢"í°}}è-EE´MÚ)×LýKyú•2±à­g£y°ê£sïmB„l«Á(îÂã‘Áûè©,îV$“jੂ깚Ò3Xdˆö<È…DC‘ì—Ëò:‹7•,Ea‚òwZZtâ*ƒ*N9=ˆ˜úrv‹šZrw «ÉªÁ•ÝIrÅ’D´ÕD0T›GŠw³`T©hÌYd’±Åü`¬# +÷ø‡$‰Ð ibo`|Y€èÒ&IB¦ŠÚ„̵ ™ŸM +™% +&2UÈlÄéYLžS?DèHuÒ 7 +Ôˆ`UQ‚“d[ •ýØ…ªXVur´e‰Aº_)-¹‚(ñªó€ðáZf`þƒñHdÓ'Ñ™(%Q¬¯ /´P¦äx•zìtŒªyYú«æe)‹¥4h˜£4è©ãÒ ÷f× 5SP¤º¤®„ãUK„»nN”µæÜ g$ënë>º†Ð%¹ï©†^õôÂR6˜D²X'y˜˜é¼…à8T¹lñŒîCåy˜Êd2XôÄF`•;N•Qc©ãð¡iÀ$棖«®²«¬«¬¨QÔô)ª +ErU루p ëê(àòõ0ŽeO ÅzS[!d '€¾WQàtúèà‚g€Ù„ÇåAôŠ^´š•Œò(nøŒ÷Á²s6íQ0[àŠ,«+Ás‚ëÛ”%¹årOð<¤¨œØU&ÎAãpA÷ÀÁ™&¯  "ÀqÌ¡ qÌ¡ qÌ¡À =>b•( *á–A(DBýÖKM¢•ÖîDCËv °HB%øœ +Òß‹g—˜ß)2¬‚9ߌ‚(; ‡å;F-…‘. +%ŒŸ)? x-ˇús~ؤ!d´æy$0ÁIwF«‚lX%Zû`*´¶j€Hl ƒbÙ›Ô’¸›TÂÆû;r!ª6¤ÅhŸ8ÁxyºAC…áx¥Mâp0ÞwlH¢àA ‰*È>¶pF–ª ôXbä®ç‰$£§ÚP6sµ[°Ta¾zmhPÂæÃ!˜ +ËÇ~|éQá$(ͺÁö˜ +lP̵f\еf\¼Ýj±ä!êh!FžÊ^#ážFL¢î³fŽÐ‘‰Að—a§1ÅpŠ­N€³ì[E#þßîšS ·AÂ?P6æ‹CõÉÞFL)Çê´âˆc…¿  +Am åáÞ’˜c1d”ë®iKN¨©›ÖHgÒY +¦^½*É^Š ++#ÍÉÑëŸ0œĦû-:¸¡§¤ Qey±Ø>í‚!Q¬x-Q¬¸½Epi°ÂkRåYlñ¢˜‡N„"¯´´Or2 Ýt¸®p&?¬L!Ý• Ò}(\ù¢ËUq $Ë*Ä#—RØx`@'¤‘¹‘&R¨p86¶xˆËrSÍ¡ôÞõ\"¼@iæÈú)Ë]+§ü@âµ…H B²¸»¤¡á&±¡ð)av**:tU:>ÑZ$žËrW& ÑJ]âÄi &™9É›‘ b¨á8QHƒ <žîi6œ8yLG”¦í'“rR ­%FŒÑ*6Ýœ‚šø‚%1;\k¢ñö^ì˜ Ø'8A°30Ä^i|Ö†, þÀ —Z¶˜˜`?Ò"ƒá[cÒ\ ÍÆ”ÓJÝ8ü£á$h.ë̆ͥÇñëiß—î1ÓIþù¼0ižg†¼)/D,y¤I.6…N%{ê´•;Åñ%šÅ¹düþŠ@°Sî`wŒ*¿ã©Êï•…Êï«Öà­ÌÖ@~¤„˜niÍÎÆQ5CHxu@‹¼~ô0ù”Z/ +âpL'Å¢h„"(ú»Q‰‘ü3BXR!BÊ¥¶A˜ÎÈe +œ7ñPV•R;Èú¬Tö×´LšÎKï,4¿[ÞÕïù(ûݱ«~ÏM…ß)¯ÂïùÑòü€$ähy&)õ3am‘%;¨>•4{€$/ŠRŒ_$z³Î†Ô1 +…Òžz R*¦Ñ¶¶žLÅÀ¤Lõ40¥N8Iá@1B2…ØxH)y³H¨ZLXýÀéÙlX!@'з”êÒYwÂ¥— )—ÞIß—Nñ÷Kwl/ýPzéù¦ù}j~甎 ©ãd%êÉJx+”<ŠÜ›22V1Ý:‚øB¾±BOƒŸ0‚‰ÒMì˜BV£©ó°U é­8#pceP( ‹bjÄ-z}¡ Qô7w½5Í]Ü]×*“]_¥œ]ùïÒ3¡Ø¥ç’‹KÿÆäÒ÷¥ç¬ÉK¯x—î1P§Š¤)Å&Tny)R5<ùTg‡U«¼ïVCÙYíu¨a©æܘéWëF³FÑ;«¬ëMÖuH‡X×ý×uÀI×A˜v×-É®?HÒ®?¶K`^.}’r_úe£_úœRªy૳Y¨aN"¸•6—V({\s&²®‡fŠ^ÐuÇåõ#…‘>ºþø‡]ÇÛÌ¥K*—^x¹tŒ÷^úª£è¦»£Hºs]?9]ÿjƒ®ÿ22ÊK]Ç[»®GZÒ®ÿ@žH]:ƒ»ô éâÒÉ ûú_²¯·`ëë)çD_ÿÈœ¯oWæëÇ…1Ö¨ì8eH]?2ç13]LZC}Ø…Û^ùe'ö ?ç +‡.,lEøà©*†„Æ±ë «}}Ì‘¯ÿo_Ÿ²h¯§ þë YËõ•äú”CãzÌ)âzÆ*»ž@ù®7ÓVë¹Ð¦õ‡¤õ,óÐzë#á@F(Èã|_d›Úö& žt) Šô¹{å!°žÄ8YïiOÖcÎh µ˜mH’Ïçx>³…@ˆgªQ6Ø:lžÌ Óâ-ƪ™³Ax Œü‰ÙdQ<xèÀ'©Õ#VÏú¿¡VÏñN«OVo6:«ß?œÕDÎêñ[²úƒg¬^•h">DÀ¨ <‚V +à$(I¶ûDSÂ6Ëà[ ›†a•®ƒ#ÌEu!Šó¸ä TE´3?¶t$U_±ƒ†›F|¦h HŒ€É ˜f6z“°H)f¢%$ì!YÙë:Y';BJdò¾ÿ}Ä?ØÏW³‚烞˦a”A[åF­ãÙÄ[&U²]&F˜ Å IzB®6’ý,4°Ÿi𚟕¦à†nÔ|0ÅrB!p4•À%†Õ*¯]‚e³×–x¸¶·Ü^›KÔ-›%†¸½AM¸’ëtÎ?kGâ6‘î0"õóãáÑßé佨±”¸¡1ðbMiðzeJƒ“F ~!Ü!ú6”zà„4µ.m¥"fÕ  ûW­ è ÈÙ¦á „ÁP_?@1e# +BÛòY lÎi1€-iÀ™rÒ`3R_Â$¾B‡>ŽÔàÊSð€!†?„––’‰¯Ç¢]R1bø1uCïŠô>þÀ_qXrù’4CÒ²‰,Îר:eÄ]Mƒ™ã0íÕÔ1¨löie;Èy벞€rhgR9´?±" LøÞÊ• 9&i‹îFe¿‚Ã_ «¦”*Br6´¬HFTù`Œ¦Q‹§|~'Ë>Tüä5ú«ÊRsj:²JÚ„kÛ2}ð_­.ùÄŸ"Ñ+(ñ +¹4l®4àwJ\åJVWò/Ãf“™5Ö™k´?Ö+8¬ñÓS•üŒP•¼Í„ŒIãYŽ‰@) k†™ÊÈðü(àãA%ŒCz‡À¯ÖF•+3a]ßµŠŒ„͸WmU›¤y<òMu›8ë,æ,"›µË”!ÏÃnnÄ1>J\Ó.w»7?PR +Óæ`DSV„D3D½DSdj&nJF¦µÏÓqêPMetP–—RÒVÆ*'k½ÔÊ–ÒWÈFÉYF¶¦-b;MÞM&÷Ò]5z„MxÑ›£Ø•ŸF6EÆPÒ‡+#EÁ^âmÈ|2xb:% ó€¨8:-y<>Ú>‰4QÒ¨á¡PÄáÐÀ…€1=89MÊ©±Eu¥ód5ye?£‡¶˜Ñtj%©¨ä> ¢7ñ.jÂÁð˜á×Àcr±Âg¹\…ÖˆÕ’K£ùqpqé\‡ eü@«›¸‡áDj# Š4a¼qvqè&J“Ÿ@è?vµnU!€+êlÔròj ÕÔ<+*ÊÚu•\Xëà0CØ×[p´¨Ô#ݱZør ƒ¡BxÅh3ò&®5¬J!Ö $rJ)A ;ä8¼P +c>¡ç±*Á[ÜáÝ~+ ~ÍSb“*^eaè^Œãcœ‰jJE? ’P¬2pV2p–.)kb«Õ´*­Ö‰!³Ŷ›Æ݆Ä LðUD@2¨:„Îb×À +†‰óÜòîΆ•z1ôï8ü@«{Œ™Ôå]N¢ÅÅvKUYŠÖu±Ù'uÊ`°È5ç¹öÉ.€nBµE·ælÑEËQ£M•Sûœ]…©\7…©ßÑCwm£ÐàV>ÐÀ—ñ‡4+· V¹²b¡†ˆæÍCÔÆy MX 4â\-šºY/Û—s®ÐC|ïokžÿ€¬*l +‚µ‚/ŠsÒ<máƒÙ@Ú”¨„3A!iq„…£Äì¶ñyÕY¿¬z¬_ Hñyô`&À çæ_dàŽ…°Ä.ÓèP¦o•à¢anX¢ëyë +³× ÉM„w¥ø“TƒáJ @·hËH¹˜$…V@Wh‚YŒ(ÃŒ~@‘z±‚òXÁàö“~XÏ Ö`|_FA\0Œ*1š>ÚÂ`d¨²ÀKUrêurJ_‹qøˆ˜pθM©EüzñAa›VI&‰x&º([‰£°õíUIæÞƒU„”£I±ÐžÉ(Lâ qÍ©Hs«. +\H +Šll Ó¿]‰_‚¹{ê¬-üþ‚%…YãJåu!IÉâ⸭D¢õ…\K õ°#î¯ðø j!áe'%ìçw•8a°\~€6[ÍBûÉA0’4””š²?¦×)¹ÁÂ)¹b¹ÀPáòW¤p9ô)Srsnƒý%ÆûêH‡rT²çí’ðhº7„*#n? dm¢Î¤p,1lÐâ~Iè¤Ì…w^œÃ+?€à¸îÅÑL~D›vcwÃ-‚? ØFEyeô0—€Êó³rh…9C4& uЈˆÆü¹xžNn•‚”9:XpüÀdõñÛĨ<èØâ°ÅÂUJ!/êñ()€Èïè¤üuˆ$ªÖö™”ö¯xÎÁR9tTLãà 52‚àJÁ§g\ŸYרB÷Ô¥€s~`“°ÝËýC’-ü£ŽÞÇdÃ*…§Ââ§`dᯄ‡”¸A +¢9uîˆä#yÃ" 刉ǖÀŠ-$Y#zb>>Ê|FVá2¸·¼0ÝÂH¥˜Ò¾:2œìn&fwè(Xzÿ Vid¯/¤ø„<%ÇaåOš— ÁÚ¬lŸ\23RÅwä.¨‹)’y½ÄüAiŒò‚YI8Çkž°Ì‰F ¥X2%±JŽ)/¦åZj†J±È‰Ôzy”ÕE¬8ftî ç`lcmX–Ö)­J/ÏF§¬@?0™3›4Q=/Ž ¹U—8t«6Ÿ…na>¶sDÛ+°ˆ3±Ô’±x2”ÍgÃ*“‰ÆRI̧–¥ì,"Ìð¡˜Tg9a~dÚÉŒ”—2¬Ð@:®ÁF·õf¾Y'ÆQ¿Ãâ“L§Ä!Ï€bj}~çDC.î"¥ +^3•B•É©t—ª”Å%ë¢ÇÛ5âÛ3‡í?àùN‚=s–ª‹†Ð81DÉñTd&ñ¥ ÎX˜C8ࣳáxW.tT¡@à!ýJÅÓQé»sŠH>“Ò©‚€HÁY8B?0sj†.mB#ÖÕq%sÁ˜¾ÖО4ÇáLa™žXù &ë&‡í!—ÃöÌÊ#àd‹ÓGŽ'ý6œO\gᦢH^Ï%GåŸEüS 5õ2…>Hc!Ê {¤ÓžGBʼnôʆ҇d¦ HˆM“m™po¥(ü#?Í™G6”)ÅÆ{U⊭ê¿ÿÀï`‚U£‚$‚½³"öLçì›Ñ)Ø;¦`½\HÔQÊШÔ¡ÒEO=êd°Iò 1“á‹]€Ôäj|x»ž~@[°T'—›¢(–‹:¡Nk£‘}¥RªÓ%ª æ}«Ó:VCqX5¶azAC_: +B¼ôÁíyé‚wóûJ\ø]dŠøc+üžÁ3~ß\D~ïh2~ÿS" ÔÎbJf—I•à´*ˆÚRÓ¥ “©Ä¯$T„Ï:X™ŠbÎ'ÇâVÇ…¡ãoíƒE¡µ|ÝäBtjsé?€±Œ..½ÖE—N ¬.½|.óD.]y/àr¿ôÝyéÓôÒ5öêw.ûÝ“¯~÷û˜jy,#Õ¤Ô´F ¨2yoÕÙXN"1-ÚAzõ·`ïºùí]ÿDõ®k‘z×_…z×Ya½ë.Ç®ƒ8Ž]¿ÌŽ]Ç;Ç®#4÷®»1e×ÉÍ´ëßó]úŠV]úˆFˆK×ø”Kߤ·ns›Á¥±Z4i`±bÎÀZ½¤†@Û¯–çu=ÀÐuùdèzZZèzøXèúpr®ëþËu¥Šè:@&¢ë!ED×7nF×)XF׌®^Œ®¯;H×ÃM·ëØÁÝõ8ÙuOˆ´ëRìÒ5ÙÌíA×Y•A×LjA×;Ø ëØ7RȺ¾)e]Ÿ¬ +ºþ¼ ë«Öu“ç¤ëžÊa×7žÌ¥kR¸Kç€ÞK÷°ïKÿ°æK/-¬®Zx¦¯'1Ð×W\æÅ|½x&•¤F!ð¯DvÛ\¥Þi‘+LþÀI‘Pø¤UÚÃÿâÄÅÐ:8&¦ÇÆx®Z +ÍëëoŠúú•¾þ‡àëMŽïõöú»¡rý,ª\ÿ¢R®ÿó¹>ŒC®÷Ìë5/åz,ÕWÁJÓP Lvk‘h)SÌ,øe1·¿j~x‹@_‹ ´æÒNZ;tWÂ,ªwj\H‹lDï:­ÊŽ¥Ù)¹²Ý¶ +XÞ1ÃÔ&Ž„h|2î"÷Ì"G…  ƒqÐ.ÉA»T6íQ`´XÏZËB˜µ êæhôØù[éh6ï3› b ¸Lx!pxÉUáêéâ~àýHWŽ mÇÝIçBW¬n…~Rª²ÁÔ?ãQßXP3ƒÜu +R2·E'ˆ&T>ÁpX #B¡Ð- +aW*ÔûJe‚z• õ"÷d5„ò\ +åm`Î0@†{ðÆØø)Ââ{åûšÌÑ9,œ`D]È(èð0 tBVtk¢Ø0ÉbVŠ±%ÈÀ£êð¡æ¸L_§šÇ¹X5|!ºT&Ñø‡S#’‚lð†i¶TLHÙ¼0÷˜<  s¡Mp¡§¾BÇʵ  pK +bi¦‚QÛO•±b´d‰¶ÈÎ))l§*#ÇaÖ¬ìd¾”<ÍŠtn¿ Ñ=• R×­zY.ŸGiYa2[yQs—pìZ¡‚0Œ†çñ¹9î‘‹VQ2“SÁdÜÑâ¹£¤¢rÇùDPÆ/Þ”1T—ô1×A–¤sЊT T9 ·é3oã~^k¹‹/ËyD:»kXÜåP^'&[’Èe êÚ€u$ …L8ð”é#“üTN.®é_0%¹©`v€’©8Ê°T<ŽRâp”ˆÐp*¹Nq*I0†4%£ôqNx¾ÌÁ÷ƒj£ÌIuM «§oƒ×P§q­›ÏÒb+èFÕ:ºøáÖšÛxß+$ˆ¶-"l†ùÿÀ7™2y¾!¢eI^q€ EÛ0à1_唕]W*²jÇ\ݱl>½l>0V ³v Bg·òB§ ¥=Ôbútqc éÀ/©¦)ûÕKûêÁM²ÐÒš`Òá‚fñffHÈç’ßóÏ +±PXK‘—Áe—ÿ÷HÆ‚b³Ý|N³eÕ=”‰Ø®ÒD¢»ÿò„Ç@O «ÒV-S)Sí@&õPz&(WLÅŒRµqßîZxW}EÍsÅ~)‹jÿ ›Ü]nGïIÎl¨ÑÑðt,¡!®|èK/)(²¢°2TŸ9— ‹iî"61D°Ä»ò‹›nµ¤°¢ÎBTrm­`*¢zÄÙ¨ "‚°–KÊVÙ¨`ÀÖšp$+¨«$kj=[«e‚°7®8[ƒá<µë;–\1FE/G·ÂkÎfxõèäØ” áèDOäŒK1LÂÓép«©HÏj£F¬ƒ§+œøбˆ,\lç21‰42¸4Á)—%G ©¦âbÌž&JP_¸—Œ2º ]„¯»;×ݪd Õ "£‹_$—¾ÙÐûî {¤ÍàÎÎ +1–w„B+¶ò©dª‘k=Ó˜/ PD㎙«/c ²+qB ‹€+5rÍð¸Aå¡™=&—Ç{S !Ѐ4óŸ4Á’ª:Æ‹€Þ¡õ½åÊúÞÖµ_xêÔ¢C¨5¨&P”—^Ð@Ÿ%›ÚHÿÀç ñÉËPOX!Ÿ¸½…DaðŸÔBe¡Œ†&<ƒ!‰ 2cÂHYËä²™¼8—„I +éËé’°“°8³Ø4°æ­Èð<*¯§‡°sH Á¤ <ùp8N ÈW£ÀQëq*4BT¹hjÀ÷ Ë+ñÃd ©áÆÚAÚ¦ þï$Må4d¢¼<\“[NœGêŒD¢CG]@õ¤€Õ“Bæò¹ >rÅxJ¶Äm«`‰}qÅ¢ª-XäI«Ð@!*Ùð½Ãß ”⸉7È{U2rŠdÔX˜&GÜñb\Õ y„pšz³k–íR0ÎÓØHN¤ÂgJÀ’È5 ªè8§ƒMNCfÕªäÍÈ‘#ãC•a¡ÊÙÜÈ%ÕWÉŽ?sþÍ–Œˆ5*/Ðìp”"?t¬q]F)!Veö,°‹Â.E24q&\¨êبŽ©”ù”V8E:hàé°A!ŒGL0“<#?p¨ä®t2(‚ƒtàü11GJ"N”6Dá“okÉ‚©ÇOK°=UÜ&þ§UÅ+œ‹Õbg0@µ¨ÒÂÙquž?ö¤V<yl¹üí/Ìâ:iðúÁy(m‡êà €êàÍz&¢!hMDCÐœˆ† ; ¦-Øíѵׅæ¯ÝÐôʃyèOÂvVòZ +EËú™ã½ˆUBÏépB_³H¡CVèU„Uè/èš@ô-î‰ô<Ñ{L'zÔÛ‰þBþzÉúÌÆzŠÃú‚=~ Eÿ p¢Ç‘·¼¢œu´ÃD3 ÖFçA)J²-mFéÔ‹°ÎÁ1 #WïmiÿWÿ _Gô[‚¾âSÐÇ! zNF€ž¡AŸë ÐÞèÍôzÖžƒ^ÉA¯i!ªè¢è™ èiEôNÅü@#Š³ÜH4Í»’º¾WîÕñC‘¼“U]ìZkÎÄ›sr@1Ší}#£øpC ¼ë> <¤°¼ZkŸtÂÏ{tðó?Ð`ÓÏ¿ôó!‰~þ…9?oRÏÏsTæc~?¯(ßÏÓØûyƒ>>~}7d4ðÜÜEl̓Ñᡇ:E\”ÍŒS–aßíØZ–Åk:9¦ƒ¹Ø›@}HÉhyÎÙ±%Fžÿ‡‘çS»ÊóRÊó$êåyV÷ò|†æ¾Æl¯†ãÀwqàY¡8ð¢O8ð9x\ þ pà 78ðï ü‹—œ€€ ™ $ÿ†•Õ´€!‰$[#çôÐHde=­Ïk¯®^HÐÑœ1`äT«Âäê ƒPx¸R$½ó¤„æyEý=Ú çQ—ÏóÖÀó‡sàùÒbz>MÏŸ&ç9eçyŠÆéø{”m‚š2þÂ0—vclµ[/l½ìO;,¥Á®‹Ýý@bûÄŸd!âÇq •+“z5£m3B¶ëÂÃ`a=l{J—c1:͈»Dp¼øJbâø„2ûÏ€2{Eév¾ËÚÎКÙOB‚ŽŸÛŠ,øó´b˜VG`l5¼0Q‰v3Ì£3É"°¦g‹O^ÿ¼‚D>á‰üO©>šß í, +ÎmÍÚ‘1t0â +F’Ø g +…²T®^„܃¨’‡h(‡Øt-ƒñÉL ŽaÌ!:ÈM²Vöi³ÆáÂp‘iÁļ´`*Ã…e à$K„êV¶ªõ{¥-ò[VõI\~jšÈ¡Ef‘Cc ƒ“öˆAn½ÃØØŽNÚRP$Á¡šËÓ©¢á;ì*>PG]n$…šÒÜ›ÍVMç-`ÒaZ²:;©â‚bÁFÇòãG¨Í‘Vššþ‚§ö*”úBùiü} ¥>‡ÒÚÂÏ­Ml4¯µ·‚2¶ÒY“6ŽËr•“!Ä=&¹×%êÝ\ÔÓÄÇæ­ÚÉà©()¬%UƤš1þ@CÜ8fFâWÕdjÅöõ52Äh©L@ÙöœpÞƽ ¥ t  †¦¾käú=ƒœ¾(IÛêE-6 Ä2n+\7—´Ø<¨´5ÌȽžˆûàzW1è.µ7tˆ †¼h¼Hë„ 2ÔÆe 7H¯Aëfï—ºý[E <|7›ThÌY9x•*¢´¢VW‰0˜ÐP²kBÍ4Â5Rì¸ZÅ´âÜ™¦…{ÚGB²ƒ nA:ÝÞ)Ð݆•y›Á¼N`:é.„::N1i^”5‰Á`ƒLMÁƒÕ^^¢Êüh÷“­Éçøªþý€]²Wp.u+ÎÌhØf>*\KÞ$¶×\"Lò‹æ¤w¬ºE¸ªŒ¨kÃÀ‚: qmºÍ…"#×|²ã¢HA ‡˜¸´Ý2pax°¯hlðÑÓx°‹Óx_<`Rdeä¯ó50îwîÉ·9ôÖ§¿‘hÊD*ÐCòа’ðh!¼p1¸O!‚b¡•(‰oËSŒk«3 ÙÄé›2¾êè<\/‘Õ˜íö¢&‚6æT9< 7ª€9lBKƒvD8‰òr¿|­«ÖÂ#“Ïp€L>®j}¦·‚´€´&Wêû=ÂìˆSøÊ+¤ˆŠw†ÚÆ’˜I)Â1‡Èá“#WºçhS¶á„íˆ*mœÜ¯ÅŒŒA¤…?P„¥áåk8b8v‚hüN±T•FgÌ9‰4®x¼=…¯ÚåýÍ=ÂÊs†s…r†ž¨åQ¤z +-›míE[JŽ ÉHŒkU96 I4Œ 9ãÃCZ²ÓVBHÛ¡ü›¤ÉLƒÌCÅâO‚ƒ¬œ¯'• „ÅI®‹‡s- ø"jª pAèy|ËJý6rÚn~bqÊc%ȵFvbô0M(Ž?ð¾pü¾ WJh̨JɘCù¢bY^^†r{ËM¹kÌ<6±¢dSC§þ´Ð(ÊaËýdl3‹ÖÙg¡ÁÓØ£ÜjL°Y›óÿû“ÌnÁ¢z .F£Cv’Ûîã#-hÉ›9îüB$ +H¢q¸ëëL†Rðjl%¦Q¶ilå}3¶rdh ¥žlÊN{f¦ãsǦ,kþD1ù=À»@('Ë¥s@ì¬PÞBSÍHÅSË(UªÜ»ÕÞ×z!VÙ ªYy¤Ñ\Ä'E!äYw@s>8´6C|éÇëŒÚŒƒã¾88©Œü€dBÐ(HéÄdòùž«VêeFV —y¦¸÷t-å yV+!¿3„ÊÖû#¿•n+E©zÓÀ¤ª"q5)*Š5T³»Y5ûôëÚHWÏ-Cß¿[¿V‚wîP®wþPвµF²§®7óÊc’ÔXh(ÒùÄiÈQ,(¸"D<‚JÆ“"e~fôHwÄè‘Z™Gúkœ:¥Ù¦,U’77T‹'W…š*ÖX€°(‡¬Yð±áÚmÎæöuÆœ¶u¾ +.€ Ì¸"CðvN åÅ”@-Aò™[„{Ò‚À‰IÈ'BL!;¶çð›™|©PÆLhž7ˆ¢¢Ð¸ $£‘X2,ÞpdiÀn΀õªÍ€õœYÍÆ/‚õbתFCˉ5N‹ï‚[{LÁKHÜ„÷ÔƒèzÅPn Þ@Ð"|A…])S +^…Ä3>…D±C`8’”+‰EHÆ°Çððd…7(Z¦Ž6@¨ò-°Í¤iŒ.(y RÄî„ +Dåº1?ëKg°Ñ¯à  ^$$¼r#®¼áʲ¿{MJ!ÝÀ"T.nf<ƒ§;~wÃXPY©@†`Pþ6'¼IHÏ pl:ÝN ‡HÑ(l±óeö¼ +‰ßA±#¨Ú‚I‰L©€X”X_¦áMÂúçÙq1+mR¯îÜ‚sFs§(è[3þ¬Å‹@Ã#Süfä]²2*¤Jª-{©Î@ïŽáŠ†CâaóáåÅ3¬w^s«Ë:EetºDrDˆÚѺ3å¨:¡·í*‘‹„ªÚ +Skî,F¤ nˆ «Õñ&ÄÄý†Ðbr$,é0©Ð&fðÃjéxГ#¥«J;˜¢J;(¦áPɚÜ…ñœb@¯™S»—Þ½Ï"X—ârñ:³i•öHêm㎔˜h8îQ"‘0} +:¡)äÄ€^±" †™€/ 0ÑRn‚¯‚J©Ä_+zÙa‹&q‰ð×À¬Šë`¦ŽàNîYcHä:¤§8T~ª¶¼n%^¥mùHLvN‰WŒ¦‰Rt^øHc°æYÃ8É?nˆÐÂ¥áAý{oV‰Y9 «õø:Þ¸½~ ˆ¡ü|‚›Ñ¹*» YC^“±¤XUÀ6[`Îlíh^ÔÈíØaE ÝhBÃ`qÖ`Q—ÆpÆì½#)‹~Û•6w )þX¨' þµá²‘‰#=;â;]Îò4:GyMRòIhd/“·r)—”Ì+Ä-Œ±»/ˆ´0Âðµ£ùùµxqfNõɬXPr˜jŽÄ…>@™zK<墖H_[mPäÔâèÜ#Ê ’Êä‚M +÷2QÌ-—2Ãòp™Z+my¯***â–òS ,¢8¸/ߺ-0ªù2‚ÕÒiöKÞ  +(˜3B„”'A¯<‰àY¼µ:+Ôk@ç?à*]^¯½ +ËÕZ+²ªŒfx_þ1-Ð<˜(ß΀pL§Ñ,ÞŽ¦bëò&·#çaŸNcàv‹…Þ¡_î‘qÿü’Ý µãŽBèçÐHb9‚KÛ÷qM~W-D«Zˆ ULÐl4Ï^8Påv~€!¹õN æžUàŸqà)AÞ7˜@™K°¹X•OÔÊ$ÝHâ ,5¤X‚K0ñ*mLZ7]Xy y ¨Óc±R¤7 RbÒ¼RSÌ" …Wë>úÜ䎦`ɤRűR*A^JœÉ5MZµnºE\Pg6èÉ`‰Q§·q)Q? *\S(«£T·B>Õ_MêušfÁ·D­ÆÕ3X×™\æžð ü"õ°d/Þ­Rúi^‰F9I†Ð ¸Å`»K«çWë0~Šo¤¤¤p¬é\/Ðx®ÿèë[çúpÀ¹€Ü_{Òz/Ähý°<®õ!G…õ˜áÛ –ÝHˆ¬°ÂT¶Y¿¨\$,é9@è)EÚ½›p±šã«×/Õ[BŽÕ\÷ê5}^½›WŸÛÕŸ…Š£zŠëª¾ar¥ ¹”°žLMïvˆ|FKðŽTþP‘ƒÓbU ÆVªôÐ}õ+Gõ÷Œ£þÎÛ‚úî@}.¹QO5Pßu ÔŸäê/ êæˆzCH?½›èœÞ )§O³gé¸Æx-¾}çz;™ˆ±¸[»Š(ˆ“b!y§85'Ôµ–ÆLH¯ ¤×8bÒßß&}ܨI_b0é½ôú$½Žž¤WiÒ—Lé Ô1Iºx¤ÿäžô!”– >¤øë=ß8‰ ÌÑèÅIÍ­Æ*åÃ0¹ ïT²ª4N'm+ú7Ê^¥Eÿ¸è7î"úRÁ"ú §"úõˆžítD¾Ñc;Gô«|"úR<}ÈœˆÞãMDII&žè1ïÝažWþ$ (²±$…÷[*‡':—eÁQË)äx´ÕôcQÞ=-ÕÇ©ŒÄ g@/ÃЛ¨èK è$èSŽ ý«ÝB¡`bè vúrCÿ›$ }ËLCob¡¡÷TÐÐchè)-úHˆ†þÀ¡w$R“€2ác@¬º…8aè­ê¸™†“4&‡b•m  á"©Hd& V-×›øÄL-0òºÕ¼ñylˆræó+Kèó˜êó +êó-éôy°pú¼o|þäø¼‡øü¥eú|„£þ~èŸOÈúç`¡Ú5LQ(ßïH…V¥/:Ïç5|ªí³Ä,}Øf™QÔ8ë,Fß®©[ŒŽØðçA~€©zàÃý1ðä1ðcà ÊÀÿ@7š <ÀÇ™CšGUÍÀoŸÌÀ³™µ0Ÿ™`^Òx?þ†)œ ±j³ ž2VrW67¢)-ZÉ.ÜcÏòû™Mòéz“|ƒB“jré°=. ÌœŽ±´éó%_Zut} +·€ç–øvQ +‹1#:«È$AúØ¥Xù˜é|’}øf£õŸÈû0Õ S)nJ†A•ôL‡ìoIqþAÄeõƒª¥B$®$®eTJ“p¤rs´¾{KˆjÓ6g>"÷UfwˆûÔ*´”X°y¢u)&©5t}äl ;Wޜ˃Hô͵ÈføS[Ý‚Ó•éÎTC³œ¥Yvž2Ò¢ñl¡”’âÚâTºà¤*`R‚…$òëAý<^‘öÂàmbÕjâîWƒqP`F6'癌XŠ9Ë7ESr§^N9k\NîŒoê4©`~vXpB‰àN•³Íl®³TZÔsW,4Q5ý½…€¤(‘'•Ž,Û©z&Ó@«¤>¬Cf„ØF´¹Ž@…°•Z‚fõB¤˜ÃTÚh4b´ò¬&@dCØh(£º©œ?@H•ó I•Ó¤j*g­e*'ù¦öó*[TôrXè„ÄJÓ"…¤0g§RÕÅ9Ô0Ó¨ÜC2®‚™µX÷²(d³¤pöZ€C·—Sº¹ù©ÌÞx´BOx@Fiœù’| +œùCP½>_º¸Õ„áf>9ž»QžiÁKEd³ + éè iˆè iªÂAÒ…‚M¥é(bª™)ºÕŨ?€y)‹µP­H²îbÏÓ{mȽt˹Jš+(…w'…°%ññK‚P^¯‡±R‚h…#m#¤“(cV¢ç`" 9‰ò©p‚?`9‘Ý$ƒxµ," +ÌqJÌhC˜ÑÚòRëP>vý8›í:š®M‰«¹Ý\6B{d×ÞöŒ+ïømC*Ë{b.!tx&„ð†ÆyÖÇ• +NG<ò\YèøL”-¬ÓÀ ÙÂùPY .4I¥SÛWXàbÄêÔNëa21‡Ô§LÁ8ZŒhtÀtN6—Ý0Í…ì¾ðLÆÍ)Á sJøˆåÝ?™KÁñ„`ÁP*ìèئÎX¶ÁGìƲ Æn©@š“Pþ¼VBÞ6ÈÀà÷îcX&‡ƒi)Ì M @cÊHš9{Ø£„`¤pi^ZÄ»£=‚Fy$xÄÐZ03¡i©ÕgDRaÕ”Eà dA`ÌXŸñŒð8bS¥â߈0 +©ŠTøÏéNÈ‹60ൌ0,dw WÇæP²ù˜Õ3ž&uB…˜XÄ-XNÕ¨ `‡)¨…ŠAø·ŽÂ´ƒïRBV‚”Ó¹ê+?ðø|n &[a­¼&dW É+¬Éã4m@¤\CŠ`Ý'iúŽçÃõš7*ƒBSBEàÿ²B0.^×* ª>¨ÒÛþX…­¨\$ÐOéS¥P·|èÎâ—2XÕÚÝ{åÓÜ¡¢Õßá/ñfÌA’2j åÐé<.dÞ`b’êsRK—‰ _x`Sè#5…m,‚rÄ"Hk5® êÏcoc©Ä ä%L—ÑV„(£nÁÉëÎâ²øhŒÉâ a@Yܸ—WC±p)7m·VGl§Ðk›Ês(â™\²dS.¥û R‹º£FÌá㸠î´<·²!­Xú"µX䣄!]}ŠPrÚŠÑÆÔ-&­¢³PFAÔÁêD¾4~@TÞ›“+úÁlîØÛúXµÔ€<•8éd˜pÐ . ôE,á Šäd¡“;ÎW#±Éõ21¨ò>ÜÒz²&õÖŽÆ-^œ9â H?ð)1P'‹K{ïÊ©ójX\¢!`…²r ¾pF©—ä· gÐâ2³¢/›Pd7:?A&=X#ù@<Ù²ö2ù,c¹†ëV×XUN_e}´éÂùä¦"V: ^®äMCAr;‹ý40(HT1u\ÓGÅj J¸éûÎ= óšÔÑ$ã™Á&‚Ó{™ $ºK %":%C8óÊD@-r²,Âï¾ÔáGÄÂÃs›/ãr1(³’nGc†-y’)˜Óµ"¥ƒ+Oë5 >?[Äè‚šG¡ñ*}2®ÇéÕz¹[æÄ-¥ñ¾”#ÃP F˜WÛìÌÊtš®SÑ6>g5›`5V0 ~¼8¢™¤t<3iõhf{å±Ìþ‘Ìœ„Ϩ¡xG¡ÅG5j×+ÉäšX×f UôÔ©Z TÔ*ã#³^«×ä;I"3ÚÆå/Î"sOJ#¡ŸŠ]£> °½j‘ºµæ‘ ¦dRèÝXÉóÄK)Û¯’÷ŠIuV€Héò +À„Á¨“¨kM(N‡w •Àh¬UX^-u´.*ç‹ûU"x‘bÂÎÐ +`"Í+€Nå㧠+S¢ ˆ×„Z¨1…8×QJD>ap‚T«ÀÑ­NÁØY‰.‘ÌòZ¬õUÛ¥åJa”Žúï ó8öÕõù“@}í¶9ôZEDºU<›Õ7jh¬W]’Y)7µD]ný@G“Œ>EhÙ'?šµ8\ß}×£×çyÃõl…áú×ÆàúÍiu}ýú®?ÙVë3‰¤õªl½‡q—-Ufª$óð…K9ÿº±M8|ã @Ma‘$©“²ûÃÅðÐ/ÄšÇz.íX/2p¬o86Ö›õªÌgýdÄZýÈiõ`«Y}K¢Xý§õWO‘ªo¬þK½ +Q¨ +R°zñáæËý€‚ CŸÄnY²ó©t)ÀŽ‰´ûW:ÄÛúIFÇ õ‚?H=†3S_øÊÔo)™úÏ;¦^‘SŸ~ÂÔcÚO=©ÎR_1P¿`¨?°VÔ0"ê =Œ櫆BÎÉ WýÝ,‚>ZJE‚›¢|<°À$Ê`UëczKù1½š˜~uÓc-Ój–éAÍÊô,meúÎBez|O™~F`LïrÓŸ"Ûôb$6}§¦Ç¾Ôc`@sjlku2?ô"«êVÅÉøXt¢óA[v”BJ³ã&_%ÍCú©CúHl"ý8(‘þt²H‘W¤sAé9–Wú8±^é/˜®ô ²+}œq‘…]¤ÿ^¤×@¤÷¸‡ôúì!=ª4u2e9y°àÚÔN4MÞç*.»X‡4à Á*ïúš64zK8Ë•]ªqD‡žúĘX¢O²Ñ{ð7z|Žž›£OIîè=çŽ>”8Œ>WF˜ £guyôb.^cåÑ»0yô?ꨣ7åêèC´:z[½æM<è%Ø2“|µaŹì`ƒ"¾»âÛòf –`5RŸ.'4À +§OnlÈb°!wâ„ýÄGè»%ô|ú9´ ý8 …žU…^“…þ.U¡/hR¡?ÙTè9Tè]7*ô¨Å B©œB_ÙHD¾’jr¶Aí­ªA'÷¨Ce08Z„PDȲåòŸÖvçló*õ`¹:ԌΓm&‚>© ÇÆè%,ôpXƒ¾› àÜ ¯t7èÀ¾ o³èAô’úiú‘$ý†ƒžÂå —´'Z ©ØYñòþ)8›Ú8sÉö|]èÔbÏÙZÉZw¡WBföÂ/ô ,(ÆÖ!|!àPûÖ6 ~Êχ¦ûy=o?#Øϳùóªðy‘Xø¼Ô|MsfA›ýŽ`$]dì'P¬e–Xfò 8ûH–ì0ð•I¶ûÐäß›ºAhlÔej¯ùH.wPæ3=콤+"¾[ b4%EMI°´‰áIR{`·IWJ†ŽhBfjLAö>ól’ra0xÐ +2O‘²TK`É02Á•-LwûaÛ$ù¥'¶>GJ¨Ú+A4ÔëUZ(ó*Màže3ql8¤°96)w³Ã SÚ0äÈä°@•n¯¿®)c®_D‡šrÆJ1k^SÜJ¡:hß­ûƒá%3×V¸†bšTëòLªq ¡ä T ODc©‡”¶ÉhÌ­ñ­îí +`KmÇÈ}æFâ2o»(cw¹ Ôh‚çeLíI2€Ž‡g çIæèš;ˆ‹g¦4#!ý˜ +€=ŸC˜»†JÆhMclÚb‡jÆiñ§Væ«”fÇÓyóu¨{qèJ.8Ï´‹D1m³§%rä q»õuž_Úd²é<Íh¯]ÁÔœäA· 5Ï2°O…m௄Â.ßx4ðëÃàÌãÓ„ܬH3"©)”†óÔÁXjöØ.y(``ùE0Xh“$ñ™Y$F‰ÌdT +NUŽ+ÔL§ Òˆã…0ŠãýÀl™=;àx§DÔ‚¯¡&3˜üþ–‰å#¬%×wb¡ ~#{fø¥î +7¢Ü‰*u¥SäèàC¬€ì“étÒ‰¥åœXÐÒåQ²?౑~ÃC‰akq`¨®¸¦Vˆ¢#Ç¡†è‹.À§ÌY9…Ca9Q ®®„ë-ªåKqX„AA-Ÿº;\_Fð´ )%·@Ë!£†‹V +þ€l1U¡ +Ó§(~‡Èa29ú„1²,)ÒhR˜!ùYé‰é:!r¢Éˆ2‚V6ædÐ’¤!ûQb[M#xf-¼£Øž$éí<•ÍEˆ#Pð¸È‹ + +Ù³ MA¶BZ / $l_V*ä<è)žÀÚ!f0ÑA`ã,ÈIc›ù‘Ed09ŠÁÆ´Ìžøœ44/š·H©ÚI‰V3„Íõ:åši;”èv„U‰ ŒxÑc…”H ‘d¸,-cŒ.äcŒh;GC4Ž?qCãøæcô¼KW8Ë&9"!•Ú+ª…. ×ç3¾h&3ÒÑÊç³Jóh®ðD»¨G¤ÿ€&¸IƒJA}-ØD¸91.e‰=<C#ª‘ƒuq=¤W¾¤Ö+Uˆ D +J;ˆœpV¯„M¡W†t¨S¶F/ÍüÄ®ÁÌS ©°óV.¾œc ¥fyJ:/¨ê ]”z”+å4G,dh^€ÇÉŠ3W <œ‹qh­N…$ÔÊ7[o8EHûeCùÕjLa^_И„-¡1YÐ`þ4˜–_kLÃZÁÎQ£Ã§ë/g(/¼NŠ‰qQj‚$›Fcý§´†»A¼u{a¬³{1Ó¡Ô¨  +‚VÁ›Í»Q© ®š\0<‚»'Ú¤Å9ÜŠ”«´lÔ¤·‹XŠ‹v‰‹bZ†‹þMÐRè¨7œkZÒïHŠÉ5T}°òCE쓇B¢]íæ§5¥L÷CÊ^ @…@ÈÄ(5&E ¢Á úFº”ÛqéAZ,²=sHºP…luH2òLKªÃ¿Í­:Ux‘Q¥Ë£*ƒ:U»E>Ô>œÔÊÉõä®+ç…ÙüâI°Šx±?pÙAKðVž6M 8— !îçÙþ«I …÷µXy‚Êð¨HïA{ÒL77  š ŸƒË{,qA%`Uë5Þàù‡1#šÐÕ™ˆ@9¿â²”!dC“ ÙÐI°Š3‘`[Q7h½ÕE´+ÃÓ‚dÒæVºxã>P–ì­óSüp†'¼4é%¬´½HóÂÂßè²à láñ¸tÛ,¤L@AÔiäÏÊôvO£Ú퉋WÅ’o{ûX”\¾ nI9ܾm\‡GÎÞ „‘ø 3©Ëûjé—PRq(„EeaÉ Éá†ZÁöGã±áÅAÅð«pVTJ”M¹?Jç3h¸ 2¸g瀒7Í,’Ñ-r]VµÎr Å_¹Æ´-Ëð\'^j ‰h±iTë#× +ÁðéTÃèZæpdärØ°Ñ qI®±¦r”UÂÓ(„Tƒ(c\…,QŸánŽ£6(8cw˜'Î!n`ÚÜ*,™ù”I˜]’‹ÞÐK ±s Uâ0dÂtPˆ,×ÝÅñ:Ô'…Ï )‘?à3C¹ &'¦ur$ÑJŠÆ¡%*Z‹@”1”©/¨†H¶ã_ØTü1|ÔáðKÎåK…Y”²´"Јë¢#–ÑH$ÔÚ.„ õ\ Onµ“­†FâÈБPt߀XxÁcÃØ_»r·@õÁ­à‚r§â9£Üõ‚e±H|B#zÙìÇEâÀ¦ÿÙÆ\ +s|@afn hN„%Ðw7Úé(\-Â|+,Dç±ú…™6Š2pØ#Ü3&:6KJdì•­ ÄhHa?ôâfÃY†]íÞ¾·¹Û¹cj +$B6 +ê國ÙHÎj¡ü€|{+K#ÓŽ2— ½tH ÁHä+'j@j…y¦êÅë0í˜ôšö ¼oD¶Ä>I F}Š¶k€ä +¤\¤1<õÀ¼?Pz¼”TSô›S¯¹S«ÐÇdv Å„/äoA( <Ç|}´àñ×( +€Z` ØÇ£ipBçBãdèÔÎ-€`Ã!V?AK#‡Fã Œ7·5ŒW‡‹!vójPv˜r7<®Õ1CPªÄǶaÂj¸€hab„žÙÁN—¯@¹“Ÿ@AB2wxâ1W.å.ÞC§ä# µ4Ìî¾ ^Ì—9P"Ú¦€‘ÜÎaòñΪm‘çñí&THU.ýjyHÔ£³iñG'$A= ˆôÆÕÇÄc¯qA}>;òŸÇ§Ë-ò¡“ãhf,Ä 6¡;÷òj¢ê¨µr¾«Êø +q˧ îˆyDŒ@Ó|=tÍšÓ€%o@s4dBïLZ ÿLòOĉ¸§’ÃT«vMüjµl¢O²xb’ãË„‚r¹‚'Ñ) Í+Xâ„ZV,e)à}ùŒÝ£9_†â¿‰xL§ù‡;š…×åMí#gö/NaLßM  /Eˆ{[µHp›dR‹ãqƒÃt àó!Âa@GggvÓi,…¸£©O.yãF$ç|à/Î7—”Ž—VÏKM¬<-ÕÃ?¨³S‚J§l‰TÁ"ô)쵈ã@;#Œ„Ô*!ûU‚„fÝô zšC”åb"bÊÄÔª§ÖXœÿ—–Ïbµ²u¨i«Ù +¹…Qˆ¢½ Ñ8^ªe‚K“âUZGŠéyV€qdX|# ?q#K‰Â@üS•2nõù„dF¤]Zx$ã +6†³El´ rÄ +ÐðÓngJTÉ$˜Pœ"¦,©u”ÊTµêÞœ •b0¯›…ÆúY2’Y/ãÓ°ÔÄn•ˆÃ«õ2ŠþIb`ˆÄª†dy‰Ó˜0+Hµ84–¢e’Y§«ÀZÛ*·v‹wi¥"W÷¸6í~@2¯Ž{½Xï®ßKºë7îú,¹Þ#7®/r×G"×Ǧ„ëA/t½wlZÿ;Ë9xë_ýâ%)&B\5­ñãHWy\∧™è6êø,½ß®Û0Ñè°žìLX¿ZIX_ñ$¬/¨9ë58ÂzP`ý}‰Y߲Д#³úÏ—Wo™üÕ;D»Ò0Ä–”ÝGk8 ñÈ!ÝcC{@è!å¨eiêŠx¸ÙÅ“[ð”zzÒÆ”úƇ“zT'¥þZ)õ•q”zC!“ú‘ƒIýþ!©)ìÔOP_ê‹ê£õVõlEz±–/K7P¬ýüêà]nKV$‡ã™S+¤jwñsâÍu Dóé±Gãô¤ãôtgqú@qzS9=NBNïÎøé;Ðãô)ñqú ÅqúÇF8ý 0æéá‚wúuàOoªP¦ÿ&[ 2½&_Jüöžà¤3Q‚^4õ6QÀ!+¥ˆK?…‰Ú¢p‹ö Lz¸8úЄ2T +CéO²¡ôœ—¢ô…T¢ôY /ý'¼”~[JŸR<¥ÇöNéK†Né-œÒ3œÒË.IéÕ£ô#Ì(½×J¯é†Ò{¡ô¬‡¡ô? ªÙÒ³8°B h„þ× —¦ÒS·¾*ð@Ê*éXÌôÂ%¬ª3YC&Ç „XY¬ Óœ”’-4ú¸^œF÷QýðI¯ŸôIFú—‘1ÁHï¢éI”€ôE Â¤/<éIâÈÃR%Vü[-½kwº»oÓ;ß +ƒòq–äöùÓMY/\bôŠÞëвTô¦‚X{< +¤èRôëƒ=j–EŸç ÑÃ$[ô=*ñ}Få}CvˆÞ€UDŸæÑÿÀ—RD/hˆ~…7>˜þ¢ÔµT›itƒ×3ÕDa°zk_é^ +¢¼sø·‹VžäÞ?P˜¡'Q>ô¤Qú{'CÏždèÿƒ =é´†~²®¡O  SÌàŒo„`0Š†í‰Ö…6@îý DÓ…¬ck‚¯ÑT$Út\gR=žnN*ag£dyN:Ô°y{Ã$³ÙY²©D ­Á\INúÍà*›Ëœ…3?Ö€¼ZæØþ†½Ž‹ûï»q–JH.™Æµzeúþ}Pþ:rPþxYm‹GV{‰‹\K­2+mÔ¤g!H·L¾—Fœ€¼Ð`qWi5~çä$CQ€Tn›z5ø,…w©¾{ó\`œ3gîj#š¡Å­šË!W U&BÑ[¿ÀRW $@"˃RiµD·H‹tÚêGVr„5np'v)q?ð\a—ᤢÓe†¨;•¤ÂÀÚë` n )¿üu>O ­(1ÄR1ãyÜ"ÈJTj^vj€)¯Ì2;0ª…h£ƒ$1¨>ì~à@ðÂÎp†HÜëÂÜÿb’³`wxw7nÖ°s¥ªPò˜rèx<§qƒ¨p° Èf@º©ìó>³ðazó颒Ÿ†˜ì(^Iø›V`$ew¾f”D9y辦G3Dfy¨d%¢PxxHÉÆѣ̕fp€D ƒ¹’1 à‚¼ ¼Lbdj{ :Ãà¹Íà2&þJãêòaB§Ç "Îma SQ&ʼn€ró™Šuàâê D“KqŸOÆ4r\X‚R‰m­njõ,â]³}™;œ%,0Sÿ@hBÜ+KÀÒ•\™S#¤w–HÛ ‚Üö‚ÓÁÄW €*~ÑÁ¿ŸÖ•3Váf$¡bàÃÅ„F‰„«1¶$iÀ0Dò+¤–ùF䎀¿¥ZêrD¶ùQSíôxJºZ[b»=já$éy1yt$…Wl’@ß{ŽIèˆ?€H/ñ°Å¨8"jTTd(:‘5µN¢gCãJG•FÏ¢ÉÉ8+Èk#"iOZ—þ)ÕŠ3Å°›ÍN0sLAióO&Íœ&.@AˆÒ‚þ€‡dFT€IÔfõ†ÉÍŠËócÝV'Id^,‰!r²Š„¦b‚&›ÑºÔ÷WÐœDØ¥,1ŒÒ8‚ŒBÒQ(HŠ‘Ÿ†2( UêˆR´‚p¦åÌëqÌÚ¿ÿ,&…óô\¨Ë¿mšJµX)‰¨¡lXI(ðã¾Î 1™†áaµ#T¦ + F5~¤‚\žU˜Zãqfdr¦}IyÊDÇÊtóŸ åò”‘°å̸±8˜"6Ú˜.…àŸ¥²‚95(Ý£[$ ŠWQA [fG_–oÓ81¦$ 7+í"D)µ•+À¸/XÒ‹%ÚÈÞ¼®–¡BBt(Ô*K}“8å¢côýiyÜr•òU®*>¿dúŠI¬´ÂùŠ—X8YƒX8UiX8%‡sJ’ëéE£±‚ž#6å||0b_”…ݦŸçšpn{@´*=¼R‡üm<3<&6ÃÓ*-((TstNï +)…ZÅhn +ÝÑ +ïè«!ìh w”‚i°)",åéÉXéÿâTýÔç„R?5i®•ïp¯•·e´›ù‹öêº# Vö‹PÅ<Ö¯‘áÐdˆWö@ŠMAʬ>‚GÇ¥Ÿ‘ ‘Hˆ‰ª3h/ÞzœÜ*æ®.æ®V\}é”zñ”ZRåº +nÓÊ)8"Ëâþ‚qÒ›y ê6w;PÛø~Àíàò‹æ¨Ì+Þ ˜Àþ‹ 0xfÁº*>Õg9`ÕoÝ£C‘ð¤< ‰Þº•xŠŒâe¥oŒ #h:?P€Q +V[#VcØF¬Â³~­[P}Úïäu®+AÏ®)ìpÜNK•_˧BÀÁËØý"-ÕÎH꦳°¡2¤ü*UBÃê4B~`å€dèŽÅåö +F‹ÚsgŽÈjÃÂ5.(ySQa$SF€Ž/ÃÎn㯳{Ȩ³ë™lŽë1}þ{›®Â{ØÌKFBæX? ’\„Qb—ÀrlÁÁB!‚O3Nˆ³€ø왓3d7²"Égã2€óqønz˜÷vÆÐzJkÕܦ6jý™Òú> /ÛŒd¾…žü€J¼|LG@Ös*„š]„q–[xäZ8ÒA±àƒÙ©1:@â.Á2 ;˜: +©@äárr ÉH–0à…4dhPôálBc› j¸™Ý&¦OŽò">W‚­³·_#<*¶”âa¤Á1 Vø{Gùå·Š(àÌáp¾* GŽÅ,,*®»ð¨j( TŠQ¦”™…lƒLÐànÛ nšwø¡}Ð)~›M±i˜C¯ £7£Ó/ó¢ó@A8²}­,Ū> pÛõì„Y)ÄõYd[nü€fŸ¯ã̔ܰÂÜ!*8“‹ŠO,EfÂÇPw¸=O\GC›[‰õ‡Cx‰à€LJߥ6ºI‹¼§xm bJ÷Fó +M†ÍFz5’©ÃœÈVÇ@}¾ÁúÃ!Œ³|"ß²æ‘ÎâI7°@^J#Ú£˜<¶–dpê4¡äbŠ¶ÝÊ}mU²¸õGð úA¸¼Û@j>‘yªt£–šk$Ë• –‘kbDjÝT?¸ÀÐØ 'Œή 5º15XÕQjƒMêüjšW,U$³N…íBÀ[ª™ëU¦Áá§[Ü”¨Xm–†¥JøªV}n•‰OóŠò24Ä$ɬ†jX¶·±Öaç.e%ÖõŽ`‚ˆ7¦­(v@©QÂXˈàVè"^­ÿm}o‰j 2b]ÏÂáÔÈ$©±¾ÞqQ_nÀ×ï©ïõ{½½Š\ŸÞ ×w;èúÞ´Þ´SJ©°;Ì‚FË+œ‡·1}¾x"<Ès7ȘU[Ì Bø@_rB´¶žE×ÖÿEØúl}#õµÞl Z¿¨XYS¬GÜ ÖÿÀ™ú° aÖŸ ÖƒÈÌê/ŸÂê!sÇëŒ.E‚­¾åmBPfu˜?J¹Ùê7Ûôv ˉê×W¢z ’«㈨þ`I¨ÞÙª'­jÕã¬zAPý÷1Uß +=Õƒ ÔS4<õ œzÅMýÁüÌC†´fÌ}¸£6D¦ð$mfÄ×4I[£®ì åfš7SK)I£© “ O õƒ/¢~‡„¨ÿI$E}›£¨ÇB'ê;,õŠÐD½ª(Q¯¡HÔŸVõšÎ~zÈ pz;E9½\¡œþEܧOIúô¢Ê¹ ˆ•áÿ@jN(üå&/b³%G4jj)•:]½kmâ¡z¹>ÓïªÏô‘ÏôÔiúÓ󚾕rM¸¦§åƒéÉ‹ÁôÞ3˜þH¸lzË&›¾!QM8CÓ»-Ðôæ$3}Xg¦÷ÜÌôY—ETÖq{æ‡WkS/š8ˆ#™.RÛ£œt¢CÚQ'€®=ð+I?à©&úô.(#zI/2ѤçPhÒ;¼›ô¡KBz€Á†ôYCú8Ïô"Ò³âé? Òçé®éãɇô(j!=¨WH¯i*¤ÿWH¿?Ø’o 8‡ì•0ZæR±¶àBòP“' åA$E2^׃NêUÎz0&µé² dÕ0±éŠl”iÇ´yPXbMÈFÿû>4Ÿ£w\ÌÑ»þýÖº£?aÃègØ0úµŽ~¼D¾ÃOP 08lÁ>³¦[†,%ʼ¶RM«Íÿváu¬oÏ_?P(@ç÷(ëg;Y +)WTš3ˇƒ„ædŒcá@Q‚ +¯à†WpÃ%ñG0ÀZº_-ø|ªàÆïàÍt@ l•¥~öÙ42ÙL +%Á_üþ ÿµ¶-_ülËç°ÎÕ^ÎÕX“ÃD;MÒÈŠBO÷1çÛ6GK$?Nhš¸È§vòïƒèËyXq4‡ûø–¢cÃjTaÿ LXùëW+ÿt‚+?ÿ,û—ïÕBÖ*Ñv:ÂÒD^•n–Š ÙnÂÚ¤èઇf0c6<£Ûà—ÔýÀ+¤€žªU²½‰Ø>Õ~ØÃÌÓŒe(¦ h{`°VÛäñ“VÐÊ K˜ U%‹Op†8Z”$p4q“5qm»¹¶`*¹H‘­’£Íªø-Ü»T!r7D¥Xѵ:A¦§Ý¯T-¤wûôhṄ:T©e.Ϻhl4Á +~“œÁƒ>…ë0xÜÜ< ¸Kbâ–S8j9ô¿ÔÔÉŸÏ™O…}üPR ø•w³œ„‰¬Ñ + ž*²,"–‡X%ÎË9b®“cÔS ’L±ì°d +膭‘õ$¼ÄH1s.4f›8t±“ ;“€\8—HÉ L.M]vbí Þ±+ d„W@9½T@×f©€švßAgmC¶ŒHBodª"Ë¥!â¨ÓÎ13*8Æ<ÇŒŒ85’ôh2HØß$R%•ÀrÄBåp ŒgúÂë1Y©Épª:l…TBL[…á«i Åä²K¢¸Áh…‡R¶ šé'ì¿üÕBA4-%F>1%î.b.þ‚¦Ä†àaŽocAÍ0’.Ž€DšfXvÐTRtÑ3 m&rQÇ”cÁvº&ÁpžYEs‚¬5F³Šâ‚îøàMW ÍJ}‰LÐÕ»ö#%ÿÀÝ>ˆŒ&¢*J­RÔXy0×=IE>» )^Š`"0bDæ³cDÒ#sAjwAz#ÒÑ’¾2>Õ rœ?鱶cž2¹v~ê•|þ~`h¤ûÀ(cµ]Pºcx¡ð»©¯&)É>VÕÉ•êwÍcÕ±Kã ”xO&C£ÐbjLgp.D"q§6l%{1CÅC)§Ìä“ÇŒ4y$ŸM»ÔÌæÌ̦hÄÌ&.)µS4ä³´2çwcU¹,T$jÝT‘LR Lj¥·®ªÙUYTªÛië‰KNë€vÚ`³¶®•3pYÓ'p®K¤ Ò’o^6Û¢qŒŒP©dpœ* ¢/ + ?`K·Š%ää" ùÇ"@'¥UÌ:Þ´tk0úVÁ‚ +zªPmÊM­´Õ–T•ô *u츷Ө܋iÌOØO¢ +¼}ú$«¸=ÓŠBš'~€BñŒžL {`°4ÒºSõ³I÷â)ŽDP’¤®%I)\K’68,IzšV’ôd:[i%u¨jé@TõÔTê …q¬.G +`5©&É~B‰ý¾=î늇-EÔÂ×× +,@]ia#×ðRÊ ¢>cT!ÉGP£ÃI¼& ŒŠ°pz¦3Èl‡ÎLT®‚w®‚w®w®ªØkê;8ÖË"X~5²­ãd° é[ÁIK´)‰jG :“‰  ÁÃìc§À?@Ö£<öæ^ø @þ¤ŠžQMk¯m×Xä çæpBœuÑäŠ ÏÌ ´ºx( ±YI,„°’X·¡’Ø–Û èc·?Àx¹P ³rÚ%«Ž»†—µ{4¯)´e„dR@_'œ TAmµ·,žÒ…;Í „I³ÚH0"4ãøMÆŒ¬4‚EÐ)šë£*íZ*7ßx:n¬¢t\W©¥½14¼Ž‹­y qPV{! 6,~Ñ)V¥¸“¼.À³Vq†•á…I(FpÏ«!+$ Ñ0;‘áExÐá,èœ, 0D·AõúÔ;&…A = Hǵ?4%í!¥RŽ²2!$ê‡6ßÙz„~àfÆ/Ê^†ü"`pÅ…AÓÎEQ‡ L$ni¿ŠCïE ÚÞ:ôKXʨvGdÐÐr} ¼*nÆSg^Œ£LöŒñ-  ­GFµAC*ÌnõaÈ/v<:’’Q‰@ÉhÅì; 1¤}$Œ=ÉÜU|±9ª¸ÈÐB§zœ +¦óDÊ–ÌÖ€áJrüR% çå ÄK·ÏA5‡9õÁŸ&½*ôb jTAPFu[ã®U'(¬”]X€*Ýe~{&|8dI$‚;P 1Ç>Ɉ6]·¨ºt¡Áà…û!=KÁ¤AÝ’0p´=dhØâà 20žƒŠôÚfZælj±™°@»òÅ#Êïõ"ØdG` [ï›UÿÀŠÓAlSdNÙ EëNp©…¢¸Á.ËÃFÏ­‹†øVÅî8-e +/„vR¤¡—¸‰…#Y*"öDaTncædî*éTrÚÀ–˜þÎü‰iNíºÐOò"TöÛ.›ÀÖ¡’וŠ˜Úƒe¾ÀL´*Š)ANL¢bðPŒZc`/üŒ-Xí>3Nj®5F'ªitHîr_ÈŒâÀ?*òø[ –ØÅEX1Kâí…Qee¯TÚùÄ„ì|°QEL—‡#˜¶Ryá˜RÒëái¹(ûó)e¢" +M ÇQ(6¶ü'Í¡^pè¬cü@$Kg†àôråi°ˆà6 ±å ¯ÖÁ…åÄ JêÃå%TÖHŸpS¦3  L•ˆ`üöÉ!¬óO씦B9Œ¥”¥pߧ #âRd*•›Þ„F›×ó­“#‰:ÏÆžH“×±BŽýÀUXC„YüÆòeŠ–ƒp‡Úæº÷IFâ ­‚–#óº!Ü$žø"W8púØP!AÖt#gš‡"?‚"÷ÀÏ&ùÞa#qi)f¢ÒÊ +…¤XÍj6/Sh„Yè‘Ž†1I}ç>EW§âµ‘ϨV‰D;é¿L\Ö)TâJè¦X…<lHêñ‹‰sFo@æBZH#È‹ËÀˆéóE:ŽÎ+óF“àn¬‘,Ð<¡ÅÉkRÐZ.ÅÅ :EÅ̼bb&Ô"v¢òâiÓ%Ó #Œ+´tšÉ’7? R/Ž†àåžJ…`QÞ†F⬦ÐrX®WCJuö‹i‘"æÒYóA#1¡lbé`ñ¤à-¯ )a)­°Z+úøXU~à´‘¸%´ÊË&U›.n‘l>›4Ñ6‡ªÙÄæ…Ó¦DJõõÊf›´î(äé[#­"ˆWéÔ² 'Õ.šWªñ#³²”bëz§(/Úi¼/*ÇHÄTTˆ1ov¦ý4¦Ô,Úf ³šÍG­`ŽKôxqV’Ò9}H«‡ô±Wž§Ã??°ápÊɉò‰"´3rH°QX°J‰ *Ej;,ºÕGg)E×A“b×[ {áü™£”Îë;­ž½XypðÃ?‰»A2K#†ÞÝò‰TV D#ž©!}ž .qžâU¶ÅäÒ<+ëÓ §“h)Q£•¥Huच|¥yõ ,$³ú¿´ÚKëú‰±»¾`h>†³E7ÉÒ™Û-Xcp¬´ ÊK)%!¹&Ðá$$==Âê¤`JBŠÄÎÊ; 4¬ì´]Z: £è$Fá )¶íú¦ñAUÙ’L.bjî^ŠThK¾†¡UpkElÜrØ󫥈9®þô[Ðux© í–”EÑõç€:ýÉc`-‹ç»´ÅÂÝc]/+z +Öu¢â"èz¢^M„/A©¯'dêëµSÖT®'ã„ë5Ðõ:Mjý˜2 çà‘d0ŽLÄûusÙ0éàŒ xlB4cU~`ኴ~æDZ® ­W•äÑú-ãhýèD·ò½­/ØeëEωõ>|°þT9Y"X¯ˆ ŠBãû„épÈI&jô—`JÖŒ­…qn9gD-×@¿ÒÕ˦tõå›®Þ”¢«ÇçêÛˆ¹zA§\ýxtrõ “[½ ÙVï©Lªg©·êA™Rõ–õ•ú†J}£cI=Â~ÀúŽ VÐÌœ˜=-wZ¤…®1â€>™ñLíœË꽋HðÐÜ"—-"Ú8­(ÔJõ'D„úŽ¡~D¡ÞvQ¨''Ô³4¨·¤L¨§IêÏH õÙkƒz€Y‚ú¾@}ŽPÿ “E=½‹¨/x4Ô· -Oýô乞| +°@dm\´øE³¸Zu¿+s1"Åd²òü´dú}2½Èr2ýÁ0½'ÑMÐ2½$ü§/ÌÙéÅvú׊Veú+eú2}܆L¯º.¦7KÓƒ“‹é½RHú’ô¸hëB»B}ª?»`²ˆo˜Ñ"£–ö÷äê_ø zbñRÙ@ÁcéKåXú j-}¤–þ«âÒ‹H»ôº.ý¼D飣ô-Ê]zx—ž²i”~¡Î¥—U‡Ò³“CéIøPúA¹iøÏ2©1NEË¿;G.-$‘Î.ÕD_œ¹DEÊ@š’nðUÎÉIµ&Ãc‹`ÈéÁôñêuaÄö Ï¡C£—x©ÑËÔè_ªkôÅ>ú†.3¼k BÁçyB¢ °Qœñ`˜LÀe¸ç=d6ƒ²É~ ÐêÙW]­ì"µð= ó?§³kÙàcvƒT˜ ýJaŠT˜î–FЦÇÂó©å1œþÀ(ÚP,ÁÍ„E£´Ê°¢Iò÷&4K~ Ryˆ«O41'^åÂi9α#°œ#GEP‰’B%¾[%îÒ9vÀð1:$öiŒÉƒüò$ K­ÁJ”z˜¦ +a‚~ƒ;3'Òá”d@ÎÙ¨ã"ÖKEW¦(! ; +õP³º~–Eè²»™kø£ jõ¨>E-‰üÝ™#†ñèRÁ#Qʼni†’:' ,)% )Ñè3,/ÔNåÉ…±Ì‹^(à³l3y¤ÐÕÙ÷® E7¡²¾ +øö‡¸AÜ“^C#Ý^cBö8ļh)$Øä ñ•°¡E|:ƒº‘øî;±ø?Ð’.Œé[©a«ð”$h¨Al™=ƒH¸ªD1ݯÿ(6 m˜©Þû€›ÀõKÂQŒ20øœ³Uùªk.¢zt#“÷Þ¤g¦³ý€øQ¼Ìû€b’~> Ôx—Ô>¨tÁ¸ØÀ- Ä{PvÉ“„2ðžÁâÑÔòâ 5æ­x >ÁòÞû¶;S‰ø»|«÷¤–A|Œ<Ó)l§¬0˜'öeBNO‰ù4º +haK.ðdÝé–¿A-®ól&…â3¬£Ô[æ}ûjBô1ßó¡ Ž?1 àü@ŽåNõéÞû€Çö1+ø€ì°!µ¶Ï¿¹ò¢‘+2Xcï½O¿q\P>ÍgÞÿé$²l÷ ,‹zyWâƒKÐêQ!Fj³˜ddxàÖùhÚ¬X‡ f" ybžWñkЂ/Ž+¤Â°JÃh|n•”*– }½÷‰P¢¦îEç~@VÀ ßpwà½÷l¾€:×v_:if¥:0¬p³‚¬Ç5vR'¯0Ùå‰ÃhS?p‘Ô„ -dgr t8øÉ<’wA@Ü ’8qÉG šP4C¢!rY€"-ç„)Õ9^‹ùã!¿üt¢¶Zc¥6 ¼Õm7røTŸ£vM‚qƒÌШýŒ 3Êá0J”ê;éÉ©3ùp@X9?ðà­SüüÀüÀüÀ Ûî~€FaÂÙ‡6Ìü€À0©·øðÖ&Šøøøœ«êÛUU½Ž¦ªÿ|DUÏ’Õ¿ZOõÅ+õÕ)uTdhÂÄXW}D‚í,ڹЃÂinGÛ!Љâc± ==HZOò¨ß>} ¼Ó›®î hòÅc»hT ÞzÍÛØ$O³Šø—Ʋ™ÂÝÁŠ}BzAdCúËCCzÂ!ýk!½"}­ú]?¤ÿéY°G4z cnlÚ”¤×cÂ%lE)…™g²à%-¹ E¯ªWÑ; Ñ—[ô?O¢‡M·è[—‰èEéDôÆ䞸h:ËàäF‡AJ‚p|‘fœêBG„¯%1pF¨D‚F;!èIw +ú™ƒ7è”èS–ô˜*½É£=FÕ€We@ÿs}˜3³BzÄDÒ„kD"™\ùñÀ¡$« üžÁAš­ÊÞ Ð>2.&uCí…ê¥ÿ*(ÿjpC! ü´xq°x!øü§å?Ÿ×þó‰Îû¼ÁÔ}žpé>¿Þ¥ŠÏŸÿ•Òð„O5 êå~|(¹NÆ4|*0œ&ÛДdà,Âd0À’ÃŽ2l ßÛôÎsNÑó((õ¼j{>g!<ÿ„Ðáyn¾=*M<ŸY'ž¿AÏèçÙmãù3¥ñaÖ'„7ˆÎÿÀw:~¥ó‚V§ó.S'àFÏ ¸ÑóóøÑö•Œ…딆¨ˆ/¢£vÐS`‘H]ÛÒ/²5Z ˆÄÃp¢R9üú&æ-It¤ÍߨÕæ;‰€ó‰Çù„¨ä<ùj9ßB[Î{4Îã ó3FæüªÊœOÎk–ç½"ÁyÂùVÃyUâüéLq>Uð:ÿ+Ç=û_‘¸HwPmm¾Ž&9._¤”Í*Î]v!ë–`¯$…Ã÷O†DIFÐwBâmHÖÁ•Õ®ù:Þ6 ÄÍgBuó‡5Ýü·€7ÿ©bó˜±yF…Þ|LÒ›7?óæ»yÞü‹›7¿R1616ð‘lž”Ùl^”amdÉ8Ÿªˆ*˜gd‘?XˆBný¸ò€ÎH‘0oìuÚÎ7ý'´hųÃé.J +ðh3艼!ª„b7<“rÓ§æåÔ«y]âj~b9h>F-4Ïy¹æKqDóDó5 Ñ|ËÁ5º¸æ3ý¡yÖä¡ù0ÉÍ‹V‹æCëGóžò¤ùÍçÒ¼‡Óyì„©¤·Aã6Ðlœ§žT×G§]cÌ3˜v†Rv¥ºD7Èå8d “ÓªÐæ›ÑAže ñ%k"ᘔ? Ø!ß·È÷©óò=Äý|ßÚžï³éûÐ^úB(}‘¾OaÒ÷Þjôýë1ú…Ž¾é¢ï9 Ñ÷šŽê{ŒF yŒ¾a‚ͤ±f$@©ý@Ëžm®ë¬.•s¤Bšåä7\èÇÔœV•œú¸™ÂeC†þSæž ¢ˆÕ†R®JÔŒ/b­Ì£ÿ_*aß7VƒïÕñüþ›ßw$å÷?à”ßä÷åküþ/¶ïYßö}ɤ}ßÁµï+©žyɨ}/aoYå-–y”Î"lâÆ šÇ,ú—†ì8".ïÆÄ9d›fBÚi5iîýWhqÁžÚ9µØj©cËâTÌ…Ñ æÌ‹FæE‘<ó +J$ó& ’ùÝÃd~S¸d~aydþý™)ŽÌc©#ó(yMg¾™ÿ<åf˜Ä>’ +* +êƒdù“š‡ Ap*ÜÃîµy„iûÙ¤ûìḑ<¥$:#+Ň—¥B´ñ601RÐwɧºÝlÉ…ùð\æ=ë–yÄ«e~tü̳H`æ1˜y[Æ2Ïš™¼%:„ùŽéÅÞâß‹Ï÷–+À}Då`¾"Ê1Ow Ìÿ€zÁ~^þ…U\Á $ê®X±Lœ‰`’Q°ÂÙ‰f·pÊ XÔ"h¨ã4íçâ•^šõí0GŠžBû©$ƒOù¢R¥—;b3) #˜Vü/Lú(¡a:˜H›PàÁÁ-ˆí»<äeÿn’QµLÂ~€$ü‰?àú¸†r b÷“53jÝè>mî1XŸN€*¤ÈtøX•8ÑznÏ÷” &/GVú¸ÙbÄž2t[ß#DŒïÁ„ÿA¾¡føLÚ¤s+¤yX8Ø(gSE^WªÝçA.ó•²®Ò0äóo"›vÏrB¨_&“aÈv|Æ•¢ÄÌ-Ãæ(ê3‹ÕP‚6—,Zxc +‘òiàÎó¼@Ö-EË¿ÙßàmA µ¯ôCÍÔbšb…&44}„4/ð6„‘Ù8z 8p¬èÎ:±aŠ»_j˜nÿ‘×CR$¢ÙÁ'ÓÉ?°™h¬åÁ¿‹a‚™P2†›C‹}C¢ä†ËÄ‹GJ†0w]ö6 |¡„H2IÕ~Z÷†VÍ©ÄbìN˜{åV¤•T œH: \Š@¸`  §âYÖa,8îF´ëÕMXìë%$+݃[” ƒÓjªÆôÓ8 ü{U>d9êD9¸1,%‹PÄÈ@ñ㸀ÄgÒ=,ˆ†ÝožjUEàu1TP’âZwÕ¾^Êí…  L‹¡W©LÊþ™0B­­8ÒŸYD +ïK÷Zï¥{Z¢e•“e²"’BTj5hÉ7þyP%Ô±CL¤!ø²G/B6Å…&©`‹ ¹ÊK„Ò_øj [‹ˆ†TÝg\žp€W‰B}ì‰g=ÍÓ@r\µÃhè¿* ¬Ù9t" +$~@°7 Oc­ç'€òÄ +`mÀž·ÉŽâp oˆ½ÛÖgr2Š©–$v˜7N`Kg¼ËÖ@"^¹†,¬Ð¸lAK™‚È×,5 Šj@öübç§FéR»pP¨À„1¥‚oÿVÁŒÒ¬ç0wsÈ,ÅŽØ ° 7HÐEŠÚ$€záBýËíu Ä Yy99‘.e)ȱüÌ…` 묢!SÈ—¹”*ùš!‡I73E~JNÑêt„U 63¥†;5|lT -ÂBm]û·¦*Ö^KÕªcÇÒÚ,an˜ðÞÜÈ9á@SbŠËQ$ÇI<ß±Š…¸4ºRb´Yü†®{‘÷M¹Æ€²çDÙómù‰r5ò³2‡)JlMéÎL;cJ]¨x¡¢:¯oýŽY@:ìÄÒZˆáÖ´÷kÂÜE¬¸¸ˆTôr,‚òÂéÆ^–Â.¥½cw… +l)Ò÷c¸ÌÆd…PÄã"Ay¨c´»XǾjHàd¯0971Àbúà:pÄöj +Y@k…ÐÒ¬­Zi­éPÒË|6åm¹>ú‹jr‚É•¥pèã°Gõ*cMɽã°”ZbAR‚Œ +xÉ´åFÉÔÿ3íá2'®STݸ|vF]­‘!,¹ÕH6Ë·¼X¨—{p”÷8z¤¿§"'dî-…¦Ï;áŽ_ÒˆAmùÃœ:Ã!i!i×4Z7›S÷|™ðÿÒ¢£ÓÅ›#4ùŠ×Ãd_>ÐVü€¥æhŒJ‰òj´ÌdµfÍgnLqHÇ‹á2*„l×'9HE'mØÚaÄ-4‡‹hÏ‹ç53,þZ±ì,AÕiÞ¶©@‰ Q¡u AMwzBÖ0V•Ê«"­VÇi+ñGÉà- ) îäî`ºL(Þ%>A:ýM8‘©5+ "ൺ`oÛWbbG9F‰ÓhR„4½ØP>ãB9h’{ƒ5 x|iÜø˽뻵:~àpCVŽø6ñ‡›‹7žR Ù1€"îäÞK‚M:ùÖ)äÆe.šA7™*"HõÀJz¡…FçAXã>àáÒÀ1Ø{Ó ×êhOÉÊApÔ£s‘7Ž©H"d È*ú"§Ð Š6œ.y +Üò‰&DÁ&—Tä5‘œÊc_¬*‹ T^jó/0†÷e~@¦¨ä Œ”]” ‰Å‹Î@y„B;òY@]®ÌÜsŠ5’ÉäOóä5™W•B!ˆ©µò¬x¯*ç'âR•—°D˜.4[aPæEh;šÖ!ÕlRŽÆ‹Sª„VOˆ•)AœÌ¥meg¤ÑéW‰)á¦/ÒB”—V˜.êÅ[`8¶óeô.Ói°Š¶ñ˜VÍ&ƒ:/œÉÀí@F ½s¾Ü³0}Ð: >ô*»Aòê"†~ þôZD˜©µ|—RzÚ¬£àE<."¦² Va‰ZcíšøÕZ¹œá-Ë܃Ù$ôóºF}jÏÝ  …|J&q©VÂKi…\ÓjDë¦ÓHËJ¦ z¡¨“µ7P›×J‘²èO%éJóÊ!zV•_-YìTª¯]~õžþR=èÅ­¾öÜêCnWý)ì¨þÒ˜¨^¹Vö%`w£ •|PZ[ZiNÿìC}Ë >RH^•Q§w5N§ßtN¯ðžÓÓ‹®†*óA`˜Htiäk + W2&6æðjÅv6ržäkéEµPú—^QÂ¥MDéM/]ú2—¾p:”þD´-ýG±¥g)D‘6¢1ÕOQ´_`¼0Q9E,Fûl€:+k Í-ìˆAQ ‰^ûV¢Ç`‚ÑÇ›7zŒëFßQÉÑ/ãèÕ‘8ú]GŸÒ„£Ùáè5Þ+)–#ÁÄ Á¤‰ÖyƒW˜6 +ó°gîú¢WCÚ%2J àUúÌýàþÅ +ÇÐSV1ô\ ½˜JCïzÓЋBhè/4ô9‰†þvÙŸó%Bèü€YnD«…2-R§ƒ¥ P,Œrý˜@­)"’›‘PQZ Pç™Mš¯o-¸ÏÛÜøùï[?OB ŸwÐöç[Lýùnðø|Šáøüp~åó‘ åó +—òyú£|Þ u>ÿš\Sé›9¬»=*«U@èØÎE º.›E3Kß èn@Q¦Yüé¤:ˆPg¸ØŸ{ÞäÉxÞ0‡<ÿi”ç=¸Ëó Ï6ð¦Kx¬žT +Þ‡ßÐÓ?äéÀ¿q:ðí< +Æ_n6«xÑã$øÕú˜ü©'Ÿp7¸JjIÂ@Ç—ö™Ï1ƒX­q* +Ÿæ˜“ÄÊAw¾óïο#Iç`i:ÙE7p¢Î‡óg}u>¹:_¿®Îö½ógeïBÆèy¯0z~kYÏg§ÁóZ!÷<¸M2,Õµöǧ Àö‹íãÙ$ I©RTzw¥å V¸†öŠ@,sÉ@¢RÌùÊls5œO™ÎKÎÓç9‰ó¨•ÅyMgÅyGºâüB*ίçÅŠó]Ëwþ‹|ç3‘×yÏ1;¯KÞγ tç}¸’ÞѳҔAið÷Tt›ÿb·yýÄmeá60!7_Š´›M6_:µ +¶ò-äc)i5ÖÆ{ ˆ¨¸|‘üKXbh)ÿð ôª‰7N=,…89.õ‚šwSóšªÔ¼æÔj¡µš_ƒæY¸¬ù « ù»Pм¬Š5*bÍÿ@‡¥©ÕüHD5ê`Íw^̓ñK0"#«ì 1iŸ¡»PD½ßËX8D…Éû”—Ca’ŽðGƒÝKZçíZ %6÷Á}àT\ûêtRÀÈ÷Øäò½¥aùÞ ©|zÏ÷©´óýò*$ßšä{M“ßrß›°Å÷žŽâ{ÍETð´< ”šý€§…9ý’m®•©ñ>±‘ƒ-ã# +l,œî·ROŠ–XU£ó ?ÃjÅ#é±1±çÅX(X”ÍMKþ÷,ù¿÷2øýý¾éÁ÷?ÐU¼ïM©î{ŠÚ}_g¸ïYÑ•y°³g³Ù‚_‰ Œ7uAðA*‚L§² 0«œ…eÖ—ù9'_ÒD˜×é+“k0@UTØV\]IkL—óñÙ¤êdX*°XïAðÈ"…"PÐU@¼°CM¸.dÔ¡Nì(¨Ì£*IæwN’yÈ*’ùŒd^+@2?’à™—p¨Ø׆´îæŒ]¼ ~çÁb?Ъ?9v’iú$x¶ÁX¨ óBÍ_ÁP¦‰¤ì „!  µ¶>D3D»²`¸_ç¢>àj“±Ûöb{KJ8‚(t Ù Ñ®žT¢ pCŒ(¾”ŸÍÅë‚ÍÅã#Eì_Ptñ„~¦Ô„"¨ TSüÐ +#Xº4#W϶—•íRùæìþ 1»¿æ…š¾eõ5Ê‹i—‡Gs?pÊE~D‡ñq(5G(@íÔyxõ%¦üÃŒ7‹&ê­Õ®b*àƒnÌ…þ0`î–R+æeÔŠåÝCÄ‹1ÇŠö)V€g˜Í‹Êä]þ¸Tjürþ¦Ïuª¨¹J¸BC=p…&‚A"SvßV™=­Ëw 2;(°å2À‘«›2õ $èá56ÖÇ©˜ÝØÜ(>‰ ·ŒqªÎüe Jb&Å{Úh÷Á#Šà²g-ÿëÐPY6á<2Š,ydZþ|4þŠv4¾&¥‰Úšü3" µ¤Û@6ëMÐÑŸíX*Ç™8æB“‡ÛÔßaf¦ÃXt‚— ]êçÙ'0C±Y:Pi +_H+Ã¥r\ŒáÕ‚MœÜÛ]«mêÚbÑŠX™[ÆPÈöS¡6ªPó¾*Ô4%T¨!ºR¡‘4C¤í£Ñ`ëŒX½áÇÍ€׆‹ß‘*Q¡ã&‚L'xT^ë°W¼Ó9º<Ò9’ >ƒ¯5èÈÇ!0NðBž¦K¦œC¾~Æ=A„JÝ{·Yû£^Êø‚Ä"…AahaBz#q+›D­c倰£°q‘™epa?›×ŽŸCÊWϘwŽ^NðN +݈:,L7ym‚·Ø:—WØ´í@MH$±Õ¯ mÈ'hYRßÎj Š$KÒŠ06)±!‘ôŠ<ãI‹¦Þò+ê…IUãqw™…P9RD†!‰°#êçÒ>`ÌæuÇ%x1]<ÐüÆPñ­Ìî©«Š|Ð͘PSúaL…ÔçX`LNMðõ÷žÎ"7PüŽ±ÅŒaz£+¦éq•v:#Š• $MˆËSgñšªµ”œº âH7n2`ÍÑ »†Gj=!̶T$ ŧm(§Sñ·bð$5&H}?p@ùÔGš)Ô7K¼ Œt?#Ø­õ"Lq<£°3lQÒi@"cE ÇYSéŒ0…5ë"×çKÑð¸”ùšÛË ˜Ø ²ç ­Î]ÁA¡?°ü¨¾ÎÜ^5²iIu½ðü>â…äð.Ø»n–½ð4ï09œò’jÍÄ¡ú€ÄBÍy£kݼñBËôˆa:#ªúü¥‰†üCË ¹”ùšUI7Ÿ=kNhuÊ/EáI…² ÍLÓGÆ”’µ`TÁº2R‘´vœG‡í%Ìå4åÙ6¿µÊ6ä&(Çñ¡S4%Z‹‹ÖØ(ûú ?/oZ·bÙõWéè¯ò䨯i²àS‚¡tó`“ìif¤Õù%_Š² ZJwê³Q½ìðBì®oÅZ{ý«cmOJ³t¥µLih_L s[Rè媬Žþ¦#–©ÝÞñ9*m¹ö4v:×s‡í!UˆEÊàZî¯ÁJȨêüI»AÎ  Íô:+ÐL£}§ßå1¥!uÕˆ(3R®\Ó´:+Íb¹Jk[ +‡¦=Á˜;r÷ã~ï«)kÊ{A9–09"fi½ª‹Â̲iˇ‰¢c0´¶x–nŽ°A‡ÉƱW·èþNQB©G†: ò1DÛÊ{g<Š´tØUëÒZŽˆ5´-Ñ´Þ“ÂÜÐhÆ®$Tz¹v"Ay€pz,å:+Bè*à0§òfŒ‰Þ;v…t±S©l¸x–²—†ø7G@bUiãѧˆ¸ßÀ¸|–ò'S¦Ëš÷RDDºÀ¼¨‘ÛÑ ¢!ÒÒ8œÎߌ±Q|Ǧù%2bë“ñ’ ’6.%íðæ ÍA]„°ð O5Nb¢¤`Œ" ¨[€NßÀø•Wc“x¬$šAV«K–¾[§|äFĘz«3üÀ®0 ‡û-asë±/³§‚^óžqtºŠsGòBë +c‚ª!õ¶‡”˜H + +"> è…{ðh õ`Ð0Ì7‚åÕð¬ÕñßáBÑ#ˆŽÂ|Ϥ—IIštˆÝ›v½n»ÅPLö)Ñù õ)æºR¨_H/>Hcüâ`–¥piœ"þ½GŠ~{j}ul‘•Ó8ñH=Þ¸£‰ÆãóEêÆ1L.š„`™`ÕyMÎ’i­˜ç§¼ü@'ÓNZ2å~Eë`}”•cCQñ„6o¼M"„°'F”Eƒ"¢§ÓåÞFîäÚF`6lâê°—É°.ùk’Ò ŠIsZ+£ µxL ò’AqD ååí ¤c;šFùÀ8 Hé$TÔGt¦)’} ?°4#ˆ'WyMR›•Bù8μb) ü4Öª|äWë‘iW Ëê#’ÊêWŽiõ,Nkõ¹†´úpCšÕg­ÈêE¡¼úŠE]=xšK?Y¹ÀYNÄó«žÀ … ÔÏŽzn{ ¾“9P?ÿõ±z™zIn@=b3 Þ•ˆài~µáœqç7ÙÒŽñ΄¼œ-µˆ¸Tû¢ñ¡‰9ݨô.@ªô:éUúɉ3}‹ÚLïýfúŽ©™ž‘h•Þ=±J/Ö¬Òg/ªfQò½*«dûv74`gT>"jÅ¿|ÅjZ6™\ôIÙ£OUÑ“,•Ñ7@Ñ“!ÎèQ›Ñÿ%$þPF_šD£ÏbÑèW¯ÐèKÌè;Mšð †  „Z·œ™=)]|¿§>‹we%%üCø°`„ÎB?¾èÐkòFè Iè9‘&ô¡–(ô·( +ý‹§B?ØQ¡oÑšÍ)ôÇ)ô?)8TWØ|BOõBÆÁ-íÔD^æ¸BRl%LÒºÔÅ«’x- B+“^¡âÀû´lòùIhôyV¤úü«åAŸé<Ð×qúÃ> IÐHFÐoÈô‘ú{"‚~ñXAWÐËåZ(åWè„KœE@DãÈÐK‘NoøMŒQš–“Ê`gt“oÌ×b.Õè›ÐStàŸ0ðãkø”ØxI,øBE3ð? +4¿#2Ra¾Ò |ã  V˜TY Qih(UsY<Æ2’HØÅ%È[ÆèÅaªTQÎ)ÚÝ¥À^è­ +({øæ€Ñ«éÏR9hLðXøÈ÷æû‘Ã|:Y¾_`–ïS¶ò½ ¢ühŒ”MŠ Ph— þCEj û5ËÜ$Óž*C¹æóÿÀˆãž_tú§/:áfÊfNçYÍä#Þ-¾Ô)šæí°ŸP»âdð&0r 2>³Ûɖڠ·ýØxs 4A@ž4Að¡'4ÊAÀR0—@ËàÀwfñ£š1$ +HV83©,mùóÓñ3™øûÙ5”‹3j#Qk +=gÊ8Ï…~p {â¸JšùsG¦ ~g'—4ˆ»£×•êª %”\ ¡­q,¯ÒìtDX˜éaaø•f„ ɺ÷ÊNù1ãêþŽ”cÔ~@. FmxZ(ÒGÚoˆàæ*(ì-5PØ©…m!×Ks¡Ó`èH’w 2eR ÖyÑXž®‚ÓšŽ2,±iµ¤*·GØØgJ†a°?@°| ÅëB!‹Ëô¸åþkˆ`~”ä5D4¹,¶Lß³ÁQK¡ê…–y-#ÍÁÚ¿m®,@[[uÜø1šëN„ç'­cZg"=r÷£Ó€y¡»x" Cä‘;|epUŒéšZ$µëXÁN<ù urW1dˆ2WY¶ÁDÌ(#éÛÆHs3r¡­Ä…öy«0Ò +.æ۾˫ÞV_£ã8ÒÌAØXëêÝ"w„Ê)Óó¾pQ)Ä–ïžh§œª×Nõh×´‡G@ðè ´°j;û %Ø1Þ <Á6 †œè¸;&•"ŠÃ5ôËÌÁqÜq:ˆvEuÌÜabâp"&Ë^c2]¨{aoBiX<ºƒ·ÂdÀ\ZƒóR?QF¾Oh¨>O`ËLœÀ”é5ÂÛ E|Pª¹ÃÌßXÑ3U×Ír* Â²›1‚á…mLùñAo=jC,ʳÂâ9\ö ¦ö’ÀÃ`0سC‹ËC{;À°EkPjˆÔï¢ "ßÃD9Á:×`L+ÈpMEŒ°ô¥0ô< ±ó›HÄHë`ü×Hå[&Ç\éì/÷L©=µá‘ŽÈǯÆe´£¾ä†l¸œÙ·£VýÑž¸H­|Ã… +§cG9±ªòÙ!Ÿá«¨ßM“†ªïûÛ°;[£%CUÈ"…ÌŠ”Æ'$6J—7ÖŸÄ3ôÕF²ï@¢OVŽ®P~¹Ä›Û‚õšÚÞMOi°NÁ+”BµO®`Å›ÛÖD¾Þ°<žÐ!Û *10´¾ ¶g¸ S–ïA™éFF‘¨/P¤-'Pär(~4ZB¼½ˆ7¾Ž=¦glA8rD(d%Æ…Çe~*½ùŒÉüšuäïfAVÙó•S«3-½%;GÃO3SïË˜Ò ­ŒÔ­1­Þol-ÒïŠÊ"0Í}¢‰ßÎÁcÙP+$4³³5H9•<ÚƒÌà°Pßʤâ³r¡ú¬4n\’Ü6.sÐêURô5˃bþÃÈž+p´:EƒÝx<(´‚1Ü)Ä¡1¥·^¨ „e¤Î×WHiöØJkÑBCÓ–‰ÄÅ%7ý%½‡S½cæ³åxµÐ›‰Ú¨}³Y¹Ü¡ Bá,cÑ‘(4zéhÈåä§Õi±É=`ÆõŽzN!úxMßiaÅ1¥f^X¨[Š©®îµ×Ô«Õ±¤¤Ãz@)­Õ€nM[¹˜0W¢Q\ÜG.z¹ŒAyí|c s®YdÃ(–X€EÓ– áP ã—þGîIÕQ)¡0í˜Üð©#òøä’(¢BŠ¥JdLÙ^'_´šû«c[¦K³œŠ¤Ã>ÔÔÐZ'ÌU#dìŠ5éår°MykÇ~@?9+BjðS Ä `Ñ÷füá(¦Xãj‰‹ 2*H¾$㤠÷Yk Äæ53BnŽHxçmß ¤¸œÌ¬aJ9,Çk^‘ Ÿå.LT€ô¼Ì.)ãü€ÿæûš åûÚ +åó‘þ¶¾:'|:[ +Kh +VŒðËÞ%ÚT>*œžÕ'‹›HlHÚ°UV ‡×„Í,¼‹gÎyÍ?@º :Dysç²âuÆä0Ù´Eéî|‘}BÂ&!µ¬¬‚ÖТêÓ¥ñ¢0²-£Â¡ÀÚòø‰€äðòIL@;lD +ÍaÂA\fÇd{i»6PèÃŒ±ˆx¶¬oÝâõ*KPõ)§·MÎ(1ÎQ‚“C[‘Õ½p%4cÅÄ †¥Ü'B¥7$B~ ÍŒñ ®)tõè4"—sÄúðzà÷Ò~u"&…Ÿ‹Dˆø›xR\â^üÀ$þh H|Ð0nvƒ5o|iÔï˽ïD¿ãWÍmlâÔ ÞøH7€"]Ç¢IVûÔVÜ£HQkHzÁa…‡400ðƒkÌœáÒh[Ê=röÕÑMò•CÐ@ñÇÎ8•xª@Þ8À$BH{ %:;Â)Ì ¦ðé¼’ðÉ@lr;T.Åv¬ƒc„Zîfã‹fÐM$Äý-ÈôÆ»ï$BP™ÑùŽ\ƒ"ùÇѹb¥Ñ$¬‘¼;Sí³äz`œ Wr;•ÐË=’C¦å«Zô”ý*u2-êä³WÈLtŠB“æ3C¡-D*/«…mºèy„á°ßÎTFL§‰€oGs{º¼ù…Á8ˆLs›ãʼn·‘ÒyÇ/÷°,‡Ð8»AäÂ…BÔ­‘<±~•°ï ›tõõ8±1u +)º•žg—–Ï~ÀL šžÏÓêYŠ•G PŸí¤Ý —'#†Z¤Ê'B…XPfó(¦ +¨å¹)ÀO?àH÷JA?>Õ¼ Í+ÂÝiX)^xµF\®+Ï#s;Oª!e*òR!@Šé,Ð +àPVõ'ÃOñ#FXÅ«B15ÖêÈ¥ŠK%4®Î9a l°~Ã2X?rÖor„õ9ÁúšŽY?BPÖ³<ÖƒTÕüç¨Ä„Áð\HqXš˜‰AÐ0Sï°”©G;dê ¥S{ÖÔ¯'5õ SïA³Ôã,õô8B½ê1pSÝòIŒ÷®Ü ÚÇYFôT¦r×tâBiR›¾^8Lß%(¦1&¦G($¦óÜô¨QÄô/bzDbúÕ…›þÄHlú/‚:GSÒ^'…ÿ9TåÊEÄó+„\°ó–þÅrBµ  ÖÄ*WQ΃Gz¦$½‹Ð’þRHÛ2é3H‚ô MúÇÀ&=¬¨I?¾¤ÇÒ·Ê“ô«à0Û#yÓƒÿbM¹Ž4Ó_Š‚V£‚Ñè½ZW,¦`âÀ$M‡ösêé +ý;ÙDrEÑ߯*ú@±èYXô˜Bô¶ˆ½šß¢ç¶[ô¬¼!zÛ½'›EŸ8ˆž‚‰8¢‡$ZÑçðl@øÿÀh‚RmzJóx,@Kœ=HkÅ,“ITªÞ=&‚~ÌUЃèôô¡ý÷p€ÞÄ¡€>ò‾ðr@/n6 ÷* ô¦Ðô?°9Œ@O G ‡¸D wœD WdD GDT â·AÄ‹„é ÛÈå­¶â7:$L–|TÔú"Q¥–UhžÏÀsBO˜èŸþç?/ðóŒ4ý|+A?ß Ðϳ„σ8Âç+™÷óùý|¢¤?Ok4ªñùVÓøàR@ e7@ +Ý,/ÒöFíêº:âX~ߧ-¿ÛÄfq£¹E¥æ•© ÏëYîyŒšñ|úy~QžÿŒÁåy„d÷ü)Ø>«µPý×Ôà!Úø–MÍ-xq¯¾Œ9A+n–L>q±‹ŠÎ²¦ ˆÁxŒ?àÂNœT Vëë1 sy‚=ت•eâƒ%¹;€ t>·L:?қΧòQç)ªÎËœªó¢Â{~?½:/’_·è®Î7nWç õlž'!›çEÇèù/Áz¾ƒ › d!o†¾"©»b§ØêÍ€"‹ª Bå.f–ÌJ-láX1 ø­éŠ™"Øq.~ÀSÛ¡Æçâü-‚8?Ø8OÚ8tο‘ç;=ë¼JÎ:ßAaéAÐy1t~ð×N^çµÀñ„{a?Ðm©È/u‚i#|ºãÙ ƒN„‘‘þæÔݲŠ\Ç^ÃHR@Ì!ÆAâ™mcòŒ ÍzéÜŸIÆùÌœq>ÑÁ8¿Aœa<Îß®’ó?°–%çÿMr@9Ÿ±GÎ;üÈùY"r¾UEΗ®ó^&ä|¦9/˜x_ PÉ ;ÔŸ”'M\mu× +Dø«ÙËCÌ#¨ü°ü›ê +ulü V·«`(Cà¬àY Ù]Vâ"¾2ŽLyؼD7l~Ž ›„òæ9„Äæ{óç¨Þ¼ëÍpÄæ)bóŒMÇàå‚®ñì‰?P~ÕE08™ÓJ& +öªh;¶o2yæ¦æC€Oma$ ͺ¥1ç òùñ¶•ºY¸>Y\Žµh®[•K}{\\¡=?@AmS4_È4ÿJ•4Ÿ”4@Iš75µÆ R.:†‹àbX¹˜cC)"°f/d˳³`Xeß Gÿ?EÐÿò¨¿…9QŸ•bMÚ~ éî8 æ?´õ¦ÂÛòJçTA9»øX4µv³ù‚ÇM¤4¼«×LÏ"k/$s¹À ã|Oº’·¬  &Ñl›iô%ÈÚó%àÌ—€Q*M°Uü:ö×ì$¾T™FC¢ÿ‘’è§S†Ç+šÓ߸GIó-€pk…6ÅÆbÜN)ÈÞÏaý3[º8bŒÁ¼'v4Ê>îKuµñ„QI¶´l;Ñ(-¯á;rì«K]:ÿ¥Ñ:Â$Qeœ„d¡PD•9ªó»µ÷ø[§{|Ô:!µ‰ÅÐ 6XÒê ,in¨Ã’Ø~ ,¸BG’ InÏ@®ky M·çÂ@äè¢ñÝS™(y:A˜ì'ϯ>àZ±wˆÀ +š@8 F#Í1Žˆ1#?`‰`Ú-&H $i´H o+ í˜HZ÷ +ÃM¥H$6ÎëÚòËËã4ËÊÝa>ÏZ‹û¹ÐÚþ€W›äêIásF«Ü#–cƒaå!…MÍ„e  ÞyO%fBËk,ÆÑûÀ_ SäR2yœ…s‡¶{5[i¢À­4’‰ ?B,ÊxÜç<Ü°8÷Ö`“¡£`ræ54Ø›íÔÅsGÏÐŒrãàký,Ä/v¶²,*gár>ÔÛ5©ÛÌ›^'ÎŽ*d\Ä›`d_‚êi$Šb‰DâGßÈÑŸÏ(H])XdZ‰iëËü/´ñ´µ'™Ý‚Cò&öPH¦››Ûi™1‰Á5xz@nMœ'‡‚ Ž‚Bºú`xùZå`õ]èkÒä¢mX†_d7ÂH!^¡ø¸ +Ñr’ˆÄ‡¬Ç„ÂþŒÄ‘„²›Is9¤‰µ +e¸J3e‡BLmÕp™š{FÐŽ{•Ô”Ýè–¶@^B„õIÅžÕ08´<&ŒàX4ñ¦¦©„Ð{9h¯mDŸ +CáøU!nR­P´lZ¡x8IJ¡×ŒB‘£bÀ‰.€Ž…õ3î^t KCvBY!h™òÁ­sñUÑ2]æDw{º­u¦YEɈ@…‚‘Š#pr† +Jö|W‚×ñrøÐŽòFÔls +B·õ'mH©Áœ†;¸[öIä‹ŽP©|ìCzbÏÄ€žù4r 3´µ!A +ð— „)å'0ÉLR1³Êj7W†ZrŽÀëäø‚VHy +ÍI¨#mHj€Ôf|Fõ€hI*L€kKHCëy‚h–$p ­z1î¤8×"ÄYh2Ó¡1 ÀÐq[àI‹P¯`nv žC +Ú&‹Õ^B({j(.ö´IöÜ2z~Ž\*ëÌëŽÎz—BÑ{r§ÝØ2¥?àZÙ õtÔÐíÚ+gÑêXK¬Ò¬$Nií#5 -c¼5­=Š±{E/7”×5 9¥-(Ø]Åc!†EªËÌ•Ž\ëÑ!"*\š—Öø^ öñj( Edvr¡(懘ÁÞ¨’jz¡‚\z¡â—y¡ŽÍH]`àº!Àöêhí‹¢+­ý´‡¦ýú»ûgqqUœï}K‘šòŠ&Kè49á2,…+ +ŽÌ¯2v(ZbañH}r=1uº40h5{éDI늌"%B €£¥i¹îîU—ôÜ:’8ÆD, +K6oZ ‰¦õ´rMû†• +s=ÓqqSÓËÕ€âû>H!ý¥!tNxZ +£m‹'áŽ;®bŠ?Ç. +¯=2*èµe•?uSj¤ ¢ÔH;tjÍ!Ã:\f‹‡ÑiIª£õä‹ó¶#z RäsAÃmêýf­nΕÿ“ŸK[)t2‰LãTH<fÂ.ØJ»‘oÆ]‡1Å,Ž»(|Üɨ@ÑT[¾Q¨ÎðˆN£c5¡áð^Bؼ^èËlâ×<æ2…þr‹Ø„-v´v=V¥½jE}¨sžâ§70ÆÈôŒ³>‘ËÜíÃHÎŽí\áp8J|„ÉÔÌà¸$ ¯‰ôào‘^$`‘~ña¥'MAéY¶WzM¨+ý,®ôn„+=¨¹Hÿ;¤w)<¤W­U+Å|ì[ÌNŒ3ðýüù¶çÒ¼~ ZÎ&#Ç(q-gA=glÉf#z:܈>ÃF¢Ç¼R¢?Œ~ôú}‰Á>m£Ÿ×qô§Fý–Š£gþèð?úýGß[¾%ZöŒB"‰^³Ðg,´^?u®(.ct¯|=PnóUPL*–òÍl•%jÿ(´ŠC-}+ª@ÿ¯Õ‡²u‚š }g²†¾ñ*„þ5BÄ¡Ñvè2;ôžMz £=¥P‡>WëÐ;¸:ô +bár„¯\ØX§ë{`ƒKA ܧeu,a âŒ7$ÉÚ(ÛA«M¤ Ôv?/²óçW8ãóŠ6ÿÏÊ„>oA>HQŸO1§Ïÿ€68}~µÙ?j÷Ï_\z£¡~¡Ð@_Ãè ¢k"èi‘Á€5$ʗجÉu"zJµ{øÙ4MNæU žiáj@ÔÀrÒ©0Õ`x˜oà5:ð$€sà“wà=Ð<ð&¬1ðù+ø‚$xQ¼oD`øM3ð9Œx††xztxC4ð?ç woÔÀ£/jà[ì”±‚ås¿H<¶þ¨=ŽH|(/Õ 3›$£¦kÄYÃíÑà‡Ë§“ ¶Odgpû?z^O‰ž÷VÏÿü<Ÿ™±çAvíùáy—sxÞSÜžo¹ž5ž¯t›áù8•xžÍ$žGS¹çK’ÆógÌÉ°DÙ³÷ñIit‚Ntø…„ù~`ãrœ#€)~ÌLãNTó¼ˆÜƒ v p3i.š›ÍUâí<áí<¦4wž¥:ïê,:¿ÙiÒùÿÂ;Ràÿ†w>§<:¯`—wGçYŒ£ó%ÂÑù°0{qƒj£©R2×Qm­ îàPŽ*3_–¦˜€A1SÂaXqyqÒsij)Ô?pÁeUÑqY`T +³Géc8R]8?™/œGS çY±‡óH‡ót‰ÃùRäpÞãΓ° ç1¹†ó¸æx´|œµZ0³2"` ã³`?ða¸I怑ïÅkc;á¬ó +Œóõ14“r¼pvÇ,Ù,«b˜tKÆÇ„ü“zHHÞ®Ü"s‘ù@ï}ðïL⃇|ñÁ›»€ó?€Ú=ç+„÷àiQ+”¥Z`#”Çä’€uÄÒŽå ¬Íw,Éï…•½à+ý(‹„üCBþ`C2µŽ„hÝ› q¤  ‰.FYá: ýÒá«Ktƒ\,¼1køÜ7$Ýíü™–Ú¹5“P ©6…ëŽM"¿‚µ÷æÅô…æÅ6,ÂŽ%N›©Sžm¨"ý©L"ýƒÿ˜¼^¨Ï¸”¦6Û[#°%“¦‚Ḭx± H—ÑÆ…^çŃÍ¿ås9€˜™œI Km¢áËŠÜóëý„§éiˆó>ªŒ è–Œ1U¢‚ú"ÿ•Oÿ¡óÒ/`qé÷Œ-þŽõÛ–ªÔ8xpk+mÒ> +Š¸UJ]±9tu´!.‰‹¡š[YâJðíºÔ9ÝxÛªyœybƒO+sPmG£¡¹³3Ò"±RŽ‡•ØÓjrÞM…G +§Ù~sìÊXm·6ªpxƒ¯!Aˤ1 âÆQG“V憖y¥†öŸ73i7·—t‰6/2~\+\Ô\ˆ“ùp˜aîpÔÁéÀ÷ #MöÞ‹œñÒ;#Œ±Š0¤§eÐÆÉ7x¡ÉjR¦éËéàp“$Ú(}o}.§Lfd=¿¢ÂR#ÎËIÑÈŸFdĹËJÊI'®y®“@k.Q 8-N ¸P^Öžq¸Fds÷•PNçbœ +^iÀe¼MÉ ü@Þóz÷*v£–CQ†SÌ ”Zñ N.û}¸!& ÿŠ%bKHY ã‘<§à}<‡ï1T§ë.§#é‚—Ç)ŒWÀt‚Ùr*ˆµNˆ´ý:œ#2š,£ø ª%‰_W G•™¬§[hí’J«Drv\^Òa½€†´ØЩ)!±eׇ´H¤:™ã"áJf@OJ¬×~¤1´Fcj<xeðaue°zöÁLrýf/ÂúLG(ÿÀƒ€ªÐÄ EŽÖbÎ Œ£È]"Âò‰q]‚c 7ìuCËM†0m(ÃÏéO”4I¥s ŠXÑy;£V$þÕy:LÇ*—Í°Y«¸©Qþ(F¢ +>‹TÜÁ÷||\=ÊæËáͽPêoÔÀD +KSMú›D– ÚC–0„,Aí유Q¸>}ÞŒ03ŽàS¬0´‹‚!e}ò;â 9ÍP¡ÌÀ,’vèX¶æðY]<¿FóenþK§PžEF”"ôR•6§#‰Ìjƒ™kl ++ýFá˜Ô#}‘Ñ%f&¯:/vƒtØH2(¤ãEá¼ø2*\\Ÿ¬°¶¬r«ÎÀe«Ð¢¿Ð2-ÄeÎ9ž×ܘ(´ÁXı¬…† ­ß†U³ô¶ÑJLŒÆ(1P„¶b{xôâÍÀhAqCEÊõå•øà¦G”wr¬óžB) ¬ 4‹‚åÁ¼(—Ò@þ^Ú3{tz’s„ËfVÑðú>ÀzÛ­‹JL˜nÇ(²G[¡y E…ýè…äýhŒ‡Étƒ5i|iÐé˽巵:ܲr¤ìz¯Â„ ž/ÂQàN¾aêeòë@Áˆ¶)¸]îÁ½†F TJa`Œ|õ)fj+Hñ©ˆôrŠ4¢EknF‹¤"ójx2rcë¾:ö3_9V'Š?Nç©Ä€’7 +I"¤CÚ#H†ìì%ät9„Äh’ÆIÁ&õyMä`­°•ˆQ7}Ö^¥ƒhù”Ó ¬DùЗF¦ÅXÇhpîÐ$,+‡-Úч§«Jüäž7¾y™DHn}‘ÅŠîÎ_Z£IT¬‘”¬›„l{™x,Ë¥hfJ¡LÔZÙËƪÂr(Ë ¦M—‘,0?Щ+Æ2çÚ&¢8ÁGÆÖ;w˜)AŽÔi…@ˆ Ouu'•Y"æáà†cóelG Ê̎׬‘!mC€¨š IÁ´2ØxÐÏÆ‚M —.ùD-ôÖH'yp)™8X0¨¦ö”Ì Œ*û´æ1¸h›ØÒj6h#½p>åv²²¡wt.Ì=(Aý\3êrýÄqaÄÐd„QÈ2`A¢É‰vF©!9ö«¤`̺‰ÆxüTˆˆ)sŽR"fÑ­:ÏGfmÆýšC˜@îI…ôæuú<Ê]•LRt¬dÐÅKéE‰\S:Bè&´å Hƒž¸ †Ÿ²‹¥D½,­ Õ²°©”ÿT¤H R8 µ)uàÖPNŠ,tí\"›5£°ÅíS(Θ(H•ûG·ºYcg}VŒ©±V ùÀ­rxµÈÄÆÕ?DÉ!ØBLÔúÕŠi½eqi} ±´{8Z¿‰Ð­¯;nëËlý‹åZ_ªüÀþ˜Gc_£Ñ–Ç8€€ð;bÖutŠ »ê|pè7ªù‘ê‘êM£z”xQý@̇ê[Bõÿñª¾¤rU_Y;Õ­Æ5PO±±’ô¸h¯.Þ^âÐÅ\Ó‰'G`»ÖhHöºÃ0Kéô"Aëô²ftú-$:½ uúÚõ:ýøm4žÓß çô²ä>=«¾ã©È­Ö‘¹E; oË'i&dl$†Iw¿~*/éE™âWþ %}“k(=Ƥ(}»”^Çॷ”,¥W•Òo[¥ô&ºSzJˆSúú䔞ÍHJ/ºŒÒsØ¡ô[úVcü”»•°ŠêCrÙËA©h^%#½Tß +á¡€(L¬^\$“+J]p¡5Aˆ°0zÇ&=ZªGoÊ8Fÿ0QF¯úÎèà5rFïIlFÿPF/ˣћ(Ñè7:3z×—½ +=@2z='ÆxêèClÈN%œ¢¹HS7ððØH«,gÒINqEµˆBŽM+ÎéðR_…‰8¨¤©áDb¢``X „¢`0ÆÃrë cÈD +€@ Ét`þ€–vL\BF2t‚Òc €qþó ú÷Ó]n–[ÅNòÖôP@ßÞ­(–¢õÆH#!†‘Q´ïœ¡ÍÀ°%Ò²o¤ÅZWÓ ,ÒS£³“öµFÖ>nžôb~ÏŽ²‘ã¡@:ž‰µ3 |¸ò®]'½Ý•Gvò—ÿŸ“Þ«©¹,?J›i>¬`æ_{b-w§uˆàwó¢§FMQO_Vº™·°b0¡ß÷,e%%°™×„¼ã6ÜûÇH[½ù‹–¾¯w·nÊâ­‚>`ùÑìEó†Yj"q÷o§û‘=åð"–hcåÀÝË|ù :Wþ9~!’µt²çðûÐ •ûjÜï?ÍR+ú)*Ävvh|øñè_Ó¿©þ6û ñÅ ‹\ ¾(Ä÷UÕÒ Šþ¾+Y?ŒSâ45 ^ cb¼_BÒÃÏ/nP‚ç}77ôqž7ÇUó‘fÊ뫶ÞÆÖÖëJ:©›K5©%V,Ýt¦vì+Õt¦V¬YºéLíØ[ªéL-cÝL-Kw¦–ùÔ %ŸÎÔŒemš3µ545†ã´,°¶—ÁÖ¦XKÔç×X B@7­Zlºó¦U³r«nZµè^™ý|Së(}:S;6*át¦†ØÁœÆJÍi7Þ-V®½ ì{ë¸Îçíëw/m.nOÕ‚ý°ø!éw)·”€\ÑÜSE61a)³[X¿ílo¿W Xêz•p\œÊÁ-Ù`ÅM´ñ­µ`NK¤·.ˆp—¹çK(T}å zÐ¥à`+®!NÔ!جÛBÏEÏVoSý%BWó j°ù’´ 4@‘°åaš”ÂHžÆŽ4˜R#ZˆÆ/­`‡–KŠ70¼´û¡ö ŒöðnÝD¨9MŠÀ ¼æx©9kÐm!-0,“À:d†[ÚMoË*[÷¬îÔ¤r¸à1Ôa6ÿ¨a\®šK+¯ÚÒŒ è—]¬z3!`eÑæŸ:ñlLªCPý”¼yè*…X€ÊËýªe†rÞ”‰}•Ô°‚4 Î#QM8YíôU-·@`­ƒDÉ/Z2Ñ”é]5@µnämVIù¬tÊ´öU:£OÂM ;ã$JKy‡5〓: §s¥ÄËz@¬KdÔ(<[Z `š~Õë·ÁÅï‚÷-%n=Ñl‰L •Úê‹}æA 17G¹öü®§ÉƒotP{¢5¸_TMs1ÚÉìÕIx.”æ¼ÔÙeñf± +ê¨ÁàÒØ2€©5-ØÑÝàóp‰£·¥¨úëÆö¶¦-ëö#ùñéѼA:8ð%L‚GÂvk¥Ð†˜|Ñr¬¡ K|§F9ž¯õ¶^ ÆD¤ÏB­p[IƒÎèÌÔ šî"°•~"\Ñ l/v™]:PsN`€†Å—æ T÷ç ìÄ‚A®Ðef º& ×k7¼Ý-Jwwæò7£'Žƒ¦vK|~ŸÐQ»¼žØ‭ϠÄ]ÖÆžHž:९ò†À0ðT6& ‰ƒz^Ñì!$ZŒˆV)‹å_f øuÿO Š À¼Ø‚S¡œŠÖ¤· +ð˜àÎѪ½¬DZÔ›ñ©8> +Èð¥ôÖšeUè«&eÀÚúy¥ŠfE$ +6Àêl/ÊE¯„Í0ÞAÒf5Ê^ù±Ý€5|UÁ? @w/‚?èUÊR ]UŒ[tuP½ñUrÒŸØa½]K¹Ñ«<.ô¬íµ®¶Øu#³šS¨ Áäp Øm0^\󗶃Ø-ö[ÊÄu΀í%¼MeëÓ\µo¿lp»¹ÅŸ(QšÃH]:|y]ÆÁ=–Ö!”Ìb©:¨ëÇàÀ'€ ³'sIšóV‹DŸ‚}‰T‘M²ù GX¾X +4ŸÎXª‚`„ endstream endobj 21 0 obj <>stream +†hÞŸúö¢:§g¨îpK@^¥`ê +#fØÌcùûT첫Œf¯:œ´\)ô*}˜ œ|íõOÜÒ€¨ÁIª%²[¯ã›€KÉ-.¿2ÁÌá a€2¬a_¹O†Lƒ²­û \cY`¡réˆ×Þ€ºRNyWPcñƒƒÅüØ_º`*¯Ex\_ˆ|o‘ËR3¬ÀC“t_2ÖÃÕŒ{Iìðõ‹“´bD‚7MRôÓ€•Á†qÞ?Ê#w×éÕ]`#°AØáÀÈmì¬J«Êë¿Ø~LЫÁÀ´Ø  ƒš€HC +ÕÝh]Ö…R ºN×k‰@Vjxƒþìmd°35Ž“5‘P=ùðEEÄ#`‚¸á AÂ穱§Þ6’%ö½80!œdG°5΄…¨.•s5¯*‡™4ÊóŠü†×bõ£Õ|UNl•nÀä}«%¿ðŽ@_êƒ,”¨6T¡ojd%çcY¿3½ÊCÈ‚ª¬]B§´¥¿ +Xý›žÒ’§¢ºDñ'§pˆªåL: x#ŠYT vW-SæˆÚöUâ‹Úí°ŽŠ®uOtã<À^ÿ"ÔŽðñôŽÚ.ªÛH€«^jömÐñÒ.vk;Ò°¯.{_\þ¼^ÆÌDæ,úTn9ë8X"^Ô£¯«!€[(ŠÁÁF‘KŒ’]+B±¸®WT9ɘŒüš¨ïsª$¡MßÐí—`Àk\W£fîè ƒý\1ó}Àxà$°Þ.0=L±ùÀ |†+(Ø Uâo€×ØÒC ”Àèí-0%rÝLM®Ž YÀ£mþxÜÎÔÊ8Š¶Ô€_Îm¦öÄ~H³©A6€Ö:ŸAd T‡ŠÀçc0²ªšnYU`lÞ¤|U4ek½7ÜÅ®zûC^ÐU{Õ:±¬:Iø—:ŠYAA ª(–¨£`+Í®.WµøZ)r¬utÍ~Tm‰ŠJdÁÈ— ú.-f-Å@þô0¾¨mlyÝ1¨Noü´Èýdjÿlk>CvEýRæ#™àÿ²=ïá?Q¾œNñ˜ÏRU‘þó„5¤[Y¥jþ7h¤ËXŸ–Vq]4^VK)N@øà5R®¯lú±0šøÄK9Zyô•ôÀö-ÈÀ$›»×ä8‘Ý©K Ýb8ö>'!{'&,+ýÐûëÊç^Q‹øÐ`ÜN`Ê 0a@¨®é”îɉî"ûÔ“ÀĨ›˜¹ÆÊ*Þàýó¸xöÝ™ÚB ì4õÝéV@9£kµ’šéÄ~H|0ê$†Ýø'ƒ‘j8ã_šÅ U>®¦fLÆ•w!Uü™eU©“%JgÙR²Áê jÛ³þÊ“^’WUæ«W™ŠMÕîn—ªIž `ôב£Z¢â쀹®ŽÆÃ)¨ÆW!<žá»j2uä¼Ö !ø¿é•ö¶Ä’€¿ˆÅ‚Ù8pÀY·y*z¿´EøÈŸ¢f¥þ_¹Ÿ-q®‘ÂháíÕþÂø1E¸ %¡J(#u7Üf‰å»øñ>ªÂwXšDìdÔóv(tŒh>¿‹K·qÀ©ÔO*GËÁHƒê šÈ*EÁ„p÷:6Ú¨Å,+;—O°Ù +øNaþ…ž/8À EU0álàÍ×»@Zê¿žÀ‚±.M¤Ï¾„hӈؔìLí‰ÕÛt¨‚Ûªå÷ØÎÔÄRm„ÁRÀHŽOo‡}žT ZXÖ%úˆV ¿žW õ +ÞQd)P_Uì”2§¡Šzü ºMlš »S±ú*õêœJ”¸§ÌZy•°8¡ªóÒ«\õ±(½5ظÌÂ6”àýŽÚéZ´,kôk¯Mbx€ ÄL•6åôZS_¥p¶\ ,ª@ÕI‘ ¨¶x˶®¸UOuäÏü™r;à €K5e·Ìˆ¥ÿ.ãÖ¥Q7?\.ªû3–{ñ/_’áá3ÔZ7—ÌP]cÕmã™7• :–…p‚&–X`A'[I4õ¾ +íZ‰ñ/á–ÄLØ0ÀoTÇtfî-æ%ô>q¾l?HŽÀzz ì¥ûÔ–=.°}®}b™@-µ˜íÿ͈ÊnÓ™Z[Æc¾Þ™Ú Ö·•ÄZ_³™šE‰š¡35“¯²–UÜ6™ÎÔuœ‹.ô ñM-êî Ìåuiž@1–Ƀ`h? !Ô‰ƒêw„jñp‘²u T'ql0¬ôfØ' é@h†¬Œ–à_#Üø©´D½É"«¬üÑ–˜_«ˆ¼j}vÀo6¨î’ê ê¢½ O xTw·È‘QVµÜ$jã¼ê{-ƒ”ˆ¥ÑŽàJÄrÚâ鉵*Q¢AéËýš©>ïZ +åqpP#‹¥I;À†ŠÔàW¡R +ùc-þÓbn¨›U}kšCÁÁ д*OÆçä´±¸4ká—©^x4 ðó +ËtÐL¦ LZ5¦ö¾³ORX–—@ªî› ζ„xåî/ë£ú4]ÿ£L :@,»]`§ TÇ0Lš=0¡N|o¡óu¹:àÌqÚ£r ì@M”$µÀÖ=?B#ÐK€f)’À!`Âîú9…hŒD- ¬©{ý¡š‡QÈ©™IÀÝ= Zm¦æØKgj=qD³35aaCí=ØÀLMH¼½:S 쀸k™-ð°€aÙ(š,HÆ®E‚eÖ Pº€L ‘ +T×¢rÝxeå…Bœ ž‚üðÄYS¡êOE.P݈§TØéòT´òk¨:Ø>¨.PU[ç«+¨ªh6IÙ:;ë¿ ; I¸ ªdw«Þ1h¨áUÕ³j9!ÿ)ÅZ<&¬;;­J¨®ª£WYï͉q°¦‚õÜË:ûBÁ¦UO¾þ4<kQ„UË7I ·ĬÚ ¦¿Êl 2ĬãDþˆOE{cNh ”rrÿ•r'ÆÙZ÷Öm/9',E»¥˜ÀtÉ¿<Ü.Iæf²n·P}Ò,S›ÄÁ2Þ*¿;Ê Ã¨D1êCiÜ õ›Ù€«!þ°LÙö9_æ',{h–"š“³¨-/!õ®<%ZŠ~`XÐUû=³À¸ˆö]úŸ‘*bX˜¥ØSÀ½Àôè At¦Ö1àk-›©¡‡dgjP™%¬­ÕÂ4DêLÍŠï… XFv§^¤!*o,«œf…¦ãã±æ²ýáÜ¡”Žø)>P]Í¡k/ª5.¢*sX¨ª´$éÏB 7€˜0;:#µ išwÕþ@ðBÙ!T5%AU˜q´„§¯ªVu婵T‘4¬¾ ­NxaÙ¬Uʬ@u»©Auª3ÖIkuó6fC¨ŠöÐ7ÔIYù òª©qEiíªëÄ +º¨—©ÝWl‹“¿dÃÿïmÄP`Ü©khÚËå—„Új ²4UöõÊÙ[sßr´qËjÊTÝ’YªePÐMm¡.*®7Y`6 ¤ß0_€íô-WígOFFeøeeÊÎüàhò ,Ó;Úô? `Ûjw€XH–Õ†r‰—2ðÄ}°BeaÐ@Íb%Òñú1 ‡€jÔ¹ L­ªÙ™€ßw: +zB ©aW°ŒES“µÁf£óŲZ€Ð]ѶéL-\çtZ"©Ðiò`0K˜ [§ƒÆ+û%øáÅPR­·Nn UÁ¦þ%1ª2ë“U’BœPºŸ­˜i8žã㪃ö÷kÿ€g”ªXö‹™'yµ^UYBU + ˆZ/žkYˆÛßX”ŒæL¹%¯Ú­¡):ÜØ°ÑàžÔäZ1‰±Š§× ªsªØÿ½‰[íiëÀ¶¼PSÈ^w¦†I :”/Š8$4J€Õv.xu×xÙZÕÍRŒ_²ßp‰å q v·ò‡{ÊÜ@uFP¸P,Iª;ʱGã%9e*Ó±G) +gX¨U?¬ìÜ€\ËŒ;RÄòN:›,cÃQA…ôyÁ7¾Ó‘—ÆÅB@jíÃë¸êi9b=âPJÐt¦6¯ÜÍÍÔT ßØL   ·µ¡=:SƒG…¨‚´¢1l±ƒ ‚¨–ƒ>h€b`¡húÂñhAB;]†Ål$ðŽ`X’‚ã9ýùJîFªÍ 0@oñUE´@ת¥'§Ö-ÿÛeAŒÒv®Sìo :€—‚2ˆ(ÂIéò/ö(hjdÁ°âAuVq‹ù2Î8ШNJÅ ‡vº¼äت|}Zø¯Ó:a[T±àDÊÉâ?¹œ~;sZª[pq®@%¬†Žà—¨W\‘ܤñë½Nò+JÇ­–ŠN¾C'*ö[_y‰c3>PÙ/½€B Ã%Tçŧ†ÁÀZÕÑ“ G‹ªçcK0ª•‰¯E%9e 5 + ñSÜ1`³fhÇJ©õq€ÈõÚLÍò€0IÐÔ@Ñ o ©± l¦v’Yà<ÓÀ ÏúQ¦3µv8a WÈ&B*ÃÈ“ü‰=þ´úÜI-€¹òEÞDj •[ÏÂfµû[²ö?ð×e+\D1¦ÆQ2µlí¬[SY¯ƒê@[¸…ò켯ê‡ÈAöºæ¿.šÚñ]µ9™@uZ¯U BË:~q@¶…Z6Ô°…RϪk2¥rHítSšFÀEvP!P]$¬Twß­5ñ)ƒTWªðAFÅ/3±‚”Kå­VÕ™~ùšýÔpt åÿîÓû I§ßæIÀ2QˆªŽßŒ}º¤Xùăª+,«üƒ c™ÌçQB4²PËèY*˥ᨡYâ6S îÂi€œyu:SŸÂ´/¦3µ4 +4ê•xÑL÷&G€g’ë¢&VƒÊdv§“*+0hð¯Î”ˆ +d§Eýà‘øO•r| h½.) TwïàC¶Ô4[Å˺׳Ís¦Lš²ß‹Hˆ¡šR¶T ½]ª ñëÏ/Zº²½zæ –t ºƒŸèÞ´7ûd]„²ÌZ ùÇWÒÍÈššhs¦úÁo¨>¥÷cà¿Í~ 4µÚ> œ.èéÑ“ùh|0m¸˜»†ætys÷òìÈ„Îg¾FFt3µ«8¯~\LM:½Ì!›©‰ü†ÎÔ4s€Še3µê-¾Mgj3ðo¦NÓ™Z $ *cï41B€;Ôh„'¿ á1š¤4²\HI׆SØV:S“HÑhvrHÈ=›©a ©Ô™Š—Šl©ø¿M-üQ„Ú½7_?ûÕ5~f¨Îj0’,q«-·X¦Örå[ö5TW!~ý‚Wõ)>áD]!ˆ¤è¥3ò Š èáoÝpZ)%ëH6m`Ö˜&Y<å2‹:Öç°Ò 9û’u}ïf_ñ5©l(=´N©‰Ã’u'Št@‘ÍÔð†j´Cgj#qÈ.Ô$ÐWËfjaF;˜0 ©Mf2X-§ªò8ãÔ…CH¥P4)4JG¥õÃúGÇ®½Sv«¢Ë9P—óA€¹&Ë¿è¥ôl+‡ÆøeÛ`¥zôGTW¤T©› Êin™D˜ô àÚ{=oT?°KÈfT.ÔÒð¦ïÁ4ÑÄ…IËI£@F—\›©ýƒ!AF™ÎÔ›'&&-àm¦f(Ò™Ú°÷.‚ÎÔty_ÁXIZ-E…ƒMHOº@,§YÛ!YÌäýEù&ÅÀÕMLÉ&¤ Ñ·9Cg ~ÏÒïÔƒy La"ª“Ó„æ RŠ4·v¤”‚&ºj6yÝuô„ô L ·†îЀۮG3(5Á09&uHß^¹B}P'•0X Š÷Y‘¢¥ÎÔ ,ÃÍÔŠiø£u¦vÆfj/A0fhЙÚvýØ¡3µ¬NxR¶hôÜJT ¸ç p]†c³)0Ö@„†IOÊ.@žÏ‘ÓàÈñe]môˆNÚ˜(M]1P㢀*5ž úoÏpñfjAcgjà¤Ð£8-B ;S{sræ 6S³xpJ½b ñ9¨Ü2šÊÉpø‘Ä2ÜHgjcëêJÞ9Ötd3µJ0h˜`¸éLMï +DÀ† ¦¸dãÙFU蔫ÖËW/kYvŽ6Vè¤v6Ôæ£øÛ£F÷  ù‘©ÝdDžôFE÷xF8¢$Óˆ¾cû&!2•u~dÏŸ” `ÔÒ¼•«Óø;Vêí¥QIMH.gœ½E<M .` ©¥þ‚¶j¢Pî k5M ·'6k@ÙoZŒ.^8t¡3µ[›©¨kj±éLm¹ôÒfjåÏ ¨\:S3¹–†R…Fˆ-<£°×óhÀÂÓ\…þ +&Ìíàs4ú;Èh‚1Éä'?p sáB3Ó^<¢LÎÁq¢CC!é0¤ + ÀýÒwÔåÆ8i¶‚ô¤^QŽ*Q꺲҈ Z7ò0Ù} W(Mj\Ñ3Áo&Ë/ÚYêLí¼nnôt6S«Z©3µ'àò¨ °x óø +†M±£†ûèCÑ°¤Ê´°–ÕîÚ6/¥&p>Ð÷¯ÃAhPDìhàBÿA‚Il•nÐVÜ€N +W +1 +%ò‡ìZñè˜b:ôyfU”ˆDúÆ +ܯ¡E2¨„À0+ÅF'^Ö‡uiAž™@0´þĸ„R ðãœsnÎTg•¨w÷íÞH:(kÔ°\X¯®!ý¬ã"þ<£†-9ç2€YÎs¾Ã +Ó k£§LnÆÂÚî=•dšY÷ø’C% ­—‡&×æZpuœŒjÅäÕð\ø !“:zêâ¢hD +-åØôm#+e!ädd‡â2ìYi¢ñ˜¼ï)‘sÔ!UõÝ¥QÃbR\ÊJa–8taN˜µöÞvÔH8EÈ«vßjÙ›Å/5žlJùx¨‘š‘9©°ö¥ãìL S£ól<,¬ÝQéÙ a®:ç J„àM‚b ‚KkT#ƒê…ˆÅ'0@Ûô”ZP-] +hëS, †ÍÈçAòÑ7ÁÄò†¦uÞ¸P1ËÀüÇtžF k«.^bðBSŹzufrß÷*dXݧóý—$LÖÑ¡i­vÅ<Á¡Ò¨aíá‚• Ç<^"Ù] ËJõ 1÷}Ç\!–œ´ŸoɯÅÙÕ`†-š¨@Å0 +˜ÉÔ*‘š§$©”3 ƒ§ hтױ9¿91U • +—švÂK†ˆfí¾ù6d¢(Žß÷¼’T¥Bj+èÌÕšq1†—ñ8‹Qø÷^ª°ý8Ù€A®ÀBƒVœ'¹`8 ¹:ÖŽ÷˜%p/ЉŸ¥"BùçQÂ(¦Sê•“j­ŒÀ®<àŠ–"Á§ZÉŠj¥9ÈâžʪÁ,d¶;éùxpΤf£yUûÄû¾M Ò A[ZT8ªÃKG]òû¾ûv(0Mœfäãn\ð13Šk©yÖ®Ž› šÄº*ŽÑ8ûwœß%ÔFÞ²l=´Ê@ÎÐhÚkŽ4pÐÍÀÀFÑ$hºD‚?¹(¡MƲÇl¢9i}RqºŽû†) À´1ê ŒÃ…cñîûF þ  Jæû¾yùÊL\7ЂúÓ¬JrÒš,lÀò +)’!!_÷}߆:_ƒ¡óâæÄ2¥”,M„ÜhãñÛ2*¾[¸ïûövÀqGÌÃ9Çc²^âF—ºû(9·H§Oé³}›GÖN d<„Çò*‹¢Q +‘ôå4‰Øƒ9éd~ÔðRfHÅ'æ˜q÷M˜/¬íYMõÌe:ÎcÍ?‘󾉇¿ïeà~"5'Báø+sâ(ðq^ºL5ÃÍe4k£ç´”)VÌ„0ý`Œ9è.S7(kG"Ž/-'³$m6Ý…µûÆaM€t#UÐñrÑ^Jþ9˜Å+D@ ;¨†µo^9SaªA-±QgE§‡nN­9¡†ˆÎœˆ(CÆ1çféAæJÇ[o†µ9›'rµiµ÷}רG%èÀR‹© j&ù<€ ÈØæ¾ïÛåèAPS• zI„˜JÔd÷}ßʤéØt= „¤5Kï ÈÂÚô¨wÎûrBFÐ7Íâ/UbeŠ “5ãbÌú +!ÝB¥æLè¾5È™õÌ`yê[Èó@$#¨"^ãÌWz+v©FÃc*$ý’|MÒ!?÷}³R+z;Îú\21œŸÊÇ +/&éû¾ïîÄ°^Ê#&8JœáNWžQ#rÙ«ë’ß“?©åżӾGdÒÝ÷}WN4kk8ç|ôK5èdÍ2|F M8:B¡,႘×EY›Žs‡ d·W :ÉHÖªªY$©à0°^Žò9ç-P†t´Ÿ79RÃÂ0Œðm^vnŒ5‡¾µH [€ñW}±ß÷€<›—ò¡Iïû ¸lšçÜqñÔÀ:G@”!E•LMøGã¾ïû›d>œëÒÐÚã4*¿+ã}ß7Ù¨ˆ:Î;ÞÛÙ}kG4ÀkTpß÷qÑl,ƒ2O°jP`“ÆÉ!‰óÞG²Û9¿É–DÓÂÝ"ãP¡Pê*çy~ßwß.±6sß÷ÿ¹Ö˜ÌHÒq:¥´$<ßwæ ]¾´ˆ© +‡oR§q´9LhRèä÷’_ £C*ÆÔl5 d@Çù­ +èp“"-ÚÁK‰×dÑ·ÎuJ;'ˆ]ͦC`£:†Y¥”<`€t2I´Á(Ay" ñ•³+¨a‘á¢!ù¾ k bFà¾ïùÔà6/}È`úŒœÏ”’›é6¿ï;E,>ç`Ï›—£šF[ÎG$iÒõ-@ "¿ï[•¹ÚÑ}ïûNjëÃñÚˆ¨Ë\Œ Qä5MRâbÁ(M°¾f Yˆ8¿Oá+’XHôFÄÂÆÌ$GCÖª +¾”Tô lcÓ2Q)H:Fî}Ë`t‡À¼Æ_rœó¾Ý·ËôIÍI VT`’ß÷mÅ¥Ý7ÅkÀ0îû~p><›Ú]5u+þü¾ïy“aíºRËü¾Å ËDå8¿oN‚ám®Ï<~ßœ<ÓZ—9S+Ü·h@‹3†²¢©'rCãøÝ·¹ñHÔ»þ ÆCÖ:™I@Y VzØâOYvíaX¦oô¦o°ÂBüáºÿ†z'ÿb6˜ f†£9fjJrÁp4û÷‹HµwQdEn/8šýkÃܯÍþë¯ØƹjOy¥ðˆ…:‘>bÝ{|:‘ºRúˆÉ„VäN¤4J!1:V!Ö?ËÈíèéÁd©Ãõ ´o?ñŠåëpq…@š:\2¡5i0˜Ø«Pö‘[4ÅX¹â§Á`¢oßH¡U££5V!Ù ˜ô|c%ÚÒnií(+l¨hã¢}Û¼)‚< ´os@øˆÁÄ8s¬‹ºÒœ–ÚqFç;´qQYKk;`Õ·ìCyù”©Wµ§E¤Ú÷­ °êZ:ÔA踨|’ mß@°„PœÀš¿éË'yƒøÐË’©•‰Ç|Q~]2•¡¥v¬¼=nn „2H A|èW5¬vdØ0W†–bß.ÉíIÓjß7ÅLtŸø{9‘›†e×>y}sQdϤԾ+!ŸãÌ'¦‘/É%§ãIß\ )Fr`¦ï›Xq¸òbŒ#·†Ñ°¿k!;o°Ø obM©¸NœR«1Ùð‰íà:uö­Ãuêtm˜û.Ä‹=nÛ±"Û øÙÐnHÝÍžBË„–ñQÚÖ”ª³÷Õá] IqñNþËw„y”v¬X.êé_ãhŽq<ä§É¯x=ßÉ·j Ä"–/%„|TCß +BWÒW o3+{$ñ¦"akŽÚ·–|B] .pQ=õSȺN`þQmÖ·ÀE¹CK6@RufÓÎóN„R‘"ªIï};O nÃQaüÐÅÔú¥][RÊÍ(… +kÇÜBüa ¡:»ÈIM?Ìfµ âôß‹œžVŒîf—ãK»ô¢ž¾ÕGããtß×dº/ÃÓN…yˆ;0gÀ0Þv*úO¬3¡í›[âï†/_-¤*±aîˆ9±våP¼EC[Ålò¾-ltÛ•8ÂSl´C;0¯Ðê=VœžT(Kòa&WyüK‰òÜß•"èˆðAÒúÍ?D‘W¤:äûFø¨È>bgò+РëxŠç/Ye@Æ;âQûÕ`ÆF„2QtÂt%„³ !Ÿñ°GÍú6Â"—†ªá J\ßj2ÈÅ2&3sÝš°&„­q ÎîÛ â‚x·£vLst°ä€$ ˆï[l༉²Õ%šE›T|vȬOœ2yéÍl†­È¾otª†xˆ€ŒÁÀ^ÄI¬ìÚ;AßÜʃá#5¬[I Îâ2FP¨Êµû…}K­ŸÓ~vh@±Ç‚= ù¡oßu$˜2tœèUT°”2 Yß@qi!þ–<À¨ßGi˜êüG[{ªáú:PÓgFÛ†ˆ +q|ëdo‰¨&½o…ƒ³+.†á?ÊÀ:Á!á¾o#~è"XÕf‘‰É0nB5óTÚ¹o® ÔÙVŒîBW¢ÏЬÄÏ*ÙÙ ‘ÔFý|¼¨§ŸP  YÇ6Ìf6æx'ß’QA“ʬ}š—Û±ÆBR“ °?³É÷N>À!€(æÅ`í·Å.k;ÊVû4Y°k!¹k;Æa¬í1ÎIDrÒžA~a $÷œD$ï«Ée}##O · ÷â¹B×dÂJÀ˜¡€ +‡ûTXjW#d̈0¯öiö_xãk ‘1®¹³îDA;²£µŽÑì+ÔV-bùŽŒô£Ãhöq©Q”Í>N¤#”•Ú‘®'§ âï›E2[) §ôBDø0ra¹0:°A\̧‡FªÁ‰F)0FbŽ°uO44JñÖ¢ÙŸ|ªÀ£òÐq :6eÝ´oíh’PYðÚŽµÕJøòŽ!ÚQ ÌÖÚ±] Bt"˜>ƒHŸC´#'@RSÞDHz w¡‹’CLÓÈ瓈ä!©¹„’¼o‚£ä1Lìã)1¢-Ç£`ÂÒÍ,™€‚]&‘*i¡rPÑÈxú”–JŒed<ýYÊ$ÆÁhmǾ‘Ô'˜©†W¶Ñx/‹S€‚ÿ7çF Æ¼Tµ+ú¿±è‰F‚O¯XDh,úf8Õ§ÌT[h0Éyªi¦ºU_Z­EŠFŠÙ´Wí +¨mQÀKX¤n©RšéuhÁ`î)À¯oÕ…öjœ°‚?XWQßHB P·T(ØŒ"íU»j&,ú Pƒ¨oíî°ÌÄ&´Œè,aŒz_Kß0’N‚aòEA1†…ƒÂ¬Ð©ã¢‹qXÖuª²ZBäI(,ÍÑ·%šúú*ƒ¸ðuh¤§¢)+ô¶­Õ‰T] £eÇ Æœ&Б©º!4_bS#gŸUb”>MêpÎUµžH‹ ©5#¸¾ÝŒ4R_5EHÀ9¿M³€Ö: éÅ:¯ÁÚ°c‚Ãe±˜€C& hÕ‹' XôuË7KC•ŽƒÅ<ÆŽPâ«è V«†qÚäZ(š¾­øš†hØIÕ¢šÅE­ Ê€3˜ËÔF‹©rÎ9hã@8ap~Ó†Øc­€/H„Dx²– t,µi!u ¤6e¼ ðYeUé¥k3c};Ä•¥ Yõ ¦D©¥4í +Ãà™‘&²­ ƒ>ÚIÉ#"‰b¥ñˆ+J„S‰ÀÜ… ö- >OÛÉjS«#RAeºû¾ÛŽ–ð¹LûÍø}ß,Å‚æ8Þb:Ë7O„ñðË@V™Bìà!hˆS1´•Ïçõ@ÄΤåð|ÐÊI6aHš§ Á ”ü¦¦¿.ØH,ˆ: m–À¹† £L˜¹Ò~‹›ƒÍÛqEèõ,ϸô +ƒkÂ)¨ K‰ðI, Ûtêhvh"øª:$æí,Š?Šቌ½kM Ha:9„ I5ñ¨ÙÁB•»+÷ño0i‚J†‰§U(|ky¸¨i蹨—‰:h¥äM–ÉzûöѼÀ\AV¤‚MŒÂ¸“´j•Là}¯DìÌ‚$i²°NS©iˆô?ò4³ÔáàÕ·NÀÒ„Œr¹W‘`(MX3nü;-ùã0‘S –jß·ØÙõàÄí·–eÖÖ£g!æM\I²¶#˜Xʘ ­3ÏÆEÃW>˜/ª`š ùô0Å'þ« +FQ3Ûãä;zÜ|džêñ ¸‚fxÜœ <®1 "¯ÁoKÓÈCç ä•Ò4òKLFrŽ}<ä%‡¨­‘ÌNä Âã6+ò‡œ†\TBÞ7lÁñÌ*ErKÉ–ó%Gœ‘4€\[p¼ó,Ã(J%—|g3góEy2œ|6M#ï”lÉ;GÉS69¯íxÖ«š$ÉqÇÈÇÈÇÈxz1": +4Ú71"Š¢(~!­|à÷íÓ·pó 7Ÿ0ŒŒà4K6苌ߋ£–.ðìÁ.¾X…‚_d<ý¯¡¬É 垆I¤}£Wœ š¡;³?Á 4Ë$AÁŠ"%7Oís±áØX§:⇞*:$57¡:›y[å¼&«é<w6‹¹ø„ñ WON/¡[ŽIMP¨¶< 0L­0ʨ6cŒŸø“~aT›Á¯~*Ù täX¢Œ²6§÷!ù÷ ¬'§¸®ýDŒõâi¤ ØJᨳ™ ¡mÁ¹íx2J©ÖGj ó¢ƒ 1›Á¯~ò§ø‚ÑbÖvlO4ÊÏ8k;~‚¾­}‹`1êÌ0:èÛ `úZVòAì Ò@¨Ã=xé+ ¼ 4Mö§ž®!šÝ DþB~ ñ¡FÉážùŸ&?D¥NYzé¡)ý”Dcß ¨¨ œ¾ÆéDÚ·o‘ëkôΙA;ž’µÏBLþ’µK3$’µÛU`þ"BýI{z;8à¾ù7–x”V6ÚÓË,ˆ-XhOor6. +ªíéá É7Ö“±†¹ÝLdBk¾ëéË™D¬§=%ŒžÜGyq^Yv Îk;š"RíI±&‘úu!þ2eƒP±žœ¯È +v£Ù{§wêÛ$&#¬väV;òƒ„•‘Z}äu•—Väv\Ak;v~óX¨›ÄA±; +nÍ®Ž oñÈqÑ4€vúÑñ‰¿¹XˆßìF³?=´¤²‘ íJ ú{¼é„ËÎÌ iií¨¬ÝqÑJb!Þ \|â_² ñ™#}“f•k@©q¨PÎ ŒµsÚqQñ‚øÐ2CŸø“Ýhö¦¥tz—é<‰„”FÍc1o2ñ˜bTSˆ†D‹ ¨­j^ Ì"ö¤Þ¾ ½x ZàéÓÂ9=Û±-w¤^Tª æ„3v£Ù“ NhBô…I¤Êéí“ BÉ¡ÞB9½ ¡œ¾V6(äºÿøRNO ‘f/v£Ù£´|ŽëBü~"Ÿ'D>}É8Bñ +ùô}+€àɇÝhöaAvc™D +iæéÓdßJý%£1ƒŒZ'áQ|Œ^žüO(bl¥Ùƒ4šÇ†À\ ßXOO±L1­@£TH9¯íX‚…qeʇóÚŽb½E¤ÚŸ&è=ÍE¤&Ç:½,³¶c@~6‚ÆŒ‡"ãôÿ$©Þ¾þ+þ\§ÎõXéô³N&òy!ù)]”<ÁÂ.1M#—p•‘;¾³ä +EHò“…fµ#Ï|gÉUÉ!ä Ílˆ YH•¬'§ 2±¯pTãô±¬- Bí’Ldï0¡Þ}¸ ¯øÒø Õ±”Ìfaè0ò²šÏxµàZ*Ç-9d•"¹mÃ(k;žê€ï cÁû6’¨1²ÆGµ™x€7«ÒÄ#¨nju ä$p\g¥<‡|¡t·e`”M÷DÐe(‚džÌ'UùÑÐÙŒfQO?óŸ ²¢Ú¬o™×¯Í °=;ãÙlV##ÿmÒ‘§¥‘GmB!?áJ Òdǃ™RãkˆPÆ·h>ãQ)›öUã iäâSukâP.ˆI_*²‡¨` ß7¸ò`øB¹Šý¹Šcc©0#Çb8ŒYk+„ÉSsèã ñÜ?ÏHÌ8¯0×~èEÃþ…ã@;*ËûâHãè‹Ä +4ÊŸåYp-o/ÇÚ¸?FSPö‘[–]{Ë&´æø ‡¢–Û±Ñ7z"ÚO’tƲPù$sV˲ڱ‚Ê'9Ʋdh)-1HqŒ§7ÀñKQ a,¢°gVc´.p´ø,ÄÔ:ÙÄ!Y'x¹j!ש󆄚œ˜¤à¼¥íÙap¶É©XifDÊ^µ'„º,N ñ†G¡Çµ3[§:ûâJX»o'Žj9¾× .ÃÿƒëpÔNP @cßJÓã”SgvÖ¿=óHj¾˜äÓ4rÅ*ErÌ*ErÁÑ·À+²¶¯ù¢¼]’Íåk§‹¦vÉ.ÍD² f"9R)$Rˆå%Ä·™‰hć¾¯F£ Ôé¿ÜO¹’}ÂLŒ㌰L:²ì‘e×° ½ é ý¦9#·ãë"·b½ª}½ª}½ª=X¯jß .Šì¢ÈíeCCŒfÿöÂ+SG³‘jÙ€á Ó‰ôK;Hy¥ð¨cßÒGìQ)$h”Bbuœ,B ¤lÚX…hßR6E+LØ…9`$SÔŸf¹ÍDrßâPŠ}TÊ“°Gæ>ŠGiG™b!~—GIïä;KT›qÄ„«»É©&)?M~n&š½ƒ#ùtÞ9ìYÁˤRædõÄð>€ÁÙŽ5 +1µJè–a´(*,ˆf6åÌdyår¨L.×!÷ U–%Pc°Àgû“ò 6`mN¿Š%YíÈ)'É ŒÈ1'É/¡ É5˜äßð¹¨dKÞ7«ùe•"ù `9êV&vé4_”çÐ6.údœÍh—f"¹‰ä™Hn-¤*ûÈiÉý’û%÷Kî—Ü—}4šÆ‘þ›Ê>òG3^&“Ù¼²%ûP*Ɖ¼Ÿø¿bû‰ÿGo¼ËBü(Ë®?Ë®=,#—¤ŠHõ'"Õþ;Øø#öè[X)|ƒJá‘žiÍñ—çHe„@šÖ?ª…@š:\ŽX¤—Xsü áö£‘±±ò+òkðLØ tƒ U´qÑÙ†¹¨Ýz ZOÐæ™Ð‚¿oó@¦hñ¡™ âC ,T>Y&2W#>T¬‰äZñ¡®…IJPùs¹e’‚øP4‘>Ã"âHCK0´ÔŽ}‹Cq(¶aîâ#9qèó‰L›|âß·8$ZqCa +{Ä{`X„DaGiG±o†u1¸ êé[Li1Œ"@`ĵS‹H™–,bÄ8v‰ãq5_”7_”7ßÔÆEûÆÙÈ„¶äl\ôûćnÊ âC`5ÉX yj‚…¾‘`¡ä~„#}áHߌp$2‘>÷eŸT³‘A8ÒeŽô?Rö¡`%e*Æ‘3›Ì&³i|âÿÊ>”eÊË +ćŽ,»öˆ–:ûvJÃBü¢ac÷ÞûVb€¥lêŒf׳êR¬¦‘h°×‹$@ ’°Íê–Š4ÃÔ$Jï[Yž^± Ù4BPÁvÚ^*›¤j‘T´×‚6@9@7R€D x©^*Ô öž¶q³½6È`ðQu]}ú (A 0WȪ¢ƒº +VU ¦¬‰n®R€Tõ«@ X êO½É +6X¿Ù Ô-¶àoˆÍV€6[œ˜Áh—êÓ«Q»H¤°Ó‹®ëãÀo°Ào ü& +áM›-D^€ð‹(7hHdsŠúV@3Ò ¦¢™T0m¶8E}‹øí ü€[ "€À¯xÖ€E¿„^€/€À ð·Y-dm,ú«ÑêËé÷¾^±èÿ­€ÚVãô‚íôEYŠ¿Ʋ0q-˜¼“¾-\™D‚½ÔcðR•F½T7j=u½‚2Qb€Ùr_®•ÔÎêduR›p„Zj"4i‰P³³¶£©èˆPÍÚŽk*"…1òÔ`”nÁŒö­ÑQÍÚŽ&b†QRÃ:ÕBt„zø¥¢î 4XHTºômf ÇƒÝߟ˜µíqÈxƒ"ȸ_Z&¦ Ñ‘ñ=Ód6}¾“YYÛ@¤¬¬íXþXi¬ôPI²¶# +ÌßK|!&KÌ,á òï›$Õ” ¡üWÛ ôS¬_¨®§ÿºRF:=yšEhÉÚ¼ê jäö£èÐ ‘I5x#°jâ%;¯n¨µØ˜–NR Ñ–1‡(B‘Ñ‚ D jY0!êz: º¢˜Ì‰FÂt¾Ó#tgˆÒôùcÿlgãP{¨ô´ISç¶3Ô’èÛ‡æïå¬Ì…˜üû¦È¿o¤’AZþ,Xä rßNDùÍAh„?|y0õyEåM‚Áµw™+Y:óˆ}ëî,söoÊïßû[žTr õ—ü"@–æK‚ÔÈ}øÕC–]{â•P¨ö­o(bTã£Ã!Ž¯‰êœqd™˜nUç+â~yÃø‘Ó…nç åÀH)Â\‹Y5e‘bƒ4þ`Mee Å×OäS˜? ¦È,HËÿ³j«‘Ñ$¡†Ù?Övd•l +¾-h6ÍÞéX U§GÛ¨NÒ|Q ®øÐ/¬Wµÿf¤T)u)#Å.«rÓ4òOåUò¶¥ +9&’|.ÔF°# ùF!yÊ|³J‘¼o5H,ôÍÔ·¾õíûúv6@Mù¶ Ñ‚ÂAÛ·¾Á<íT\2Œüè,æ³o}«ëvzцॠ+PÍ&³ºU³œÖÏníÄÊÚŽ©%1KÖvüŒrþŒ×rWœkp¤Â8í(JÖvœTª@æÊßCÚSßÌO“¥þ’àÃóó9‡ä¯°a®Ïl\ôCÎcaU×Ò\m©ìÐÈÚÀ(á÷Š@ë(;üÀy©Û##F„+3CŠŒ mUW4Óé“h§÷!Úé]¦ÉÜÐNï™”ZàbuYd$Jy-¥'ÖéK!–÷°6¬Ó—Ù©§”T:= mZ4T:ý÷”N6 ¥ï7¥ó¤Kd9)‘T§o¨¢(æo8§?5`Lý)2K¨°Žp#@ÐÊFA8Ý¡kdz¶cʺDÎÔCiÊéÏ åd!¨­²%„ê”Ó‹¾E>}meƒÐð³qQ‘4rèòé[}`¤ ³„JÓI4>Ôö£Ñ<};Mó%E–]{ð›Ïn4{û³–¤hã®ć~\Òo° +Zíék%+šÃ³f‡rŒ*BÏ¢ Kå×Gú¯…ãð +Ò'ß\|x×Jc´0ùä¨òo;*u:ÒT 0iåÉ ˆò? ´€(Míxväv$;¾#·ãJ#RYL|•C#DãU"DÛù[ ¾¥¢ Ú’XS… ¼äpê[Ûá"j @EÀ £¥K„Ž¹eÀ‡gÍN¢(Pjß73$½“oœB¥ÿzbÅüÝUåãUIõéRíg¤ôÿŠ–ÿ[Æ‹´o4ÑÚŽ#ì¥pT4õÒï +‘Q Ô~æ'ºxYÏpL_a‡ÞLhcpðynŠø¨Ôé •ƒ—Æ ÖøcÞµÚ|¸@'´i;XU'!¡±–: ­o²"¿Ycć¾¡Ò/?ˆ=àë´Kd1”~)"Õ~ñ7“³i„à_OO#ÿ3W*"Õ~â¦#ñ)Oø…@ÚxB4úäWAZþ¯EV’XôRÃl1ýFÁÄ#”e€äŒÿáûÖn@%ÑÄ!ÚˆU‹”ý…@:‰ÓêK–×uÚñG>ñÿ¯Àôg©R;š¬wJ!”½Ÿø›¹3¬ƒ0˜¿÷Z‡Òòg5§ÁGç7 qú؇PSÍ®ÒôM!JJÊû°ÖŽϽôÂ"§ËcÔ×8¤wòp¬4ý1ƒøЇ›Æ¨3ø`þéJ´HaYÎ4ÑZOJ+x„* +¨Ô9‰E/]©—&^Öø !šãÕxøËH)ü˜-Ò¾­,ć¥G„2Ê Ê +‚u=%Œ²©’?Ò‰ÔҌЄ‚5~oá8|D .Ò¾f‹¬:ÇÔ!r sÚq)’SˆŠŠb6óR™7D裔ɟä@. % -ÊÓM$Šêëé?Ž”˜çBüpþæµgŽô™«|*©Î†+z)á/R !JYˆ_>¤^Š8AP¾0™MÞpTg„ zéC’I¤j‘&\4ÊûÏÄáÛ©:߀Xé'–ýÄßà ñ§…˜üy­ÔŽƒÏÿ±ÒŸ•(¯£ß +‘xé[ Ôž!Z¬›¶¶<ÝôE´ª“ôDÅá ÖøÁMÝïÔÓû(ÿ'³éÇ´¬:Ïg&€µgñÁCÕõþu!~¥0{L?1`¢‰ñáòz°€ä JÓo@HÕÉ8ˆô}ƒ¸ +ŸXAP¾@·`tèT§ëS/̱—.ÌÕá!š±ù;RWˆz\2¡µ?k†#}AÊ¡‚Élú²§WâC×7F~‡ktÊ,Òņ¢:ÑòŪ:×^¤‰f‘Š•®\ÃÔGþ’øÀî‹X8±÷á_küºbúŒPIu’³–Üûêô5+”¶ÉÿU´’s,¬§ç ú™ëéQ¸dmú¦‘‘v`þeÖŽ4j¶H¢ FeØõl ôiö U¸*0zÀˆ1 +Ó :®D‚$´º"˜@@~Ô;yWüæH®ÞÉ»m +שÓW)¨øò•_¨´ÔñhsJ!efˆF30 ˆÓÙD©€M<$¢ÄB*0¸Ð¤ ľe'>Äà^´õsœ‘ ]Á\tÄ’îå4¨ô¢ÆžP ¿Ó…m0¡¨’^á‹ +b¬Ñ 2Û4¤!ƒ߯;ð?屜ZÈ*¦dÐ¥ðMctM *: LzpÎÐÅ·ZW³‡ìpÞ>GlíIÃÍ£†æ®¹­Q«fÐKNg•÷lÍB°0ðú IÏ(n^Õ`S‰}=ž³øŽE·V™wâE’  S§¾™èwt¡Ÿå“r°HJùs´+ UÚÛBʃâ\ʆO‡;z<“"¹€Öµ€ìÔ0Þ‚4HÖÌÈÕ ³ž¡«µÖñ‡B¿ßpà®zºo¯Ü–€~ /Gkt²{§r•­ ™Ñ6Lªå;Ó‰Sº2é´‰øvš"4WvÈ<}Aö=e+@$ üÅÚ4'ŠÙ^!%£˜òß5²L*  i[›²}g&šæ,qL*yÉÅR“¬™&kP»dÅÔÕ• ´§+qd) )D.v#Š†f³_c,ÆÆ*‰=g–,Wã›hÑmž]+–»K »c~›ò"KÖlTë%Û¿5ºb[X£ú*Þ’-Dû¡¹\²nà&Œ¼¢eëZUBù¦3ˆêc‡å±3Ñ2)]ïäó›ßž´Ê!_éÇ9Á½~2ÖFã5hJEë´s…³èú…(ï®Øfî†#¨a£U„µù¡™:" ª ,Ä"ýg‰‘.k` ‘)ß3?ÛÖŽ½J¹ÁFßüÁ:°KÖæºp’€x /ÌÇÀ!3 +†‚Õ±³ð‰A¾³ñ 5aÅœØÉ^ô,¶êð%3^拽Z'Ù(ˆ¶Æ…A8s!îqØw1øÊSÓ˜~øè3¾©˜Ò±Õbè!’BÐü•z]iBÒ}m„->ÎЈBî8K⋱F0—&x‘é†0‚'™¦0Ðû^óÕöÓ).÷.%Ác͉Àw¦ë”HýõG,;˜€%,­E@³úˆ¶ýÐœ'P"Nqm@4Ñ,âI(´°Y@ÚäÃ,™òK‚úK£¢^{{=À€!î¿Pƒ}ÍàCV >²|Û LÆ®Áƒg0· ¢*|L¢€:­Ù(¾gÝ“nÃ=éžÐ=éÛ)q•ß&]¬Û¤KÁÂ!Qºß¥K‰œ(”!%Í÷ Fã–i³Í°ýQ:¾UD¼KÀäú*"#©Ðñè‘ÅÆŽ?4 +®×N=òUýñ"B·òßõ<Á¸À^(ª¢Z×xA gêì,²Ýï ‘Û•0Pž¥FUŠûÒï{‹Ü&݉vMPJFeÑþñØa††2–Ì•oüK§"î†zÆ…ðü¦ê Åov¬¸ët±Ë'!j5.=©ªG'aU€U󉘦],Y‘iR¯ge£èïxJ*½†LÓs©#gèPýnƒûîJ´(*ѧÀtÒ±¢V:t4Ò¿[9ƒŒµ§© ¢jœ=©ìqN,3WÉÝCi paÂt·†˜“Óˆ.sµ¿ðV§ÈM€nc%:Q=ÒŽ¬ Îך«Òk Ž8œ6ÓÈ£½]än_àCé]oÂrU ‰ÉIÁ¬òó(3j3÷ø>o'ëñßÅ/jÃAð‘VË`½æ +˜êáËk%2`­ š@,×â©×¬v¹U¥¨Ç2¿øˆ’ô{Ñ““xxâÄø]u:ŠNpƒ®àãÐ jkþð‚¯Q×µB%Í*Ö +} _È,TæIKyùá°VeM3™A­(ÖCˆýœØ"é£;p~€Õ(Ú4%[[Ÿ‹Œt…« @°:wXy¢YÚ8$M™ª…1‰‹Á “,þè*ùäçBp%'A«ü!I²ÏN1µAEâëö9ÏëOÇøv…­'N rt„¥ºQ€Ô±¾¢²¼u´ÃŽ_Dqú8xqبmÏ(rá›S!˜wÂ2×êÇW¿x&`«ñ…[äDZÚ3”j µJ¹'Èm7‰êÿ{8)º ™Ñ…¦è­Þó$ŒöXÖ?ˆ>½ÏEÆÉë4gäöÀø­5t«IèÇGMü‡íÞ_m5½¡Â½H€[bB=Âï1ÒqÞêY-–x[ÏrZÝÒÁ§•Šp)"ò⓱4“–øÎD('Ä8s¸¤‘ïšh%êû“|÷r£K\mkY~ ‰åGIAÒ&­'.ïúœ,0fdO4¯œT›ªJJl {è5(cqÉZÜÌHX'î +½‚OÚÜ'Ö ÌaÐË~C¹moE¥†uÐyY·*ª÷pò9úÕg¡à!fœoŠq¾ÑnfÄÁØ/2glyDÿÇ¢C­$³¹Ú|„"D¢6y7Œ]Á”çX¾µë,ˆ‹JžQÁníμ¡Çwæ@“ñcy:RL´ï=jÕ0Ôùõ¼¹±Až…?VäLÛ3Ö潱Π+ªšïlÏa)ÉŒmþ_zx¾~¶G c +áy“‡4Ì9ƒ_2SèÙ!ä8ç +ᯠš,¶‡/¼>í@Hb4i¯É-%+V¹?R¨Öaª_ÏÎSM +ciïós¾Õä-ýó¤ö¦ïñO¢ôCÇCa1 ¤yøº…Š‚’CEºsL!i6ß=Øè"·ÈRM)Éš ©”EOýÉhŒº¨ŒÉH>ãç1ðôñG=¸\徧˜Œþ¤EnÖÏÕ“Ã,¤ßS¹ï: âÏ“‰Y…@± 1áÚTå4§Fª‹¬(ßâ‘âîKžO׿WœÖ²|¡$:Ä¡ÔJ ;ïm£øD;±~™ÄV'™TîÁÌìåÁ|”ýÛ J‘\LçO9æ¥xæ›^¤`£E·Zˆ@.Ü^¿oQ|í£Ó4^ol(ðñ¸ÎLvIÏp£”ûm‡qLý ß)AÅçJ‡¡A¹˜žé$BkœŠ=ÅGCŽ\¿€EtZÞ@IlK;EP#»œ+Á´2ù« TíQéÍ°EXìDˆ)®Í ÑÇWéðK”…¤’ôqÿðïøudP°¦˜©¼ÿPÚ~¾nxuâv4¥Îqª³¼Ì{öŒ[ð7›²sÐÌä/ZIýUf†;üÿ‘ö"zÍ-,™Q6™Ñ_úg,à¿Î3…ŸM³]ÿg¹h|2Sé?‹.y"6µx¢_¹-“ÉTS— +UÝ'kpIK,ŽäVy w¢¡Èš`:É‹`TB-ÔaÁ¤ +iR;d@óh‡ÒnC˜lT¿­#ÅîßòN«Iýº0<’ utõ=à×ÖÔ÷7ó9od0‘ív9cÇ©çeCÇ6Ì#›XSßØ/_6—67`g¬uaÏX¿¿9lŸ½ë±$ε‚XI×+WК:—yÇË}@¸Is”Ogjž2±06³™‹œ„BâÏvA“?19&sÕ׺òÈžWlŠ•A仇dä.ÎMŠ+•KÈ׎膀jm:“™'ä¿" Ãü-ž‹mƒÀÉTKX&HÍ꯼ÝGÄ>L$ÊÈÉ1nை††Û`{ÍRØEþôf»4xÍ]Ù®3Âœžf; + +Ïe£þ4‘‡;`)Â'KÙÐËÕ¦œDäwb;ª†,׌úé3o>#d“ù“‘’¼”ãçÑSùsÄÀ Ô®Þ#-ý:5ɼ†@Iø9Öb­eDÐk”XÀµ÷›IåùX\Y¹Sú…‹6¾óGôÜs#—󂕧ý5üŒÿõq £zôùÒ{˜ Ô;hã°|AF9ó–÷÷ j 'S’ë%;>vÄj®Ey«ŒÌ·¶ªÄVß1ç2kvrë7»FhðÁÄ Y [ëíð28ùÒJÞüQ‹ço|“„&aèž - Û íúÌ!Ì“ˆ©X=$k êòø…S¨X†Lc%D·¤‡<5<«`—´i‘Tp ÐùÞÈMù©qôïÀI›=O–aæ}õûÀX MÆàl€z¿ç–“¦i ¢îƒJ骨gÈÒ™.<$Vä ”=âe&ð¬+!Öÿd7"‡ë1ÚI ¾¿Ð½£›6ÎÉs,‡40±ÎÚ…eØ þ(oèîñTàÑ9FEwæŒó°ÒCŠêPÆMô Ç[­É›Z2º²ÊoùFÅmgÈ:¦^t»¿iݵnþƒ^,IȆS\c‚Kó2¥pOxw˜q_gIÕ Œª—.ªÑ Ê¥SÉOïŸÒäg—ê‚Î;&ÿ¢˜Y ⱇò²r³†c`ƶÐÎâæ*‰¼aøp ã3›Q©qôZSÈõ7lš¤r˜¬ èð†We-Â%Ègù±ûêÙ«ðiÛ¾é Ë«ë7¸«¿Û¹Ur÷’—KÍ u`ìŽh»}íWžr‰¦aPÙþ^²ÈVcšpîÅÄ·œØ¤ÉuØ®-ý2ê+3î[E„¸—uõ2¨’ZS÷ŸbW Ë-Œ)Ï“#Í ½.*ß ï‘»8”RÍ3w•8hHv{Ü—2Ä´e)B^q⋺;«¢)ÚŒ–xeû•“bGÕ`áĺ‡b‹Ø\~l¿Æ*¶_û»P1“ÌL'ó_7ùç¥o¿iä©FÖHÝŽxšµýv¤Lƒ•Dè¶ß,”×óg+‘Üök5LðÞJ×Ã)¤Þ~'–S@Š¦$°±ý¢ì°ŒAo‹ÎJ[â© n&WÙoP„¶_-!5[Êæö‹a;¬çoŒùcöøö[tt”zjæ‘m ¸ ç)çÄbYs n¿Ç<ûÅ„lÝ?+пºÇpûUP‰î¦†Pújö &¦èö;›j”g¿;é×üžs^oÍùò“f î¼ê²µçºö“8´Ý¢'å8OtË”XK¿üº]ÓÌ“Òëbôÿƒƒù”ßè÷#‡úo+™ ™¬=…Œ”söW¯ê.£Yñ_Ó“ò9Ë8«ðS…—`Y;ÔûJQ¶[põ®§ƒmæž*êä|뀕ºØø¢9À‰›Úˆ|LD‚ÇÚ‘Èn +9³6&!Õ06›Ð~ùGWõ†n\½†×kØ!q@‰èʸ 5·Ìfý ‰åÕ°põÖ‡m¾¥VQ Ø?‰5'EçbòèÞTEæU“BþÞR‘ý?kHd= Jq†0«?>dzç÷/‡À†ö³ñÇß)wüõ†m'ïÇwì|Û!8dNö‡ÎáìK=×»AO¿‡øÀØJ¸ðj42!Ì+5kgõìùêÛB8ˆnËdŠi1:N„+òKéB“xŒCÉ-¡=š¦HçèðÝ&ÅÙtw€~9/{Õh °6¢‚gà‘RÆóZï|n˨æåç£É- ™sÉÓz´ì¡„¹ƒèPÈD×m RAÑÁøkœ€÷Ÿ§™JD¡[mü¤¹oé !Ó)vý³»óà?™ü«¹` +™ü9,òÇÊ£B&…èÄ÷§¦em‚(¼eAåcù±¨'m÷cÖ™Çh9m¼Vû5íl­QØdîÒW,•ÆÄÇL¨ LQ„š”¥§ ³'ÚžG³ƒ¯ž0E,£ÒÔèä8Ó§l€)¯"ÕŠ5P?å!Ãî •þJ±%ËHû·Ë*?dgéÌ#¹›šöZî®2¯be“¸ÛeååXú‚oPSÖî}n¹´'}‰¿ƒÉ®íZ?zB½6^|•F?Ö8Ë•{jüI pXb¿ Ý/ÞPÿV´Ô€M|½‰J\pmh‹Ôe›Ó¯V{’0¬¡˜àç“ŒK8ÿiÅp]Òár0T¬­ñÂßÌÁKK È ñŠuÇ™ŠWiÒ)¸ÒqUÜ'n®Wˆ:¯Tý4®FM£G\L™€_l ÃG{S÷Š7?fÅáE«°£!Qp¦R€@_¥C½ƒ SµÐ¢Šs1(ùøË,¢†ÖóHmariìâ_éæPœ²é?¼â ½þ†Yà)‹B<«-Š$r…¦ûNÃ#¨Pb¼˜ONwω53LÒ¢ÀXøê»ÈN\Ê)˜ùZ®RÊy:<‘åÿ¸õZ©·›˜uÎpèKìK^l‚dwJ;è‘Dà”ÿ|qõ¿øþ/R¡AÂWbЧî½y>ÿ£ý(I¬•úÔÿ?½ßîxÄÛ}8Àz߇ÈtôœÕ¥²HªÂ}‰»É&Pð˜Ì‚c•ÙbZXö‘'&gfäæàF‘…l•{ª;b?'°rñX“4^­R Ë ݽà«xFøTš§”y?i .J̾͞d1™nc Ôåú±³3°»˜ºkùÆ¿™™Z@Ï "HÎuf;\ÍzÅ•hìË´ýt cM¾rO‘¾,DµžWQ‚ÿk›‹Êi¯-t”wf”úV¯¥RÒ =2áÑ3ĺ‹áê·ìVoþ¾± l-楈€ »xŠS7A~ã“;{â†|Kr¯–Ýå” Ü`†PôJ+Úì »Ë¤ïe<¨ÉµTãV ˆ£W±b¢î">…Á8M‚ÝÅžÌ%¥îž9Áb8²º[W:ðRØ]þ/ЃÕÝùÇY#•MÝ–C÷֣r,W\bwçW|˜P$ˆÅýH<“Îèz´¢³ÛÄ,Ý@¬ˆˆ2ʬ\é í¯Sž‹uqj‹¹íU…ú-ãàÃ"dnmmçU“ø_øU^ +•vbeñ)%; ïgë‚As† uÖ=v:¼Â ¹ +÷X-§CKX+ +éþIà7Ó½‡—Ã0ƒ!ÕµcüG +Ø¿Å=DÊŽ¨ë˜¸Ò‘{°ŒþÓˆB€GÝ7ಧ”pˆû˜œ|ÔEý¯1ÓÄÔ‰ Ü-U@ªžŽ”™aPJÅÊŒµ~@Ô øçbóÛòéj?ꢮ›\Ñßr:bXUÕuÇJ”Rÿº¿,Á¸&Xë0¶`«ŽCaŸ(AlŒ¨*¥jS—XÄJ'siRJŽ•Ë›„ùÑš ´ÆÀR‚\(\¥VŸÉ~x×S÷™ò¦H3ƒàÊÉ6:tÒ=Œº•®8kt<ÝK(êVëAž.õ#êrQ„Û¨{èÙ®­ÀRÚ©ù`‹?Ý«u“ÄqnSÄå°¤¨Ë(‚Ûd±öè,ê®|)­ÞŒS€ã’„î.QÀ¿¡ÉpC…Lüâµ7‰ºâþšÿjJ_ÔÝ+dž}éQ×Wœ©w%Ämêâ™F]qfAÝ'²~‹ºÙåD…PO“¢îŸôoiyºNÔ­¦VŴ׋ÛÙÄê’aÝ÷·NØ1°‡E…¢®JâÖ7 }dHR¢î~ DRÙPÁ,‘étø†nC}™%t[¡U!ðÃââ åàŒŸ™Ö²eçËÌ1 K®Ñ” ’Í¢Ô0µ>5]u,j +‹¬,÷[g„¾[K¤  ˆÓ1Û×™‡0rÓ×Àgûôg×ÇÁ~¬f¹Sþ_ñyÅ”æ‚-q®¥œ¬8Ùä’^XÙ|UÄ¿äÆ65¹,ªÏ‘lC3yZò£h²,J´ ë 2ü³~¨#—U9<û%‹ùeLbhÒb‘¨Ý‚ XM™mqõ=?ýÏxþHÅù¢º¿›2´„Á'GŒKms·S©ÐPq¯Ñ(œx˜õìošø¹ìjI¦óìŸÇÒžå«ÇJzÿ¶(ôÒœ_–Y;§Q•î`¸;ó²;—2îhøŠ¦qé[þì\JMc_¸‡ì+ÑaÖ¥¯ŽNƒ6ÝCì58ÑbL#¡™?ö–Ú7£ ­K„ˆ·Çrĉà*Øѧ²þÌæD—ÄŽ~›Ð°òp †^ö¦çD(–QÖÑ:EÀ ‹Ñ:îk/í9ë2t`}Y) æ+‚ +£ú‡”ƒ‡@Ø]BþšCq*ª'1(a*GÕ°ÃMUºÂÙ+™ .6È«Ãí:ʪ^&–W²3¯™r5Z…þY$UkÜ¥aˆÃåU·É°™œק“ÚV¯d‡u¸’¬›QÀÄTÆ>ÄÖ§c7“)ycÔZ²œ«I°ì‰• @Kg|:Û2ôV!ÓQæ:€îù +•ZfRÇKšÂ÷yN™MDC¶öJ˃dêÛêK â΃ÿq°ÿÅ ù›2÷0±,0­Òªp²Á#T‹\F6E•ïðk D}›lˆ½Å0K~Á†(ŸŠ»‡Ðô]Ëx•°Ú½{ƒF.³˜ß"nŒyjÏØÓÔR¬¦Ï)ªoñÄõ+^ŃÝb~%MLˆÿÕy—ðyEÞÔìc­jª9ï¹%ä˲›ÜÚ|eØ„1³‚¸pCgœP[(N„êB¼ñ–o)’Wo&„š÷?©6p‘þË¿”(ïM(‘eK”¦O×_ŸïÅùé¾,ïyI?ö÷Œ' ñÍóvâ¦ÝE{fb÷¤ ÔÄíd_ ´ˆ"'.ÙD£Ü5ÏÓ×$'°L²KoeÝËÄ•ÕRõx¾â®Wá¤Ø]q#ïÃÂþLO « M`â’–áÄ `éP¬¸±Ç^æ‰{Ð1¼»@Œa²-uC¬áͦ¨Aݤ¯û@Áéê~¬œvr|Ó±€¬°7<¢“¡Õ ¢vÞ¥N|Ïâ &ˆ¯¶O +'$ûQ%—+N‹*wéY`uÅ8òì‚kb,lLGäw.jm—ð{½)j…g5x'`vµòY/Ÿj•ì¶^Z sÛ.!íüÍ$ìÑ«ÔÒ¤2ŽP ’NUÄðæCÅžÂñL%·2d÷ƒÌ2<,›ð Ò—®åümÆò­ 3Ùã® dç˨a6D^WfF×Üï@<¥ú•.b\³ Vº]0/»|JI®hM‘»L¦ñQ^»Í5Ô“÷–Ó\{3ó<ÉùÆs¼x4:ƒ&Å“MI`p÷ÿÙüÅ°ö´WF}»5ýŸb*AgYIîK±@ã¿’ó ïÑ4kXÞYel§*gª°¸X Ô;Ý5m¿ÔLEÂŒ)7êã‚å|¹%]‚[ÿái“¼y5Ø ëG‰šR¥¬¦[:Ëõ…ñv³Pdø+aËX¸?Šô¥'=AÜnßJdê¦äÞ<¾­Ba<­Vî'…›3*ÈŽlVö"cઙ»·¹Ò7‚Òûš%žuÊ 9yG9; à/f'|œ[S¼m^É +BÝAÀþ+/žhP>…=yrêûF¹Sy‚ó[åñáÿ @)¦5Ã[(¿÷ÇK\ñË> èò_™¥p5_r0WõÑ„ÿ™[Ý9xñzáE‹¤ ÙæÚ•fîõeçµs,eŽ +ì$xN«›^L݈tÎÏÞ?ô}aNwJ vìGv’?bbcf¤Iyè(Ï a¾ •ÐY´×—2ß/Ò\›Pà£=™—¡ƒ}ºŒÒp£o²Ã‡™Ž¿oç-ày×Z®1\lçá KbOã%Š—Öi¨Hò.j?„€mL 7Š¬=î'}„YJáêZ·´Ž›àdæ/ºßAÀ×uä ”O”÷¬x€£lIŽùHtl.FãÀõ˜à]ã–{=ÓùBŽ5T!ÄÌ6bu°~d8w¾2ÞŠtö®6?”®ÈýdeñŒ§™O|³qM{.TEKéÏÇ‘ô e±"' &S?ìˆlÈNH]c)—6ùMÑÞ•LéÃLŠÁEH'\öÉQf`<+¶,F“”™¼@@d&H‰`¶Ðä> „]žýý°¹;÷šÕ^'‹ÆpQÑyþ°k Åë˜ÒÁÀUÙ€ãuº J–æ£oå±é9ºp]mtt¥2¯ê²+ïƒþ芈Á³Ý1stÇcðN^³æÑMøÛ{ZR‚¶æ_åè¢Ä`Š.‚¶Ã:º){ØVt×·ìÄÜ;º±18bÑí‡9º á!òSLƒƒ‘E·–ÝÝTózAŸZiÏÑm]fW„©w0¯;ó7ìÓÈnýÌgȨ«a Áê†Y%Ëô ÞÊЗ²*øŽ4´ïS¸¬›„-2¢ËP“’¦²œ` €ÜäNè .nMæ”Mû2 +c÷%y€Ûéƒ/ڃѦte›ÿ5`z nMSRN¿@ÄÂ'Æ·¬·9«'@­4Ý/KEðÄ:ï’$ +‹Ú>\t¨ aúšµúj´AžÆ`í¯C¡CnÐïÑ2L×wk8ê· /Q€Hõ—2ýPk "p÷‰Ì$$–²S¬ˆ`d pbüîÌÿ;ñpˆG•#þ@[=ü%â%8ªY&bØKéÍU;x/ˆIJ¼E,èNeGC\)+Ö ×Å ¡#åøZ;{ÿ×ˈF…|I”2+Æ÷9ë  eE9⥾*HuÅ2›e:¾ÛÛë"|K¼ÚÄ$”xT15‰IÎÙ¶ú¾£³µO¨¬AÀ¼œz9¸É‘Þó[´\iœÒÃbhh­o%Evõäÿ8¦Þ5{v)#‡µâŒŽ4^n´Ò§té–™8îú]¾S)ŒÊRçÇãmË¡òàh*¼ìÃUý¢KölÇŒÃÆtŸ5©™‹4§…°£ æÕœóîˆU¥B‡wûv>rcK³z_MJñª¯ÙÞjt¿xÂ]c´Ôí»š›€)Z«C!¦j‹P[ì¢%×@Xß³«¹èÌ3€ŸK)‡³ Âö2:t—!ʵ2?åä9OßÒýRñlέÂú¼D<ÝVlC2*“‘/Þ›ÄέC™°Ÿ=§,:áÕß*È+üeo%›a®RÌ43)så ¥%ÞeóÞYÞ Œ¨ÅËÇ~>ààÓš†ä,Е×ëüÀæpháhQ_–ÏÂL^+€CfWEðpæÝeBðag] ^b-æô¬•Ò÷]âÜI¤å@–&oæ¢fJÏÜõŒV8¥øÓ¢ü +£/xð²êü+L“ap méG'­€¤3;íÖ¿W\Dþîò\*¡ß³NÛ i'Án¤f£yG~Å1gÈ€ÕQm?¾íx˱Á«å'ª’+/ý¦ì¦²ºñäÉÁa^ªÈ†ˆü+‡JàtNå’e3ÀhB°X,®ýq Wúîx½¾~= qÂÚˆ¤î£ã±h[«º¤$oMaóÞ‰»1MñÐÌOU\š/äŠUÑ‚¡(†qŽLåçQ-¿dßð©±ìÌ·þ~Í -ôn[Àäxzë(9ª-s“àÝ$öWÎ úˆbF>IЂµ1…êKbzÐ{n`Ç ßc\ěɫR§KÛxS¨ë~Á`òÒUh«¯ïסúp3V W(ÐoMÓm=³î©ÃÕÐ3ò£¤<Þ4¨ŠON%Ð’\¶!'Cý'ÔÐFbDÊòŽ¯ÏLjÍÕÜFñ™l™¦³Ìyåz°^@<6‘eßBKe1%—WQ‘–Xx‡ z·ÌzñÕdB5µ˜¡)“ÛÉdo|«ÑŠhé*mœSÔÐq7M¨Nb€Ñ.d\†£Žu(Ÿ|¿’²“ÛÊæðc8$Kþ/K¸2-l¬“ëDsÄú:’inë)Àò0ÛÅ¡¼‡Á.(NPçæú6.©uÊ™m—•dý¹kTëìÛc˜ˆä&û?ÞÈ®ºÝÝ3²xMgø—Ä1‰$L:‡®C‚ujKÓyîÐ ÜÞ †¢)FêXù ÒÖ樊­¸„Ögä+÷k!/™^íƽ •_Î1hB£È÷Ö7¥%H¡Ssàuÿ“&¬3Œ"ƒ”ˆÅƒ‡óNìqªc3Im¹„ÂËb¤1¹ì¶ÞžwöÙªY¢p,è@öî¾oþÑ+ærÚÑÑ…õˆ;sEˆË„¡HfyÑš€ëWfb?Zfro5žGmQ¨{¤³¼Ø%~¤~ùAî›5Kok}‡£ª™Òs°ç2 U°žzy~.K.´f“¶xÔ]`æªò–ô +¾N ‹!-oKÍ‚™Ù3\3˜^¢OlìÛe¬Oúd˜n‹¨+–ªhÃÕ4~x H@ľ@!Ï% Zg­¥y|Ê©‡|±ØT…ÙðLi´¹i  +¢bŠQ&pù` ©QYA_Jó6…vÍx3FZõ-P|g¨¤\ +yæ<Û[Ã4p²þ9¬67d¦Ž›-Œ’$ 6Ö7B­Ƶ8‘ iDúæÃ<àP9´ÇJ~>níVØ+þ‡urÛµFÑÃJq Âê…¡`ÜÆc×N4ÌÄNWàÎUvÜìa†´u à©|"—ÌL‚–waˆžï“µ–‡—øq;½@ÿON½$~ådŒuZ¶×ŸßÈ/=™ô&¥ìY˜3ˆƒàöô7nßÑ¥5ZÍðz–ÀL~¡Oö矂ªò';Ìôù4‰ì_k¸IFân±é#½.×uï'Lf…Ÿ5[ù°†«˜ÝýH&Â-ª–ß¹Ük"®Iìf=,ç³\®ìC Ýò" vgk¾Ûrl‡JáC‡V‰ã¢™Œ„œÕ”Kˆ=8·C]¾dï8Ø€g'x;’uù%(¨81 =eb=_ìÃYi +p°l{½“?=›·#_–lºrY]¢çˆÎ ÊO…÷ãIÉÞ +Éí(ÄÌ!¤óE 㸺/P‡Ž3/:fbç➦ž‰@èû7‡³€ë­×̤ìÄ?¤þ?½/Ë° ²àXë /ºááiD= ®_ÊM“±xIa àÂ#vºGö fâmè©IV’¹÷þ]–®P ¸½ççz:׸:aÆéßï¤Ú2üéáWLŒpÎd‡<Àº+^”DU㱉±ýUZ ž*‡@9Û4Ïß°zÂ_ ÛšmE˜nilûw%ìökø ³yä4ÌA~q‚̳ÒÉ2¬Ã ‰ØøâWÝR‹pÐâÂQ†ç# +i>N”šf[=ˆ |%ý ˆØ³¨@ æ+«ÕÎÊoþ'_úqcÉoICìõ“ÐiŠ-_zÕ­d·dàwŒ¥EF+‰,©( Áá¾<ð¶’cbЪò&TȳJÑSïXéÿÍùÍ=;{:éïNЬd²1\0PdžÅ¦Ðº +Y!NbÚkŽ‰·¿Q%0Lío¥•$ùCóˆ Š?/Ü S}Û b}^öÑø0©äÉŒ£ù;˜µ4fŽÖÕîÑÀÃSS_òa¿ËÙ¢ÖÌqÉùÕŸü×hÍrD õ9Û¸q«[?‘-Œs3\0ˆž]òÇ|Ä®jªÑÞTw.þFZPסu_&—¥‘Èy!¢“ÇÛº°Ù¥·×áˆSÚõÓ dÝáÈ(b›{$µf8rZdbµFÉ~N‹h¹ºÍz¢Æ$Û™ó£ÅC-‹–;³ FþxM™yfº1ç=ëFŠú‹é)åµ¾'Pß(Û<²á»‘LM¤ÝЇž=°±tÙ=Ö5Ȧ5L@ÐMNéVÕõ ±·ñ;¹mà‹øc §©ÎZ: öøG®Pû]­–2ùÄò`ÐÅ 3¼5¤“Fù*ƒ@0a|ÎTnc‰³º„Æ ²4)»niÅ>lùzj÷¦ϧè-y#m&WW†ÙÛ—Ã|Š…ø!C‹$Ö¸ª&§x#åB!èòîk€UYÆ?o‘ê \¾ˆn›-Ji7ΔÁéc¿š·F¤J‡ä ßP‹¡µ4íœÆ~Œ§Rf%©¦ÚŽšìu6ýŸ–„JPäý4…w$AV+Á°T6!óƼµ6E£[ô-E†K¢»¬!xY\Ås‚U/wŸABø¦T¨· mnß.î*CkH?êÿâ)Ø4Õ+jA&±XC:Àhd¨ë {ÚãáK3ËÌÞ²ò{œßO_¬ºzm²«×¶IK8 ƒÎcÍe´çZTDt‡ÈPa\š ªb ¯ »_Ü@G’Ê›2Å¥ç„ +ÄKq7í*R¥~J_Áˆ0À*ÀH«J]a‚”Êð©…©rZ¥D¨b¾¦¼i6î3”r„qð®áÐ: ×Dº®Å¾|„™e¥ÅxkÈšÈÜ?ôW¨ ûU7ØhîÿÇ廡oœFœâŽ§ ”ȆœÑ·¦vkézÁ ôŽ3Þ^3µ?@,ÐȱEVþœ–¶ìŶDÅÚlùPÍŠÏÚ{t!K-` "•ea”ŒîÂg«¨x§• U8Èn °Ö\°h]â'¡iʉVÝ3·÷Iî…EAx¶B¢•PíðHùx\ç÷è ƒvtêê¦k%"Þ¥Žb™Qñu:JPlŽç@Ñ>lpø¼ + +dèÈ7‹êCß%‚¸ƒtT¥nõ8m ²RÀ§ÄP ¶§ƒò*¿ÎŠœ²÷àßVCª#é‰"\ƒdðÿƱ¥‰k5Ñq(þÄË2])LÁ{ЉM.mp¿ìÞóˆv#4~‰3jÖåOœËš÷õ;¬*˜k»Io¬d鈃¤\¡(viX-üÐø”Äè?À™¦É?éj‹¦;5úAz#]²ô›¤Ù§ÊÊðvñ»ßpSg†< Òr.~”pü¡&þCÌ£ÈkT«Žd9I”¿šÙgÅýZ¡ïÒvüuž 1º”I,}~4R tA.1•­J/ÃÛƬWóv¢âÉD-:R oƒ +H/È5ý_iEs=äu¡ùÖÞÁš…_ë”3, ô”³Â¦0rböh]øFî$Õ#h½+C‚ÿê“*’ˆ;fKnõƒŸˆ >‹)¢Ö(È9aÌê#P-óvz ó§ºþææ¸e§yã'ªˆñ¶f9eú N¾#—zËûanV/¬]xñlËd½ ½Œ¯ÎÝ&\€2ápØt•ˆ±õ°…6W/!!·Ø ú¹§×~  =œžÿzÜ ôŒÅêAQºÖoÄnùcùy—À¹êáýj»4†;m–Vf­k +Ø“KÎ*p;1éÛëBës1ìFÇïNJˉ¨·*[@ Wï­¾PâD2Š$@Ô?¦§YžY~ÕŽò /¬Ò©n³ƒ D³‹Ú/×ÆüBSÙwnYl¦´Þw·Ávk—¤ÙOÞãwØÊõQ …üªSqI5²P㊤¶AOÖÓÙŒH>›ƒ£¯üÿ^7žL¸ÿàdTéBžI“`#½®Ïo¦cíÿ4”-k¬ö¸qÄo!ÍQy[Ò'øÈ.Ò6pe”–¼Y¼‘^í†Pœº·°à–ænm©©¾—4Ä}%¶k¥_™3àêC:••ê›y² ªÊ3È˪©pn‡‡ˆj> +<§JÛª«Ýsš;£Å ·aÐk¢{°B +ÒTô™÷ÅtŽ WAN÷KO\ws5&U¢Åg¨ÿ ôÊ?uØ­iÊ—¶M!+ÔÅ㺠ñ·L¯y`÷Ø?î‘ì…ñÝ,ÙEɱS"ø6M­ã\a©“tOé}£{É óZQ ¯Æ*ÜH ˆTß·¹à ¢f*€<¬ +ÿŠOûdI€=Œ’4j£d‹¸QOŸQ™Y¡ùîl‰º´X£p˜@,¶áL½ØÖ"ÕhbGÅ(þNS' endstream endobj 22 0 obj <>stream +…üÃH-eà.VêïhëõM¼í­ÒjÃ>Ct·aR?ù{ß—] Y¹KASqu ÛT€‹jéAöÙ™*.\dvJLȦžÎÃÖíÓ¯«?±ë&®Õrk°!®Ì•5”ˆ a/ PÞ÷§OæÔÔŽVoQ3Fúøø´˜Ë>OMWj2ð-­ª¿P7üm‰4² +yt„’*ÑLIs$%ZŒŸ=þªDÖA+´üçÄ".ÿÞó }ž•2hˆÎý»(78ÇCœDjóa½O3Ý—,˜™ö,r”˜Ïøè™—Ýo[®:ü•¨ìÝájP¦¶çû1ùP"¹ïDFóp¡3ûÓðzò8¦ŠÅ±þÍè~¶5O—÷'ÙL\lñèÓ!Mð—¸Lx‡?Kð'^ü†d4¸=Lô݆eqýeþb™lûêÇ¿”þça2x–G‹àð® ;o Çûp>Ñ–ÁùË3üvI%õnNþ™*\’JHkn¦ô +­ht^ uî!ƒ‹I“1).ËG ¶Êd->Bz@–E1WÄôÿ[Ú’eE¹ÿÕ¥|ó?BV²€ó,¿¢fÙ$Ï·P³4_Â+v3ºBOÌu>WùZ4o½…[ÕÂV9¼ ÀP@ø5U5ÍÊ`¢hn¬"ZMÇV?©º*°6E«éB}uUﳪú¸wŠAªt8¿8Õ? ©ŽRE+˜§ÕÓ ¥µ‡*l¤<¨þ|I«ùÅÏ×·èj4’cìtÚbØ£V´À?\ÓIñÞE3[<k Aüi@a7*ª$¹‹Zß/Q »õ9ÔÛ_Ü‚Šæ0E"œêµ”wrçö;ÂÎ'„í¶VòÓêhA'•B€mAJ +%y §ÕZÄ­Ü„^ –6Á%h(L0ü¶,)bÑ}Ö¬`ÔzUcÓ5¨µFó´‚?&£8Ãií" öŠê@r‹úpúê–È&h)B)Œ¥'[ÈdŽVÐÌXÃh êA¥õIÕI b Ó/}s|ƒVÀ +™Ò&)äìV~‡-¿Ÿ¥nî*’D.\¹¯#ÑÖ§¢ê¬`ãÇùF3giXµþõf–ø(œ£B!tiˆüëˆâŸñÿ,ØFZ‰àYQ· ð;3 +Ýßa£g6 õ^Äú¤¯–Å›{ˆ±"¾gq®²xé5Ô‚‹pLY:¿.õÏj¿NV茉Zç£P]É°}`‹†¸@Í¢¹,à/B[ê"|ó|'ù’[)f•°®‚€‡e¬¬}ÿ˜0ªÝ?ؽóg‘‹¥ÖßOwź°õÃ)Å +O +Xmb}_ñKb±Æû¤D,¾CûPA¬œ¶úÈ<,„‰~ËšÏd†Õ‘¿¢ K›¥Âš[ôÈ(`­3ÏLxÈa‰G*½~¥[«Çœ`ÅÄéyy`=Åkl·ÅNA/,ó«ó¯0!´Ué¼g¢¡óŽ +Ð9ΰÂ*æÄø=£³Êwr _í¼Ûýâ|îØ«ËövħW¹¯vôý zÍ®¼²Ž•îæõ+x•(à,ï*»TÇÀ]ꩳ+WI6lÎ÷Ô5dËÛWEW°oÇ™³Sòå)iL_y~ +ÍuwrsñŒvs&åbâPˆ‚î‹Û­.DP¶[…\ÖäV&U¸~ÛÊÁ þ=×Þ“¶ú[¿¡’­B>`«ec·VÛY,Á äæì›ZídÜVt7‡× UÜ6þ¢U€Üez(`²Ó ¢¼•K/—Íyá‚òcƒ¨[â±a*[á×M§×Ú5‘£%«Ýzb5‹àeMò‘åaWã2±dÖUÍj`A§.¯¸-jžÊ•ÊV+ˆcŒ•¸0oÞÝ–*X+W*á¨úä­S +0SwÒ])÷±z¤¼½6ujh`¯*a¢€×ùÀÐôߤ‡ýÂ8 ‚õ œuÁ’wC™ÂU ç%âIÏM‹¸®Rïåf¢§É©RhÄ`@I¹Ýpc)É«•p•kƒ«põÁ­*íx­2b›Ù­U*×Ù¹|wã¢íT-SbÐÕ610b­ÒºJY×hUA9 ¢Åž¸°V™·£W«~冖ǃÉ<ñ;¢U¸¸¶Â裫ΰGÀÒFû*³ûnt˜. 3Y„Ž=ì½\>LÌrñX˜qZ& *,åSæŸwßÀRŸ) íßÊ,±( +5G8ãïÚ|p%‘ò}a¨èÑýyŸ¬ˆS§)ös¿+È&„¿—:‹$\+oa<½º6E¶³ôé1‹e¿‚àp®‰‚Gi}ߤjN½XÍA6?ˆ=ÜŠDu:(—c³%Öt~C§sµñNìN¯Âþâ)uKLn_Yǹùõ êíXïPüÔ#Ù4a úQ Ì!¡…–0AOoù!á!ÆòüâÁthwû*Ñ ¢³™g5Ÿ†6ëNꦡ(и}”ËJM(iˆ…×poƒ>çå4¸¿×A½*[3ªþ1± \„ƒ~¼Ý§äÃê“õä¶*Ü¥ƒ,xl|‰n †h"&-·ô*H”ÐaaÚs²2<™†YÀ®JFOœDò,$[Y„`@õ4¶’%Ú¥1«¼¬Bzà³ÿêÌ"÷|]zÛÍì¾þ¹ŽÌJR—˜½Lß㛤=8Ê%á˜òæÉdzRÈWù—,°Ý—ͪÑI±?Æ_f,uY–H~²eçÙ•Úôß²¡, +‰Nî*í³.ŒxYnãÃÇ +/8 ‘õ ÙÇÌz/«Ñ9_6‚þeÝs Ü5þ3’ؾ¬52lÝ•;fÙeÄeÌʈ¡K7Íîå§,b$ú{o€ÝØ^Í[s®72;ß¼!¸}ðißob.3b¼h!Î(<ý×éÏh³VF|o´Ád•Û2âî6alÉV®s9Úz{Uµn´iuÉÅm¯!G'íÊÀWÚ¡VáH ž]üíË¥Ô³ù–êâìUC&šSŠ‚fc°Q Ê%±1Õ•ÑlD §4ÛÙT¿~?J³Gdƒ@s K³gU¡œíQ§hM’Žf­ádJWÙ¾B³ÑVs'…f÷S9ˆ³`ÐlêMò ±_6>z4{)3š B”rƒo ?³A•3aÎ줿Â$iÎì+çÊÀ¤ã™­9. ÜfÃ{½H(¡ÔÙÝt;©!„cÎìøN KNJÇØ«Ä1°tOÐcu/#ç=/Ï!Ûë› H˜(`èQP“îÂö¥—À‰+ÛhýñMqºöpõpÆ|r’½NAžÛØžo¼‡7n›P¥àž¾§{â÷ê^$„Óñ]QìÞªp~Dàk)ðº'½á„¢Ø½9Cqñcvûƒ}/é!éþ_üüÛ{Èqd­…ÀAƒašXT·="d,õÞâ÷¯$ÔC‡Ž=ßï–mki“Ù¬áƒö¢¤ûš„‹1r‰=õß´ÑYÑ¥Ácap7Ù—x£àÐ4u) +c?­‹#Ö4Ž92s=ll…\€³0§ôS¥£û{”óɽMà UÞ;‘' +Ã.qÕc'@XRí~sÇXxÀnrKýnŸ"¸®ä_¼·Ùtôž¢w‚_^ˆ§{D3z)5ÔHç£vquQ&—Ÿçb¸'‰Ôz#HA­ñ$³¡š—²êä)N»z µVhdÊeKˆAçcTÊ'y¦œ9ªhNÊàS&‡ãͤ<¬1réEvc‹ë©ãÉVkÛœÖp>3›µMi0°W’¾íY,$3F¾çLqAsu¦¡N“ÄÙ=ÚIÝÊ#6‹ -æý=å1í_ñ²z»2:yag1\2§Ïý,¥šdhj¡9C<è¸ÂЪçT‡eãÆ…3+È©çÂý(2å b~½MŪâfKS@à<0ƒýx Þn4Bb ´¬`y©Œ|ÙáTí’}$¶o”)=g[$bû‰kÌ£šn¡¦]Y2VX]!Š÷+æs§«ÏæÆò Eâù6£ÃY.œ3¥ ]¯’Ùhš­²ÿ/§Ô‹žhcÛŸÆ!¯Å©É±÷iòf>ý™¥f°+R‚Žçö<›ÖóåµÑs>ܯ‹¨Ñ’$¢."^— ÐÄñI‹½èGÞE1®jg¾EŽNÿ*œ0zÑle™âßg@±âºIe.ê³PÕ +ϻӆý¼xÛyzîEÞ\;C1•Ð†XK``©[qÕ]ÒŽe¸•ïR¾É÷[¤Ág¹Ži½GKý5FŽ«öSÉ40 ŽQsýÀ¸9ÊÜ•gÀô +mñ6”3”"™¦ö‘¬„S[X%3QHg¡uç<°ÎÓ¸ú©Q˜¿m›·-ðK#k˜[*!ìLËw¡¡ðë`âþà7 kè¼{@Á‘0¦#Ù€0ÀWÚH¬—tEXX‘xšcEXÔSvzÞ™a*~Eß sSN ÔkûÂò-Õwå~PžÇÎóÝÌ!ÃlACºàÃ¥<ÙN‚FDEfuD‡»T2©5x ´¾ ŠÅ¿ žåëP;yýøË7‘A$¢vâ¤#W8å  +qÈ’Žg§M‚gÇ3]¯‰À£q§I4åÙŸ¢äwK7€Ü€“hðÐn×´ŽçÆ^„¹|Éñ3øÐ9׎@ŹàBcþOêöþ-{×ëý`j¢ cy2Ÿ!¶0Ò»Ù¡cEVÿ€*k2WÃ"ˆSH¢—ÝÇSÞl²Ý£g}j[þN´„@×p¥ƒyêðìÇ–ÞÌ5`Û渡éWÝýo¬ÚÜ V+o.8ß, +ñtzüSh†ºù ô–ÅìáÖ>P'µÄÝMØ£ï]Êô¬’~•†ÃÆQja¯ïu\€5{BÃÎd![7HèÝál./ÎwŸÑJ×F©à4Ñœr!PPÍ«Ì ñóþASÃÖÂí¾á€Ð–;uÙSР¯í³/€Yí%ÿCwš#ÂU0•¨…9jüÄòýYWþë%Ÿ.]à ZcftqÛ+/"°F ½Êx°É›…ÂæD +l@dËð,Õ€–±bÐ; Ô©†ÚÙ§Xv ÃNh ¸KTqc«“ùD[”ÆÄ£tÜߤúÐÚñ{‚3†uÖ“ŽcÀf"Ž© ì9ž%xo!ƒ + c,}j^ìµãT% +ÔZ($PDà´d2+ô(Gª©¤‹4ò +5hV—®C’„þAÐúÿN´/¼®ûH?ˆ„zZ—3؃/ 'E‘œL÷É+iè )”VÒ+ãM oº¨Ð1•”º¢ß·ôOT@Óž(:»D’s)‰D,Á–¶ÖŸ*ýÓ,W7º[t!—eh‘Å&Ñâ3ÝŽ&êVç`Pg÷Wæ²RÅQŠa€t Iç!pü­$‚ÿ)„·~x…æÛ,‹xêQòè:ê£Ã6öé—¾›£ þssiÃ'Þ +V3_³ÙùÉnµŽ¹÷ôgU­·;{p¦@)`Òžç݈œ[öJ¹Á2Fà  ã‹»$>»'FaØØò]‰büÌþƒ–=j²MHFçMÙ„[ “»uF¸V +›X¢)·£äCÆ“G^ Ä8Ï©ðZ†ŽÌEç=Ý¥•ýxQö­N¼œ°› ˆÅUaxï’÷r4ˆþn¦«ŒDhNª\[Ö8]?OÐI–¯¼^Vô‚Å÷3„ä}`An콋è× §, ˆÅWT:” Œî-ð“䃠¼Âé+Qù ò°æÛfý¨ÞS—^>7å¬cv™§n<ÑJ……ËǦÒɈƂöÉeƒPdÀ2‚T»`o‚’—ï:rZ*\ÒU~4FÓòh¬où§ëš; b\êCò¼-‰ÂZÅÏLJ€$UvEùá5p¸¼cN»VMkæšûXõ 6ÓN¸)í_ <2üc_);Š{«`ÿ’¬¯½‡€i#vŽyWY ýQÃdY£‘ê¤áp)˜ˆÊÊü„€Wuri‚Q¸13gbÝM¦ÁÁ©Ø¡s`ѼŽš`ê‡ïОæÏ‚ð CïfS&ÀOä¾óšuIJ'ª !ži¶ƒ¶K7V}‹[Áã[Ü£Üâ*çD<ŒFÖpb|M}²=vY]Gjk.ÓõT‘8moŒí•ëUŸ¬þ´ó²ð#Æxö|ù™˜¢Té1B!‡ìÛ e¢õ29wEî'E[~*©ÿ÷Žߨ“Y>¦€¾[Ž{pêú+¶5RXõhYm +|‚N Êí~ž²Ëd‹Ýׂ°?ï;Ä”`]ܮ٠b®’Œx¤[fâ «¥©.59¼ïõ‘(*l‰kM£ŠgÚ%E›Õf$¸ŽÔ §Š‹FÍ.rQ”s#MFZ²õ”‡æ$m}9˜{"}¨?%'ÔôW…¶ê9ø{?¨#)|# k˜€O™× ¦\} ã.¨ \Uà‡£ÅD·ºo¨´èÛvâ!†ôQcÑÈP®`ã\ÁÇT óщ5"ÓSb_iÈyì; f7 6 +Y$Ì )(ª®µ›  Udæ ”[¾‘ËLœ÷Åk  °=¡<êh°glÊaŠ†uDãVò{&Õ¶n÷™ßë„&Uˆl#‹ÔËŒ÷ãúω€†R÷í9i„¹(?zòëÀ±ÝÙÐìe~-»/(ПM¼àYÓd¨$qâöâ„PÚ©ºÐ'­UÓB&µòMr¥ß•2átáIXÏ"a#Þs´,²˜é+gðÇ, + Ågqg W\5´Ž¯y¡óã‡4œ‹Éä^/‰ÀB; ZüE“.˜bJD »_p]‹ÏìeŠ¨ YPßô¦‘IŒQe¹¸|‘6•Âwn³Z!Œ’C6bua§ÝLk°sPiõ×Æ1AÏ%‘4?ÂGÏ D kz9K¾e&r·<%>¹¸r##N"‡cÁ÷K?/ö]œ$"$å^§¹_ °¿Æç<'<‰aª9× ·WØ Ù™º+Ptåeæ*®1fGÑXY´1ôD:×Î|68‰”îÛǹ0@AeÙ Ø+íî§N ƒ´(¬²%·Ë¢}I¦ñøøëZZÉ-Ñeã…7úÞ9ã¿E(åÚI’æ„CŸÆd¹x ÂÑå—a¡Ùd³‚„òšW!2q€bÑü¤ïqgpr6Ÿ*•ÄW X(eYªú r9nUUJZpKÿG!|€€ÃmÛ®\˜¶ŸE-þïœ8{wѲ7¼T"«bNrvO¼ RÉÒ +•.“V‰T'ü†}$ÿÍ;³Ü¦A°Ç:†ÿ“iIøé”K–Ž³€‡O3_¼“vÒÜ¥›Ôw”®R¹Ú·“êû'Ã:E,³ŠÛn¶Aîü¥©Ñqz,Оƒ] ï$„;3×ôMÑœ9üÄ%k'Ô›‡òãýÇã'<Á¾.åáZËh—.ëH2óD·zAWVöD +,.¤ õ6ñµ\Iî«¢]L=¤{Ô’#–¦1§ò°*È„ôìj/¿…y£Óe/…¸[1§7kÑîºñŠ:·þ®Öóˆ˜ÿé–Ê.ú+ÞµñG?êöÒFNü~UD³Õ£¬¹q¸¨ Õ¸…ÙÕìŠBv¬euuá "±ÙÀ}Ë%«)€vÅe•8°±Ëái2˜K’À?6§Q¼ÿoЇJÙdÁpý“ã¸*jw²{w³Ÿ× %ÜÎö*Q ˜à8 #_Üך§ÈeË™#ü#ì6‡ Š¾¸ÑÀòý“©_î½ÊG§lo8HÆÆÔäJ’öÍ á`i®Vä[NÐZ÷¦Kl£:0îÀÞ'c²ï9Iz¨’xXð|r„ü…’q‚ÅivOþbŸ·`™‚.^(; 7›“Ãf$SùK{l|›zéÐ&âBÕ¸OúÀ²pösê%ÏR[Óœ©z hHXS_! +h¤¬ö„F`;Ù³¥“Õž;AÞ;Ö£× +ðwØ w”* êPG‰ûAŸ<¯âQö¦c L›˜sT_0¨Ñ®ø¨…»ÓH×rIöt±ó*«Ñ©lœs~ÜÌÂjìâ62‡2]ħ!ó²èL«üÚ8󧚨1Ùq¼t’wV yHŒŽIg ׿?eó¬&« L·3È3–ÏÆ: dL 97yáðc»£p•4±Ç4¾º!ý$^C¶ˆM{å+ÂF?`òæꟗŸzãªZ\4KôxLþz©JNaA0û|çªÜ©À½xÅ‹²K©±([åQE1PÞG¬Ú—€7‚zŒèï›qÒ«“„-ÝæƵ åä<Îñ~= nmÈÏdMÚÔÈKzôN á=Agáë÷ç9IŽ8Ó‚Tvú‡ÏÈuÆýØq#Ç$vïU:rµ(ƒ¢‘ò#Çá¼vŒäÊéܯÙr<ŒNµ•õ'_rÒpdµAÉe@â¤qX{ù})í$s/Ç1ä%ñüìC›r\µ‰$@”ÔJ|ø ‡sœ£É‰/þþ JŽÃ•h¥Ÿø÷ô•ãšìLóí€×€èwý/ºCô¾qCQ–/Çü|GKœÆ¹"'np— ÐT‰©Ä¡T†K¿ÄU”¢ ÌšÁï§éŸŒ]MåMJ>`(P[’(räät8@à%¹$º¾%噜ˆcÔÐæ‘ŠšÜßKŸ’úòýÅ#OCá½)9~&zˆ7J¬ZrÃ#Īýø Wê†áÜ®¹;‹{þDB\73¡ò"J-ûMøB"n®Á­/TO&Óùa¼ÂY§î–ÙésM¡wÇoÛú¹ Fb’ñ¤éð‡:× êï­0áYç† Á~2ÝfQ1Æãh âb¼ø`úÃË™(n@ÒŠ®!P<²³|Añ`ÈqtF(t „Ì^ÄÅá“Òï)Ãè cL±ìêš*I @€€˜›¯ =)e’)%Ùb#& ” ˆm164’‰JÇœ*ÅÎp;5áΦ¤ëTÐÜ)JÌšÄZOm±I…ˆÅÕOÏCNé&Ô_³zp½ªBº åž~ÑAŠA [Å7 @ð#åG© Ò—–‡w=㵚'/êès|•¬P.š]:#-´h­BkCª3”Óüá$ÄÕeæ®Í„h*|Hˆ±jþá°êAF1•Á#zˆÑäРÝÏKú`‹JÈU )È|·ó î³[R#µ53~éIÅQ,†"ÕY™$†âS½R/ÕL#-­j•šÐÆ]DDL¤ÖJ™þ`ª¸Ì)Î$|)KÍUµVêAÆxSj4v+X"5'ÏfðÌFRóNˆÚ¸h„ƒ'ÎíZ±KHDÌߊ#XŒÉp(dØêP\â?Ìø‘zqQÑ‚ŒB‰Ú4ù!(U¬0ë~Zçµk¨ 5²®OLvz8é¿.§è¨«hç™ù‡vÄ¿¢Ý£\l8iÈ8%± Õž–ZáãÀ4н\Ÿ@÷è2†ùì¡:òšM˜O'"ìÅ…¾*ôÁþ‹p6E³Â¶‡Mì$F.¥ +ŽÌP§¦‡ê:Md²QyDlÎ ŠçÎ.ß*AŠ³¤ÎÍ’E ,NŸ_A–’2½u¤¡Î:k.ÔÆЕѫ@ªÀ=#" 4 ळÎ:œád8»p†sá D¡Yä‡iÃTÂôaÈ0~:ˆp ÿ0lXa& ¹ÂT˨ïÆ y†gü‹ŒA¦i?“”›™•›Ên‘bÃÛŠšEëCzÑFPÓif®òî#M”ßѸ:qz×G‰1{Ô¦Sò_JñGÕ{ùˆË‰9F¢ø¹8HXº +ª¤ª¨‘Òø»t«íœ§¤%sùzZ™ œ*9ákv^iÕ–âÏ«ˆ‹È_ÆJOm5‹YË™u5k­{1ö•^¹ñ*‘¸N­²éD©JÆŠuå*ú…å‹Þ‘¦k°¾VuUZ,&­€¤Ïf>^M{žs+ë%jìD£VÁòUE«`9«S…AôG¯m‰¸i¿Z;#‹7bgêxØÊj.mÒÑVÕ²”R¾ò÷oÔßêDýOϧ¢híŠîç]Í–ºŠŽO ×EÔøEÝšEñŒ<ûái¤­97‹š#~ظȌ±i¢[E ù©I¾F5I±æ<ÚQ~æŠRª«ID>W\º0ïQË&‘Ö!qQ³Y+^â“oQh¢§Þ'.á†\ª¼*”¿5!‡#¿ÿ +¿ÂV ÉÅhËä¬Ɉ´‚‰TÝ~qZd’e1ÅOOÞ¥5zMKL/èšÉ +ñŒ4=tzèoÎǦ‘BW°#µy©KzâëwÙ²•hìR©”Å(±]Mh¬ŠÒ:CÅÎ+b+#_I´>äÔ›¨y/Q>ªï• “›yÿ^æ!swB„ÔzE´&¥(z{n%›:=NK¨ø)‹Jþ¨zlæ]½²åɬ)Ò5žzQʌևk­fÈÚ’t¿#[M2Ù¼àZD–‡Åš!½²k-ió!Jc8uG4ëfPHQ}ƒä`ÞNÄÜMu/X×¥F:æ-2uÑúè# +#«¸W/é$æœÇ³!EU(Ά9±Ÿ/8Bh†R'Vi%C%³+ÖœC±‚þ¼1›M‘¢õÄÞìOJ©Š9¯ÇpѼB1q–(…fžRZ1Š„ԮŶ(- S„§ÒlIÐ1¦¯H¼Œg¦嬂œÛó!Õ‘Ä#òB¼OdA­MÊÈ¢2v ïaH­Ÿ²Z¿Nª1$ ׎ÙÙ¸Ë`ÚÌᗴŇ=ÐgV4µÙλl_Á±­ÎîK¼ñŠ8›é"E™‰l7L›²Eb& 7.mšËã;R°j¹ªØ&ñȤ™K¢‚ãjc\¤ÄðwuHu6XªÕfiýs‡dÈU«ÁŠÇ´-fò@µQ,È+‘»º±¤âäÄ/KÄ]Ññ,NÐU¤ƒrÑ캼‚Ò±Q—Œ1%YlÜ;d*%´zJn©KƒgA%Rað,âq©rdF$}Ç°cÉæ!ªíŽ×.‹’ÀÏ"µhö$^”n¨ÃM'ôçÍ RÇõ¾üãF±uÏêBä'”'3µšˆØ¯+I +%‹’úèóH•¾ú(³Zê A›¨¥L³×!Uš½ÍH6{ã„lñkžœ8ÿ:¡0\D÷˜†èLzQ¸•^Ž£RJ¯.ˆT¯ÙüŠn›š[Î~QHÐ7û:ÍÄ=³ÉŽ*9Ô¬N«Z"r‰ÂÇ™ˆPË”@¥:P©Á¢ÆMá]]r†ó-M¡òJ…ŠEÎ`©ƒEj±¥N£×b4eOp1ÎaUJ Ͷaà ‰¡>²‰h$ÔyšP"š2 £¡P3öò˜ ¡0ôKi~öšÍ‰BnîE'3žV…bS“…ùÿàߦ?ø”À±kU„{**ˆ‰A,®q+ÔÔ…2E……—”„W•„W±ÆP´¢ UâH(ªWULG“¹gQ-¢ói¶î o#¬{*"FVµ÷”x«yJ¸R×|Ÿ§„sˆMZÎ&Û<)V'½aûïSà 9Œ 'Èä< 9ÈäA~)!RøLªžMLOip«)‰‘¢mùÌB³hÔXTò½êUR£ÂGŠåÙ™`šŒñaWøšºBÑ6!EµÛ )ÏÃ1þD=Sõ+R㨠5Ä!E3VíÓr-FÉš^VÓ—›]æ¡g»ÆøH'锵øuãÑ#µ4ëž\qv´iE-qɦAÆ'¦ÝP‚"Äå *»*–dìË3òE—|ÍCê1r¥„f‰©Y0†Q–@3¿¦J"Eš‰Hw©uFÃ…éh¤Ž‹þý“‰V1»d\ž2£è¥döÒe–š‹³š=W¬Ž¿„_îe¢P€7S3 `þÐÏcÂïÇ„ÿáwøøJ|g˜™ Sˆª–S±Sµ^G‘˜­Ûh6¦—&"Û¶…¯wŒwÃÔCkjkƒ¹SD¼*hëeU®„¶‘d\ñêÔ×ùKâ í†J%t XÌ̯CÒÅé{Ðëç*èµs ’G­È4=˜«`Z¸p–Ês„ÙØ©Ó"BIMb‘nC¬Ñå½DÑæ4¡9Ê ;úx´ÍZÓ?+:‰WÆã}Ä´ãG+¿CHšäŽ™½$1õ ·UÆ©Ói]ŠÆ,]‰T(Fé¬Ò‰”¤åi+¦A­ i,V1§ØëwóþQï|BÞ‘Š‘ÖíÜjÅ÷œ¸ÃÙ«G2¶µÖT$á1Bs)•h匜•ýÍ„ìM¦EZ–….ÎA±:›¡ª1{eO#V‹H‰œµÍCê1¤×±»=ÆJºÕu«%èÕ%®Ú×*¿µ´0Wî.U¹»»Ëx;%"mÈ ¬Ó©Ç%<òE¡/ +),Y—ÎJG+ˆ“Åîmû÷[9#´rÆQÛ´V£¬VkÄ+1­È¥8j³zíû™ ۙ̈́¥g³ªš•ŒHóX•Ntb¶sPMMe¹è¢%ÿ­2¢U¢n¤Xúc3áU¨´I¢<  Ð-F«WÉÐD˜Ëìç¼&qí7¦²G«E0*¥rý#*jA2¤´.ˆè}‡>œÏ×(=)O„›Y“ê'§ÌÖ‘•:èž~jj>Huûâæâ5ÍÖtñŠúŸÊÊŸ 3œ«dõ¾¦õPO"úªª£&Û‹d&„¬19…ó§£zC8±mjÞÊ‹7ųoj†v·jCÒ÷°ÌˆJRÔÍŠ·å±ãvÚ0ö¨7‘­N•SçqRÖ´Ë^¬lUVsh$ͨHö}Ìk;Iùë'BqÊÈG¤bé#‰)©”aî.­hTµšx§MñŸ¸gßH¬Íl¡>RuÇêæÜ×mu)†Ï„ºÂœaÄSD¤"3IððŒƒü}è{S˜Õ†14‚‹>“"»5 `¸RÉJBDÁTT, +œÇ‰UŸ9…ñ´xKI(‘P2 +%%ôPBä22$$ÁöDþnä2›¾ˆç—£'†ÇïÂõ$Ye6• kãiÇ4ãÚƒŒJ9‚¿IW #ü(Õ^;º¨5$S¢à)EIÒ3OY–%üV´#×±,Z3U*IµYûð4t-ì‘#4ï”*}ÏTh²ýä)„e›è{¸&b1çGÖ±ÜW'<¦à)#Í%ùô•ñj³%·²Ž*f;‘,ª5Y)–|ŒõãÇX7£gS )$Y Ê*_@O·o†Œ²V}ÓÈXl°Æ²è¶Æm´3f¦ôÉÚÎdÒz'ûžKâ‘0ÄñèÒ~ÑÚk˜Z²ˆ$Mž“4·½ö•6YÇttÜ8È7í46<ÆÑbˆÖ-ØG§ÜÕM ¾¢ßHV+±—jL•tïK1“R”"ø¶þèÞäÞ´ëxŠ¿†§1¢e!ÉK#BdÍHy´¨§>õ­ ÙW‹Ó¶†B¤¹Î0Ú2qÊ{­Œ %X6geS$Ýih"IÄӰΡ¡‡R,*Îôg1βJÎ(–‹r•Ö}k½ù^ïMë•´ÜxÅuVÖ Ê:Ò8xŽƒ^cH4‚,V;VbH³Š§R9\ô‹(5*êY¹3‘n~D„D(|©âïõÇ Ï Ù4Ò.-áSªø"2••j•EñÛ\ŒcWk¤5æ©” p¹Y]–Uî5®,žç¡ü3E3ׇQ¡ÍQ’L/âÆpxY¢Ò !|…ïÊrKP¤4M"¥-K94 yz / +qí¥nQr'+U = ¥†ƒsúç÷ø}ó]¤švC$K°UŽ±µ¿F4±»XÃŽ5t‹´Hp&':µY«ÂB#D™·Å÷ZÚÈ­\÷ E(<'ÂIgwcñ*cÍ#³¬oƒ×Sì=½C±·öJO²Ã!ÎIôj†8m q1b¸xÎ8¼O’FuŽŸFÐl^-¤gb¯À¨T5b½d&°À ƒáà¬lý»^ :B1hh‚J­" È0"µ  y7}>lÜ?Cá?ÈáçSÿ ß¾äüÁÉÞûAL®~¡x¥òó‘”ùüý]œÁïmùÁó +?pe”ëÿ öÁú@Â>DÂ,°oãƒ×#|ðZ/Ëú|ƒäÿ|FÂkÍ€,÷¾^Ëϧ´iÂÿ?Ÿµ¬ÆÏWfûç3bø DJb^oSâç[dÀ‡¶ÿ|vÄø÷ìç4 +cH˜eË•ÏGhSÁí¼­·çsóu2@ÛZZ>_(tÏçI€Ø= «ûc|=žpK8êîE úæUQž‚>I·mk[};A—¬å=HDÓ4¥É&ô€cÔûþóÞô™å=h ú¶·ÆËÝA_·Þƒì½!ôY–AjBï=˜±ÜR,½Iš#·m³z{*ZïAw:îóžïÅNÓˆöà<úõ@¬¤Ð¦ˆ'у­ƒçÁˆÐ7žy  ¨<ÀÞŸî fûìCÜ.4œÃv+xð¥Ð§ñ;úŠžwÐI„¾ÚºC¼Šqx¯íà…E;ð#;h°_ìÇÖÁÓÓê`HŦƒ ¼¤ƒ9ÒAFþ=kÅþs}vÞ…>ºÍA„>[dŒZ®se˪J9HÍå™ B_õÆAœBŸÉÆANèûiqp7úB“8u6„„ƒ˜à  À;½opAÝ(å 2rô!ñE—»Á|ô½5Ýàé<4Ï¥(hrƒn€rÝ6Ø?úŠ¹6°Òí‘>0gƒS¹ÙàH6'6h1€ ˜GŸé]ƒ¸p· [Ö€¹u5ødV5XBú¦2Ô€ày§ÁòèkÊ481 Bá( `GŸq ª›à/-˜tô•^ž´6±±eh`t@ë3`?ó Ž¾"<ƒ1Ø9ƒü›ù÷fpÍ^Íàµ23PÊÄ * 3¨H]¡>™,ƒ6íT-”A ^2`k!ƒóH ŒŽAèèºÆàzô±Š1ȇôYÈb`±°ßƒm‘¾ŠF ιßv+b B< ’3 ð[…Áw…0زc0p»-gÀ …Ó¿ D­_@Wì ^éD©2_ A±6AØc(n­ø‚6ôødûãÐ?Ïišß+Ò§p%}p&_€Ak&ÆîÌøDÒ'Y` ãDú˜+ éc¹|A T,AØ%·dÊ ëø‚ëõÑWF|¼MR”|\”¢„¼êuV¤+é^¤ïZù‚b\-éƒb¿ù‚0P›ô±•/°ä)Iß4òøô¤³]àxµ.pIdÖæ‚<ÒÇ–\ ÕN\àv€ 2¾®»·ÓT¶`Œôs-ðS–˜óþÒ«&´ ‡ž³ q`4îÉÖs“_¼cÁ± ®‹€)g¹ó.鳞1ÒÇ8¬Ä‚×ÚÄ‚–_†¢}=¤¡XÀÞ×gbÁ—¾ÚÒÇw[õ_ú| ,_×Ò—“Aä­ó°€Ù?ÇÓ‡±„õgúB¦Ïymcêgú„ˆXöïkî“÷û›X é“Áò[ÓÚöX.A§XИÖl/Ù¹µ² @¡>Å +³C’0>}H `kP_…»í©êëL« Š€¨¬ëª³šÄ‚lbÿ|Žó¤Xph°ØøšýôCPõ•èù +\xÁT_ïw¥7E; +8UŽå +†åxqÿ¯sÏÜdÆ´Ø ê QtÕÞvâ +XßhÜ׿·îwWð…õÃ\ÅUßníé%+ôÀ„0´‹q<¶ÎI’T})½LÃP¨«OO® Wi_Ôñ_}†å +|!_¼×Ÿîʈœž +¦Þ¹‚$º‚¬2ÙÓ´`kÚS¯WW@íé +Þ¡+pl{õ±a„õù^¬ïuÉú°oŒõÁPŽ«IJ`}ÑÈýs&º‚˜tºÖÆÈûZײô†¡º‚_Òm|Ê—‹*¼úz£_§4%`}e²¶®9ŸÈÛ½°à¨B¬¯"\Á]°é}—õýzеdÙq6[æ¬bGëÃ'äZŸiRÁAÒžM[÷ %óUðnµ +˜ç=fUð¥,¯õ ®*jë‹@­O8@듵UA¢)™Ìªê‰ÎŽ ©€ 4$Wäý,í®¡§Àr*h]Æ4D’¢t½øŸ¯ ZÁœ"‰ TpÒ +AÛ‚ +Ö¶µ¾&ŠµÄ’õÚÖ ‚±|€ +¤(@'W[Ÿ´?"‹?A‚ÖÇd?rË'P]ës¥'лÊÿÈ‚YˆÛY|“/P_‰ÝH–rDæ+ÒÅÁïD`ýún”~Û…Ìhš›w[H·"‚ÎÄ ‚fNà׌Ch‚8gr_Ÿà… ¶ú4^A‚àLfAnÊìë«jÚýÌ«ÿ{zOœ÷@à0Z0R‹O•®×gèÃÏ`xa?àÛ(?!Š“¢dx}ó|ñVáð¨1Gâs3ÚW¹z -z åfh\ȬÍá¯OÈïÂÖ¨bÙ¤”±hªuàu@ „²‡90«²×‡‹o jr@,Rñ8@ù-¼½§UŒ2¯Ï¤¤“ˆpÀ¾á®Ï7 ·mk›M¤â1€šµ…ŽŽ@×g 6gJUl ¥òÈJ×G¢5 íd5 Ë£Bw}%MÅiàt œþ°Ëv"¹Í@¨®DfÀp¶ ˜m%1-É@2Ž7†Aä©©èʹX†&ÛÛ: <]Ÿ.³ë¸•™µ¶mÍé A®=£¶ó°8²™Ñ4±¯5†8'©Øõí¨$d}uÖ't€Üžè€hM;éBXŒK%“êS_ž—˜L´°0„a(ª/tÀtßûix£NC=bŒe²È.ÅixÑ¿: „Vµ¢RJ耔 :€d÷9àÌ·¶-õ{Ý×e­mmK CîÒô0Äú† €‚õµ¬jèú_tÀ›+tÀqb0ÍÇ€GŸÆÅú~ÒŸMß±‚Ž¥m"ÁNóI¶[»í‡ÉÌîä?êF4o8 $êD`Ãûl.²¹Pâ5‡Åoº°(K7€›(|×0«³2\kJà\ÔÜé+®‰¬ÜD#{5 ÊOŸÔ!ùâ/= =04!еÖt÷P[è¿E©¹²y3 µôT/—Ìe@œÌ$ õƒb2@†úºCmõŽ)-õ© ¿Ò@2f@’:‚ºØ>뀓‡G¿R`@ÿQ® xLiÒ¾¿le¤õ/ÀN99ä´ˆý^VN˜’–2;K}Á[®”u°³o N%ª´2¤8×qÇ<7MÐ!t1¤Ý áù± €—ؾÀ.ŸTW?3XH³R)ŒBµ~ÖÁ’]…©6m×¢¾T)ΤÂn1Ô̲…]œS L0ÈM~@J¼RŒ +Ù¢¸åŒÖSÞvíF+/' dèŸë§ œ´‚·L‹ýÝ(S-~ ¸Hv‰Ó*Д+’¨1Y¦,¥åšÌ÷±³—NfAžá‰ —2*îˆØuüÄñXç5ÀÔ…h°µeÊ™ŸkЯAõý}.<»­Þ =¸éWC—³»ª¬ªù(q”BE2…ÿ­úü×·k?€rð\äy·idäŒF× +A\ÊTP¦0]½:´$„ľME”ÚuÌT’s ébI ÝEQ7š9ùaö™x|ƒ–—h|{ä®à5¢Èj¯s¦mVฉÉI8ý/5€ˆù±ìÒ^rA˜«V=Ë[¿¿@£Ëý¯-Žv °eøäÊ +¼`S ‘nƶ|¼YU“dDÏ@” q9'R @à9]Á¸èÀp),À9é‹@$«sÇ·ú.€eq…Þ¾dàaXú­¸©mLüøEJÀ²L`˜ Wª +€"§†ÿ/ÑÁ­|ˆ²Ú_x(}±2(çÐÙJüÍnì² cBvŠkÂ}à=˜ˆ¼†É®eãT?I,$ƒüuˆ‡É=‰ b’^¥ ®ä©ÙYúFí~@žÚ¼‡ä ¬ØÞ×€ÞÂÛØ IR´h¦1 9i”j¦¹àÙô˜Îë>SˆŽ ¶9'žS%øµž£Ž«qÃg²8$H¾øÜ×}O3@^×£-’Röê8’8fÙ4ißØlù„Úh¦…]J˜Ÿ0Âû?pû!´ªÔ´N›/`…Õº:jè°{ƒˆ"žÈ|Œ¨a}KТB‰øÌÀÞL†ÿÝú+ä¬Ó.=’ ‡ÿïÊ=žSçÿÁ&¤£÷ÿè¡kjÕ­þ÷ÖÐŒ&£lpbþOô\ÿ óÿÅ‚OO÷Ÿ)Û­Ú‡¼û?4ù+=5YÄ õß¹é] bbêç=Ýî}\¶ç­åpíÛÖ„ n3Sã¿\lMø^`pˆ®õ"ÙÙâû'.h„±C±ÅÕŽîÿr*׸¸Úµÿý©§Ú2’-ºÅþ ßè‹Ïú?-céJJ +ì/êÿop¡©.¿4ÇÄýË[¶~3˜ëúÐèó?áÜäm‹Ž×üÀÜ@häÿ­«ÓÕ3Ëhÿ²ŸÿÚÖÔ(ÿ7|Ùã7cQ\óÏ+eûN 'óI1ï´ßCt[óÏ·h{Uÿ2—jÍ?—© +ØÆÑaºÂ&µ]2èç¾æ¿å† 4:Eá4ÿiÿ·?ÜÕü7:§#8ÿh5LÍ?]…Ô‡#íG£ÓügÔÆC;¤Z¬$SSs½¦Í?ØÓ®?ÜÏå_¹hþÕogø¼f°NmÑü÷ôö%9JnßÌ^xS0kz)ù +.q“ÜÃ98¶ÁÿøŽ8ó%bOwý80ó?þ¾7Ÿ’jÌË[ý¶íN}dÌ^8ÝK§k€XÍ*gþYÑ9IRYéÌÿG löÝ,U—¬fþ‡ëã§.gR·DN\ëò̾¹iyæ¿ÑTt{ÿKï˜ù7øÆÏ4GèªJÑçÒÎüÏw°DèMTõÙ4ŽïËGÿdO‘#wP^ƒnc‰;=NU%r(:ëó2&›Ìüç„ØÝ*N2zw—ä~ùhŸC[×!Íükå½íŽ‚,·6v‘zæßšW…ÁŒ3ÚŸù·b*žoPfxàî«$ íIe™ÿ¸cHëÄŒ¯ íŸDG>!™?±Î~­~ 2ÿ Œ­³6«ÜÇü»KΣñr;N)˜H¢4 FàæŸÔ|#n!þ¥vF:OÀ.4âùh/ÖO˜ÿ÷¼Ìe0rÄJ¦óåÿ^)nY|.0#•)(Þ¢¿Œžvö—6½üÏ2+PP••«ÿF\švû8)_þÁ“@iV¾ÇËr4x_ƘÑ+×êÄ£i +wY½üó‘) ð/ÿá XNú~*HÄØ“Ò. ²ˆ«æ<èþ%Ò?NÙæð—‚N "’­ˆ‚à¦!± h3¶m0ÿ¼K)!/AnE|£¦|€„Ëœ3ùŸÃ?¿ÖyÿQ£³¿:™ÿ!ñA`^J`±u曟Ä>gfþ3©|LhúÌ?ÉrÓJ¬ÉñLñÆ PÈÄjÀªÿ'Åp:H\€Ý¿Ð¯ôÌí‡,)þa!ë6/QCñÌ¿†yðÛ‘Ÿ)þ­ÜÌ¿„õ¤…´;òÅù‡^ š1õ†x]Ö!ÿŒØíëŠö)6˜íåÉu1þ¥oh|Ö|Z_Œ©‰±üð¿«tø/½æ`Õ5ü)ùþ‹é8Ò&ÿŽÝ~/¯èx˜(d!‡~íëþ#qHÏŠµ2YºAÆ´Â5ü#Õ¢Œ±±¢vøÿy4 )f9ü¯L2SnÛ8ü¯_ð½’ôøaǑÿÎ8¹J‚îðuæ© Ño‡…’sÃqð¨bšÙáß'‰ ëЩ4þç âJ†DbVtTÛ‚ûp'ÎÜÔ4ç æ5äS)q*˜l{á2ª—è>ØQÇAeÒ& X&+¼8ÖÂ0¤Ž”³ð?[êà3pã¹óCÀ“¦ˆ~È€0ü# ð °Í ÿµ‘//zåg¢G›˜Š±ñIÌpäÖœ!ß¿ðŸ1‚2ÚF®®‡û™b= ÿLš$œÑþ£ Ét:ü ÇBÿÊæ.{¥bo…H ÿHê´->ú+¦ˆŸö(BYAÊ ÿÖA/™×cÌ/Cü¾é7Éœ.~½†ÿF^NA'úÑ%l&&íïëð?¢%gâ Â[Pt»¸~A”Ã?'xÇÌg +¥j%Dþ¼q"JÐ05ŒB4€uåoå~­ý9Çîåjq¿WÈäÈ-é‹©âãÑ—Ö4´òiÿµ«4Æi8½öúޫÛ‘íÞêUO{2º þOË¡ ÿ¬ †hîåµæTÛð/»J¦Ô궻µPk]¹\š¦->Œ¤Àw ¢”ö¤É)@køG'ºKþ‡ú6'Èþþ¦;ü*ÕB +ÓÇ“­ ëØ¿äW7wµ–aþÕôR0"ŒC:{<ü;š…†Ãâ_{‘vE·U¾À^ᛣ¢âT{žîŽØâŸÞCˆ'Ž/w'£™_†oÏ©”Ÿì29 +ñŸ’_|WIñODö,Ä”æcNò×E±ÄíP{§q" Ä¿ò”VÁ`Ž{0‚)Žˆÿ¼ƒ?ÂGlÛ ‹—)Jù‰ø'’e¶¨õ’>{í&:!’ñ?Ó:ê1€ýŽö³ùþç'2Î0#á ýEd3à_úRÇ£l”áñ*ëöþ¤ÍÛöâÅûíþ”Ôc÷á[$>Dˆ=™ý8ãþ<,B×iþh©¶?ÔŠ¢~cÖŽhÿlt4Y¨Eßà³V†ìÏk +€rWéiüúK;ž=[Þ'e°õ4›×âmmÄVÿñ_^ˆÀÄi¨‰¤þ àc½)7ýýñšRMúA§¤¿=øßå´j d¢ïT5W/=È«+ÌûûÖŸ¿ª`t~{8ÉÎ?¢fáð]kóo~ôdce†Ìäog‚†}¹ãÞòoÆw)p‡XRþf¶åÌ22ï…z߉¿±¹pî3 +ñW™åƒ çN‰“ä¿J”ˆ Öö'åAF"Ê\ÂEVD^…IþAaúщÜzmK@þž€„ÿ|ùîâ¯R¡)#Ö=ÿÒÿ,Ê4)kìÇá¯Ôd¤ºhãØ×ò w})ò(ø£sU:VV˜@'’ÛЖ `ü˺ ƒÿ~,Í~ Ãv¹²ï'TàÀ3MM5µ½ÿ-Õvi¼)ù6j[yÿº%?}òþ—|ÛŽ÷+} ++ÖÚ¸p’PcåZè ÀóS“ d¿4¼Ú¦òOèURH¶ýäâîtXd[ûUn¯ˆ´D¤tMÂ奸Ù6yY{‰h4VöXd¿Qj½ @èÂF ö“Îëê¦q ùëÇ[_ËÌÆFU¸Ñ®¿,$d6‰¶¶~ +Ð IÖ/cúë™ 2›ê[ý°8ujõ§!`  x±úQýªà+T¿Š²ÍS?$ºSÿœº¤è-ZJTõª@­QCeTý®Gl=EˆýͧJ?çb éM%ß9Cÿ±=Ñ_Ãs$Ïè†ÏUýÙÝa¸±‡Üb þ¸Im'­Õ~~Êy[kç¹»Ò󆼡–«l^iDjùár©r‘MÅù„ œ¥7;ŽÒLØ­,àÅæW¶ +‘¥ÀÏüO©{,Â!0æ×~’ƒ×¬þš¶—_Ò°VÓÒòwHTT]1iÅ*ÿáìXœðò¹¡*È(¿75E§ÿšü2ù{uUgP$¿ª(;£\˜òÈ•}µ÷0€“-ý2ïnÀü:~ ¡,Ÿf =åÙø{d[ÖíŽu¢’îÿà”íçjxÚZüÎAivØ÷À Å/“.X Ö¾|AÎV†0KØBŸˆÄƒIsÄ”3Ó°6“ñ“%• +ñÃO`ˆ,Ù†_¶ët2ÍpÀ´ð§Íh”È“ð§ åEÃ’‰À þâæNÕ“àVÈíÔ†ÔLl[ŠÀßÛmŠú1¡YeÛÿû­R¡WËÏlºÜïçH~¸MÍp…–µfú^^ÔÊéùÖ¢²#Ãmkð»÷•-†8/¡Ô?¢³z?[É°gQ6ó¾–pÄÑ&S´Ù¬ gÞï+u‚§%â êîžïeî¾@îä ì~˜mб¿}.¯ïtº¯T]ƒ±sßoÊsÿÈà¼ÈTº•ûeËn½‡§lQdÆý:ЃAÖŠÁ}cqpúþº ×ÛÏû÷dGòTŸ[o?È6zSü}›Ir€ÛÐÐÛ‡é d³À€èíÏs~ŠÉ'TÕdW{ûç—öô«1ƒØûcùº½ý¶]‚HõW}?`}Ÿl>‘fß¾íµçùeüAž8ZD£k¶ק W's.…0¬ˆh$°·ßà0@© +Ÿp „®)~žtçÞ>·*é÷˜ƒuO»ööE-®rê1zûð‡ÂðßÄ*ІñÞí¬õö˲ÃêÖ¿0Î×%ÊèíÂ1“kWÃg!µÀÞ~»T$WrôÿjªÎï bÈõö£åY¾}¾ÖoÕÛçS;–yŒ0$F›jÑðâú«?‡X>×W6î–ñf\¿Û>¶†q~U\=d‰rØAr\߀#Gz¾¸XYûjíF‘×/çºÿwB)ñ¸~ÎÆ<žh\ +=1º€… Ô§'”ëkp)kÆ™nåŸz—ë{”ÙOFâæú|òÓò<¾ 0×÷ ~Õ¥Ó)9&hsýõˆ´o˜‡5öÍõížFÍ&kw®Žrû+ á­o‰iIÖÐ[(6u^r¦ªõð7Ggtoq@Õúª<(øgI˜\­¯‘»½µÆ|QˆgEüÚGŸiü^­ß¸}þ„sà«>yNÒûÞ:ŸTëÃjˆ¥Ö?=Á ÖŸÂËO@ÔúК“^V7/Á@òdoU­/ +k;U—РªõM.µE%^­/“loŸ†s£“ÈÏ\{Oµ>ÖA4Ù±Urnë*~ãzùÖü|Þ°"ajÙWëûü—@¶?!ÂQZëçVË)­£il­ï«®[¢Dîæ €–VJk­Ý´cõCбõ£)n¡Â’©;û_\Y7±õký‹è­³ž>£ŒÉ¢5Gµ¿ÑÍ~¬äÙúÐç ‚RÎóP‡­?·ˆ¸<_¨ÄÖÿ ãC²Kð.°õ;¶/‘*[ÿP<â0[‰Ttí¼í4Û”ì!!–­f +ÕYr€ÞWœG"këG𣴥ˆÄŠ®×ÖŒÓWÃÁ·†dõiëów5Mùùן˃EŠÎüX§l$|6mÎÖNJÚçS°jmývÔµe|fÖÖ¯†©®Æ@âã$‚7iæ”ó”ò_ꃦµõM&0LJØ–­ß¦ö˜°Nì+´~ˆ`Ïoº,§]Ñ ÅÀ%¢8 •E•Ë ý±þù!Heûê31æ¿)°ZýF\q[vœé®ÇªŸÖi˜H^L_ÂÕ¨þ`³Ñ.m´°›úövº8ʯÿ˜NRß•yôÐqOõ}½JRLd@}ÂÓ9AöŸ¾Dï3F ;ý G^ì“múÈ*H˜*KÔ™>”ßÿíØ7º‹ ‘éT";méÛ+J&åØ8¥ôiaþH¥ÈL‚zYÀÙ,L W Òçp2{~|æèkð¾üðgÀèç½b,άÖD°Æžè+™Eþ“—êÄrÊCß-ÀVΈÇX¡ï¯’+%þ²?ƒ¾Œ//Rúb£èo±DªóЬֲi5u˜ó/.úZX(ÿÓ{8ß´›ß%mÿó×Í¿ã"“›¿Â1’ÛÂ"Ù2“K¥xÑæ3¿£æPì•z +ò³e>EõÿÃÆW 2ÿ¡ÒÅPÕ`(’œ`ÌwálYû=sósqÓåÊõZüTúÂiø<ûìH\þ;ô<¢u¨²±88v´Åå?^êÃhf„e•_Øa¼ÂvøÑiÊÿBW5˶Ýè0Q~‰ö+-$¹ç|&2‰x¼)`T&Ÿ"ÀŒWšŒîcòÑ2â\ŠL äë¿;¾4+ªõ#?<=Óçõ*QÒ"â×X£@›*ŠhÈ¿I +P¼åöœÄéå~GµÏY[úø4Ñ^ßèjì$ôãñ½8?J)!é2†Žß÷¥?z雎¾„Öø]ÎMÇJHÒ‰I3>UwuÿÎ[«”yyñ )‹3S‡†A@‹o¥êð úA†«ø~àxÏS¿VQ|½Š[ãU[ØjâÃ%šú ‰ïMªøáÉÖBˆoŽ>Ä·"ð°ÇÛ°üð ÷¬ËD-»ß‘Âð‚d € ß«v×ðÿ¨4 ˜Ç…`Äðo—E¨“”ó5²ðÅ‘G ŸùGBÜI¼ë¾UþÕÔ…RêðÏ!*–tŒðïi/tòùàÃ\öàW:ÄŒ4œ% ¾bïÔ–+øw£œìfh9‚_î˜}óDðµY Œ.Üfàßcšf$À7žË ¿*)fî‡c²9MxÓ»ü“ÿûÈä½M =ÒþÃå@/„Ø]îk¿GLÓ ‘ß{`Ú2D“.å¾W‘#êš +¾¯ï á:Ò|ÉVù<-™+#½ š)q|O/úuÓaEa¸yõ˜Ã½—GÛ{ LâíÕälw½÷,%è“EJïèJïÑIïûÌŸÈêt²êü›÷67=lääý.iOÂŽÔî±&ÞsÒÕ¦F›J¶ô`Ò¨øéxMêšU®êî>Ô5ÿÖ9·.ÞàÆü<˜Š-Zˆ[jêx”e÷ÖüucŸ…ç ˜®{Tn_ýIuïã/°[K÷}…vW‚ +Ò ÷°}@º†e¡{¶uÓÜGºKxôØ8 º—R¨¿•bæëÖ‹ˆ8H¥çu ºÇÕcV_yÚƒî*¤|P¡ûåêºà·ÝGÚ»C!€f‡î³lbS4þ·ùÐ}È'Æ”’/!†+ö†¿ 8æjÉ ÝË,Aè1ª»åYöÐý€•ój¿_z˜B÷ÍͨfòÛX‡îÅfwžÆ€5<ߋظ“xø”hè>ÿiX +Úùú'1gÌÐ=s ?¨Iñ9tOÇÂ×2:Ò¯‰Ÿm×7j–É@ä•?aîÝ[{·– ÝGæ +[§l“à ͳM¶'`uþÈ1ó$ïïìÖ=ì½™”ŽÈM 6tŸ:L>Uj¾WŒC÷‹æ„½,×6m^Eâ‘ü2Èžk¨g¥–ÛmвñUsè&V‰¨¹ÛFšæAtß½xàåÆÂ]Lâäì—Ntì¿ž'ˆ½MDO +2ôòA7èDZtåæ„¥<Ýï"™åÍOl[FD÷Q)"¯öår.Z' ÝÏ'CÃW9ª>´C÷jÂ&~D;VJüË-Ù=tÌ4X‰W¦C~[èþLÛ·Ýb•`ÏÄcì2ÛŒª¡ûãúdKVUsš²)X…ž8tO6j–ò2°¶l ÝS»x'д>:t¿ÄX‡hé, X‹AÜ„îU’LÓ#7÷çaÿÌ&:3Ýñ‰%2™Šm-ºW˜ûd8$SïGhOîÓ"¬à°3O„×÷ +»—íkóŠ{ûl"‹|à ÷åR‹ì)Ô;͸óé ܯZ ‡t±&ðéÛb;)(a¼=¨mýD·gGŠµ9¾jZè·}„<½ˆVI«Ööñ.”—Ãd6îïØl¯7™û=Œ¿ô‰í{È3(Ã@3ÕîÚ· ˜º‹mZÝÿ’‘©íS¯joÝ6Öt6óbIx¨½®íes“v#%Ìnª¶‰iÏÂð'KUq™íoÝ0û‚ʆö¡–Mºnf×—]†ì›½ñÙw:qÅöìÝ®Ù^¤ ‡„ƒ}üBþ¦D‹ §*;8°Œ³ÏœÊ/I:Ξ疕—‰£jÄÙçWæ_g}~–õ`Û|!e¢3Îþîr ÇÙ+ÎôéVÎ>ÝS-Gù½%1Þë L{Å$¬çìËH7óK–91goãøÞ˜o‘^ú²ƒb‚rö¤úåQ^–S‚íÍ^áý¿Š’qpýº ®¾Ê'浬›i÷ù;eŒoR ›=Œ¬´›‘æêXÜì½?ŸÃ-ÕGlÜêfß±†8ŒŠ»þ`ÐàÉøÃ˦á*†›ýŠlÂlp#J…îEôÍþ¢ž9ºö›ý–4ºÛ'œýÛ}A¢w4 ÎþÊÔvÚ’áì{%gÔj×üóñÿºn šÍYV‚ù7=Î{š7ÀÙ^*7¨j:z( <É5sЃÑRðμ1~oÃùÇ@£rö¼þéðîF7fØbê+@èïû^`:t û8gß²ÒJ0rlYÍJmÎ>¸ÜC½µ<Þüø³?ašMÈy g?}Ya¶Z²{%†ÆcrÀÚù`rQœ=bÝz¨5”Ì% +}|“M5jÊÆ*, /ÈÙ#Î håìû£qs +Þõ)sö)g‘ š³ïY³Z¥> áqDbyÔ+çÂã®söƒâ„±tJˆsöøÅŒ…‚kÙçì¡Ò¥€wÛÇœ=Z}†–Î9Îþ1«‡ÅÎ$ADh=*2ð ‚.EÖÇÙߺ +#‹²$gª*±³-Ð ˜J6ñçìÕ-¨ò×ú¸œ³7ÔØ8½—!l9{W¡ mý³,Cñ%j÷3ÑiÖ˜=1³T×3-–}­e3Ë ëICL[=Ù#m˜¯Nö¾°:èQć> +t_ˆ¯-²ùðõ^W›IB4°o’Dö@¶UèšeŒ%lº=D•û²š:1ž£Ng“î‡÷wü`?÷²WTUI««í»\zº ûP ý4a>eÖ‡Càí„ã˜ù‘áAö9났YÒ¹~#ÈÞR¬¹`¯"X‰dœ;]F¡â.O> }_¤„N…„0!{ò³s1Àˆ¥9:eÇ‚º!{ã.¾áLlc‰“Ÿp!ö~þ“érð¾)U£ã²WîÈiï%S¡\‰Ö5ŠâÅÍ&ŒI§ÄôQîv;fqºÂ®XñÈ” +¸õ®±÷ÆÿÔ.\7ám¬â}¶ ˆ‹7d›d#¢¨‹®‰Ø“J1» ô©?UÔ,"û˜Œ©ŸyAÛY”Rü¼D2ɃyØÛúƒêß ê¯'Ö‘0›LÉCä#{êìy¤í’­‹Ãu`- ’½³«Ê¼jÈæzM’챓¦eѤSm’È’dÿOi!ÙO¤˜†åOŽìÍ't)ddÿ²IÍÛk?,ªŸšµÈsœaÛÊ(ÑìO™F_ÚdøèŠÄþ´#žøddO™¹mTÒʽ€-™š-4QWïK,pŸÙ'öHߟ@Î3²pwë¶u㬑}±$÷˜6ò&ÉnNpvQÇÈž¼ˆäȾæP!Þìù’ìG‰¼ýo°+ˆÍÏ•ÎèÿÒXæÂ|’}7|ïrÔxçy5ÞÌGT%È%Ù»‡=(q^Áž°AN²Â}EeÚYNì}ccž²J¦ÿcÅR²'±è¢“ƒ±[%{¥=Ëq|çØõ>¥¿—ÐË +«|îµÉ‘÷ý +TÌMµ£)%ûB8{ŠÅùņÁÄîÿ¼‚-áÄ°¿D!ïÊd¯Œaô#FºÅ8`EßØßK8,w\ÏN ) l¼±§(]›heÌ77öÅö _42ô,šf ÛÂu3çëYÔ¯wOùŒýÚÇÛÉö¾:_%B>µ¤°çÎá&S¸†TsÁÞ_yr³q7ă.Z»°5óWàgK–Îß»¡þ`åñ ¿EQ°oÖ¨cÓ Ýµ˜¿è°pµqTÉ`Ÿþx† öy¡Š2‡ öXCWŽ­¹V¸Ø#¹xÒ1Sá{ƒ}M.?ˆgh°wÞF-5]•ž#êDÑÉXYðYýÝcÍ÷Ðø9»¾—_­Ñ0oVƒ[¢@?OSšÊ‰_›B–ˆT`4ÕØôL0€×C7Ɇ° ‹LëK +ÌöÀ[¥^ðQ¼>v'zjR à +¯¿1\/Ãë·¥œæ,HûDõRš€#,|$G,¼¾„3r¡`÷<Ê?xý'%\U24ý¬\ì+› €×,Zp*"ѯmb³)|q¸ºÍ+"Àë½íš†Ub=ž†ê“˜€ïzdNn=VÜÊ[äþF'FYþ›R±Â»ži|HØ’ïÈ ˜óâgô'+ +Á2­CW[Ÿ»ðg3ÍÄõ²P艅¸LAæ­W¾q~ž8²³õþ اZº¾@µ^9WÕðŒI]e +ZOÖpÁA²ˆY¿»pÒ)¬µ +ŽIg[£(”kÄzqòóÒ„´¿zà?î'cc J7êçìSK*K=ýÎ’EºÕOHgG`D‡aMŒeõÊ×dhd®z7 ¼UœV}&÷€E›h·>ª^ˆó ½2•pCª/‚€ªçXÁþ©§Õ2‘ÌOÄÙÔ‡;fežãÒvPäÛB 6½’úÏ%2eày£^€èœ‚õÑÆŽÏ¿z˜Š¨÷ïvåÕ^5ÅÊÐOÖu‹©é¦%‘çé,G«*fAèe¤p„=ÿùMŠë@™9]Œú\öR(Xõo0ÿ<ÓXÕ#ûày·®Çü‚ÈOzèÌó¶ímé(lz5|ãH òH®ùEˆÎpžc«^ +Ø7r™„ðã˜tóqE(oíX©X{‰æÅ¥JQn•Ø|ðjG J¦Ì‹hØKÍW凥ù EÍ?óq#š?}Ãwý®”º;‡D<ÌÜ¢å®ÉŒã+ó¾z_2¢ÚÜ$nc>NHÿ‰y„â³|Š{…ùl¹ŽoBSÎØó»ÈY!ød (•_žêUNµRýæ]þMÛÀ;Š¨WëN‹0—ÿ7 +Èv«Ý-Ÿ¾®Ü*öyNjùé?Çhª‹q3®è¼,O•òW±™ \Å°á„åa"_¿B†’qFn2F`åù×C +ªSùZd?+*Çô”_׉&Ñú{JÙÓ8°Wò‘¦P>Ët‚¡ë“OsÎ8NO ´NÞjRfµÀ³É‹0­#›ÖW>iäàßQŸ‘ÒhÉGþÔLhÉËWN²ôê–žªù(y›y¬á&ö#á˜ É Ì(S‚ï!žË’ÉùŒí´êø87Þ+ôÌñ…=LDR>G"Ãñ$eÓ56¡nü;*Éþ÷5ÑEÞû˜Ó+4Piqµ…Ï*Š¹ù™@ñ"þOX™ÌjëÍYGE™eü®\mrr´[¥8Æ‹€l¤èÏmÀÆóL:÷H²¥:Uøâ9 Λ*îT½sÖö¼B(QM‘Åùü\+/¨ËþQ½¹•^ÉŠ/í©p¸ÏPñçÖt~!>9bÅŸjN¤¹Oü8õX·úÙÉ|«‰'¡×oqGP(YâÁá*ð+Üo$þ~[{¡¨Ÿ=CÚñgŒÁ$¯–®Ú½àKˆ×ÊVTöFˆgzÃÿºäÜAQˆÍ£÷ðã›ÙOÎç/ß¹ëc]j*á•rxBÜ"nxî.ç¹q‘1;œ†—¢î’,tƒW†¿Ï’ Áð…ùÕñ]ºÜ™" êÔÞ(N7üe‡WøÖ-`.DüIºx«$ÈV¨„Ÿ±¨¨7 ¡Z[GÆá#ŠÐýgäf¿\|ô™”íìoOz¾Ør¤†‹æ²ÛrÕH (jÈ¢Á·!çYŒÒ­¨/xˆ  ö–s­à…?û¯ôMüPÑÜŽ[œé"øy¶÷I—"ŠÆüÁ,ðPV 3ðŠzs[¯JÎóÿy¹ˆ +|)48ù‚gØ!ð ö4ü5ó 9ÿÑ@?þþ¼6À×óë~Š@ŸªŠ"Ì©ßаÿNÅI÷A¥OåäßAOkA]¼—øR»GP}¯ü}m Ìõ»5ƒkH.”býžµW]ŒÂäêöÍïMy*Ÿ,@—å—øRì𺶼ïLµ‹ùŠ©ðì{TÀMÀ,ö'ªïuCëŽ}=¯è{¨3‹«šï•èÐý`‰È÷Þ†€²xˆïö)Ðß{¦'N©vï\&AP¸§¥W"æ¥à«†€æI½õ{²÷¼%¸2AW`h1§æŠšÃqÔÓä[ê6^­“%½[š^Í^vdñ¹Âu”«Nà¼sŽj~ñ¸jãå=ˆXÉnØ^C&ïÞÝlš +{+A› ^\$ê¸ÅèJÞ9 šó{¬NúZë¥lBTðÆøùß1yWxønF#v㜼C°Ó Á•!¦FyÿàÂ(Ü´JìÑÓþõ—N+šf(ïxNuŸý\ÞM÷e#"Êû(I7§ †&ÂŽà…†6òNB‰©ÄrûGÌòñæÞX#OÞËþ[ÿ”ÄÉ;‹¤Õšž •xâÔPq3‹“÷x®álHu€ýyq§À—Å„úÒZ¬&¼ïmGT‰Y<Ô ïÔòX ²ü¤"×¥¢5Ò›hùàOˆýÜïs€u¬S:ð.Ýæ/;u¿gà¥þåx0xIœ]#[úWUðW,×Qnó:Tà]ù‚4ÝKãOÑ%’[v çöè¹nðîþ~iÐ0½)à}ÆXÄÓ¾¼Ë–¯Ì¯ªÌº¯²»-t.À»‰ðNOÕÏ/Tï¬êz™—VC¢"a£Ù£òòš á4X­NìUà]ÛiR-;@Nxg*VC–ìÁ{Ÿypb¼vBÂœ)Æx'GˆüÇñ:Ú¹o¼Ó%H¼T¨~jÞµKoÈ@׃üzwìO–ÆïÎp¨7¡dó¢šÌcâÞê~þË ZHïîn™l­3.ïËy•Ç]5㵉E¸ x `a~,Àûæb™ÞÝ dÉEëZð¾…h àýÚ/9ͦ…ÿî\PwGªz ÆÑ#–zw%†iùïž\¬éå°Ç&þÝqê7ýÿEÄËfßÛ_ò¨§þ¿»ù ó”`}ZyþÝé8ÿþÝ+`\…9iñùá™XŸX™½¨]­áäß¹0iÿÝ=…lî¿;ÎrûšµæއÕ’Ö©Q}åX#”D÷€w1˜ÓBËgïM•†ƒwžíÞ='‘îîM½U3¬Ö5V¹{ ̃°‰>|ùý‘ÇN¤>Rè¾¼©Õñ-Ë;›£ñ`\ø‰Ã‹yζ +Šø„¾M~Ùm°Kwøøóã¸n…HÙü§mTx¨ð¬‰”½hª¦ˆ–:kOQqO©×8*Òf¹î¨×jJi‹-7Rõ²™sÒùd¿ªÅæ?»>ÁòTc å¦¥Î BœønŒÞKø¹åÀò€˜ãs#™«V”åÓ«a+³J¦c®¨ƒ†]Ä(¢VÔUl0ãÄnHvçÿ‰†ÏØMÊî±ÕyGØß+fÌVµr?åîLcó¡)BÊnp +ÄnÖ‚DÃnª†ã¯›Œˆ¤QÇã¦þMd²[öØ ycÀWø[7¯:Ô©Jv©Q2­¿”§‡ º/’ø–QRË%ßNLëf‰»™”€G×T­]v‚ÙnZð5NT™8Û͇jí'¢Ý'¨Ào_!~“+‚¾ccÿ¡²3ôÙÍtùª´›ZbÞŠ%1tXtÇúòŒ/Ô™¼’´¨•–-I"W78ä¿^ÝÐBÍý"o®hçÊfâJlE˱ñõH#›ñððSÈ žÂOÇkÑÁÕL¹!^ô—Ø{†ÈÔÔªl7BUyZ +R‡Q|Jô„¥³§%™m»a2EÆÝ2ŒÛÍÆa}d.Ñ™o»a÷îB#¨ß+ +0”Ä`‡è$Æ÷Š8x]Â"Ê!²©Oò·x¯(\²")ôÕ]ì$¡h@Æ$`¥Tq-ø®©@n~û¦A ®›‰•Y [³©¸Ùº‰íN›J˜Þ2¨÷²ƒ3#+áìLìÕ‚—ƪHqrðçnÿ‰CÞlBÙf±nV5sj7˜=3=2»9‚5™¾\ ©Üß7™ùÈf«?Þ„LoUc(i¥ãH~ÄõRíÔÒ[œtðæb‚ê>)(¼ 6T´î}@ˆí Û°(ä9Ð?ûmð†üçþ°­­ cðƯp€ 1ü„Ú§‡Ã¢hù¹ýê×ói?Þ˜ü^7 ½Wc§sÒ"2ý+#‚7r~…>n‘ôgr@XƼÁ8!KÝÔ² ¥&Ò @ç\¯AYÇ’;,Jáë¯ëäa‘xz2}Ê o4ù»Â¹»ÍÝ7削ò˜‰:Ãó‹fÜîYÞ`μª2a½ÖæÈ¢lüL°ìÏ—Á›|AˆBÚQåóQ鯦3s»©õv³ÖˆæV©­( JC¨0‡(ql¾Ïüîòõ¦—¢»<_%Ã>¸YP^rQê:}ßÊ9»~*D2pÁˆñ„UM˜Áoó"&M#9õsã׌€?L¹OV”µ¹mšŽIåí*ÉŠšOð¼^ EÈŠ¢•oMÇ+)ôX³e#îÔµ¢¹­[ÞÌž‹¡J£AÝ|/c„kèÞ#g]­èJ6È’F8‹ZÑ® SAýtci–.дtŸn–q‚E·§iÝYwhEÁ’<7ÌtóÇ"E«ÙìH´8\;wÕ)òLáŸ0Ýš|ðU“CZQ!Inl`kÙŸÍhЄ€vìïu³þçX=‰;¾n˜ ‚} +®ˆsÆÉ[·¢ùu³÷qy)zpE‘¤"p{<@àºyéÖ%ƼÔ"ÀuCïŸByEBÿÄjÞÝ›ÅÝëídÚ]ì] ÊÝøoiO_ñº‰¼"~”Ϫ¿„‚Ýhì«ê¯ŽWL+ì†($«ÁÀnþá–Ýø°›'Êô—ÇV]2°›?ŸmîáèØMžØ¨îFío’†¢ƒ™îênžr£uxs+0þ Ñ Í{3‡E/<‰×+š]` ’›<¯²Ó"±» <Œ4{ABùCJÐb¯ˆ·ÆôiçøöŠ¶FFµìŸÀ +ÊÍ2¸îJN6v“¼Ÿ)`nrŒ à ìVºéÐògµÃ—î&E{µ Þ´©DõT¼Ù_µì!_\iXÄVUZúr(éºäüUÅâ»IâSÅe zøn ÄÄè‘JVßÍÚŠ0‚ñfR?|ržõ‘àQlŸ7ËgÅÞäž-ù¾‰T°@ÆÕ*hy\>ß”—Âo€7œ¿ñ˜ªÁ.ÀygÑÕÌE6çp¦º(ÑŠùç4tMÇ 7áX®ÆZ/œ£ØlÃ}‘‰)ó? ¥q8Væ MüÆ\«Ä‘\¾cŠ;¼½\ŸÅãÖ‰˜ãÆ‘ùñN´ž³{Ñ +³ 3kﱇ(‰œzú;’9pÇM΃ŒQÐi؆¹K£iiQöÎB«QðŒ°cË·½eZ½n¤Z‚²s‚9¥Ù}*P áNhŽK墙žÓgvÃÍ vÜ™/ï€ú!„SŸ›&'¸ÏÚòèrrH}›svyYðÌíXŸãÎÊ™rÃ2Õ‹·HÎͧèÝÌ™ƒá¼ËŽÁ½`Ì;‰1ÙõFr«‚‹ÑNe$ÈŽ‹ŽÊÄ­¢Ydð'ñê¶v¾>ëPÑ"zà:e“Ó7—×Q†;ÿŽÏQ_ôÒÛç¨Ä 6cG? DËŽ]eVAN½m^©=GuT’#:l^Fmb¦Ì×AŽj’^ßKÂ%·¯-õGZp'-KF2#srG 2¸t§Ïæ8îÏ5ï8VÞ¦ëÁì~ŠßÚð&=Ò愾ä¾!–ƒš.<Ï1 ϧAª2c{!Ÿàùqê³ð¼ÉÑôuøñ‡ :þx<ÏèAòtZ±D1=)pu'°&Ïm@J~–5X =#Ÿý’yn…½wùËQ:4ÔôÙƒTÕAòýSÉÑ#éÜõCz0–ªÂä½ –¨G«Ì`EÇ™íþÇzøë»7¤Â‘‹xHÞÆ!]H”ž× }ö^=lOäÈ‹›HÍ ºgAŽlEêáßê÷{‚ +¾OI¬ù')nð‘±Í)ÒÀé#Õ^q†í[Ùï-ù»5”^»Ò–Ü-ÒRÛ¨¤¸"ÚYn–×=˜Èj¼ÐA ­{}Zf£aÅ• +J‘F³³€(œH“Ã\dЧÑg4“HY”±¾Aÿ‡%Û³µ}v rnÙÙ6(N[gi½:6œœpÚ9IhÏ[&‘¸»÷3ÁÛJ + |ö°µ²=KÆ7™BÎÌö˜CU`e½÷`I"u›'zEZháœé‹€³ÚƒÇä8^ó8kn©´ÍK¼65ÑàÊ:†Pû@ÊJ=ßnMc‰œL3õFÀ"Íû"%èñ{M–{Ø‘ãGñ£öÀíÿÚ•ö÷ÄÎÇåH§9Χ›c÷ÀÓø¡þ!y*v@ýtaA#…˱lj´­š¤{ÒÓbAïVQÀRŒçÉ™°OÒ{| +¨ôÙƒÓÁTlOÑÅ5KFø»Ù ,šH‘á§ÜS¸'±~RÌ?°N#îù¾€óº? ³é^×ï¡ 9Òê÷œm˜à0ŒTÎ)¼èþ©äOMÖÆEÕþ+u“6¸H Cg% .Ì6ûÀªºÿ=Ø•RŒxÄÉ×Rþ{~?EíqÏ¿§üxööEô[¤ŽèχÚ,M+ÿFXYÄâ¥[$²Ê$ÚÚ@G7©Ãq˜ÈT¹TÙ0ÛÝFã)r{bÛÆ 2Rò÷X¿3Zr„+ß"á²)×DòPro‘´ƒÒMù·¬÷X%JL—bÓ”(\‘ʤè…M9¥Ì®Héñ*»wÚÀ&KÓzÆDê9u¾ÞÃj­çwDðHÚC$çëBWï;ÉzpG 0Àꊤ„Xäß °Hõž&¶ab«ð©ôž¿Ö šÈ4ÌçÀH}o1³nyf?(IK\ËC=‰‘ä›J˜uæá£)X T÷Yû‡O`è ëÖôðñTË`m£ÿ÷7|Þ-‹‡³(°á©d%³á³–ÂÏ%¾T ˇUˆ×x ‰ÑÜ‘ò´ ŸW.ÜôO¹F;oýB–Îg±„9 <@QŠQålð²”´ãcK“ òõ›AÓ¥jLÉH”A½XþcˆÆðqÌþ»½Æðq32\ÓÀ6óõ=žá ?Bø§eÇ­gp$œŒD^ÞÈ_YG¥ú#ºðù’Æõë2óë Ϣi†Xµ?ÖÅÿÀ6ìÜйéËx,°thÃø±—<𠡸#Ý7¨’¦Ð#iu.o\mj£×½ |v:Ï«*xh–Ç-Ã|‚ï&_F@(`¤4ºïQTP@Œ|Bª•°(ðyÊ9_½|Ã×ö‘¤ˆÔ!¾à› Ö F‡Ÿ4±vÄOØT´…Þm¢‚÷TKf7k>0B”ú,˜”áCÚÕCá}6³ø3ÿ(¦>ô§Š‘O˜E)%í_{‘àF²£Pð¹$£ªæFò G n=zcؘ"=ýëŠzêÒñ)kŸ„HÀþÜHÐV´¢yðg°}0ÆR‡n|¾¼SB={EâÆç©ïÒ‡8ÇÌ€ÅÆÇÉÙ"ü1¿ä‡Ú—äö’÷«K6ëÕI,‚¦(8?ãb?A3ø³cê(,Æ»£µ8’fì¥ÞkoBüµ‘XŽ¡!Á‘»Ÿ’!q^0Ig|ŠI&v ¸ðÎ5‡>¬¢y¼$÷l#ÆCÖ:!ôeŒÏ9¥u­Ä0ʉo`ÑÒ8(`-º—™¡ƒø$û Né2°a«³ªì8Í|ñ³ÖŸUMˆø´Õ7¸j5 >93 +§¬Ä™>Ôø.ÕËè‡N`œUè(œ)»ñY‡EJ™«¶ÝøÈžÕŠ;ÊÑ‘8;õÕ‘Ò‘5ªðxâFØRñ'»„#ÁŒ^¿RáÕ¤‰OhƒZn£øC´{¶`_“ÃÄ'ˆ/ËPÀ'ŽÏößaæ‘òlò#¹LÙóYÿÕçç<™»#o„ô Ä?IRú›–ôq¡>q™:JLEäl*uI*E ]EYëeï(}æ3£â$ñ€]MIé’±E«¹ük$cWBÄëÒG žM}(µ8‰À"I±Â¾~ÀH©Sñž5NÝÏ‹$ɬ¼Î /’Iê¤Q‹$Mµš#)•7ªA"ùN˜IÄB}ò(ªûAI©kæŒHÊRNïUýÊÝwEHânª°q!)ñ +Ö°…ÐÈf¤ß’o;Ø/û‘ÀJ%³1cz¤nóN*BrŸø ŽÚ´ Eº€úÎ3Óùr#—¶‰ä˜Êƒqõ1ñA%Tz$~›0EXŽÈñ‘‘κÂâ-ŽOBuáRíŒôH·@`3ÓuAÏñ¡+}`$ó–p|ŒvXrŸ±‰H•©ËHº]ò‰*ŸúQÜQ9é0K>¨ns]ÈeQ8Ô4?B ŒZJã‚{Éêªï:Ç»‰/š‚ØBz¤+ê(TãRè@ü¦ãø4À‘|Z+–ã#ÞNƒ°wŽOÃL]Š%!99>÷Wk‰•sPnjr|˜ãÅ|h0º»ñY^¯EèÔñn|Heêhw §”Ÿ¹ ”Îñ1²¶„ØJ]=R“”è¿TcÇññCÑÕ [ו“ŸÓõ•¸ÌüÈlŸé}šŽ‰„é§Hp‚©6>Ø©W[ØøtW £C#Ñ#9}Z*Xm˜qÚø,ZlþZÕ#­æe¸0Tòàø˜@œ|2a–¦©[ŒQôQtóÏÚá §ù»ñ¹ Ò»±¥¹ ð@{¡“0î|ªõH·|†,€4@þŒõHÑÓ6vã3ù]ù~õH±[Ò»SŠ› ìH™¥m#W¯+ˆˆÐ§vþšxPdG*'ïÁEO›7Ž¤þîB=i# €3†Ñ&“I4RNþªê0GöžBÔFb¹H„OdÓˆÏ'-6çœ(oÄG–EâÆÅ2+âSµ•F‰O°Œø8ñð‰O¬Ò a?Ä&>¨òª*Mne Xw$ûã鎿ˆqïõN|0Ø\Yfi\ËŸŒê 殣xâÜý'‡äÝ‘~ùæˆ2©ø\>u*ÛWö;’Í4åÉÍiÛ;’ ¯¯˜ƒúiÆ̧œ©•×ø}Ú©©øx­Mîw$˜"‹Ä¸ ©}Ê^Ûñùr^Á®%6Yêéà `Åc¾È÷HUl²1‹ŠïŒ÷HgÃLìkT|ÖdšGŸ\z›¬Iy}Šu@Þƒ:ÅçÂôJ¹1ÅG¨Ùð)>ÿ§øü‘œ¥µH0)>Å×ýPÓ=Ç'GñIMÈÑ­9Åç3€´Ô#z¶gGBžÆIdGZ¥¸ùǹhÈVCñI9^r¤A±Ž$ÇцÁבl¦ñ +È#ñKÄrUPkøŠÏÃjw|$‚3ù@5:q^U(l^ÚF/†ýDMÐÙîâ¸êÉ'#À9/]•ã*P> öšÑÕýúGúR]‹-:Ò˜¯FQÃs¿qãêü#é-ÔÁ)³Gª´3qÜ€sž ´íHœb¯×~ fyäðÁTÙì +íH›úr2B'Xqø°l%`Ù‘hÍ#㪆úW.:|œNŨ±_Gê÷/ +¤‘õt‰j‡åÇîf¶ŽTð­¾—òòhøÜïò‹;™’wTA<.ßWL†O®<À9夙øé 45Éè<ÇƋãaã á‚¿m¿é‡>K0VS*W|<‚Š»j_§NÝ 7Àø„ßùQØ,*Ý#­8ª*ˤú˜ø1ÃÄ “é 2®j…=’&·¦ 3 +ŸÑ‚@3BöH3èhƒyù•í‘Ìc _±3ÞX|ðgOXÈBŸ– ãÂB(ȨâC6„Béµø LuÆ×Ó?X|<ÈJœ›Ž}|·‚<ܳUF7g?õñ9ÃÚ˜nÚk´ö$ÍW9GÂŒH’b—œ[$®ñ%$•Òë¯\‚|²§8…kdÊ?Ë ‰Î Mq-¢&“ƒ|Œ¶5=¤ÕãzèHê@{Eí’TF²)ËSÆÏ(¹GšT˱äCJ9—)œˆR™ÏÄʈ°QÍ°ói‘" ѳ+Êéã̱w[_}K`¾Ž÷–š×Å£…–Ë¥%¾[KT¡zYS£J©qH<j%j2Áø,Mc‡2¤—œ­`â’£VŠTI + %küõ—°áí½lIÙ¤®>-3h`BÜÉ!@I‡ô‚ºEo‡6$B‰ÑK'(´<í¥D7k’? ZÿCãâZŽ‚HG%D}u3yCÔ-X[‰•Ûñ@Î*NŸ[1ÇÑ(ýB¶6"^2= Sy¥D??c’h“@G”ÈΠlší“ÿî;FL5»m®aɯ‰$©ët")W#äì' +|(âìé6xï1¤(Æ*Þç3E«QÊ e椺˜Lóžÿ 4œÓ`\¨7\•©´;‹„N|By•¨Äoýƒ©fê• p>u7ÕLKqöiïÉæÀÇ妺ÈsˆQNë‰Z©‹¼©´}¸èЇ×É"í‘S‹ÒO½ÉX‘™ xbçyœRf&†‘ê+èÃLbïäc-ÂÚö:ºf˜I7×y¼+ºLŒü@Å^Þö@—i’Ëù”îP—j‘û‹†4­+°Í¢Cêö„<ö7‹v`ï1ow«bælíA>é25>2ÅI_ÇR— úR!'•±y¹o³ˆ⥰0³ˆ*Z  a¦3‹º]Vœ—™4p@¾Ñe&RZ,K6Å©›É`®ÐcΤ4ɶWÎô’c4`´eJ#Ÿé8HÎø¬hŠËŽá35…¦/¹ŒSÁ€¾1|-#Ð$Ρ¤Ér*á›Ïˆ84Òy4zä%€jtC0³ 5Yë3oBlÔQÀËÒj’þXS}ä¨G)[ªøþÑ¤¿Ió¡e*zgVšÉ¨[ÎÉûù˜_S¾^…ÃÆ +=?Žö‡’lãëü(üšh¡;åèTœá¿¾¦ÇÕ˜ÿÖŽö›ûxeÀ‹8òjݨþ¦×ÁG£ñФèÏúiw›WÁõ -†kÄÑÐÕ¬vJY±ªOYP]¼ÉçQ×ÔB«Ù']¤¼ƒ8Rónj³/âhˆè ú#%æt ›@4$ä7¢¸LF¸‡# ØöM$+ÛFȲ0”$°"‰ãŸe/¾Í _“}FDŒ +µÙ–‡# |€¬Ôé?É»6: ˜¢#G±#´'¹ÿ‡¶ßŸ°‰H¦ÞÖ¥WĆr¡¼lº"ýÚ‘@Ý`“oàdÂŒ: 6Ñ`åÐs#Š©HU +EŽ´ +IQ•Éˆ¾GŽ¤‹SÆ&,Ñ’›œnÚ ƒ`Èü- ›ÆÊÚPo +ZŒM“~Lƒ„é˜#bZ G¡£3"hÕ¤ÐQ!ZÀË®<‚ŽXý1 e€pYº‚ŽRÒͤ:Zý9ZvðÒõd+Ù›÷924ÙÛÄã(›T÷Êa´ðÕ“Mg‰g}>uô™s±#ÌÈ4צPÿú% VצEòïP¦íÚDÜâÃù5ÓmA0Ã8”’Øѹæô $]Œb×&jŠÜrÛ!ç¯þàN(»£ÌAM1w‰XnÜ&tÇ´¾-tÀm²› +¥Y (¿ôš*,ܦS‘t¸Z þ(·I «àûˆ³L +¯Mi‘º4þà_xmÒñÉ#‚¨ìHÎ0CÏöÝå…^›ˆ .èxÜöÙ²#â³lØ7&Þ“c(;š¬øÙÕ €¦ìÈÎ-åÔ ¾n²£Áü&Œ&iδ6}þšJ8òO*ê/í^dxE=ÄŠ§#½‚ÃŽà­¥ètæ›|öÝq2û¯$c‹ØÙ?òE”B÷Ñqmêݮ⺣Âo°£ŠŽÄà¸Çqë‡)«‰ŒUÉ%¥&1pï·§#9‡0ǵ)I¬µ°ýà]›x˜³ |rV¤£ŽTÍz:ÉQGEø¤<öà(6(ØÇ ä+ÔQÞ5¡#¼þ&í£ÕMžD#ÔQm¡Ö¬%(ÔÑœ(M€@ 2ÞéˆA³&„ºð•IWú£åîÚåVßwD¸1¥éG› ,‰C¦™€U[}´IªŒ±nib´IõxîâRêí *ÀhÓ´îe#Íaô9B¹6=Qúà“h==¹:ª½ªÆ’§£‡Ÿµ£v1J^¦/†F{:R†SSÓ•OtÉÎðA7i’‚nz¢Í}ˆRlÓ×hÏïÍv䘞;"AY*ž•„†uÀ•á”*#<” ÄNÒ2þ›Y,Ž« g(E ç’±ç'e4]ˆ_æÇ(ñ ·k;1à £$êì4hK%Ãq­‘§è×Þ)…2·²‹’ +E±õÐ + \”t… +ù\”ìL¿üJúÈiÛÉ1[qÆØÔ‹’cM3vkÌqã‹Rác¦)‹ŠjQºLæç5|X”¼æ‹n®äirkìxoÜä©ÀG9å²™ DéÊ´{2Ö‹§‡R6 +² ¿/F‡žì5ƒ™ëQ"œ„ºÁtÉNâ# ÿÆhn^’l³™íxшç8ðÞì»Òä%69b-'e‘­L0)å²PÚt–ldi59žÜÁjK8aZ(é¥$ÄÝ¡ÂJ𠬢›HàJ¯éI­P*þ Ï÷“OëÈ:m°ËP®ÆS~ÿ6±U g?Eî8¬©À'|šÅU¹¨P°–L7/j£Ã”œŒ>7žDy +í,‰ÓtR}h‘¾¡‰RÊé+¡„²=vï–„‹„?› Xǽ¹y”_ü¤¬$Ÿš?K)}>ò†¦ç^EÈÛÖŠ“`¸ÈA…8 fN$æuáÓÚN˜ZÁy'¨Áüõ +ßd8ï­LrÎ;j`v#N¢i5ÿ]_ëç"Áuõ¶Âoà +žM‚ê¡AÖl*]²Áüm6ɪ´j~b²Ix»RIÂã…£Þ·ªãø)ké…|Ä•pR)9ø4´D¯pÒ¤1|›,òy§¤Ä,^å­*+§Œú¹è¿ß$¨Qa`ã7 •*qËït­Zùñ$Rα> +³Ärfê—DÞ3”®qg~òd¥¾€ ó”i¢””m}Ä”).Í@§¤RãÕSŠ)w™±¬:y—<&­Q•¢·'ƒ¯¨ˆ8 =Ef¥Õº|‚¼•2Óå¼ÜhÖ*°rÄeJbim§˜H–P©ÍÒ ­j’?ª=u¤Òn¦ÖÒ1?g3Ù–ü"¸š¿‘KÀêóèÒ|²CìtDZ@í¶¹§À7~ÒÓ#Žòk=xWùIB¦cüø“b&hÎG4:hZògB]â_t™)ÀžºYF—„0 ¨0.þd›tM–܃k°‹t ¬ ǨùmŠsÒ%Æ4LÖ«—b>¾H~ZS”ÌÑ%ÍgðD8BØâOs•3Ùö6µûð*¬ºG¯»?lÓfÚâFÒ%ÂNÚwLe}ûó1iJ ‘mð˜LN™.Åž0—:¨H)#P©1”ÆmäO˜x(‚mƒ)aº42t¿TÚé’¸¬ƒgÈŸô:`øXî¬gO.%"5j>PóGÚ}Ù¸ÛOëk+ÄkWU´Ä~ÊI' ³;LžA³²ÍàFîña5“K-1¼Ze—Ê&K,Õ+.ºµà?½^¢¹—A#°Eù*½É¥u®@À5Jþ”œÕ9P7äémÆ ’?ñE…L< Âk®³«0¢ä’…U}‹tI’.´M£¯õ籠É5ûSµÆÐ+©Ežt©çM>ÎTÓ¥eG@?a‚ì¿Þ7 ²v ÆœÀñ_«?·P›<ú¢Ö§¥W”N0¡=ƒîDd ñ›úîRšVY—³ãÚëŸ|,ý?Kó9§Ý¥cVÆu,ÿ)q–|€VS'¼ÎšwmªµÇØíKúW÷Yß»´?pÓþÉÒ|ê}©‘9§w yóÅÃJÈ\&äŠlòΤ«’Ž+äÙ?Ñ})à¥Û.0ÕžfÝî¾<°šÜÕúq,©€Ÿû'žÖâtoæŒõ.=S qJKÔ•Ñ>Á%63L£SK_ˆ,!y!ƒçB³Áý‰z|Kç ‹Ôê¹€0Ã?5í:*Xa _*´'ÑË[*FåBÁ±@,nu … S‹ãAô“XbX^ë4ožÅoQ¦$.ÕXâFªU 3¾¦ÅU]Ãõ'ÕÒ_[êÊ㢶4™r‘᡼·|§ Ð?9þXvÊŒþqÐ9IHúÚú'¤(L³âê±õO?-_¦z“ï~VPðƒ½ ¡G~õdÂÝqˆDT—âòöwÉ­e“­6‚¾KûfJAM¢/}1ÅJíIÆLêÎw)àw:õØþ©Û ‘ðb—Î'~òÂöߟ(ø×w=ƃ´bvZ¸´ì碤Äs(_½ÌÂ&ƒçÍTã~´X¯%!½´m¦Þ4ʘ<—ðªÏÕæü\ +Ìnxϥ˽pd÷~Ø«¦ùð'ñåU[E[ò?—ºšª–ûóWÛ (¨4.âOhzÏLÎd—ÂîøIÜæs×›œM­ö\»&ןèž–6¶Õ¦à††Ÿþt¸=ÿ~èðv£®6—†[‹?°æR|Õ'#ZšK)6ec$„.EGО1ÿ]Ò¬¦Çãr]Ÿy +]»‰b)ÀbùÓkZ‰@”~²°Š6whÿTþŸh÷j©­^¢Ë—r®û%ŒÏ€’Àäà3ÔÉ0y“AÛ—k&MKQÅ4ÒscÂìé˜4 D;dªÇr1(|W…5(͵(“ø( ᙞÓ@¼3…L©Ñ7F(Éœ‰Q•P¸‰­òO(=ýž“hb§¥iŠÏ,¶¢ ‘‹¸µ…Ú~©«(”^D ê39 MgBö.6a8—“•¨†¶ì×&-ð[™1¸ ¤tüH™¿]Þ¤øöM…t 89Zñ#õKÁ¼&ëíD}W¢ÚÅÜœ:NmÙOà59 ÀÊ÷Ë ÊšÔ6xÈ‹’姌Ã>Ê «/ ‰lÜç´( V?‡cÓ lÁvææ4”NM?ýhŠšIùeÓÕØŒ3)\)$ärÚ—]ÔÆç›E!2gn{¢¨£:¦³s ƸQ©/'Ø‚Ÿ¢Ò_{šé:§PÚnç¤ãª5sN§D›4ýMÖ4#D:yjsˆSÏyE‰*NaA’ 1`esÚð[œ_ +Æ;/žïål +7˜='üäl°öŒ~ή8%át£_œ*¨íw”8¥AR{·ø§‚QJ\¶Uäœ8… È•bǼBe'ÆÉûŠSiu•Çzaæ–›eƧv»ŽTœŠ9]erqêöB˜8e®5³Z:œÊ"{~rrPqÃF€•²Ã)ò #»épJDÜUI”^†ý‡—âyC‰¿uV" §ø0\EC÷¥§‚ošæ÷¶kEÝd?ÕpÑB‰1œòy$S7¦™8œbF£“9„îpjïËHÛ¸;œjÖÔså'ˆSŒF”§©…%H\4%“K†Œ¤N/CœJX-îpݧ`êîí¯ qj5QèCS$N­'šýFXŽ‚®…ˆSÁ%géXKE8å$~-»Â$ ãÕ[Ò`¯TE†“!6ÐÁ¤žzB8Õ9q¨¿Þ±UÒËwJNáÝ/`Ø'R„S ˆ(»ÉE/ß¿º^A ÷lâÜØÿ´x$ :¥‰Ssù©Oõ à.(EÆ´ê§0{)–A "N-íÏÙ]e8µêuWTiN‘DŒWVd†Sk›øá¸| +áÔ.›7K«SÓülÿ¸ Œ8KXáT›=²#/ÃMãÑS@IáT‡¿ª—àÔÒ•\ވ䄧~¡‰`hNÉBëTÐ2ãìùÀ÷N…%@žgÚTÕíNåM+J¦¨ k§æxÀ`à”VT|ãˆäÀï…OÃØ ïùo*yš þÍ~‘ëë]jHQ…±°À)z«YŒíäJ¯èœzP[‚g¿œêyiP¾2ßTQì™î‹ƒ9í9¦Ç‚*“#28s™oŠ¸UjôMIùý¦ü|àB9Rö08¥ˆV{K™¯8•E S¡÷ÂN1@Åf7~S+oó1_ðc0× ÙßÎw–Kãÿf–ß”¼çßý”MØp¢÷4µT5É5 +1;œŸ„3õ4ã^p**•³Cþâ´ÌSìwà=JðÆþoJñJ‘ËßÔK"Ó9å¥VÞ‹É…ÏÁE§wï7•À›qf…Â7…§=ÌDˆoJIpt¯"Qƒ^?ç+›Ô«à–c1ßÔ—DY"qÕTÖ´oʪNò‘Kßg¤ úM™8¥ßÛ¸òœõ”ø›RŒØ‰ˆdŽ¾Þþ75Ã"øuÆHŒšÿÆÃäHKmµÔPÌK¾)osW+à)å hÇÌËTé°|SvÓ^Ÿ‚Îuþ½©‰ñÌ5vdfŠŽª¯7…¡ŸŠÜ›Ò#ŽªÛ‰N&ä|OpL–‡ܽ)Òæ!ßU} vŒÒW¼ Õ›¢5COû/a ÷Û÷Ðõ¦&3äý®ƒ†ØÿÉS!õÞå¬{SxÀ:ñyS[ 2V]RÕ¯ØyScÉÁص6¦7•/‘¨£\-Òà 20ZÓ›:íê“{½)L¼% §l‘]¦ÞÀUŠ){S¾¶¿²§„¿ÈÞTXÁzÞ›"Ÿ_=«{ ùojÞá\pq%SE%¢ºf'ñÐß”¢€OÍ/j4r}§¦óæ&¨N)”ˆR¾'úyàÔÿI¹Ò÷ïqtàÈèAòÔ *å¿– Æ«˜ÃSà„bB—à©ë&Œð,9˜Ò{†b›BÄQ¸x].p»vùá!ˆ¡an3º0ï‚7w?®ðŽ‹þ‡‹ ýE£oò]tÑ©jƒ¨ûÁ”‘P e°BøEØG(Èæ— •aËðaŠDøe†03Q‡ ÿéØŸs¦—aƒ'¼ÇôTÃä°/gZ%„jsýÐÅSü9ë— R‰x´–p˜ üꇡ£W 㯤æÓ‹ áaH %Bà…_¿…éû§t°!b<áâùÐöÖYlögØ®ûãV‘ˆ-„˜$ˆÀ‹C]+Øa߈!•jž¢jJf_à --‘¹Qþ\O‰@7~Q‚0ü §Úç)ëÄŸS\ý g—OÛî‡+%ä/êF½G•põ1¥îa2ù‹šò͈(%®†Ä¥ºS}E§¤ÈÊ ò åˆü¡Q)[Q¢0AF„ÑŸå† +E¿ +)•‡*qèTþ“ý<©j³JÒf"C¨ò¹0«H§È¤šù'™³÷l&0 }ÚÄØYð«ZR¹°ʽ6ÈÉŠ,ƒÍ{´“•›e© ùÚa¸RZ5dÇ匆Öò‰9_y}ˆÔýˆŸóAk¨t1²YO‘?ÔÿP†•7ÎÐSòC4DC4„àW­Ú,ÏŸa˜â-œ–mÉÓl”ûCøñkÊ»ÑT©>‡ÃEUo +Ÿ$³+?ù íáßÒŽÕ² ”à:æ ¡ ŠH¢rG×ùRÒqŸ¡'þœnc¨ËÂc8WCPý0lC+þøûø7§æ-^lÅÿiû<)¢˜úãÜÐïè±ô¿¦³ðC|V1¿ZÆþÕÒ ¤*–Ñ¿ky ƒî‡süšáäykñ‡Æ ‰œqøHKƒRµCòGÉj¾1ÊùLɹyðiä"Œ„p­ÚãRì_ô|Á0@Ù£Ü!>ŠBù‡|}“Y,¤ùdáÁÖd +™d›&™ìÍ‹ªžÌF˜æÞ„ª’Û=J¡ùèiß9ƒSQF1ZÈÌÏÍgæÍCtô2Î4a-B¬Ñ Wk–CPB$è_†B&×Ê•÷×y¿ïë¤Î±?gåô‚6G‡íOÖï0ñ5‘s¦O ò¨ü?Ɇæg^2æb΃¬ù=zÁÐEÊc4jcˆ"ë¤êÿÑûù·ä7—3 tØ×Æñ\N¹\ä¿ÈüE*¶yG>Ñìi™prO†Wèý¶(å7ù óAòˆ–Gd¯ñ¥-ÿ¸`‘ÿ':³BÐh£Pl”&… ºÂ/ÂPüþ +¿j! ¬”,5úoii\Ò†òwùO¤~á}Lž=ç?PÌ ÁRÈàS¥:Á§Ô³Š Š˜J5†wxœAQâ~Žï`õ3LP¢xgŃÞCàŒkXþ“á!vNe¸›ùgŠÏ\fšw1EêfdÛ$a´MÓ·z³b$Hݯž˜¢!Â$?¦›f>³‹cgº5p§C>1|mÖÌ"Ž•¸å IÐdæžId4b…g.3’œ˜Ï'V$&>AŠª‘V™(’þ÷Ç +‘5ÌXQ2 ýÖ!ôO× ‰îEŒ ²yÕˆ&}òM!jDÔ¥D%‡U½0‚ +7µQ÷b +ÚD›N£÷®õî]hÆ¡Wymó†­ S‡Ìܳ)z‘{y~V&Pv¿QÃ5ß(aáá—)u’Æð[xXˆÍÿX+êžS¬çùØ$‡dûhfâì³{NñM® ÿ© )ÉreKn?2Ò—AQ±ÐòPѳ¾Ü£*±FÆßåE†…2l9Cqy ëòÊhT~D'SÂ>uK +3…ì ÉÖP'\¡¡›qV¨Å[¼Ëð… Ôk ýé.ˆþIÛÍ‚f®™qx +›cg:~ìXÑ C¦¤ûáœ%,'çafÄöü2=ìJ ~“ã‹yÝçDy‡ƒå´/gŒr9%¼Ô˜iw[q£…̓ØÅýpþý4ƒþΈ[h;Âa½6ì÷3(BsŽ‚D‘ð*KhFQ–ó#Ïêc†ÇsHF“Rò½-_h(ˆ¼33„š%D>¡þŽ õCôñé3lˆžÁòmÚàSõãPB¡JHBšyš/ºtô΢‘l2Q¬3âqñ¡H|Îç¢ï‰-ßøž§&¨z4yWCpÀp8lõ x,` +@X!$Y BDeÑ;úT¾4¦ï?—>7©ÀôÕoo ÃñÒ§õ;YY4g·•ç¢Îô¬,ÚlM_ôlúÜ¥‰5}’Ze¡ÂÒŽ:•”é“Ý[ÚQ±Š›¸„~Ê•Eõ‰ó™Ôw:eá^S᡾À™ +õÙûŒÓ§, +õù‘Vß#RY䢲ø@»NYT3`puÚª¯PY1 ª¾ò”Eí‘irúÅâ÷) ™/N·YRY¬¤Ue‘ѽê[^­°ŠÝj]u„¥eõ¡™”±d îy9M쪯)5f÷Õ·Œž­M~Iu¤¥Œ7”£~9ea*Ìl5eQüS³DeÁ·ƒõñ–X߆e}ÖÍú8k'ëKLAeÆú<^d* *‹Ú§,\Í 5ácÊÜD~¨´{±è°>ÆB9œñ®c}‚ö¸wÃd}Óµ3ý Y_­Dêgiió©R…ÖWàµ>áµõÁÆ”eÔ rRž%l}X)‹yë oë;2£,v®ŠóZŸ3©"‹_›¯¨™nðzNHÀG™ÖÄEcGYÀœ¤,bĆžªÖê‹ê–²0` +UNÊ¢8¥,ªÚúÖiŸÄAtëS:+5eÁ‹’ä”EË\ÅÔ®¯OÄýÖ·ôSgÒFSMYâ¶! +hëó') š #Í­¯5ƒ¬õ)}åo”,ÎBá¶>Oí9~!eÁÜúì±õQs”EÎR°ºEj}³ÅB‰GYð#) Àú‰Þø5®”…¬„é’<œàn+[_íÙYµS @eño}$°VJñ¥Ü²~Ê"†œöqº ¡26üëœqf¢ ÃKYl&ùÛ•Õ×],–GY<ÊBùÉy½´=Hrˆ' ËœÛþ^r²P¥Ñ"7Y â÷úJ6ÝY”“E`rƒGó¤O„ +ôõq*àÄh²Ëg²ÀÁd¡ÓÙÞëë-éŸÎòú–sj¹dCY¹{×× Wù©d²0Ô,ÃFLׇ˜,ŠÌd!X¿Ã¤É‚T¾õ‘;“ÅíIÇ` 9“×8>€& “Yêú<“5YˆÉõÙõ„MF×—ÚdáC2o^ÞwWaMÉãµ$=±Š…¬´ë‹M¤ë£at1¤äúôš,ßÉ¢=Y õ±2#«®¯Æbõ²˜t(h”EO¡,ü×KÛÃnC¬£e!Ť,8]Ÿ*²À^HYà]_4Êmø„^ÌŽ-¯ï¢,ê p°ëC†²Ø®!¾69ß(‹²š×§ó¾¾ez(‹„¤½¾e¡«®/H96PåÑïúFJèú²Pö¡WxaL’/e±i#u °ë›y^ŸO ”cÀl ïúÔ›”E:±/j¯,pC0¬Š}B÷òúN+gÖg^,°—²€DÊ¢Q¨MtGYÔB”Võe( ( ‚EÖ¼©¾Ê¢$µ¤EYéQF'šÓƒæÙ*£,$’²è¬tl”śä,„¦XçyW˜ Š¿ýœYŸŽ²HÆúÄNEYôzEʶJY_L, ¿§¬¶ýYúR?‰ÈðCÏW;ábÂ=ßa¶;¾Ø>ø.qOÃå3’¼HCÁ8´w†Ð/†¥ëÚÂÅç‹P넶J!ÊâÃpáM·%å¬o£é&Ê¢|¾‡ì,ÊÂàú¨ËÊ'Qݨðô’Ãœë}Ö?®( 6TMÈûh{Å”…Á¤ªà e!ÎüB#üú¹ÈŽ,‰€²X­Ôç”®ó›Ñ"3ÒÇkG i¢™ê*&(0Ê9b¬”¶7m”"N®–ü‚t@@ŽÉ+E˜ÙPà]$î,ç@Èˉuž¢,’¥H+Q0ƒô~Þb¯{Šé;‡( |j¦Õ "Å»ÀÖôÂ0 R„º$±A$6jÆT73Oæ¢,fÁ\á³…:,­-Ks,ÁS”Eud)?sRo#WûdӳǂNeáû'_y_€²€•ÓœêÒåÞ(X8Œ©eqr| +_Rõe²Î¶­ V‘À°Ouñ$Q×Ë™¦ó@oK¤å$ÊBtÁWû„®”¾“Ðܦ·êN‘Ï””J»£1ÇŽù´( ýu Þ ±¤»ò)Ê‚¬oò7[Ôz _”…thyCY#¡ó`ûï! 3#}«¥ˆ²ÎJU^• +EYH(Èê†í›ÒABsØé–ɼ&ŽDY´n’B°›ú4½(%.$1¶$†%žÃ…‹SxÏ–x þl2{Á2ßÕƒtàæü»D£wR)MËñ( ~"¸–wû½}""}¬Q{þ¶×æ┢@h#ˆu¨œB-ñ”²˜]Þ[Ž­r¼”Åíä(_ôjBÁT\Š¾3îòxö„ÕÌ”²(sz.¦-èèf c-’å¡HPñªc) ¡»XîR™Æ^ŒLùòRF!fd<<4WÐJYpõì?|¥,.ù…©½‰Æ›¹ħ™¦”…‚~uA7ZÒÕ‚vÂéñí²¸rnc¨Zˆk6†KD¡jî7Ö¼³dQßãT=L¦\©+e¡$»4®&KbÈ ¥,¦O*4à¡f°³¤ž£D}Êh4„EZ=àMY´õ0bÅ );/ªR€4…/CQîÌnm2\‹ÍˆÌ Ⳛ²Àa•_g@ËLYäîÿ…äÁÇLY¼†ªÜ;ÞƒÖ|Ì”…^Oõ‡ç§9 Mªˆé›²Xþ\ Üž¢sÏzÊBØF>!Ô'Ö×) &Ä2™òÑö? Þö2ü1•…¦aT:œ»¨,>•ÁVV$ÒR©f¡{´uñ•XsÓlúDx ™˜ÊYcyM–àÒ¡ÝÃ<Ž²UÀ,¶Á²`ݵW2Ifh¿^@•$îÜNYÐOedq¬<ꩲ0‚¯&4H“KÍ% !‘ Z êyшRU:]!ee…POÓ5e\Š²²hÚ1M+¸ðŠ•ÅTá*›Q’[i6”úTL +©¸ëJ"õãIÊ•Ešv¨æ“‰÷"åÊ`qò,he¿ïóFÿm®,p€¤Ø[±WM$Ò™’• "k !;ЙÐÊB“÷!Òbv²ÐÙÁÂqEÓm¦µ“ˆ¬,¤ +D(aÔ½Bª¾ ,üWb…plX ø4‚ÉdeAš:èF·á³²ˆÒ÷@ ˆ4*+ GXÍ%¨1…Ä +6+ Ê:ÜPi¸W,W£¬,:âë4b—›x¯0ñ‹K¢©ô²V: ¾Ê‚±²Pž›£Þ$æ˜5b&+‹%½)°Üõ8+ ¢æ–:—î{…sÆw<}¸#¯}ýEˆÚ®,Òæ“€œÇŒo¼ÚÊ‚T Í/}ÅÉh«©¾WPŠ‘É]PÆD‚,U®,ã©«kØëé6TMÏ<2ÉÞ•$4¨Û«…®,ôgvu¶Ú•…_s0lÑö³ƒÊ~¯@xè›}e¨§[Ç=?6˜÷@õß+e»þhLïÆÌù½‚}7üEéðÍ&«9Èžcò"ú1¯,¦&ü¢uÿ±4u¦ö{k÷.‘¶§›á+‹¿\+t 8luŸW›¢ñ.xÿAq «é Í"‰³‘]Vi•EÛ +@¹­‚ì•EîNØ(Äý!ozíEŽû_YDd Ù,[¯'ôÀ‚‘Õ§~e!‹ªÝö5†¢"Kêø½‚·‹fñ:àqñóÍSiå¼²P¸×eˆ¨ˆUqð÷ +½“t´¬2ðÓÅïš@÷!’X§_Yœ„I6øVæ‰àoé{{à–vd\ôeê•E܃ í¤;AëË-ÆzÈ°»¨Üü^0E¼O&læ­@õ÷ +Ñ*RKûÇ3 ÷Ñi{¯0óï"9¾¼W(Mè®W°…°ñíwøÊB¥²ü}º`±ÛU`|Xf§)ädÏbYÄp·ø€£XŸ’P¢@Á7ˆESá½Bu)ñX˜·.:¤49‡Ð§r ¦÷ +ž ‹@'—ºò lÈ` €ûDz [×·’-³4o&±,*HñD’L}Ų8e”EWâ ,_J¥àÄҽ……Ë¢Á!W‹0„…P÷ +çk¹b‘â椧ò1ªuØ;ÑÍÒ™eñnœGW.ß+¸©‘¼*·N!ÞÔÙ ŒbÓ)–†Èµ~ ƒdARoKTT„Iž©dY8=”­²ª,wºù}¯Ð3JÓðb˜¥´§Ø²,¦FCÁÅÿ^¡UñÓnËSPe“eA6» r=-ƒ£?Í‚Ìln¸.ÉÁ²ÐS6µE®†Ç ü^Ï +C¬…{e¡‰|EpÉE¨4–…§ƒ…/¾/©hÔ("ùO§üïUú}â+è;YI¼aHo/GU‘eñ ð$wT¦Ä¢è.¾Â-ÇáÈeY|êð/ÑJmÂÂ[…, ‰ù¤@97˜"¸qè šxS–)Ë‚~³ƒò“ÞQyÈz)Ç+äaSÔŽ†§AÏžO +íx…äÅtMSþv€Ún³,&°¼+ˆ$¶ØÀ¤Ð, ä¥aŒTn9ñÄ ÁO©™ZŠ#Þ:@”!²SúdYÌÙ9€Ëð®0¬"Q-”q¶„w…}C%v¶Ä²èû„3‚Ÿ>cY´ê±éH³9ñXŸ ‚¬kÒ(ï +Xñá(Z§}1Še‘s‘µÐŒf-ËÂ#F„Ì!dN~'ÑtW貇ÛÈ>‹ÍàX–ÅN`—ñ +SNƆÏE¬»‚¥ ‡&3Gdîb“ea=ÙÜE®, Â÷r«µ™î +QÒñ|¸Fÿ†jCVËó¼ rt6ëù]_±.DËBg«=.-‹!¥d²œúµ ˜–…hYýãø©Ó‹Ôo ó†ÏUÒÛÉ»‹)K¶-cN6Jü8ç~åY‹: +€ÅÁS–Œ< 6«}Ñ}„Ãî +&ÆóÙU÷ú*˂נ£œïî-OŸy2$ñ×;¾* +–…*Œ±©ÿF".bY(`Mù£GüvWxI ¬ìEÕYŒ ñ)tFÀ†w…V´Ò`ð²O‘ëá[dY¢G%p ²¼+ +RpåQê>¤²,p~eÑÉî&À-YŠGa„©eò®Ð›æEÏ +Þ2*ºy "ðŽeAóeÝUw…GЦ +ž:„› ï +á÷+t¨ ²cYYÉß_'‰wnOQs…¯ñÇñ^Aw.˜S7 hIØñ® „¨<¯¢e&DqËâ©vÖ.6–Å7-K‡…Qüóî8‚X(ùm®EbY$ú‘\V.X!–Ån)“€—‚¯±,ÎÞ‚÷±,âÏ ˜WwWXxgØ?b3€c2¦_/¾ÖÑh“8±,Œånû•{Ó%¢” dl~˜Íâ»èy ªÌi,†(ªúUwW€n\‚ˆ-Y§CŠ­h`,yã°N•î®P>€×WÁ,vW (0éO€5æPÀ•…(`@ÜhƒáëŸbw…&7^»—/· Ô§öî +ßXûƱ+ cÏZS!ä4ß»² "0AÇh‚¯¥y¬,ÔtÐatûñ;@»+¬t*¶ÚwWØ!Êjæ'¡•EQ,ЬVgN¼þös”U!Å®A Š°•¿ÒçÚL*ñŠ+D'Ã]Y˜B¼¯²¥›J1eV ¼aYìiIJÜq(‰,ÌüB, íI^ϹÐíh!+sœåØt¶†’ñÃqž—)(œüœêG4aYÄZù"õšG–ôˆO¥ÂÑwŽq`§FF8FßÍ•!G›‚‚¿Û9ÿjx‡ð· uxSA‘‚ÂIø'¬_F•¦ à® d$«_ùEà÷æ@, 55ÒS6±^l, ­Y‹øÊ8_1MØß?±,šëÐMZa};)îèá‡> + +c2 R”0ű,\86â +§U„bÅœ  Ï§2ûÆ‘Ð.¦y,‹äCóGÏúóÞæó¢QFðØô±ŽÓ‰.’ê2Œñ-ñ`Y “¤Cpããû¦ér‚‚¡u3´¦¾>£ –J¡[mÖŠ|fXÌ\™•W$(–ƒˆ[Õ´"«n*P°qÿàÜÐJ+Ö3Xê¶Ú%9X§gUˆHä}ý5¯î (À8ýŸev, õÌN¬;{縲\Znê (ØîÈei@ÁÒ1à, ÛpZƒºj@!$/˦,‹¬¸2¥ì!@ÁŽJ‚ÀÁóxÕ›ëèaÅ8HÔ°, …—1ë+‹ÄXÂ+‹h%¢?ÅÀ6úQ(p—ê¸öÀ +–ϸ %?é¢"ŽWÊÓ!0‡¡ïýèw¢®â‹ÍrµûNpË×Äÿ zþ‘<`’ÝH‡ÞƒŒ :ãd}',üš¸¤K#…Bß N¨ö-ÒÈG**Á)‹)}E€{Ê‚56æ"cÏïñë…˜“.96˦f—óºÔE¿î¼3‘Ôçå’Cë@e1D * MÙá’ýîS>-£ß ·”ù©2ÁON¿Ò–¢4~'øRIã‰Ç÷M~QYd_°r‘N¨Ë•)&6Âô¿þNp ~œ…;c;?QYÞöms!¥•Y ’r +˜:ß =²s)b $Ê7ŠäM EÃs øô9Eýà’ö•Åö¢`É{t¨,X\‰Ðå$*rQ®˜Îz‚²e(•?{Óˆ‘\*‹X(r½G·™"8•…醚Úv8Z#m'X7úzK¶‰)£ˆ*ÓÚ.ƒÚNÈå®JY,52RY¼oب&u¤²`cb7%”NüRYÈË9𬎩†\ÇR~M …«Êbc%_:Rn}¤²H¬•™œ¶ór‚.lü#šÿk)! +8=V–_ùw?Ù›ŸÊBß^¤S•…“$»COvcÛª,,—}X}аƒ½{‰UeáÅ£"¤)Q?hÂcë%•<ÈcV•rµ ЊX~/Ê€IÏÛLe±Ù#ú`xo Î¦NSY÷15ÇÆCEŽÞƒÓ˜RYXc8LhQˆQ1_Pzx¼ƒ¡…k}TúÎ#º*‹ò¿ìàaÀ´F’Ot‰$Õ£ Ñ׫yáùÓˆD%,a­²X[~Ï+¨‡3@wY71 )ýñСbÆ–°º˜á* ¦f[ïÐÂüϯ÷ñU‹–ABºØ* ŸÝlÈ€–òÛ* Ø {çø¡HÌʉ˜Àˆ1«,BmØ5Ïèéªw=,Pú—ÛîUvŒ4½²Êb xÏT]¼Ê^‚œ†òˆz•ÅòïƸʈ%Ͳ ê¼”^'%XY\¼çÜ`ºž­,Nüüs^?·²0¹ÀOVeE¸§èÀ•Å±–#T¸ƒ+pÑå–pBüC ’îð~|eÁ‚L§7è0‚™Å~ÔÅ ø•E=ÿ.Ÿÿ…ö¾{eÁÑfËÂ)}e]COkŠ˜8Rýõ•E‡` bšre5ÂkvâòJ8Eø%/9+‹Z¼²Ð%gJ¹²À·èVé'2ªV“¸§Ë…â#Ðv3•0oø¥³²øÖó‡ëm+ª¦»ª‚Š•…‚KÙ*n@ÿ…ê j aeQ%EÅ—•ÅmrUBuôâ9¦•ýþ5–f¢bΚ¢oeñpJ‹G­NÜ•E~D—¦¦f$+5s™.KaO/…„v2àÊâ J¶¼§£ ‚X f&K[YÔR ˆBèìK|¯•k<`)(Y_"Cˆß”Á°²xüœ#Ä«,ðå_í â¼GÐaÔ<ðXeakß)IJÊB‚«Q·}8•{–$˜Æ™ôý²f°²˜ç>ÿà Y®²˜0¢ï&ŒKYÝ#¡q-Þó*)WY|#ç:ùá +)ùÂ> +!ìµÊ"¾j_$ä&<ËðwD^$+ ã±*½”D*vÁQÖ;°¬,Pùf‰t $²ë#D‘èk]kdòáúNá[@kºªz͙Ȣë#¸< 'Å/_OW+ ý¶¯9´>bYYxy@VFó©yçg}„4ß?Ñ ˜@ÿ£!OÅ­P +£Å'…"Ze!‰Òr-òË+½è*‹¥ÅQR”–+TçW-\«,~ã,Èš´«TF‰¿cüõ µä0àзøˆs•…”ü ƒ X"Ϊ,‰°³Âk•…È«…bÂÙ·>‚ž- ³\elOz¼{ܯ²pÛù”½C¶ZÁíA‘åv@b ˜ÏÊ89¿ëFü¹âÂ…¿>ÂÍ6§`¨`ž©²ÐÇ©‘Ò k¤¾Å˜ÊÂñ¦6j,;¨@L@š4J x%¨²ÙEªšO¾=ö`Seá´>î“GË‘åŸUYcª"¹=¦²xêŽ `øÙ•Êâ€ÏfK*‹ÜW° KóËÞ'¡úRc7GâøèˆMí~81!ÒR!d6fF€úDI¾£‹Ì«d¾þ ™,#õèœòü}2áC®ãêE 2ê#P@ì½ßcô…{“®0§² kD=½|è~ê©ÈCN¿ãå}!´ öàªÕGà‰öêž$ÝúŠõ°ˆð¯^FB¸ÖGpÙ«ô$É’(‹sã[>mŽ}”Åleß €'.¤„çãe!;T†( ‹^P¤£55#ñùñ¾=¨'ã"Êš´̲Ö‹ 2B†Ëˆ¬Û:ÐEYì[YæA]áÖŒuŽÄûÖ ùÃEGYÔòunÇ~!õü¿¤KÀ%KÊ…ù¥8ÛD#0/DÑ@Xö½¿¿#Ü{=e€C0¨ÂR”³6í z1‚F‚PNÑÎwSYth°PÎ×ýbm¿:÷æ_+Q•Q8FŽƒ9=Ÿ²x&#¦[¤D§,vÔ;Ëâ+U\Œ@”ÈÏ »Êé]¼AwÊã]ÿ ]Å‘?Ÿ%*àF.©,Ä9$BUŒ0çyê×Åýf›´b„cPüC×îÁ*'Ê„úuHe¡ûVYxL%q©Äk“¯²ÐÔÝÐ]^è* Ü?BwWY«2Š8 Þm>L•Åu½ + Éyýú8œxçºÁqrq¡ì‹·?[e ù$Q¬z#„AUZ¨·Ü ).ûbN+‹JÄÖÃö ª,Èëw‰Ñ ¯#XÆ:˜í¬k&V!=Ïïdg»a>(П‹bäd+èŠù TbroÐø˜<êOETÏÑ`dmbo9ll½‚Ê‚^¥;TÊà0ÙÔ~ᔀ½\L܇‹NYàK^IÊþb„PëAìIH…)^Œàé¹€*÷Ÿ²±K‹ÐØîäò¿Á[¶ah^þ”Hdä ‹ñ~€w¾M';Þ÷µY1PÊÂ!?(™T\yJî0íTŒpÛkA}Šlڭ˜¥O¬LY ðª¢í‡Ú”…A>H'¬á©G ×™°Ñ#lƒ)‹¯¦3Å‹:SC‘ùîL8Ô”ÅÓþ p²éaÊ ËOª ³áÌeËlsØ6eaTCbûÄì”…8˜!z ð/÷ÞÛÔK£²`ö ;4x—èD˜Úb1H7‰R~S¹–ë`AÇa[>9>¾¥j“¦,Ò™ñ´ +Õ5M¾#tÅ…Ö îP‘Z’éë”EÐÈ¢Òø¹¼™R=ÁQ°^0e¦máo?°ªê{Q ++ÐjÝÓ½X,!Å”¿+*sÊb:ŠÊ½h’ ÕRYì•Ç0RèÐE}ÌñõÐExÂx;¡‰[/¬,tj£.‚*Ú+á}†J[lq»n%+‹$þ$;g +‹öükÔf#…OѵʢdðBAàĵJ%Ÿ‰ÒOפs°_”(£.BB´"BÁ¢{5é–Þo1¨ÊBK[|Ê ‰lÊâZ]„i9'„ûFÀ7›úÞ÷Škamyòª‹°A²9uIïË–* Iæ©8"+/^]?£YßTe‘3¨ò7ŠÝRe(¦Œ²*‹N 6)E=$–& …·BÚK„9%_$‹AÔü·ºQ×X”M—?•Å/At€¨ù’ÿ_!2€dB0xñ§TßSV9"qÃXü¤ä”…ZÒ”Å9—Š3SÊ"‘ó%[bS;³Ê××DèŠOÑ°:­Ï`åPÊ⮚ç»æʬAŸ—²@WMY®a<ô) i\ÿf±rÈë"L ¹ûÎ5kúVûN2{a:ØZÐË:%Ü0‚:HîUÜ•èw(aÈbgy0=qƒ½QY¬F»r¡1¾.‚ê£P’ØåZ*°`æœJ³I]&×ý7?ƒ©©\ay/{#Çüáþv­áUt¢²(€~kj%—Ü7XA]åë(Ï ½r)iK¹^ᢆ¥¼žn¶…$XÊ"¡¿Õ«¦`ã'„á®\S®åÒŠ,Ü+e!e93˜Ü1F•²(˜èÒ–ÜÿvVI¡®¶nŠH熤9»uÁÛm)¥Ùºómb«¢Òvv]„|Á'1^p\å¹.uVµ:,g³.B3YÝ5ïȶ…£më"@¿Ìqe^hÇë"Lûþºg!6üÞgÊÿV³J\hØNë~WÜ×Eÿ 9àqÏegŸ*RÍîj ’: ) ct‘a, ¶ L›vUÔ°E¨}h•ýÄPy¤,r£yÓ[ûŒ˜ Áz+» VGYH¡ %é½"èœXj‰ñ8°jÇkoZZкZ¡‚*1bÉZ\•˜m”E@¤\¸Þ+š.Ç‘2¼ûUývˆ+¾Y¡ë¢Ï[}–Ó!ç­Šà-õ3þÞ º*B¨œ÷{ä«°ø¡œT'bEYˆ4Ö>¶)é'‹í!S´ eqji“ðÏ=®%”%Ü* ªŠ°gÂh¢—0 ”Åy‰ÂWÏ*ÜPüÌ2åþÒªP& +Êû ]Y´ò/£¸~—¸,œ¹TLp“Ü£,&ôQm,w(”+Š@«ƒtýÏôáPµË(þˬM¶a ]ð_ݘ¤ø)s|msó‹IY0-7&íX°,EàTì’›e±!7ÀÑ‹ºXÍ* ÀTûPÕ­\Ê‚û¬ú4\ý[Êâzc]¦à}j äJY,š4‹„A˃"¤…RïžX0æZ 4RŠÀ«ÞÖ¨wä@Ô&9ÜW3 +Ð"D7ú'}—²Hn¹m«£þÅñmÌœ¡HhaÀ0–×'‚ï÷YQ¦,ÌSU¬ ërY•²Ø å3ýJ)‹½>qä#Ÿ=Xî¥,X켧×Sì€%b)‹•Y" n)‹jà=Õ( 6È‚;#^N‚‰ºÁ(‹÷B ß^>\¾£,`òc@e±GúŒà`Æ™jš8,{DYˆu´º݈‚ô(‹A@óC@ßòµ!{Î"„A¯Ò·Ü¢,\_âóÉÀ0Eºw‡ŠÓÙU@”ââ°qcÍ“l+[ FY|gѹäË .ïh@4ÊÂºÛ³í¾§*÷žÀeÁOæÏk¥¸§G×útòÄ<&I¬-cJC¸€D– $Ðlý¤$Ê‚ý4‹K«y‰² 4‚ûüB´.a>;¡wB­B‘¨—ÁjQXA”…Z9Àè?3je«-PŸd¶·† yòŠE…™ÒbñhQ‘}”E‡ÝÚòÊ'7;…Ð]×ß84ÀUº©"Òe‰HY\äƒ)P¥ „‚ç :Ú²IY¬3ƒ{’[™ê殣j?‰ÆÇ,|†j$Áá ¤ŠŽåÅBÙ¦äTnRõ7„¤MQ±)‹A”qììã)lN¡ƒP.²³Z>¨ƒÚ骼}Ût…g.„/rù‚0ÔbÊbQß´¡‹S©õ›eGn˜²pM¾ß§º¦,^‡oôJ_‹Àzg‘ÔÇÊjÊ"n`ĦîÄAWtF8î'~ºC­PÔ”ÅÍÒèo¢Þr ?F,3µ±=ÇBÊeMÏÉ¥·¿Êô@Ó$p†¿IY Ó/¥‡gÜ-ódÅ3äâ|C4ᙉeº£RöÑÅìŒ{•“²ˆ@ `W…H‹C²˜8mhT)"e1#`‚Ê‘¡o è¥ènÃ+¤RCám])`áÍ”…vÌ‹~ ùáb†`DYÈe+ú/Iƒö)…CÞ“…³ŒjqeãØaß[i”œ,ÄCÝ…t ¾ıªLËéMYÍŸÿÀæ úéK+(4Y$Ó+r­ÂýV“ÓbÏÞ•¡N²¬É‚\ï‰%ŠMnž‰|²²·\D‚l¿á]…ž»É‚1í ±“zÊ—¿ÇM:zÛYKg1)eD›,²É°o—^u“Å…¨‰¿NxL—d`'º×&g4Ö±@˜jÏ!·wM=iÏd%Äd1u4{1*¼gè!6KøÀêù:z +í;—ü üO5éŽûP%> —O{]2S0줣(¢`XxŽÎ7Ø +ïš¼XånÊæïy]dc$“Åœ9›Ó±&“Ejªá÷r,ÉöfºÇåx{`B³>q€ìx& •¥I3Q€¢•ŽKïÇj`KÑË6æÿ&t—àI,û§‰Ž0.ä*R^²Xq'Zw†@°7Ž{>¶wE^²ÈgÞ74‰þKd‰“tbG –%‹©ÍQÚo}§m4åP§Ž”ÄëAe½B“q’°-Yàà¸1Y(Šú-È„rfk~\½ˆÉBSZ¿»”j‚¯˜,`kÅøóëNa5R<ŒÅdan#úæŽ “_M哳æ^Ë7Á_“ñÆs·¡ta²Ðv ©ž“ÅGÕŸAåÇþD<8«™ž­œÁIÙ/Y,ÁÉYÑQ…t7I‹ð§>9ÓO Ð ¬U¦—›5dŒ ÛÀe¢a™Þw@´WìLèνƒàP*:øÞ&‹9Â)ßžæSŒÉâwdFüR0Y$ͲB»¯6õ"Õ¦/QòUŠ•Éâ€+Âzx&s;° ÍÏ÷¤Á×`;PÄ­8&ë¾vbݳ’Zh{óržüÁ†OÃd¡h·x‚°!N«ÍR¢–hÛ›¡€Yì[!–ߤŽØ)r4YœsÁ^:5¤™,Cúþ9½ÅåðL°Õ,‹Á}Š‰îÃÂIqpÕeéÙpáü¨6ãÙ§Z ¶G‹²Ø•GŸ”Ž†)Óµ¥3¹‰å‚"¡{¶–Þ¨4IÇ2Ç jRÕz eü(Bi;¬ŽŒ Ej„^¤ó“\KCYˆ¤w燎ƥÞ(|üe $’³Vï àÑãZµt^Õ¥EýIç +”E¡õý-Eš{/’ÕZ£°”Lvµ}pFëñ~À?.+¤äqžGˆ7P(Á…jUUŒ³Æoೄã„:ù…ÄtÍ}Ð!çC¼AÃÓÞ¹i ,üL²ÇÏ_øž á«ð1"IñššÏ5çG¼q©7a§ ­È>­§ýÌjS>@A^—ž‹7x¥š²S°§(oôÛ'\¢q•/‹éAY\•:ëw£â X7Üö•Á2²°¬¥»¡”îSšÅûëâ @q¦¿Tý±6âŒFû›Úñ0”Å&£{:XÐm²yÅDF:!×¹ƒ¡,Ô-]§) 2‘Q³"þBAÉ å'ýMN”Å¥õ¥ 5×qŸÇÓV7hÝböoÚË°½ŠÎ»‹YD +„âRtƒþH]sDÏ™Ñ Þ¿(‹‘5R9c[쉲àƒð”vQJ=AtÍgÞ1•D7 _$æš ¤§$ÙQ÷r»@u”Ņ⋆¹˜Q’ÈwAºÁK²²¤Û×(#›":Êb +7øR|…Sèbv‹þ„ì +–‘ÙðkæÁ½-,žNB7ðÛÎËeû?–…‘ß{Þ&hDE”Cß”‰ž1C =àˆGÔ'îîÇ…•Uü‹¡ì09´á¢,†;²úZ-’º{õ² ø‚²ðE.xñùdÁ†F¢¦–+ºaîs'"®œlŸ{€nЧ±ÊD´X ¾AY¼ú‹õ:¹"CYL¼qÒûjÿjÝ—Îxg=Ê” õÈÞEg©Xàoï‘_M9¯ rl¿~ÓîDá82¤ Oq±ÛY ,mùCÐ ¸¬]Ù]îë ~ˆ|Ú¥4á\àݶŒ0ƃýãá&DtùõÒê͆5^cù×Laä>Yü±ìÄGYðõñ½EYÈžT|¤t›¼žø}F5ifŒ©çljt{]àãS”…ù$Õ”ãâP~Ã?AÎße ßà&ÙåEY“^ÔÔÞ\§4{nPd øuPuÐt‹£( z›°Õ¡dŒ=7¸L)ŸÄóÜ S›¥¹Œ}z( ¬1z~yÁdù³³AY`*«7c?;7±õguÙòwS’ ,ΫñÖVæ>:O÷ݳÝs¬tô5r·â¦}EÀû²ƒ²˜–/¨…ùâWë’å7ìÈb2‡…,ä ’Å$þês,ðÕ_–ÕX0ý c±/–ÛÆbÁÂÆ¢Xt ±à_ Ô“©‹60(‹ÕeÒm‡) v2X`cÀ‚ B`kâ µWÈ ,]¿‚7öFŒ¯Ðk¯‹^ñòŠéïŠ4U`aM!S`k^$ +ìÜV¨Xm®àôŠ Œ[\a^`_pç¼p+Hg+ÞM`ÐkÅŒªQ/°p§À]`+Ñ;‚ž˜Ì,0$ÊŠ]þŠ„=VpS±¢Ï°bÔÀŠ ¬¦¯ï€u¼Š¾æŒKf`ØüVÑÿµ¹ Ê,˜9«¨y1¤¬*" «X]WÅ;V…,ª +~ªk©bãÀºQÖ ÌU&0ÞS±“Mr*ø•¸äNS¿L”)Y°8Á6 ªÉ?"ü”ùŽ +°÷KJFE]ÛýâÝ6•9w;̟¤‡ +p+T$TŸºiT r`ýDòSH€OÑë<›üSTV§ S7ÊœBÑáå;Y„SðÇó077E‡ªÛÄMá¡NÃSÓ`ƒ*40MëÀÎÃX+e +\™™DÝÚÄÁ "‚¡` +`u )J¦`¯ºÁ] +)\¦s¼_Fm).݆ÈRÐ2h ‡n—G +ò¤ÜþÜ”Bð&p2­”C¦>ÀŠy›,IQ¥”e†™#ÅTžºQhRø0 …„â€ñwë5G‘îFá!0JBÝe>aBrQ(€Eq=E1‚Àޅаj‰Ž(ŒB©ð¡%A·aIFg9âÌEÐíp1t_[†B€…‚JQ`|ÛŘ”ÃÀxãØ¥³¬—-Ã$ÿ …9è„~ +L¾WÎÀ^O(*,¸ caÎèÂ’M(†Ã°Ì°ªañ¨%æxØ… E:-¡±bèE¬ÏŽ˜®AÛ$ö(¡—Xbˆu˜CXë¤X¬‘bÒ¥ËÆS¯Ç9CûVu¾bMdZL0˜‹n@¶‰ }I( 1cðOå§jl¥Ù˜âí¼d4&NcºŽ™/ë¥ÇÊL>Ö¨„¢É ,Á„bX¬²>Èz +êr¡â1± ½zòîN(FvF¦Úrdw +-’å'ßÅ.É0¯±?ªd9õç…›!(4ÄU5¥/Oœî ™Õ’¸ •AaeN + + +-Z –[%Hb§Ì÷ì f–WYh‚‚•Qïæƒe%cyNPÔ+ËHN-Kàºe<1—Å}—™ø2ïÌ%Ì +ŠÖ3ÒÅÞ˜…;ɬµÌÄ”ÍìÃ?3÷#Ít?jx­™½Çf¦³Í¦JP¨öÞÿâ-H ¨¨©ü7ƒ Šœ‰Ýl• ·Íڶͅ²  XoÍ2I"¥f“f­‡«x‚bzRj6]î¤Y"©Ô˜w,¾±†ø†£ ’Å@³ûÅŸ™:"Í´pÔ òiãž [3ê „%›ñ mÖýÔSPØÍ$úò‹i3 T´x3 ®+° èdê»ÙI„,£VP&Â~#O~Ç| e P»ÍÅÆm›ÅæiŒv³c€Bÿ7«ý'ÓŸP­ŸÀ'~bzö‰úÄZO<}OàjO\p±ž¸1w=X=QjÉ<1Q8;yB\>îá Æ¿ß åºHl'>”z¤ΖÃsìDA8Ó¦u‚â¼ßdQ'"hø91ïÍ~›8n9qùf›äDÏhÇÂ'¶Az4Nô“q¢qÂÞÁ‰-¾ø7‘AϽÙÓo"¿jºWYMd‚æQÐ&ß,?6ÁZC2¯yæÎК  8 pWM|3pœÑÉmÒ2MäËY†4Aph‚¬Ÿ‰n‰%ZΈÎÄVΚ ä ¨fB%"ZΘfââ5“¢™àWÎ$y œq[ˆÉ_˜XSHdânÀÙ1ñ”f¼Œ ß`ÍYb’œU.LðÉ8˜˜©À“œÁà/±lqOÉ™ì—è9ƒåKÓK,€— ìºDã\bœ8»‹KSœeo hâ¬J[NdžgK &gj ph zÌõK¬”3€a‰x_ séJ\×Jœ•30ÎüiãlII¬ÄÌjzˆÞaã0èÇ ðsè÷‘3«ÄUÎŽ@•h>gô¨ÄuVħTÙYn5%ÈpgØXJ ‚g±H då™&£É34¢Ä%JðË3 Då= ð¼“83'ñž±Ý$@©Ix&^™ÄT0‰-.‰Ì3è•D) £GNF'‰áªÓð¬Jw–6vfè³¢ r£$ÁÍsæ?gB™ÅJ`gáNg4xjÄ:¢…”F€`´\Uói–γhÎ*F£_F ×hÉŒŽ&:F°}4@1bjH+-ŒÀoinŠÌß#²/i€ø"6"sã]™Jƒæ"–²´b·|—&©E0Ä4°,bµÂ"¸f+b[ÓXø½iÝ«ˆžLEü9Ü)íf)E¸/Šx Î "(â^IàÄÓ*O„NƒNg"¶âi¥—¨OkW‰à5Â$bö…Zþ’´¢æ„DUçTŽä“š FÃÔèûM-xˆÀªÙ +ÔI50…ˆ5UË/ˆ@àªyäÄÏÉf‰Œ%\V£Ã‡@l«ùóŒ] x‡ØÖ¶1ã$c5Ò9zÐUÎêÁ„nS÷ñ;ZË´!6ÖZZ ®­•ª!Ú}k04Äæǵt™ ¦÷·E÷=†Î®µ†!h. ‘:_ˆ#Î…à)B0-G°WñÆ +1í*D$»–B‹BÀßâèð„@Ÿ >®å•žŠ„à×`Fˆý\ B„À®õƒ4¼FûƒX©×{ˆçk¾ÛᯱË@`3µ ‚ß`ãÒ Ö¶4~Ù#ƒ`ŸØà/ˆŒ­óåØd-n!É +b—líQ8•Íh‚ uÙØHËÌ!‚À9õÓU’˜ðlׄ6ÐÖÏ ¶hse È(möéªs“S›Î_mÑ®›Á¶†*Û„·Ó6Õ¥m3¼m“·@t”ÜF¹@ÌÛmaæ½íâ7é'ÜT'qÛø›~HnÜf¹=] ”š[8÷¹Å\ G·v u;QuÓ[‰ÝRõVˆÐ ÇnÜU/ð\ €jÝbº­ ~ºù]Ú‚”+™±•4ºùDÑm§›öÑ:™åuVxÝÌ/Ýg7 µˆ„»¡ y·Ö?t³x+Á”7}]  é­phúõF&·7ß\CòíAé›}Û7ÒJ~[º@èö[»íoÅ\ & /xËëcÇ ”¿2O Dae‡¢~ÁMÀ± ˆ)®ßB¤Á ÀÁ-€ÎP˜@äŽvIþ‡qø-ŸÀx˜­þpÿG»oþÀÞß8ñ‡ €Kv?Ð.ûÁúA úaý 8þü0°üð@åNŒj>5R´[6Ɉœ€óÒâ?güÀ%óKÀ y »ÜÖøÁãâ _G‹bîNü ÖWÀu¶AÅ…øA>ǾJ?d3æÕt§Ã"ÀÀÅ0 Á=£‚3—„ÃŒ*™Á©·¬‚÷œ½"œ³ñCç§ÁIσ‚ì‹Š®§º[Áñƒ*8ü!8KÞ—‚ëXœ\K7~)8G‹^üP‚“?à‹h5~HŽ…›ë øzÒ!¸B¹ y<¯iB!¸Dû@ªµUn€|(Óçõ²xׇ´$[à,s}ˆvœ7YຮÛ"7Üúpnt‚Ë›°°>’¹>ȦàH'çÛqõl}"œt0·¿pÕ0i¢­Á©VDÜJ>gŽ&w£¸ÓÔËXœ:uqÞ:Œ;w}Ð/ãÚBËlºqêŠä`⣼>ÔÂãö H›#‘mR ÷¦|Ðò‚}˜u€\2ìÃÉ5{“\B«$g‰}H¸ä\r“Ã^ ÜŒ'åô±}0-ö7aj¤bx b¹m•Ãr–ÁlLÅr°ãzÀ[T,ÄÚ^™‡$ìƒ,ÙbèOr†Çüuÿr®EÌ(õxq©œ}€ +J°•KÎƇþÈœ§ªÅ°ž!dîÉ>÷˜sÈ&dîOŽÈœ´[@ó…R2GZ@rÕs>$xAö`Ô‡˜‡¹0¦ †¹Å‹.̽Â׫ê¡úæÔˇ´%@R‘0÷I>øïÏ æ¼š|ÈPJ>üXI{¡%Ds¾Ø•Gvrâäœ1WCzB0wDy æRð¡”^ÅÈ¢ Î>TE.\Í•‹tÂvúÐ`õ­Ü.Ù÷ ]*I厈ʙ[”S+gúø£ò=䎱r· Ëy|ÉÔr^nÎå4pÌðå’ø0GçíaìÇ\³ö€bæLÍ84G{Ø«æò]Èlέï;Ÿ7w7î»*Ρ¢Lsn‘é²H¿'â9ˆ}ç2î4hÐs´°ãsy¢~ŽÒyXºÈšÌó@)th–‡Ñ¡ëPP™èÌ#üEøxØ8ºTãÛ •Æ+éhÅà + ¨xà›©“…3…ÎEñà_ šÖ<`•tñ”ü0кIÙ-éÊÚaOÍì×ÂÐÇ€ok;”t„µÃx[;d]LŽ¶sípüµÃS{i¹Âðê°ØÁ`Ág^‡“îà:\Òåžu€&Í:xî®~©:üŠ¼ÎËÔ‡éFzd8Éu¢"{ÍŽ"@4ŸŒSŽÙ²Æ ¡ve<¤³v<±mmmWÎ17WÑ“·¤ZéÛAk èÂjÆ€[b Ž;È‹áÝrGÒbX~îŠU ê.ŠbèQ&¸À–+‰!–ÛÅ!»ûa ÓÓ: v'#ÒS`  vWZ\’ÂÀžî„aÒtWf `;uSFÔÿƒ@ì.5ÌîdÝÕ ÙdwH†áÙ]€Ww~´¬»~µ;iwœjöî´¹ï® +a8a‘KkíÝ‹¾¥ñ.„Ÿí=µ:È+’¹è]óÃjïZ xã»z^ßI è _ªø»+z^¼w^ÞÚ{!ÂË8­ðôxKÒðz80`'àïáéT¿#žÝÀqP¼êÛêE˜Å¿†…è¸ãá¿]h`PeµXïí“âÙÊóŠ¯ò8]-ï ýò’uH>;0\ŽæI lm‘ sr^FÚy0ÚóæØ;0Pÿ¼‘ºy¸4ÏÂÑkøZ¥[Ÿ:Ò#µ±ôPjzêv…7G½wƒDª—•i6Y=¨ºX¯,k=øŸ®×ÑMû™®Gþ@úzN Þn{áÂì¹ËÐ^GÕžý;m·ÐP–#÷ì¼è7Ú½Ž Ç{j²÷>¬ïÉ ù÷xÆ¥åû™< dàó60ð{ð&†/úw¡00raKÚ6˜øó#‘oùÔ—OMœ`hÞvÃáP!†ï ËWÑ¿ÀUü'ô ¡ßÖ*öçók>¾ òò‘6Lß ¸]>·ä;Yæú×LðLôJ0*)hôÂB/lø¼pô2Mj•âYx!(| ™»ð–Úëòá° Ö¥¾‘Æ(§@8{ºft—ê„—¯!ñ_¾íÊ…Ì÷ˆ\ M$. M¸€}€ 1Ì—{ ¤îà+·ð㶰(˜ÔL˜¯#æ›[ˆÁµ3æËW `Ã7µUj!UN óI 8Š,*Ђbóž…d³Àoa +šYðH|Üeaó* üQ¬°Ò|õ]üj>WÜ*ÐÇ ÀeÖ¹*Ä(ªOUH_ªB#©y@¶3J– Ž¤. ‡"ÕR¡š¤‚ž˜Ö&T8OÍk©ðôD´-¹8¨°ÉFÛO!Yè)(ÆÍžb +šB‰Ib¬//a +ó…Uªù*V +‚Œ\ i>Ê£ðKF!#’‚:͇)$þ(ÀÙQÈ£ùº¬™¯›´AîUPŠf¾‹Bj¤(Ü™'QÀÍ|DÁÌ +§ …iJ(`*ó•:(ðQABî…£úðñDBŸ šùp¾'ìÃçaºªQæ›-b¾Tlfæ‹X擪 ¶µžP“ùÌ«'PlG™ÌGÉ—Ê0Æk=¡D »–ÝZÕ8 2Ÿ=5@«+¨&hË|¦‚“)ŽùØJw®-ñ sÌg1`ÌŠç¾.qvL™Ï=M.™Os¾©'ÄäJÃ1Ÿ¦D®=¾#1ŸLOOhô0:jr¶Ñú0Ÿ¯Iµe@ îa¾Ÿ+¹jÅ|K óÉù¼Ó° =!ºZ¨áTóW9Kô„pàNOhz£JJ=¡›/…ÚÁ|à óÙCÌ÷Ih˜OÛˆZ0Ÿ¤žL˜–'¶y’ OоŸå¹¢˜a',ãuW†ùÐuÂ\Z'P0zÊ'dOYwÐ/¶ZÀ| +7Ÿ˜Z_¾fð:A­Ö ¬6˜ï´`mZBú/ßÂsµº±N`¤éuë„L¼X'd ]Wë€x°B ž«+›ëxùÌuÔÊâUUgãš©*êº|Ù£QËëÙäòM»s”:e2-× š¤0ÊöPU`'h “à‚.cuÆNHÈåÓ‚¤×R³ê¨| « ²ª1;ßx—O3?tBEÐ ê]>´Ë »!t/SÇ VÛwã„Ë'œÙ›ðÉMØ{Ú„l‚ëåCºšàŒÓmÑ<¸™ §`>x• OKä»—2Á˜0Ÿ2Agœn}ÿÅ¿ë0±_0_Âؼ„¤IÂ|r,æóP—€´W´Ã%,wΞ% %Ðz%¼Z _̇U ØD%à:T‚P £.%ÜÃ||Ž(–„ý0b(¡¦ %ÈJ¸JßBÌG3Vï>»“¹’àâ$“‡dnò|l !PFǘK‘Ðkýƒˆ œ˜¹àY ˜Düôóáû6™¯—€j|}…@öae£q” –]Ž`O8Âjn„ l„¿>ÐäÁË|@•dÇ„c„×`»™,ÁøÌ·ó"ÂE8œE Á+£*Â*S¤á|1QÎN2ëD˜1&Â%ÜG,"",DøµV‚2'~œ/‰§H‡@Z¤/ü…'} Á²3ÙbŽÇù/í=Ïg‚£T<æ| +¡åcBHˆBXøAxj;˜6Æt¾!ƒÐ»¡_ ÂC¢ <‘ ðY« fÁÌù†mO'*ƒoP:ß¹ÑçsÁŸÖP’­¡¸ç³8  NíǃҢæ(¯J„~¢Ìšb`jÀ!5j…¼Á8¬/Ë*µdîDni\·ÊçKK@@ú|Þ èõjm3 tŸ/g†øù Bâ?eø)Ô¾^š…¸NÌ ìÀ¶#KIS(‘S-””.:3³·ÜO_´}Pmÿ]¡$(Ý tƒ’ˆˆˆˆˆª-ý‡6ÒßÌÌÌÌÌøÀhP C§ã¼ƒ¯3øÀ ä¾BX7>'Aö#ªÃlh +fçÜúˆÓ¯Ð ³Ø˜}œ£, ™á)qoÄ&¿² ºÊ€I +¤³#"3<çnókú2?%ßÂSrkC§—g„òȤÔ¥¿‹îÙÃä¤_”ÚóÛ’ƒ~3À‚®ì›P%r?ªŠZP¿`4†T0ƒJaFHÊ­™E¡A».¶gwD¦”5£‹v‡Eê£ÇúÃ|N.™¥é=y/©VËM +–é5»+8¨—¶‚ ° =:SŸ…WÃK²!SVxE½0Õ¿Ñ]Á'fÓD6åiÀèV'9õÞøÁ~mô4…e¾*ûY{cñú­ñ5½3:¨wå¶Üºè”²9?'h‡úÏ@!»àŽ¶PñA$ŸAÊ’ˆIÿ0iÿr«þ2»çûEySëY¿d”Wt‹ò*C³kƹS IҢܞ=.8ýWìX¿)Màɧ°Ï±é‹âØôBb¿%´#+1£¨ÚÏÊ÷†Öç¸ôÿ™~âR VùXðé­ÞXðÙ­›]^ñé}ýžÞ\°úí1>…`HÄnÝ€T0¢,±¤,çߢêÆ+AX n¨_¯ªJmºE FCÐ ¡á™^“á=»Gãõ‡±³ö©ýïéU¹Ay «5,VÃÇ£5|Ó{zKÔ®`tÏDöì3Ü4ÿ2ç¿¡&ëv¹1ÄNªŸ“{ 2{ƃ+'.˜h)$<¨j°âƒ +„Ìh¿ Û…a¶£JC­Ç”Ëo Vü±Ý€ + +é>A°F5ŽBåÂSâ_C¥½%(tuTÊ‘N¾¦ÇìŽÄ¢^›´'ƒî*µ*ø¤æäazMï­èÜɨÄ#ýmDçnókvm~ÍÇÜepÔŸR·néQUÇ¡JÉ)±n€JÛ ¯C*p"…ÒmãCŠ¬HB–ä5q3âªü€d1ÐpHM ¡Ñ¢DäFtjC̈ª4ˆÙ…ÓY€-ùì’sú)·¦WÃ,H*tjH*3]Áô’²Pá!UÁÉŽ!-7§VGGÞ›ÿ‡™"ŒèzÉ9­f|ˬ¶ ) ´S7½hŸVÁÁ"5÷YQiKTféˆOoÕ÷¥¾MõkÁéŸó{ò2`ô+"–ä5¡5y±é³ðŽº'·çÏ‚“~YpÐßeçüOrF^“ÜÑ6%·ìæø <òúÒ¹~qBé> B½6 õÿAbµ„Çè#:÷ß³ B÷1ÚÇìž}˜’ßðRòŒÜ€¢ˆ–tš¡«1ú×ôŠö Fô(5`ƒO5eV„ É»áe´g BÔFŒ.ú˜)yYtL¯LøäqÄg¯+:ùñ¹×†Ð}6tö4½§/"ÆÜ;pâ endstream endobj 23 0 obj <>stream +ÕDèBa¦Ëæ×ôÞ“¸€q!U‡c—Ý’kTþ%jG<…¢¬Mà +˜P`>¨j€Ê­ íÊô”Y&·$¬Û²ÛgÉ{Èaöh’¸÷ ‘UŠ. KGç¹'už^½M0¾¤«¯£¬’¶¦çôêŠNÿ%TâO舲.¼~@åü¢Û2!"^Aˆ˜à”Y;¡õ+óUµRjK~LÙ=±Ey^u/­{¯å?Ô‚XYÈÑå!ÇKF÷ä;Êl‡œÔûDŠ£‘Ø•‡R–ÌÉ=‰Ew’س{³£ò:Æ%ppxýî†T~Ï”—›» i›J{žÐú­ÙIy\Ô‹R‹î/žõ+ûE{Úï$¥Ÿêß‚gºßˆÌ¬¢1êÍ ¥;ÌnyUÓSZÍ‚Ì,äÑÚ#W° +$Ó– +äR]°ªe³›ö3»f÷Ãëˆj„,ɪöƒò±ŸÔ¯áE{ß“'§ßÙ/ê§Üž^µ?acnMЖY]´+“‹î7=i R¿¿¡u‹dÆÜIÈšü‡XR7DlɽáM}XOÊ¿zÔ¾ö«ú*L`¡ðú—Ô”X¯s›\î¸àÀ–ÐŽ¦b@h÷'Äþ5Õ?±)i=ÄŒ¬HÐ’®|FèÞ+6½#fA¦6À€DфͽG”~Cª– øŸ 9ý™å‘ Pz<¡Øœ|ŠN‰kw„¥²KÚ– !mOpÊîÏéÇ|ѯÌý²Üœ^›Þ³›LB{]Ìoˆjf4ºÒ•YI¤”ÿ¡ÿ ÈÜWvHÛÜ‘¶6TòO܆ºj‚²!‚ µàL‚,a„9 ·{Æé&·%hºDçòœKÈe ½š 873¹ DH‰ðŠÀ®i»¦íz–ëúÆgÚ…Ï.:·s:—uÙ®o¹žë9ÏqœÖqYÏã8Öë8.AÇqLÇ9Ûæ:¶ãÛU×qž×µ~Ë.]×o{ÎéyžåxÖñ[·u\Öñ·q×ñÚžoÛ…ã¸.ç8×9ŽÏ®¯m—­ÓwŒÛó-ë¸]"¿ã¼žeyÎ뼦i¹žcÜ–s<%ƒ¯îùŽóX·å8¦é¸Æs;·]¹íÊi™¦;Híú¦eûÆïü®k:¯gW^×ym×t~»ô:¶ã9¶]²-ç²KŽmÛŽgyÎí\¶çyÎíÙžsÜžõZÆ]³=Û³mË.Ú¶u{®õÚÆq¼ÎqÛeÛ3~ÛsnÛs~ÛsnÛ³}Ûy=ë³+·çz‚kÎqû¾oWnÇsnç®ÜÎëØ¥Û¹^ϳlËñ­Ïù-Ï.9¾eÝŽõX·ç8§å{–ï;¦íz¾ç[ÎåÛ5ËrLß³«¾ã›¾kùŽsûŽsû¾]q~»ìÜŽóû¾]÷}߸œã7žãº ¿sù–c|–sZ–e×<ã:Óxí¢c|žñŸq™Öq—œß¸ç5}ãù,çä8Îã\Îé|ÎiœÎç<—ã:Ï]qnãøÏ5^OÀqœžñwÍøŒëxŽë6nÓú}çø=Ï9^Ë.ü¦éÙ…ß9×ò[¾o¹vÑw®ß²^ãó}Ëõ-ßò,ß5ß1ßò­ãµ}ß6nÏõ„ÜÎo;žc—mÏvl»j[wѶ-ßwã.z®'Üö\O°kWlÛxl×sžç´ ¯ñ:¿ëYÎsÜ®ë¯]¹Ës=ç:]Ç4ÓwNÏr}»pú¦uÜ•Û1Mãqã7MÇ·k¦ñzB.»f:wÉ´]Ó8mË4íÊsü¾]ú-×y×:îºkšÖçÇó¿gÜ•Û:îÊçyžçܶ]ñ<ÏùìŠmûÆõ˜Öe=¿m٥˳.Ë3Žã2®ß¹>ϺëÆi}–õeüžç[Ïe×ãš–k;—e›žå[–cy¦iÇqZ®ïÜ5ã.Ÿ]2Ë2}ÇvÛ7N»pz¦ïxžm{¾sMãqŽ»æÜuÏõ=Ç÷»p\ŽãÏñ8õÛÆóš®q<Îi[®ñ8Žå\w麎ç¸<ãõŒß.ñÙ…ãº.ÓµM»r\Ÿ]úM^Ûr®Ûq»ôYÇë:vÅq»â8žñø®ãØvɱŽÓ8Ë·KŸõ9ÎãÜ%ײ‹Žçz‚+—cZw嵬ÇxnÇö-×\q¬Û2-ßqç¹}Çù\Ç3»â¿gÎ!–õxŽm|Ö]qíÒã×æ\wÕµ\Ë®ºžë t_¯%dýÖq]×ñ9–múÎí8—ó\—ó™vÅwœ×5]çôŒÓ2­»n›Æi×ë9.Ûú­»t<ŽçÙŽu9Æcy¾ã8®e9ŽéÜÎ]¹Ž»òÛvé8n»trŒçy|ã7·|Çt,ϸ ÇåüvÑ8ŽÏ9®ãú,ãq}Ûq|Çt­ã¶ ¯#p¸ç8¾õxvÙ±N»ò9¯]1ç1-çú¬×¶KÇkZ¿sÛ¥×zŽënܾm=Îsûž%Ðr.Çrßy׳­Çõ<çõ,»äzŽe×ï[·]w.Û´î¢õœvÑv|»hæ Ë±.ëõ,ã®Ü¦éÜ•Ûyì’e—×5=Ë^8äu®Ó÷|»tY¯]:ëô,벫žçºÖå<Îç:Æó[Æ]µ~»j·ïÛU×r,DZ¬ßúíÂóX¶ïZõxÖe—íªk;våøœËym»êzž]öÓ´=Ó9-Ûó­»l<žq—mÛ4íÂçÚeãv„W±îÒsº–]ú\»p¼¦m×ëwãrmë÷mÏ8~×2>ë±ëÖçZ—u×»ðضi[Ÿç[Çe—e¯]´nÇtžÇ.z¾gÜUëøÇ®ºÖ]µ^ãv=ßw=Óº-ë5mß²­Ï¸ëÎéšÖó<¿ï|žçYŽo[×g;×iÝEײ«vÑ7NÇ7®ã.Ú¦kÚ¶]8Û¹Œ»p:¾ÑqW¬ã³+Ïs¼Öõ¯]qŽãºǸ¬ã3×®YÆå:¦ï:žgš¶uÙ…Ëø»f]Öc]¯'ÜzìšuÆuÙ…ãø\׳«ÖuüžkÚU×ù-Ï.ú®sY¦çzÂϺ=ëóí¢õú–]t]»è˜Ök:–]z®ë¶íÒóYÇõ™Žu=vÉöŒÛ®Çe×­Ó¹ë®çz‚œÇ®[—uúÎíÜ•ã3®ã³ž×v®ãyíÂq;·ëœ¦í<ÆåxžmZ¦g=ÖgÙ¥»p|–mšže—NÏuç%âÜ…Û^8ÜrÏõ,Ûv}Ëô}»n;våõãvíÊóÙ•ãù­Ç®»ÆqWnÏ7î²g¹ž]wãõ„W„y=ç6îªy$Ð^8è¶L»pœÖé¹Î]·L×$Øx=Ïpºž]8nÓ$ÈHæÛL—¨'D°I¨'D0a‘×:Hˆ#ð4H¨}€,â$Ä0¸c<ßnC.ã·\çò<×÷<ß2ÇñM-Ï5-ÓwØ\Ày ¡†‡5v±A¤‚’NxÐÕˆ÷„M‰[ :ÿ0©ÇknD•Ø°XpÊ]B‰Œ&`h¥_„¦NjÏ.ÌGýuÃé·h´jÄtPE „ˆ=cêD…ãì€*ÉU¹ð˜Ú™S‹ãƒr“ÉèžÉ@‡^8DDJÄŒ²,@±Ád–´µ =O’úíÑ¡~_ì,mÁàzPYÀ¢¢9“Sšº.¹'9$, “K6»²_•ïÂ=Æ&­kx.hˆ‰tÔóäm§77ŒzizQnÌ.ÚÙA½ÈȘÖôšY\êÕ¥}Í'åe¿ç6TJítŠžh´PF ½XZe Y¡„»xð¤ý¦¿.hÝ"«ábñ +ö ¯¿ +ž)ß‚gÚå‘ÂÚÇzHÜÀX„¸ ò#Zÿá°)¼cdÏðÁú9~š¾0v˜¾=ɪ¯3:·(zœÜ>Ð=åöäÒ|Õ~§Ø´vŽ×ðNRÚÿèLý!ÚÛ‹®tE&ûMÿ]ÐúÓøžÜœÞ´‡ñ®¿LÎÊ#Ö^'„fcxÌ+~¦;±Xíi½¦o¬‡õOØ–Y0;è>óa¿¼aõÛK*»n9¬0 rjh”vs„JX?;T>™œî>:Õ~“Pò +þ™;­¨ôîŽÌÞ¤æìz¸qng½ê¯ÙTdçˆÿˆS>H¬ú@Ilh”úE$t'"¡{Jn™•B£î¾TA T¯)ëYý™¯êãø¢=¸ìº`Äå!“º7¾¦Wö›öâµ_±SÝeüPû)¢= ÈÌöì8µ.|¢>‰ØQ• #ä´‚svizP'”ö=:R¾¨³äâ¶ùK”ÍÙ‘#Ô½T +F:¾ð–ÞÑùõ¡aâQªôƒ´—ÈÑÚ€æ«OÕžÈe1pÙñdË EÁ|Ô´‚Â%­#±©È-(ý–¨‘Ò §>˜Q=„JnÉÝ §ßbñZ¤¼nå‚Íï†X¬ 26žNpFY¼Ð€’Ux)©-½°ß3Ãk~;à m],:¦6Rã)—”É)í@™áT +Ž§˜]ÓÇéIµZnÐo˜ÑöĦì’à„¶ôÍO!lA¤tœRøͨ›_RVÐå‰GéÏNÿŸñÉûtŽv +9 yÉ=»l†®*8¡J†çì{Eè·x”‚F¨O >y™‘¶ÄŽGT1Éܚ䈴fFW³`”?"¥¿n(íg@)ï#J 1ú¿®vÈ$¬ç.ãSjÅéÞRùpÚÓŸd÷ƒÉ‡ÇIÕ+&Uy E•Ô–XÁ!ÕÛƒ4;ciåã3‹Âg©ñ Iá€ËlòHàŠ¬d~ÊmŽí}’ׯ kø+(y³àœû› "1=¥¬›ŸsK2[$Ÿ¶bì0ýÉcu·é=·¿"•O&§} Ͻ†“¯àŸ#S†NSøå“zkxÐÝ䦤UÑ)e‘HéÿŠé—'túz ÑÕ¬™ §¼ñh‹K[9à¬R{3‹|f^D»Å£tÑM»¸ßÒ·‡($ ië'\Ò²áAw7¡¨ Ñ=SoðøìâˆÏmO’Úï «>ÑHíÇê7§´mÉ9½/ ´ç| ¯É¥{O’ê!™¶ŒÅ¥0N(õo@ë7i\ÚJ¡ƒ Õ?G„òµ`”G­_ɦ-pé[“[·Üª} ÎÚç€Xð² +›ümèäƒEªÏbÇúßüœ\ß3‹N½@Éëßb§Úÿ`!í?@!ýk@꣓ne?©ÿ²kzSrKm‡™Ð ÒÕˆM™uÑ1½(v¦[5a|áëÃl¨JGŒ‚_tË=§´ÔŽº¶Ì+ \rH‰e­à‘ú9@åŽáUd5£{v“Ç*˜%ÇìÆä¦ý«7íE*X9‹Ð¯…QsŒ¨Zpú}ý ÿ‰šÒN»q1H!â^ 2”Åps´Ý—¿ÌïhoÑ-½3áóGÑí7lHÈpÚ@ )°$î+Øô΂N¿ŽÑu×ô RLÚ5À„²¸ø¨ÂÀ( 8F[ž/óKÚmE¥~ˆÔµ&uyvœ>ÐèüIÔˆ®hCb Q&hÍ}¦×ìƒF©V oéo€eqĦ¯!H•£ƒÈ›PU oHJFXdECe{~”öÞ—lèÊœþ³`³Q¢Ò;šêK²ZÉ9½:ã“Gî8÷ ҹdžËnòxõFkoJw_“{#…4 ý ™Å±ÂÙå£<²ú ZQõÉ"+“_(3¡)•ËbûûZÒj±C K „O;æFt„zoÃ(ÿ^¿@!ÓW§ØÞ9bÃ-t°àè^B½ÇâØHl +Óä°>Žné«StÒ¢ÑîáƒýÊø©úAbÕ?¹5µCbµ_¡ƒýÒ‚Ó>æ›þ/¸(ûIydRê»è‘þ6 ”Ç`ƒt¥'É*x„ö2¼f÷ÄÉmÑA½µ tG­> žj/´¢Ú ‹Pn š’ê†WÝsxÕ?&Wõgr×?&Wía¼ë—õç¡¢úç„ÎíINI +ç÷Ä:¹9µ$fL-ŒºÛ~WðŒÇ´µb‹ò&lHW'¸e¶'¼~Ä¥ðNhýöŠP^iýÞ€TåÖôÖè°áY¸Ö[ +ƒ€Â–áS¿à©‚_è,…W$™ÂD"œR£zGÀ \ +2Mîܲä–È¢»XígøXy!ñêÓ€Rn‘¸ÔƒNÿìçô¦ÜŽ´#fFY¯tï çúׄÌ.…×!#´¥Õ Xý‡Ã¥"E"d?iÿ.÷œ “‡—{ŽØìkÀe¯A !f†¦LjJÝ“³?Sö*:¤}F‡´=¹mGØ„¬†F§wf‡¤•_°VlÔ泆qAé/û={—wDÍ(k†çä{CjØĬéoÀqþr˜_;&É;¡…(KCŽQ6tö-;¦·Æ×Üi§¿AT"¶ìR¨9ÚÖ2CY9ˆÕ…WÐÕˆM¹‡˜!í.ºæoÓà 2+ tÌŽ¨•]R_rCêAÌ‚d‘  auˆ¡:‘;ÊÊ´D¥bæƒ*· "Bb–”uÁEØ œô[BcîpŽ´°ìx‚Ñ=½qŒPÚÏ}D‘sq Yч¶$¶".œ!«×/Ú_xå bÁËÓ¶¤(T[R}˜Ý„Lèʃì(KD å¶Ìªýª=‹î¹½ñ9¹H-®þNnŽ˜¤…Ù)¹Èë?,R}¡‘ê'Öo +$“V’ø¤•Ã»öC!Il£@2}að\¿-t˜ÀÅá“VήÚ‡W_çˆýs|Rþfõ3äY­äš[›0ÚÏôš]µ¥VÌÈŠ¤¶ÌÚ†ÐÇì’ -·9>©ÏR´;““z{Áë¼þ;Ów÷s +Ï줻OxõkÀêóUÞÔß ©ýOÒú©½ (å‹Öžå&ÝUlÑÖ³~yA,XÆÆ?ä,³¼yêSªRÁ=·'lL튮ÉÍ¡Þ;Õ…Nõ[4RÁ¿`S‘T\SØö‹ò.¸¦·œ‚‘EìÖÏ‘)„PʻئܚÏú“Ìž}›æN"{ò5ºèóUwžûýÑ¡ò.v°}NNÈ?âÅÜâ1GY&4(Ï ZÿŸ$õ ³Kââ8=„°àšVyRWv º’]²¨YiÀ2T5Ãø€¢¥ýˆÛUˆÚTpxÕâ ëA• +!n@¨FnFY’Ƨì^ÀÂÊ–H+?£!+;¥U…!ª +Œ˜Á¤; 8 YnKlKî‹Î¹Çè¢<‹®ÉE±åm¾$m‹ŽÈj%§äÂè˜ûO²ªE6·4¼çY¼~_ì\¿F¢µ¿á1¹(·$íÉéUÁ9½:¢ÔÇù9w 7DU&hM-Ê ÊuÁE»¹`Õ­¿ þAbÁ>Ç%ðñië'YíiøXÿ£û‡M?²¸ô +™¾3Ó—l +‰Mßbû‰ØŸ´~OØšÚ“; Fù“[³«rƒî%¶fåæä΀ҿ%ÝWrÏ=Xœ‚qA©âÅÔzx5·2¹ê¯C|Úú) A(|ÒªýªýŒl´Cõ{Äéï¢sve@)o,.Å%ðˆý‡Ö¿ĆĦ­˜ÚÓ~ÓItÚÂÑU¯%IÍ™5Rcf…˜%Yµèœ[1º'u¢^=Ñ]Æõ÷IZÃ49ì÷„6åGÈš\2§wåýerÐ/ÌM–ÉM}\Õ냴‚KØ‚ª<°Âã‰MR–„ìéµÙQû^ ì³3í{t¤ýî÷ô©¿‰”7©Iû”[sÛ’{z;ÄŽ´#fHY²`”{iíÂ-t–À0x®ß[ê—¨)³fxLí¯(Ý?È”Y'¶§WDlÉ­P“”=Á1»0`s7&¡`<Ò° åkÀ¨?³‹ö(7g7æ«ö¹ÓW8l +«HbÁ@T\>ÓßgGúç„S?æ£ö=!öû 6…{A&-¢Sc‘I+X¬þ8á”ßAV÷äÒ¯ ^Ûû×ì¨Þ6g–¤æÔ®àžÜà1ڣؙò.z¨üÏŽt«_°úÅéIý\ÔçðRâÞ3FYòËQ¶~iî.µ¦"àS;Õ"#¸ TrŽ¨\l×ïn)Ì6A©Qù´ŸüŽN”¿±Âé¿èœ| .Êçð¨~Œ‡ýæì¬áž5<Ãsî*:¥¶¦÷Ü}CkXö›þ#6%RÕXR– Íé“̢ߞÓ"Zf+š Ë’p~¡Ew”ÚsïðZngnL_;OaãÒ/2}[ Ÿ´lzÑnŒNÊ­§û øìÒ€R?'œ~I(ïK*³*8¥,ßÐ +ŽÉE8Í}÷ìmÁ(ø|þ4¾ænrcnq|ϽM™¥ÑUÿ›o)œóaúVËö“†GÌ’´9¾¨4Z¿4z˜´j?«ß .}…Â&-$±IkÄ~[rO.NoÊÿ ±_㵯½O¸Ô¯@:}uAk_Ëî'7gFÝc¦·&|zavL.ÊíÙ +›Š‰LExB«–¡,ZÈœŸ³Kòn`dèÊÂL”‘S“Тa˜, BÁ6¾gߢszs|Ò¾F7í{Bë÷x¬†…ÅéÏF¿.¼eÇå[tÌ­ÚÑ•›¢+¤ø 2ÁH!ÔY ‹Tp +ª– 0I‚VDÄWŒþ;bó_Ñ!u10ÒÁµR,= bCH ^,(· XøK™†G”Êîh¿oz\i𲃪‚MЕˆZ‘6„-èª$7dµÒ;ÊZÑ-·1»'?Bcv;À”¶j’²rœ]ÜÒVNXÕò!›{èämCè¿N¿0»gŸrƒö'jÏŽ2 “›òCãô»³Óü?ÐtHÉ29°,¹¦×—Äí0 ²ûaÅA&„U‚+Új å˜Â@³á„A–ƒÈ\`T)¼v8ùŠÓ݆÷ÜKhJ\•“±%yQvG]]^@¦*äð:Á!qk|Ënìíê„Õ>‰”vQ$¯NOÚƒˆ-µ"`Míî·¤…y»à¡þ@<Ò¯mÈôú$§òH»ìœûNhûˆÓðJN‰G¹)w\s?![zIhÌ=†÷ôi§DºÙ‚Ù=¹5žàè–Â=àÒVH Ôïùôºà„ªê–LÉãu+hd~QpI[:&êCŽóŠfÝs~Mo/‰¤Mú$iKrDT±ß2Ë+>{ô¿i|HÅ48ž,À€ ©£^Ÿd¼Fû”Ü2ÛNy¤5ì+Fµl€J¼ê¥]"qÉ÷1>i‰Nážðêó†Õ^GŒò:!õŸý¦=Ì7åc?i¯¢SÒÖü”ÜœQ‰×üKOI;³ƒú9»k¸¤ò·a´kósvg~J\ѹãªþ=Æ&­cqéG—~Ȧ0°Xåò†ÓíÒº3_Tw=V?ö£ú>á5<“£~5¼†¬2äM‘ЖÙܳ[³«†u@l)’L J^·:ÄŒªjpV­ÛUë†Wõ_A§•L¸”b¡cíú@ÑÄ/aLµ@:Ô. «tl*2ë]ýɧ0 §°!a?V¿‡Šjãç¹UéiÁ)Q‡K¾ÌíŽØ’®ftÔlOˆÝ‰Åë´Sõ"µ°î8€¦]h—iõƒÃ*_$^w¤±ê£Ü#rÚ…á3íú`õÂ@áäUtGSCcµ‹J¹(µå‹ºËzX_’ÉÔôûðFq\ú"Ø/Šäõç ©;î‡ýA'­$ñ©È­×Îùž¶f¾k߃´†mšM#bA xCêïVÁÄaS8ÏÒOãÇÚ×ðÁ~}t¢~ÎémÑ1»:Gê4V¿);å–DWtEÂV$¢FDE³›ö9àRXœú/Ÿô7­Z?I«V ÎH +†¥¢éA­XrM+Ø/Ê…™X=b´ óIwšÝÔë Bû ,*ž8bÚ5;†Èôš^Ùê‹Øï Pî ªO£“ö-·ió5i©ÜšÜžÓû+Jÿ>Ó° j؃+xhŒöbGX>aõ{<:Ã8ÌŒ( 'l0Y¸)ºÚ€£Äm±Q¿¸ 5 4Jý›!•ï¸¬jÁCõqxÖo¬·®õšŠÐ~×0¬'õMÔŒ¬BÌŠ®f¿èã'º¥róQ…ÓôxÂÑ=³7ŸÕ7¯à°éÅ"aõŽÍìõA +g÷˜lú#fJîŠÍ¹Ïà ü Ϲã„ÒþW„ú=:Ò0 +*ØÆÏ cçŽ} èìþ™Â¼ 6|ûYý‘º‡W§èŽ±Ãô}Ê÷ˆT߆7åe>ë(Än%ØðOÒîIRÃ*¹eö”î?Iì–°h ¿€Oí èÄ«> +*xxtö1•bý£ñÚÏ€Ó®.håWìX· ø0ý3x˜~;ÕH¬ö¾aµß9bÃ2;(¯ÛM‘Ôš[Þ´—¶†Á'ø~M!Ø|Ö°ŽúͱZ6¸é¿á†É}íª¾hå‰Géöh¤v‰Â§ïQ(䋃J«ƒ&pŠ$GTX(ÅÒW(¼ò'dXSK2“nOfÖ-·´Ïàq +ï€Qo™+¥VõU$™Âô\}:U^…ÎÔ»C´îÆâ•»bÇÚÕdíKtGP2?%¨ÛšÅc¬þ8V8¹4V,µ=<Ì­ŽÎ³Ç•²:P¡”5 "$— L7¹¦-ŸïI¸ÝlóIwÞt_¹A¹C¡S‡Ox´+ûM¹Dáx¶sÒJ¡Yû0hÖ­yå#RûÝ0ú ‹U0P«Å’SbÑ~Ñm9åkÁh—†'åivÓþ%7õsvX-:K[0|¤¿ŠÈêåWD…Fy +5EX´øÂk„¨HhË-Ši؆ŠæwG§é»ì”VÂâ4û1¯*à-¹àœW8¿æUOhõ‘D§|ˆN[:`RW†TÖh©9µ6»è_"öÜOРûJîÙåðRfM¼¦®÷‚ž°®mtX‰ÅjŸ4VÁ5à³_É%m?ÄŽªpzQ/Ìí…DkH\þ®Á€䥆‹€)ý¯$o /ÚëˆÑßGGêé<ûáQÙÅ 6»= ÓÖÊl +¶€S¤Åð"¢1CÂZ¹1w“Ú×$$k&h¤í!‘²¨Pꆠ Uux)«Ff̬žsK$^û ñÙ—[Û°éÍùAyÞê«ÜŒ°lCjxÏ}IÕzá# §H:}o¹›Ð–\“Û²;4Nÿ êç6BV ^ÍìpˆõY Ÿ¶ŽC¦°P¸ôÕ ©> 8íyŒMZ:E¦"6¼iŸÓ£ò8¾è,V¿?áøçؤe,bÁ½aÕ/«}òhåyŽXp ík£*¡pÉÿ€g) cû#W¿ƒ¦ðMmº]‰U½6:ëÎbûãR¯ã‡é¿áÖý¦Þ0¤!5;h·- Ë ¨šÕo¡a¿49jÏR›òèÏ«e³›úBa“Vˆõm¿êÞ býŸâSˆÏ";|„„U$™þ;X¾éË+¹°ú?GìïRcâstK¾J­ÚÍÉ1ýFáÓÖNq óEwœ“{Z{ž$Õ¿§ýì'åMjÌ­šj÷§(äß„åmQ».<&Õïø´£\ 5FU+º£ª RLOIK4J¿üTÁ2€ >ì§ÌŽÄ”®LjÌm sd•AÊ‘&wÆk*Rë5ñB¯aäÑêÃØÁö7zžÀ= SØf'ÝivR.ÍwõCêY´†{CªVoH #‘Ò^‡ +énD>¹°T«SdÚꙶdrSþå6å[lÏýÕ“ö2aÓ4B{0úÓ1CVfŒ°VnN//X ã‚ÏßÄ,V™ÐÐÝk¨pö9Ã"¬’›’Š8dâSèX{PÚ·Ôª`5R¾äf¤µmg€EYXÐÉ—Ô–[9Îí‹ÍêÛ|K[²v‹·TÄ&·´%££þ4¼f6Eû¿|ÎîZR¶%§Ä½áAù ± +†õ˜¼š ª•Û²;RÛ!uÓCºúðJÊjÐÒ£ª– ºJ¹9w0úU™%ñ4Š+ Nø°Ò ©þohsÀIâÚ3BW5àô s[ò®D$(!‹ÏUÉ‚N/Os/î(wy¦ + z…,bÁ="õ©1·nŽ°@ÈŽªRtJ,#Rú‘Ò¥T…ÓìxŠý¢þJ-É{A&ÈU"v@} ¥‹ƒ™¦÷ýò$§[±¡Ñ¶ÎÁÁ¤‚SVí¯_Ó[¡¦hªD º…ñ®ßß° +^¡ƒ‹T%çÜî~N_âÐ)ÜslÒò «>‰3ër›zk?«ÏsÄþ?IìwH¼þ=aµ§ù¨ÿŽŸ¦­>KZ@I¬ÿ˜FOSØ¥‚$$åuõò~L_ãpéOdú“Æ©W”zg¿ª—æ›bñ §ü œX¦Ýû÷~N[?a“VˆËàqÚÚ9^¿8á´O›´pxÖýXÄú+’Láx–À ø,Yè,ý?Iì¯èú?cTw¢WLjíu?&þçxÝ?ÄŽ¤,ØMÌ–Ü[´ÉAw^Ô»cÄþ,x¨¾-XõkxÔ7õG¼¦Ü piïA^¹>â«œj]ì\½F"ÕË RÕÊíÉ…ù¤~Š­¹Ãàž=(ýsBé/ûI£±úûˆÌ­‰3käåan×-V‹,ʣФÿ‹gÕ2™´^è0}Yè\ûÉ¥¿µ?&§]QÚ«à”¸2:èŸã‹†gAé·ƒi?ôâ¹…ñÝúð@³8€ª~N8íYnÑnJMÊoÈQê–Ì¢^›ÚÿŒÏž&|ö'nJîL¯é…Ÿ<Ì7íOjϾ‘ÖÃKÉÞ¡ŽR7gˆ¤ ™Ú3„EA M'hLí.‰äMþymxÌŦ´«Üžý oú·è–ü/?²&Ñã*"îÍOÙ¥é)¹$6%o‰MéM­[09$¯íâcê+=¢<IJ&8#*–ÞïÈäInGS7½¨VçxU-Ýô›£«jÅ|Ó„zÆ'¯36w we§”•á-½°Üˆº@ ž>@DÊLú]±QµbvÏ„ú2À#íˆ.hJ&djsÅfwĆtÅ!§hjFÝuÁªÖ (í}Åg·W|î8À&—çÈä‡Lÿí‡ýa=j_‹rqrX(l +û—À>Èëw‰wÀ³æINÁ·ßôß «½ ¦Å-ê@Á=¢ôŸ¥ýný¦àŽøš†ÇÕT>bA‹Œ¥ +7A¦bxL>X¤ná†L^ew´é)õbA°LrHÙÑ•L)›ÜqúDdô×£ü¯8ýqB©ÿ 2…ÐRC0Ñ e5Ðx<©ôŒ°^zIÛ:£¬•ÝrËÒ;ÒÒ8 ©ãƒÈLé5+ÊÁey¨U•àŽ²`Bæž#Tâ‘ήïÈäÖ„Ðî ØÜ[xIÝ ±XÄôh*±%QÁ„M­Œ¨”åA^wŸcÓ·8l +|Aì׆'õÖô ÝŸsK,VýŸ$6,£«õ+>{œô7Š ‡Õ^ƃn_<êƒçêÏø±ò(’V¯ÑxíÆà¹òÁaõ›Ó“ú&µ&—$fíÈi·Ç +)· £ºà3å?äEÅÔ”ªp¨ˆú:ǨZ¿· Ô·§½’é¯á³äËð©öÄ¢õk,Z¿$; BP~AžhC'w¼‚‹E«ßƒ¼öGâµâ‰vQèXûd2º¿ƒªpM$7$,t{ N¹:Èi—Ð’_ÃçÚÎn™¥œß2kC ˆ5£(•Ü’jE·œÂ¥]ã‘úÇà¹jÉ|Ò¾÷ôê$£aßІ˜Ð1%ªÙê•ácí;ð¡zgøXÐ +ŽÁUý™Ð¹Û•¼'rIÚ˜´ç—´zŽKZ>Éë¿3:³ €n{¬˜zwaÃœ¤`B`ÃïÆX¦Þ°úã~ÖoÍNºßô¤ûìGõszOo‹«–-Xä•]ãâƒM¦Û0ÚÛ„Pi´†aÁ&—gljmÄ¥6H”î2a7CmT OÉ ¯Z?F¦-£‘ú×ü’²d8šnDå•Ó>X Êr&º9¦†’ˆ´•ñqg~CZ2‰ÛC*uUtGY3$+~¦¿Ðˆä姑‘óê&„ú5?f7ØÜ“Éèß#2½r”ªlpKÿˆŒŽ©œæ(+n1ÊÒ@3íGj˜G„ú2»'WçÌZ¼†ïI#Lh1z`Å…²„Íh £cö3¼eÏ¢Sî³ Ž¦ÑUqG)lbç#; >½8!ôk‚&”À2£‰‚MД˜’UÊí¹½ñA½¾¡,¢¦”4Ünà63œ¯¡rKó[v[xJ[ÝÓ«¢[jKÜŽªh~J¬ NRï€À "f@†À‚̽æ—ÄÍ=¸ìæŒLm­ÈÔ¦H.…_pBW´¼â5ABbvO­M(õΈL.Î0) çíê~Nߣ“ÖŠdÓ‰®ˆ +ƧĒ Ý`‘Ú«Hb¿F£õ¯`˜Ô;‚v¤eÁ=y2"*˜œ2[bÁ2z–ÀÅdóê–4‚ +ò(‰àHÍŽ¼n4å2 0Áä¤~LçTd…ökãçú{Àƒý¦à‘zkÁ¨U>ÔmΑ÷‚ B'±£«!.›Â:Æ&°Oë£Hbÿ:LàŸdÕOñŠ ¤|’V¿å&õÂzTÄþ/¶k?,V{?ÕþƒêOã3Êšðò4tzÅ%­#|4Rû!qÊÏ~ÑnÉMéêÆǼ⠛Ù³¡!4ºæ•Ox݉C§¯N±)<û=¹3 ´¯áIû\°úåéa~/Ül,•¸ ¹£ÜŸ1º³à±ö?c”+4RwcSÏRxŠf3&ycÂå>2sz/Ð0·4¹êo,.…Yì\?VŸÐõÏnÑeKÌ€ ‰•²2¼çÃS«B«ò9_SØÇ($I¬–ŠäUë6dúd8 „:Ê,ÎðHk¢;ÊÂ~Pþ6trt¢Ý~¦|Qž6TÒÞ‘°~Æg_B3²â FhˆÉm¹±)³2¼¥ +«ä"ÊwŒ¼8‘A#‚ +ñú5Áæ#)˜'vDY3@¤n Oé­£{QMï+XCj‚ åLíy56y 8A¦2àQÉì¢;Œ.º¿vÖÞ8RD&÷[ "£*“‹zKĘ^&)ë§ÔÒðž{¬GíBìÔ-5-·¡+–P¸°³ÄÀ‡P˜ØˆÂ`¥ƒ%W D.h™!Ä%ScHŠÎh§€„0¨œp—c~A4в¢j hJzšÀ¨žèñºeI°A§C‚ÇwM) ”¬ Z äÇY’$RLƒ"p’LÊ€ ´Ãm °P Ãa€¯2°r,š€å§YL‹*0*¨®MÔn@å¶U +9V +Œì,%áÃä@J ìdlqÕÜ€º_:¢0ÔvDyÐåˆÒ ç…UÄÎáŽ* %,¦ °@¢g<ÀÊ71°tìƒ&:Uó‹Æ³Åž)f~CwÌV‚“dÓ)ƒ4°­ÀˆŽR:d›SfBÚ ³ W!lBYˆa1ÍPÃ!¤ÂLÆU$¾ø³€2‘ ‚h*·"m,ã6blÀE…#ŒJ@éyJÀ‚d: Äˆ4F‡1¥­ HícÁæÕKh +‡hdµaÆ#j#I%ú&G,0°± "9 Ç”Ëa5âd‹‚30°sN!ö GÔ65 *ˆi@C£‰ƒìÇ”ÌiËFÿ/¢, +Nx°T>Í ¨€^ðâVOµ )G +9?ª,ÀzL‘Ü‚¬&¢t%TPH-°‚BZLKp8†¬uDÅt€XiÀàTÒã!5¢ûa%A P œ´¨N¨¡ñtçäÂŒG”ˆ+_PöF4òZÀ©!䋇XTP%prTÚL‹i26 4¹¥Œ!ȸÀnØÍx²p•`„(dËMñ¡F€„‡ú€;SŒüL1˜™Át¢÷cªØƒÄÍ)Á’в¢jç€05Ó̘¢åÅV>ˆÒÀ‹Š*“±D¡f㉄÷#kÄ.Gv%Á#`°Ý˜Pã“(;Ì +¤ €Î77†Ü²O%> T+¿ X&; XôŒ ¦\¨ñxáP2*¦¼)˜†çüæ8?¤< óšL¼´ f@ãÁ5¥7D3eÉŒG\Qödò1À#n‰ŽH[×ô rÁô¨ò‹Ž( \t1;Ú¾|ÎpìbcÈF€˜!f?†È5=¨<àrcH/7¢0pÑAuÛÉÒÊšÀ eIÜ„².¼~\Ùüš_–²¯Û1…Çn ±_8 <Ј°h|Ìý†X„uCª!+Ââ€&©ËQ÷™B4¸ù I›Lò Ž!dDYÓ/‘ÊÒ€ËSƒ¨ jAUl=¬:Ü|\U€é²oré@²ò@²Zá)»f=¨, â£"·ãÉíÇ3SÀ¤°V0âº!wƒHÉÏGUˆŽ¨ µT®›BhœRf>°â—Œ§ 3 V&lHÚ˜s÷ 3ÒöèL¿/À U&rATnŒ°h¤,z&È*¿²1SrM䂨b‚AS2âQ6ïÆ©Ôu˜ÝZq©• ›Ý^’ל†‡C&ĀџC ˆÎoé«äŠ¶b;˜2Üx`Kàˆ¬J̘û†e„LÉÑQÁ.¸)ø®ÑA„ž&] !j4žè˜LÐv@™°!Y¥àœÛ›•õ®Ë-ÊÛ~V-ǦrtÌ-8BCFÈ”²XrÐ}¦ÇôÚ‚Òðë' çð®EZrOþäÆô’ؖݶ%—„L™5QSÊ*¹)aÙ†Oï ØÌÞ†P­N8õÊ‚ÐÝGœþ3>æ~’Kêæô¨_2¤m…×*µ U1>e׸Üe‚CY0@"퉜іÄh‹¿vHYˆý°Q;òŽØŽº/»¤. ýoAi8i´†YtHÝ +0Uö*–\“ù¦[/ß4ž’OâV”-Á%iCÔˆ®,Ä|Dá8=†”¨)µ^DW fFZ™s·ñ1»'»£+ ²ÙÕ•º8@ç¾’[nKÔ²&lHܵ¤î +®¹Ï|W0 +¶ñ9yQ +ŽÙ)uaBæ¾#F¿;!U+åæäOlP‡7ý²äœ|IÍÙ%!cj9¼²ôŒ)—ÌŽ(ýåcêƒíÌÏhëò+Úâ—ýLÉ;<òn ý¨2Q;â†%u;ÄŽ¸+¹eßVĵ`GÔQ0>#nŒSã‰Ã,¨ê§ÜMЖ½œ¡mM”uÏm/à yIjKžæ·ôEØ€\u¦ƒª‡›©5¡«‘Ú²+B¦´QCÊÚ6=†à6A¢FhÍ}í¨{çèÒÀf“02–b›QbDY$6%o‡Z–œSª ÈÊî([a–#ª„.–Ú)4 U*;¤-‰mÉUÁ5y3"-ŽséF\b½ô”¸dDY¸Ô b“× bl%U ØÌrÑ9µ= S´Þxæ[ú®Hbûz¬û8åú ­üÎëÇðšÞ–›´O©Mý\µ/›ÀI¢“îgý{C*¯B§êUÁ9¯NnÍ,˜Üt_¹M»ÁáÒW…¬BçúéX¿Áâô¯aZþðrj3ä]•Ô˜<Œ‡-ò‚«†e¼+Ö›î1¼¦VÕïŸþëýsv×PnÎÿ$§Ä½ù=û\°›ÀÄ¢õ›Jû]жÙUÃ-:g/Ã~a¾êVIí¹Ÿ˜I{4æ¶DG4UB³±Ÿ”Ù5}4%®…%–ŠMÊÃ~S~Gœò¢õ‹ókvaÀ&7XœúfDX$fJÝœÔÇÙaÃ9žNfFY±Uf@¬hC¦×'YýYè`µl¾¥"uLR—‚M‘öĶÜDl=àÒVoHýQ_Wdú&º!®\n©e‚T­äœ> Oª…’Sò–¸eÕø˜^Ÿö›ŠÔ|Ø-”›³¿ð"Êrð +Êò0#ʶèšýJŽÉÛ€S0òh-b#6Á%rBW%·¤,”Ú“§@c„µá¥Ôºì–û,øüi~Ìäv˜™ª@…Fõ$<7ĈªHlËíÉ­¹!Sj?Ä”\ +/ R l@ˆ˜ðŠ¬0À†¬4Ȉ°>ÌŒ²#7¤íˆ-‰Ûa6tu¢;ÚÎü”ÝžÊïŠÎîk˜¤UámkzÏŸE×äInJ]5%nÎêÃðš¼Kîù“Ìœ+5 0€ÁAd¶Ù•!6„•F¤ A3ÚŽàŒ¶øËT†"zN©ØÇ 0"¬\õ;óYÁ6 µ­¼ &°‹)? *Y±ä˜X.·èî‚›ö(7h—Ýkt×ð/¸¤#)$üǸ(Å“ÖNŒZµ^ºk8g‡ÕºáIÿ\ÔÇÑIyÊÍn‹ë·ÅÎRøˆ|îøP}!ñêó„ؾ¬ò2º'wÇÈôÓàiúÆà¹ö/ sJ‡Š©vè”û#>½&^Q/ ®Ê¿zÕ¾F'åÆàÁöI.ªÝiSî žê†ÇÌÖè¤<ŽÎúÿˆUŸÒ)L:…c;¬¯BçÊïì0¯LpJT7<è–¬~ktV(lú"‹M_:NàxœÂ4xœÂìwÊÃ|Ó?Ä+ÊWan/0âjHÈ ®Ø–^âQúÿ$­?Ñ8íixOoILڛЦÿ ZÓkR[retÑý'Yýq¤ ú7RLÁ/=¤nˆXQVJ¹«àœ|ÍŽú]Á5÷’¶¦çä“Ä'$‰O#¨ù¬[&7&_‚SvIrEX$pBU'·f7g·´Õ6IlÁ)ÕŠ•¶»"SËb"u.–8<©?…QÀ8~°þŽNT»#N·-xª=ŽÔ'¹%Yé‚×ý8túÂ@Bm¹H>ié—~Ȧo ¤ðŠ£¯Š£“îWýÂxÔ/Ï'$„ßoŒÃ§- cS¸Ö³ú2¹ªŸû-ý.tšB`‹Š¦nccêÂ[jk@*|Òê16}{ŽK?/híŽ×/Ð 4FýÜPڣآZ±'WÅ6õWrJYœQ¬GåovUžgÚù¨áœUËö»‚mÀ)O$.e?é®’cbÉ‚Q®?R^GŠê7y¬‚A¼œÝÙ´£³¨$–)›zéS`8D‚i¢d;ÓZP.¶*‡Ä`PD¡€€„,òwàü_6YƒhÜšÞÃÜF¦ð<º¨W s¸£Óõ'IGƒbuÇë׿LÛÚÅS&Ðté(Ø䉟‰9Dî>Ž±:”L)ÿ›—Ðu.#NÆ3”3Q´‚Ð@‚ú‡Oâ‰{â µ'ðåÒGg…‹Ü°þB…£ý­Û(ïâô³àtŠ¬Æ\nÛ‹+”GæÙð.° °j„uÓtëà½ÏFåuÁh õ8& “Hw]ì¼’J-´§¤åñÍ‹h|Mæ@%NX)RÚn™ãg멪äf‚ ³IÇAæ`¨Ç°q…‚4Ri@ÓÜ£Ñ KäÒ|H wÈ~ ÈaÐjaƒŒ¨\•zÓáÂ8ú  ,3KÚ% ‰)i×äyq/‹qýV]ÅS4.mÓÔÕ8MÐ9$ž–Ï—{UqHÕÅŠ/Ê€k]ã Í(NsC´æÓT+z©C¦®Ã:yL8üQ”e!n“SB »¢à¶|@¶l.Š€fÈfÌrõPñ•ÆéùŽ†òÉq±³w½~’X_d¡3ì .ÛRP=äS˜­ÊP\s*ÿ­A—cš=í¸ËÅ Ïl^±ÅTVS(„Õ6u@kn2ð½ãÁ>œmtÂzø¨X¯¥DŽqT,„ÈaºØú‘Mv|šèùìå\⃚S0üˆøH Y›‚@·ÓÊxÀüjF¶*·Êeq£" Sª¹×Jç…˜jLé¢Ö:QÐ4ÃÃÀ‚ïÁÚŠ¢N…€/~’Dss‘ÂeÍe9þ %wuôÒQ½ôSù apmέ½øŠùîvPŸ¾¡TD…`S‡I_hŠT’:éÑ€€ò„€Í+/ò!¡ˆ=5€á¡9£€`)àoþÁ˜o<ý§öÁ‰Šuê–±û‰ša`|ÛZ5Hß(wt¤Ê“‹ xä©T‘.Ó·Ð>÷4'TåR½<Å6J£Õx.4€œ¿ÄGŒÎŒdžÊ!ô¨ôKú»)`AA,’™N˜B[—^f&zõ…N_£,¹£»À{¡ð7ió#~}Y»öË"û–Ü£ÔÄêyM‚ו~ħe§w|–ÍVP‰S~ ½²öÙ¸Ú‹3 1åmð’iü(ªh©‚ãâ˜a'óÀ4ɶ]ØvâÄëd$2ŸáÎ`D무E‡À_4–3ôpõÛÙîA cHIÁ¥t¯”Ò$–€EšœŠº„@”^ÃÈ:¤èw è*€¤ᇠý_ˆŽÊÀG³ÝaAI$.Íu‹ÃßjZº{Ìi÷ Æ@Š`-h5Ùî ´–K/€½à„ÍÉÌ‘ÅpiÇ+}‚™\ãa¯·¸®r®{ÂëfÞ‘C-‹ # co,øA̺Χîª#whVŽ«+.ì0­pL'&ÌQ¦Ý°Š%R~˜¥Ý=@/•¡ãÊÑ|Øÿíø|‘OÐäf/‘œÿØÁAùC× œ“…‘6šÑoóì‡"oT¡MïJobIoØ›‹ œ€^¤0ÞZ\ý†ºÔù°å·èÞn^ +(~Mü“:`´àˆÞ Da²€¤]@èdc cŸ-Ddðëî׌‚c›ûI¬ùëë ~Š–°D$Ú²6Ú…ç¾Âæ…Ý72–ÆÑä~_)Œ‹˜òP<0¬„¸?ô”™ø÷‚LØ…3~!¥JÙÒ 0J›[Íb§üWLw´¨M +‘‹ +ä´Ä%ªÕ‰˜"u”*bè€3w…µò#VÙïèo'Eæ°bá•‚Eeæ³ÀãMqƒ:‡D;aŽ;C¢)——[ 4¸R“gõ°UPûõ,ãqcæRflEg8%]kh+ ?Ê8Ñ b!É剡ÜæÎ%ê'eôEe¨—¿—„°Ø +Ûæ³£H3»þdˆäŒ£O‘“«$Æê9†Ô¿’róûˆ%œ@Ëß³ûã¹á4QïÎÝ„ªO w¥¥Ö¯nWGβZÜéØ +êæÂ)HPhP“ÆÇ–³ìx. KßÙÖšØ;<aæý ‰˜ÌV§@“¥)®fÈ¿fÁ½×s.2JØ(ÄÙ¤5wÑø Qé"ÕdßYò«ty±xO²?ðpÃYróïœQO qŽŒÈóü! ¶e]õóAîÔ†T¤Üb+î‹ØÞóì`#F5fK{á‰#ËÞ˜H4¯1?Äfä³^Ô’àƒ5šY¬­jZS¢r˜òöÐä™\Ë0 +„›rµ2K¨÷œÊðºà¨=°mœÈË,Ì\ö[úP&¾bV—Ls£3^m¥8yùæîx7ý­VT÷§ºöE„HìØ?>)i± +èxbL®æÁWê¹Û²X³*¸#üÆ[¬aa¥“JH ç¦3{6PØ…°^Dÿ—ÞO$xHïÂ%b|‚„××&¡JE¼Bœ†`H˜"–CÚÅÐ<8²×äqÙÓõ£¼¼‘ß{aÚ#&ösìx ý^• p\.[Hö¹ˆAe-¥¾ÚÃö¬M a’8 vÕÏ›o¡g&ÎlN‹s@-y-)4¤!@ݳ`Jv7NJ/]ã%;n?è‘ÒÙôÌ&¨ý©ŸÏ?•Æ ,ØŒµ†É©ôi*ܱ-ÆIõs_Bn Ä°¿&d™â½!L‘U}ÛÿoƒžjÐmÈf$ÂßÛ„ãEœ`øfïZsÑ—(àËÜØ*ø™ 2uYF´8CåH{‡iƒáˆãßb2gVö97àý¯ð˜¡)Å]‡%J6"Ú}•\\“2õŠ‹s6ŸRn>p(á:¸¬Ç†Z á™´ä+UuXÆsÌ/nk\¨‡°xâxÞ.n,Ñ@V}…“üÜ‚0ðÔˆÁÁ­—M<“6A¢ì™òLo‡æ§n?Ë›“ ßÿ&h£„ßáàÆl?Þ¯J—(w+–Èö k2íî ÃýÀ%juÁUÎõMc¸Û•ý˜´âf +reb&‚JiÏð”Zº‰'‹þ +¸]›ðF[-,î¡N.%¼@äF"¯%±ƒwIóOêo’lD~5jøò»Šåç1Á âT6vª¢`„¿¤]?t#Ñ‚4vdªÂ;ÿ¢U«¸Ì•.‰‘m¼ª»;ý!Í%uVŽ#ézHýÌ/­!Ï)1ZY¼hLº +ßßIEÉžérܪ9­»´æQFžyÝ“=I›¹èV7|†ÞrÄj+,kH)H¸˜(«‡ÜU¤ÖÆ”MïsË ›´ÁuÀª«`¡ä÷ÌÀº±ü¶Å¬´”Ñn½‹$& Z¯vÌÃþJÐôþø¡.V´ ‡|ša8T«8“œÄâ9š,qÀñ;:Dd£x%II¦„8jÞ³@óäì/ úâ%çE l“á\ÿé¶3›šŠV!GS ú´îù²AÇ ï…«•tBÝеÑÕÈž÷ Ñ"?7#¹b•üà!öæõýÈ\t¿Ç' Á1Ø59Ì'â s»šªcz Ó!eÍ'Ý‹À~¾·‰Í™à±PYíPÔ<”û}|Ý—Ç=v­9ßð}ƒáè°ÐÅþ·UTßH†\qØ/"þvÚ=ÕØ¡uhÔZ»¯°ª¡S@»íÀI¥‡ ?îø“ØÙ¬§¸išÐfÅ;£ÈÓëº%W"ôQýë§Õ¶‹û !Ñ“/z!+(ÞãŒÀÄë÷±O¸þšJvôvãô”ÌuàS¿˜¬^j}h3Ñ9Á€f"u²ï¸MÖü…Îa +hµí¶¯B¿XµgspGãÂeG,p~©%zÃqÛÚöÚ%ÓŽ%Ó]8Š){lظWP´±S²§—hþÇjÀšpv”/H+¯L•B» ȉ@¹é»¹ +)P|…ÝÙi—õó›W†ÿEªhh±•¨QCöY‡j/Å[¨ó'û·³z9Ý}ðš±g”Óäµ-Áéi—÷锲ùºÂY,´G ÔG:²YU˜(ÒÛó£ Vø:çâ;ðë¼³>ٗ׉d“ƒUñ¡çPIkšõßK†{ßòöÔ.({ýÉ í@A'w<rß®‹2¼Û}'Ä6#F)H•»ãÐdÊ4ðU="“äö¡¤X_Æ rìiCn8[ݺInÒAñpÅÓ:°]ÂÖ“(ÜÞÀ¿€]?ˆ¶UMÂ_Y¨Tò'‘èy\U7gÜth>§(·ŒÎ/qàt)Ú÷ÔæÞ]Ó7™‰|wNÈnæFðZo¥—-dfÔUòÕzS÷'lçw¸Wr!ÎîqÚqG‘]Y¬ßJ¡-g8ûLcz¤µO¯0}ö:MídÎfÏÖŸzgšB.¦‡5ÄÀ~2‘Σæêx%k‹.¡É$löØ +~—vZöH~¶óZŠV"Ÿ¦R\9¾çí¿[A´Ô7«1ÜÁqR!pÅK4úѲ´,)Ô¨ Pj,Õ1±‡J³]ç&«¦0èãóåDÛ}ÞÔr€NÙTŠèÖ&k²"V_ÊDMG×SSl¤ÝÞ9Š¼¥(”Ö~‹?/¾W€š›p”ÑiCÔíná‘¡®ËꢽáÓ²e‡ä}ˆ8?ì‘‹ÒYr?Lò°e3Þº± ÇàŽ*ÕÂ-’¬4æÏÊ)ñ´‚à£Kü›@Î=*ÞtÔC`ûÍ®\û-óE 5ÝÄÖòà ã×mî$¤ +ÓG¯šzØйbúZ~J»Kvb Åk"™ž®Žà–á- œQ2ëd«9c´Q„ókðzÞµy½áï€Àø#Š÷Ñ¢ƒ¬6{Ú[l±ñ£Bn¿´™9‘f½i76 ª8Ó¢sÉ9Ö#R•Ö1CË:ýbs“hβ#¾¨ŸÈ~?¹‚ˆ£Aírø6D—ª¤½+‘è‰wíq•ôÌÔ¨vë­v½m?U烾;lÝÄ£”6m¨|¹ 5ÎüË“¢?¿Ñ  Û›;®æ8€³sYÊFû”/$™˜vV¯9‰΀§ªZºoÓ󀎨Sh¿p!mWjŸÇ ]48CJuüðæ«M¡ÿª@iM•>Çjæ>ZèQM²(#5+x H×Ø­*×ØvN걈Ð8‡h3qÀ~ˆØmÙCUÀaƒÁŽµˆÔ”kâHÞõ†-.:\ ª.FuqM¢™ MäI…Ö*Óp’8š%ukð!ÌqßÕMÆʤ¡r;À}åõe鬅8µ"j=W·Q¡¬zÛA¢Qe–My½pÑÜä•w®âÈÌG°q )]éê¾ç,}¯ðÝ +3ïX3žôe½õÛieUþ›_p,årÛ Ó£i놟¼ß"S*Ý;ðÂ!Ë(Ò{œZ›èøu—ü"ªÞ+>n’xN)8n‡„6®gq‰­.bSóo§Ž'Hf×°_ç‰ñNJk³_>JQ*0IÍ•if€‹Š1}ð*à0 ÐA×™Ë)#ñ2û ˜´H%_´8ûÍ—͆*¸O“þ`Ò¯f–Fè0–û©ýÃÅ×)ŽØ§Šâ§…P|l$€sÔ,ƒY){}Bìcx&|ÊLFÐ}Ý*N?ÖDÄ´è³Ñ& +ºdÁ~&ÊÓ£FçK›ñrä£@-ö6#ZT-nÒ·¼¬ñ´•ø6@÷Ê¿;§>…Äì­x§Œbx;ãž#Ô"U€&¥Ü+Ÿ‰~¢*–{Wž…xüÚ¨E¢E2«CJ±ð íbS± Š»ÇHdÍÉ,^Q(hŽ¹|û3ÿ‡ªüæ…7ÕÔÄœ‚ßøÖ_ögtÊb¥ý•³[šß­þkç>õcsÌÛü3ô.ÉúB!i?ãÆ­žå©äÝCð©Ž|ƒV!š’öª?ŒcÉÜØ.{SY³*‡ŽDZs¯V1(EºyêxÀÈM³}ûGt_ÿ·5…óDÓ­›X(&ê<·°n/ù†´F”T´€iËêtÏñ'Ü›ÜEj*,ç{üW½@ò@]ùÉ™ ôo )Êã„­.@µÐסp$·õ”¼$rI=bB1w£áîúdh×ÁÀwØh f:×à + +bÝVZæ®r êúŒÆâl\§IGÄå_^ì4Þo\ÐÔ”ÿÞBõÄàÁ…^Ëܸž¼2žÖTÒQÐZâ)ù.Æv€j`|ôìÐ#˜ýY4ÁÑö¸„wVk-Ùy¡Cb``EÄœ]N[½}FoŠ¥p‹ãÅrá/ƒê‰oÕ /KAf–Èñ„2ˆ¿{ æ74‚Ÿ7H}aíi$ ÖYe´ÐÏÃÎýsQ¨ûΩg γ:S0yúŒwá梡±IŒn!2œ°!b…ÑNüÈS$³èÍþ>ÃQÁK!9 +kÓ©Yz­€SyÚGB¿tÖús68æEÊ̤) R؃$æÀŸ7…VöVøÍÈ^/ó`¢ºt3©¦0„QÒ ºŠ5 +9ª,;4³ÈütàÌÍšåèô¬ÄƒkŠlš 'ȧÂ{ôK×7½MËÑwpˆf™!žÿ¢ÎN«CQÑ5¶› ½xH‚1™ÑçâÈS9ÞTg£* oè¸TàiÃß}À(Úª6Qç;N‹1o¸¿@r»)g«€ƒ— Ú +£’ÚyI 2Ã᤿Î×j¢¾*¥0œÏjìk¬è‰v0Iʉl2w¿ñ²øƒê¸oÓdà‡-À0SAêj¶[¡³Î—ÆÅÉ°B¯›°L‡´ýsbÒ9–bö<+Nlqø^‡ ­>¾× [ö%?\‚YöÐŒa27AX?>é"µ>Åv”ï¹Y¸{|¹Õôv’+ËK8ò³çuÑ O"9D2²«XÊ'K1ü’g›±\Øo¼Ê®*±–¤žæ••G8ø Â_pɘM³ÐìÎ¥A?]†Ü!Ìh·îÓ\èšUšùJ1¥b Ä%È9j­ém÷k®.CÌq&j _¢Ýr¸­mÏôÖx¶8a­æ«å¾ y@ýtu§AÆa l¹u.5&ã:7!!ô©0k{2-vJA‹¢:gšÌvÿRdÃê칧Róïø±X„½5ñRcpüàKѦ®Óæ9ûß¿SRÚ"G¤5“ m¨;œˆÖ/çp«#qŒAì‘ŒÜá}#µš‚pQ¥šg/” €yd]Ô­Á†ƒüWrÇ‹ÂY”Ri ÖÄdÆ(®Ü+—ÊÕ³ƒì„Ëð1óšð#Õ¾;`|U•8Â@‰ ZiK’ ®Ù&4q8  Óø |tæµw'kõ›´×"ü™ÏÒ¿ÁÆé9fI(1?'øÚ©‘Ôƒ0;â÷ƒ´%«'s׳u˾+gáÈ«+¿@<–H„rÕ!-ÈíKʈ|ĉ囩AfmœtàPí|  ù8ÉT•><Ô™ fà]1`Yu®¡*;9kïÓ´*ƒ·Äc[.¦<ŽÑÎ)_$NKÊ„6VCzÅ#—ZÖ­—e¢ð¾90ˆb­9IË,ò,cvÌÖõWCþ;frQQÐÜ!²Œç Ï࣮Ãñ^~Â{!u®ˆ–‹ ¹h,= àL:§ÿŒLÜ‘m Ë4»ö6D ¹³Êw'0–¬%K§9x®»2ßä¤HàAˆõâŒp +$èÁÉ~¼œ&Jee$˜›XÕW¦Ï/OM™ïöêLt1­ƒ¬Aζäœ!†|cÀÈ}­™¿9Þ£ª1å†=èàDB³Yv¢5É*¤GgŽ„*(vZ“§C|§7ipg4EF5èl)`ž ô¦ýLjñ‚…#Á–GhœÀÁFÄ!lQÍY׊t¶7Äq_Ø[xÆ(´ä"éZ>àŠ:‚ $/Àyé>‰Ë³—Õ"ê)ÓJi(ï:/“À·[U3¢‘Œ6xégƒ¤¨Ãþypcå12y«3^jO$/4sþÒ wa=e‰SÒod tüz¦^ÈmWDÓ°Ígô+wKlx–˜3š¡o{ý¤-[â{›ìé!”\ÏRs÷?¬E…óÆ êj–zª‚l]žÀfÙt+FŒPmO~‰Ø™ÎÚè^žXk#"ü®3^ëÜÙIŽ+²ê$Eçf{,([·ó¥a|D¶‚ýtŸ‰$¬³L>+jü‡Êž˜± x4´Ë6%È ?¨”íªéBóivß+=æûþ§ö'›ŸªÆs°oºpUz©J}§’Ѧ¤k™†‚Ø h¸h|\Æ“K‹Svë±Ãì“Z’†ÞS¤ˆ0J`}¨ÅÞ¸4“wiWI}<±Duˆ£êõ›‡@©»47 w •bžlL¾]’3ªB“Yÿ^ùê©8Š50¯™!5¨ïÎ8ü>/]¸Ë¯3 Ö jÜcŠc¦ë +8­Äœè°µg—|0ÁûK‚ç¹8tÐT ¦ß´*9”‡·aƒ•÷ÕUD1óÎk,âc¢é¶!¨$úÍamõæœvòÌ^™þà¢Eyš@zÂi)}5ñqÒWC–Þ'‚ðù2Ècèàu­ü*r û!:å:­¯¢µÉ_é“ÿá;/`9‹ŠüdtE4¢|þ¸&,_›÷¨B47¾=%ÆTvÿyOçý™‚_ŒÐ›r’:`×ñ&Ä<,Ðë<² SÏ‹K¤0†5Ýp³ëšŽz÷‚›58½‡ŽÃ¼(™F:8‹ÏŽó»bÛê$ëd„ñìÕ»Ž£Þˆ®Yºs8Ȩ•Õ!:H|so‹5ž¶“8Oã±µ,+Á þä6üŽñÎ\<Ø.æ‘ìl— Ãgo‹™9eM FŽ¡ –±ÀÌóý˜.(¦Ý‘Ï«ì€Md +‹O&=4:¡_g~ï2Ð3g,L‚j +‰FUôwýk©>–$kí¾ 7¤/áxpo£/,ÉUgR7×0u££JÄ÷"ÊQ8WãÊáìÇÒ\yÐDú¯DxQ†}¤Ù4Ãý2òÊmëèjæc‘nr·ñ踱3¿|c2s_¿½:¹vòpª³¹qŽÍTŸ4‰r»»ômr+.s5šÕäŠïÄIQGÞ)Ñ…á·Þ ïõð‰Æþ&WËÂ3!¦ñÂàû÷¶=£Ð.%38•hSûSë} %Ïò3tàÉä9cpžÍŠ*¶\«&ühÐ[ÙÚ7*WÆu¹´MÞþ™/m™áI‡ÒƒÍ´ÉÎxí}uø+frÈ–Iz)dójwn±—²p_ÚÃ@DÚ8ƒñQ’S úö¦˜s›'¯8è ØN‰}’îvϽÙ/¯{,\"€¹cŠ|ØFƒnÕ[Š9ˆw -û$ÌØQ„}"QX¹2k¡}Ö‹ùA½„üºqóâk®éTèC}-|¶8Î7É‚&‘â©„‰*1éœBÒ‹~ñ5¡ N€̇ìRö•Z‹Œ@`?­±©„ÍÕÑsœÞdA?ÍÅϯ3OÉÁŸ¥¤U9o;"4eáÕŠm!H¤}g¢Œ·—ÑDˆ]± ( Þ×*x5‚(î)’ØŠ# Ýaç&¨Xgõ¬3æ02‹7ÃP;ÛÓçšWΫGÎ+¢Ï1Õ¶l³óÌÌ`\×~0‰<Áœ¥¬/1D` æÛ:Ø×°9Ù½KmÔ5¡2J'bãÅ8ZI.|¸œÚýq'YËþ%.rnh±ôë25Bl§l$£õ#Nˆ¿Õbù»d¤ .¦búî„ì³Ø¥|æñVr§ÿ(ìg°Ñªdù<¨0‡Ü‘xns”Uch6 ÉŒ(ÂJçU%§<ß ¯\µ5¸S\äÛ„á³¼Û¶<Õ6ºûÙÓs,haòÃúØÏ|BõçQàLŸ¢MÇuŸBÉrŽ½±ž‡þùÿ-žÌk@£*ñ¦À·5XzûÆ©p'zÓü×ÚýRƒ‰Q$:Ué `8O'Á¡îXF¹ –Óo”W÷¬‘­ô5Ük–ÊJ=¨÷†¤£y‚¥çA1MÒ# ƒÊûlö÷ÿ¨ñi prF›M‘²‚­åü3I­-ê“Ôdfš»#y§Üõe9D²÷²cJ°‚ÎÚnI:Ë'a_󼸰(Öæ¶ô —`>™$g•©©om€»æ™ÙDúôkò„S“Ô»lðñå<ÄùcRyjd}Å­_ÙÕ‘}‰H¨¶v 脯Zeu68 ® +FàªÞµéÈ‚ AtFúÛ$!H½·¦is"ÒŤ03SDòTú-{Z¶É"FWÍž™¨ìÕU€Iù LÂXF’ÿM³¤U¸¢~w¢9"¨Uj?E¹«˜m¼†¼DÙƒÇ, y£ËmüjÕ2ú:½ºåRÿ.‰ñ4@ª¡QýÆl°h…­²(„%‚ðsÓ™W¼ù @@"É.99D×X·^.†bäÅ´ W’©*áŠ4¡A­‘}öð¨"Ç-e›ý#–Ó7YŽ”A,N]bøñ„33í2œ’ + €ôÌÒ°ºƒ€ZZ(Ë©5”æ ¿¶h ¢R‰x˜–KŒ†o“f—·¤E”fB³Ýçp KE!a´ö߸Ê~¦{ó‘4슔6i!”†ýÄ9ÄØ ³Ã +]Suâ£!r.9kB::­gœÈ¤%Û?)ÝE²HlJ@˜£ÿüˆÔÂ¥aȱŠÍ\Þ‡]tÊUÙ>\Rjƒàƒ #7·cú—k&câ¥Z¾ 3n‹ånÝ`¾y\R/™Â‹9óøRz­oÙöÞöä IXÌz+H.W½ª×!ûo—f³‘ÉÚ¶·ñx¾;.v\”œéˆö}» æà’ÿUe‡š£Œ©@tyQu7Ú»d¢ÉGî§ÕG TjÙI,ÆÌq3AÐÞ)}}ïËÿ”4WŠ„½*¾C´ƒB\Å•În°í‰J´ò6¨aÙáS³X# GM¸JyÕçZ¬å½Bë&ð»Õ ú<šÁ¯@“ s‘¦{¥ðÓeÂÐg.Wdþ3 =(ñrK½ëÛ8þå¤X¤XTjî*&ƒP¹ÌwvêïG€Ö[wbyë¥c><…øUX q)°c©Š»ÏîãÏ# +žwÚ;. ë;úÊÒ0hjÐx}"w<”êêÈ¥þO8Œ; k!<£ãt»:¸xú9Ãh ,#¥ À²—‚‹Ru%(ŸC_&ÖÓÇÚ¶ª¦€U:aË;ÐD1ÅÉ`w¨ØÎÇC4sø±¹U\â4$5ºµ¹ºìf-«»jµ‹œÞ8ëM(VÈßä­®{­*;ˆçà#‹‰àdÌ÷¬^í¥øµÖ[ C 1ŠÅš_G(ÍÌs¾0I‚_¨X¥Àp«8ý L·}´±Qê »&j·Ò‚¯¬Eq.~Dä#!ƒÑ©çn°1û¡€Ó„Ñ ùLÈçlˆF (­UCŒAž–ë£,¥„†i;šŠû'81CÖ¡E)t_¨úwó1@¬sìUžÚÇr7›XðžºQŒ&§ bŽÿ²ÉŸ+…‰y¹BŸœS`à#ëyñ”¡¼¶Dƒrï6çßáñâž>sZíû_pò ¼Ï5>Xâ¾µ–ú­|ÒKx +!Á—%Á+poÍ•¦‡Žì¡B<‰30’›"Ù™cÏåŽSm?'ˆXk ¯(D –ÂíüyÖ²PJói›YŸµ`#uϲ¿3SÃÐô™’v·væRÈrŠWXXÀ@ŠŸE• á)6Ńì‹D.¬ßå$ª…s£u=§¨9Çé…õ#$¢Ä;’µyüx¹Ô7d¸8Å)„¯^%µîà¡HÏ6 áÈ.QSVPĉUæï9óF´ä·Mô%16$Ö5É8Š§Ó#a)ˆÌŒ^„#‹)MŽ$ øDç©1:ËtAHs‹ìÖ?ð:Ž¼Ž2Fü¨‡§`,0-l·õáXqÅ71â·K~àu •Ÿr§±!“¦º÷n•R–Üvœ¢Ñ ÙØл¦ÄoûÛ@%ZZîà(ü»×kƒoÉWìî +Ïi9+ФPZ …%Ÿ¦Œ<ž×‹“ìÄ g ¤µ;óY, $‚ÙŒóçÍu“¬÷¡‹41qNGÀÈ·?®Ò`BÚŠÇCﮞC è¶:Òw+Ÿ æ—9ÞÉ_¹ÙdÒmö48j ‹gïXµ‘ZäPÙ µÏÙ;©§XÀVè€PüogÎ$ÔÑv=‘jÒu±ÑsYÄ nºÐ‰g«—køPGÝÆSÂ|ž(ýBfµTpeÄP^Ö +Œ1@˜‚{WU(ð„#*¶´ô±0W®C¡’—M¹ffdùÂYƒÑÖwxH¹¡šN AºNË»U8å›äãp"‡%åÛÞŸåê0tø¯>»\ÀÐÂFÇV{luÜaòÊÎ*·ïö܇?…‘Ë#Õ@^&×y™:¶v[8àÓÛé[, +Õ~àFãµ½Ò}¤ˆY.´åš±3œ5ã­`a\xëƒ=M,®šù!ÇÈ¡¡àந…Øšâ DR:6Lg®ëæ^Œ-U½ºæx¦†VdC5aÖ&@þeEú²°£®´ átCÂY+]l_nˆ¿–eȧJ§&YÜôíaCu syʽ¡ ëÚGKŸv¸-:‚5ˆoÁ£vµ- Êè¯ÊQƒò*´•ænæþ4ï׬:”@Þ5t,•,(~"Îõ$ü›Äou¨ \?cIï$0*"ßš¨ŽqhPtÓ±®-ÝR_µ¦é•¸Bñ7egVçN»çç­8Øga!* §‘ðÈ75ßJ“ŒpϬ„%·Ã +9‹>Ñ +ÁÝÿn¯g]Í·'d?KèP죾|ãÛ?¡¯”±ØEq__`ãµåКÑóS•«òªÌM핱ÞSÇ—˜ÎËÏÜÇ„~Yê¬ôÿ CWB‡Þ¥úš¨Z]y ¹ØÍÑ,ÂU´Iq }ËÁ,ËÚân ¬Ï`¢LHi9u× ‚©Ô,Ö³÷Ô O7¶fÁC%X”¦›$X…ÁšYÝnØ,À`òšE¾ÈžX#ÁܘÛjGÁ\o4ìY°ÓO —£lÁP!>–5‹=g›Eå´n|9sÁL×,ø!(ƒÙV.˜«;6‹ñ"»`¤˜¥¨qÁœÉ Æ>Ï`: 0%ëÖª`·a¥ È–%— +CRæÂÅlÍO„!+ {Í&,R˜Â­°aÚÂÚþÂP¶>–3ŒÚ°Aã°laÓÛ`³z3Íö“๩Ã,̈y<Ù,ì.´Yt¯ÄhCCJOì9£Øžb`Å® Ô–°Š•X$¹ØÇÅtc.ö¶-Ùt\É&&o›E{ĘQßJ2¦Ûœ1R +l—™k¸XÝÍâ Žåaªªët¬FygŽEú>¶n™‰Ùq²;7 ñÝ5ÿéÕ)‘©k³¨¸Èö†#“g³èÇ—«Í"©÷ßfÑÒ 3¸YHá’5¨(Å-ÒZ2‚,ÙˆÉÒX“Y¢“­ûɆQ&¾œY·d‘ôw³h–›q¥ŽåÜŒe’½)[‡-»v³Pb¸¬¡\ê²…€S¸Y°yi÷Ë,b0{îa¶íº¸‹ ™®2«Nfn³¨éÎL¢¤M³wXÍ*üš=;ÚlÚã +éÍ6lpvãf!_Ðã´TÎþ¼YïÇ]­”˜M$Jëã7ê, döãÔnÃrÖ10s³°g mUÎ u›4È{3 åfpO$7‹1bo@n–·4ƒ­¬BÖ®“­“Ë¢i³=³h3ËÆÍĪ7#ÄZï8æm gA’lœ%KËÙ r6‹ô9K’÷lŽ ÷îˆÍ UdÂç#Ö,¾…Ü‚Î,” üšÅxZ 0¿\Îv,g5|Îh=êŒèZ³@Á^xˆ‰ÖÆ×,”éD¼ÎÎ$kNcÊé›…›|S„ÒØrEHÐ:#ÅtðG:«ëŒé¥)kÞlPéÌZ•HgÏ°Y`'Zò»ËÐ\ë-5e×lºÎtêí ‡xf= à`¿3˾³³F:g<œ‘•ïlœ(biygŒ˜üºð˜›ý:cxæM»3mãAŽ¶³;«î¬ó¹…Ù™^m=³³Ä²³ÆVj–w¦‰1TUï̟槾3×ÖΖÛÙ‘ÍB"Ú#ÅãÕ¸ãEœØw†6ä™uDϲd{Æ{øLl³è~õYÅùÙÊÍŸ)c›ÅÔŽ~Öb¯Í'Ä>ƒBäl²Y¨k[?û€ýì®ÍB³~ÖÅì³ã3ÀÚ³¡¢gYáR’ó·Y„HŽMœåLŒžÙõm½Ù3… г“3Q$gqä4Ú,bkˆL8Õά‡‚ü‚ËwöLÄþñlÞfqùÏÑš´Ô¦‡}g_§ÍB\¼ÞY<Ÿ˜á6‹Èw&è–³µYèÞ™ìÙ,®‹ðQ˜6 ÌfáõÎÂ÷‰b‘¨ê0µIPÐ;ëA;àÙ,0>;«©:«:ãÉk³° +aÎ`¿ :t¦UgP6‹­Aͳ3K¢¹ËµYh|‹>±v– ±aâ +q;óÄv†ú4"/ÙØÛ àÙxÍb§ d¡s~gC»¾Z³ØgÂFïzOInœn;[­fÑ'¿µÌïìlÍB#Ï"ñaò̼Ó*ÏH#Âòl³…gbbäÕ,Z|HÍø²dÁ€„—TƒIü:óqÒ,¬kp*×™!š(ÝÎXhb#;¼i€îÌ©j^îlK³¨W4 ëÄqgngXw¦føl$~°²°Ÿ§Y`˜ƒg +ž=üòì9“fáŒ÷A/<ë\ðì£åÙ6PÏ€iE÷Ìö Eó™B„ŸM¨Y¼ù³ÿ´ØP õ PÐ8–ÚcMhÕ~¡ÁÓ,Êqh¶Ô,4A´æÛz‰¶ñÂPÑl§f¡ò¢U=£åŽô<ÚAÚtiSÒ×IkkJfÑÉ+ ½¸4€šEUi )Óv,MK·mš9í¸í´Ç“ÙÓþÓjO5uˆZÛŨÉÙ„ÔriÔ$¶µ:Ô¨©-«Y\©1ZšÚHkoûÔþŽjÍ5‹†ªéØ,:fÕ&Õž'­Ö}¹‰ùjÛXX«w¬íËÚZŸ5`6‹p›ÅºX« [óe¸¦]³èc®u¨®]Ç»–-ç5§ðµ­5 ÈüÄ ØÎl×,ŠVÖ݃Ø,È‚­gÛ(sÍ‚í[~-kZ³ððµ6ž×zÕ,’!MâM`+ƒ‚ ±f±LØê’Ãf½Ä¶¦ÅÖì²±)ö±][æÄP¶n³lŸ‹ÙŒUŸÎ±šEÄèlpúl=ohãúÑæÓV¡6äšÅPµ5/ZY´yœD­|KÿlëZ³m#w¸­eu›¯çm²—ßÖyßÆv‚›|Í¢³áµfQ,n` äÆPÊmZ™[5;7ŽÝ–oºe_uïÛg·£š…$X;kE¤å݈ o9þâ KR©š=0a¼"ˆJÍÂC¼)ZšXÕ»Õõ…wKEcÔ,ì¡1šæ4ïÛ­·Êw·ušˆüïæòá #ÍbrÈ[ÉcšEkæÍzŠÞ|^½íQ³ ½é«Y´VõÞò<¹A2¾IÌ,š=ß"Í, +Ø7j‚ߘ¥ß¦_þVÍþ ™·9p3 9¸Ô?p?6³`›^]W‰ì‚ 18cÎ,èè3 28¥SpG9¤‚kJ\»[^ÁiáSÁI¡YSpj€à g}§àPôÑ,èÈA'íÒ,¼Up§”` +.epÝî0Í¿I³àzœîàÖÊà®D³€Ê§¥YÈÓ$šÁ½à³–ÁÍ8ÍbWÛœ‚VÍÂE>N0C8/( +áDøôŒ†A¸ +áOឧá°äÂu;Äd +7\8¥ÈÞ…KÞbb:? EÜ +BØ…ÓY4 +G›Ñ=.‡§prg1±åŠ èv®rYng¥p±kÓªš©®‹f‘hÃI³X“pÅ£Y`Ä ÍâåK#S¯ š@¢„[ Y½y*¢J’„ƒYì@Ð,˜¨h¿¸4 (Îí¼f–ƒŸ ?¸Å%gêÞXnÍB”$áÚ.½RP/¯fQ¥p»º… ± çZ è#J¡"”ÔKª¥.ʱ¸jábwÁ¬ÝY·ùhFmÜÃÇqkaÇAP³hô8¶ý8šÉ wÎCîú4 y1¾s,¨ŸïbÊ°’Cíd! D³Àá74‹â3<³ÿêy‹ñ*G,·¡i¹êÉå8Jß0Ù­í@cNöŒ9‹¹³aseØ$–”»˜³cîYnZÉ攳ˆ@ £æÄŒÍE*­Aœi/çFb¿01usU·ü^î'½˜@ŠÁœG€þ̘íÀÜ!ÅÜ4 ©É\ã gœ9ع ¥9T“…ºæš¦' qsÒÎ9+ç&Çt® wøÌ¢—縣{NÑ>·ÔÌÀþϹ “M„Îæ5t%Ñá¾¢C7®ENnF:ÍÌ¢ùžt°tã†é¶5¦9ÝÙš‚;ìщmfQŽ8®žÓ5ï˜Yè-ùO5J¢ÓÁYì@D•¸‘\›YxàÇÌéÊq°l}Î,2³%-¢ˆ’¥«A­N÷q:Y†fÁ>D³ÈÖt™“(b:•H!€c§‚6 Y\ŒÆ¥_¯ébèt%™N@ÉÊ¢“N$u:z^£' ¸&¨ÉY0•h¬ëBýérCõtÂÌ‚PˆÊ,ˆÌ"ˆkI–)"‰GÔ€,ö‰†1‹p"WÌbþ劘…pÉ€º†¨{¯S¨EúÊä rtðbýîJVT¡MÌÂÏa4-Ìb{0 ?µ ¾§Nú: wºNM³PÄÑfÑ/˜ç>Y­PNžÌ"O§Ytú.HHc?À, ƒu¾A%éé>0_q¸§3ÛB„Yø·ÌâK ³H9ÅÓí³èEMòVo‡hj~ŒÄîû’çf5NMèSf‘¡o:U ©.ÌB†Yä@N—¢¥Xê^ ƨ›‰Y<™R—¦ÀÈNݹ6Õ ˜Ss†óòÔ†Yì*ë:2œÖaÎåÖÑ_®sÔ]7¸{Ýi°q4©°;cbw f¡5vý0‹ðÙéÆ,¬ì¢ïY4åä2³ + vv˜& Ù=®Y°½R2 ¨v*e=zí²ˆúl—¨ +ãiV!o÷& +î¾]Ü9E¹Û™Y³s§ÙÌ¢˜îf£›éÝ95¨yw,Bx»S™Y´ß] "ïÆEïR È”Û;(Ú;Œï¶)dï¬e€zçWfÑ]ŽŸwéà2‹åè]+3‹¤½+ãÞ½ÓÞé,³°ÞÞQfQrzçÏS½;ç½»&³PêÞÕ²él¾ó¤ÎwØ„"ÄÊjo{7ß‘±ˆe¹zßEiÏ»xý¼?y™ñ¾*xÁñâ›Y´äñŒ‘©X—`$¯¸Ê›ƒ£Y1šÍ,èÌãQS™³Ô¼iëÍ[=:fmæ+4÷2 ¢Ïë•YĽêÉ,¾ÓЫۢ—å£w‡£ÌÂ3Jo#k³ïóÊ-³ø¡N/ý†zkÀ™z—¥ª÷fzõ{¦·2³€*>øöÞ~nD¯Lªy½º8Ö‚½\3‹q±sÊžÀÌ¢ÜÛÙ3¹2(éìEÕ´÷hfÁ¼özàö¤.¸·¾r¯Úé›ÓÝû¶Ežø^õõ{yøÌrŸH>Bß43‹×_sµÌ{ñÍo_ç ¯‚”YÎ4hj‰4=OØqù”ù]>7^”…óÅ„pòݺ^¾ËVM£,ìÊBIDY¼¾|sZ +~O;¡,(åCÄ7'‚E(¦( 7@A­8J¥Q2›ÅË@tøåKˆÄùfìbñÃ|Ù„‡˜ªzÁb/'æÛ¡,*é QÐÛ‚æ $‹ùèu̇CçÉ|;pø䉬]çjóÅ—ÐÍ’°Ä—eñ#ÊâÃ#¹k\“5ß~”ZBÃ5ŸK§PºŠøŒ²À˜XÎeˆ×|NIYŒøÌX ÜŸLŲ¤k¾j>¯6û&ä*>|£¦,€© Vãr­geä+ÀÎ\Ø)‹ Åê³}±vÐKb.×|W9j©,ÀñžSó½WY¨šæÛp­,?ó5§<Ð|5g¾Æ€þ•…ñ ª™ÏnWV‰·NÓ:9óY­,Ààf3Ÿèɽº¢ñÌ·ÑÌ'.D#Ë|vÂjs—žü“q#wêÄßì2_1Ï‹!·ó|šùº)’ä?É|JuœäDxþ>™ïÌË¢MdzâÅ Í|¯mTe¾Z0,–O´‰ óÁŸ¨ÛÇ·Á˜ °Å|v˜—Áaðë%ÄY¬˜/Æi.— Ÿoó¡/l\‹5úÂxÌ—E*Šù~„ùzŸ'- 7àøŒbmO³,ðÎIJ!, ôŒe±é“e1«³,œ‚ùÂÍÂ|õ'æk¶1ßf1Ÿ¼I†ùt$Ý`²z Ÿ³H—YÆù‘“b¾êŠ–…x½¨M&4Ë¢ óýè|¢ù|‚eYøÎ'æ5&à¯ÙæûÁϲ°†xAÕ>'Ñ ½(Z8ÃgYè0–÷@ eÑÃøé©üË'Ͳè "¸Ÿß‰ÿ>Ezù<›œÆûáÇìò¡â¦—pÆ@²,¤1qRL—%Cä?Xà¥3êå³»0ù5_Y4]>¶¢¤E¤­,èELC FÙ•EÐ +:J¼|ßÛ¨æ¦V¬T¿|h U¹²€¯Cæ›S 0Tu¿²¿S[Å|y4ŸÅ¯¸8æ뢟Ý…e‰ùH_·ð•…==Ë1h9æËÛÇ«Š•Û12ŸŽÉ˜O+åGæ“e>ã+<Œ‘¡™ÜkÁ#¬Ý%&f>ZçÞ9ó½Mh¾ò¸'Dz0ŒóÁËbÜ€ –¥‡ 8u r¾•°, „±,RÅãL4B9ŸZI•e!8OÄ,‹æ²,Ú1JˆeaÀYç{¾];jXžó°XPÑùT&‚XÇk¾ë|{ÔÏGÁeY@À{95w, xø|žºeY„Ð(°sãX†ùÚt¨D 7tÉãtE®ÙƒOÔÉWv*Ë369ß\õù6±,èát¶£WL?_7ÎÿóY†·äXÓf`Yü ìçÛ×e!°, }e‘^Ÿfý­ûçˬe†xá‡hˆeÁ9Xá²îFÿzYÏ))–…è‹Ã²Ø¦C±,ùgßo;ËÂô…yõŸoNW_ú(û,0«óÏ7@·•èuîÏ·)‘Õô=Þ>ßÖÎz+ ú0ŸOìc+ ™`ÑçsŸƒw4*+‹F÷|…÷Uú€¶¢ú oÎãƒô©zÐwA6•….3©½Œ¨,xÏ–x¤0¡ÏÕ o †Õ}wXè;*‘Ä·)ôAOè#ÒŒÚHeÑs!Â’* òÚÔ›9RY0 /ñœ¼ñ.‡hUIà*‹Ö,ô}&¶¢Ï7ReVYdC_A<¤;ÐLf+‹«,–(ð,Å1šf”TY¸®²pöœ,j,ôÍ“¡Ï+Ê·îB_bÃÐS¡Ï G„"+“åï_==ú°²PŽ­,2BúJO×›JÀI¸²ð¾²È‚ôeÌó%}äŒ!À!}åÀ°,*}e¡ÎˆôkW÷@H_,AÜÊÂ=‡»²pýŠUèÑ—ï|eANCbW2 R×ÊbuôÅq0geA;϶²ÈÉ8¾ž‡ô5Ó‘>îj—ÊWa¬,’Å~Q¼ù~W„½Ä¾ɼ•²¥ì¾~W ·²yñÂc:’¾ÏV `ù¤OʈÃÔ†IÑ Eú?+ ½…TYk’|•šUYä‚ôEKã<€×ž2^Wþ’¾×Oújôá`ÄÁ*0éúÊâ JŸ¦Êb·þIßéý* †ú™•…ÏQ¿JÊ ¥ï*d+}n æ(‹4 #e±~é[+Д¾L) “¾Î”EßÔgIŸÈnœHßmãâ“ô-ž²èï ) ì´9* qlÀóT*‹yÍ‘AôI"ÒGèª,@2•…|âÑG¨,`nµÌNÐ >M@Ä0î€}Øw€}8WJ)¥Tͨ¸r€dfÜÝÝÝÝgffff昻»»»»»»OÉÌÌÌÌ8~{ýõ×_ýõ×_Äf6ÃÌÌÌyÕéq>Ø=C>\oÜŽä8–/¡C¥FÅ[fƈ>Fè}²ì’óU¿îx‚Ø"~Rh*ЯúNV ä¦é–\ö7n—Ôz± µù¾ëÙ~Ô¶óQmÙÝŽÓÍa’ë5Nô}hmë}¦í{Ò×Ì¿U¤v'Œ¶õ7Jó~f¹®sI¶ï| ôÁ©Oº…Ó³k}KtÌÍw5Û\7y…ÿEØ<•A0ÂE‹ ÅsCªüÎÛã ‘áA³ó;*³)[¯§/1Á°8 #ØqX·€¡üb°¢]O€ ‚¯N±±i?M5l÷ÑŽã²Bí¹!•­Wñó÷â'6"ç‰Õyí7®w4DVÃƎ˱zy1ÉûÊê›N·ÌÀ  “+Aî@VlÆ«»ÿåÎï>x CŒœ£Ô\GzÆÓ`ÃÄÖ@ í@ +u¦èlÅÏëŒÂ馂àð Æ‘‰…ŒöŠÛ}û«Z²<>0¹-Et(Cr7YmECð:f5 n‚‹©`ÔäTÁÚ^Uo&t–Ì,Ø|Ùr¬e0Í03Õ1 $” ¦w‘ÃM_€¡‚%±ç¾3ËÎCYrÉÉ@f¹ÉHn½5µq;,#4Þ®›Î— bç(Ýì®ÿG,š.$è%ç ƒS1žõ%l®Ö$¼4‘«p»˜%Yª‡œ&ú ž.; +#¸ ¤yM4Ì×~ã7H„Oi ™HšMeh–œ„i6A-`² À8½Z¥Ò|Y1^I)Mç£õšûðC’³ N©N™) #ÊEá¥Éì…ðëîC-ïÁCï¼i×'¼¢ép¬á;7èÝ>É} &Ó± K.9Sh#E±úNt<÷¿íºàv dÕŠ“km˜-ëIøD¥U°)‚S°!zsàI’S¸1zcÐq23ar½-¹e?H¼X-Øt¹B˜\j)~UnrÊL§Ö\¯ÚT ao×.ÙÝŽtì˜ô^‘r¡y½ezL컆)¾—Ão;TõÜŒ”l‰’ïHBs;&gáiΠ<†ÀDînÅ…ÀyB«0c$oyzÕO|JqDÌD#°p½<è,Ñ?ì$ÉE”_õ•§Oõ¦÷KCduà 8ßÙ]ã¹à÷Þz¾Ÿ$Ëw—&ùž¢×—X8¶+ïC •ý™„ÌþHDdý7T™Ý–ûþ»j¥¥ Çvæy/´¶ë’ÙwÝ2ûöw¿ôºš)¹.#%×E^n)Æ2¾æ¹Þã@Ó{䘜Ë2½)’ñ7L¶?ŠèLïi¥çexq¢K8!j¯ðéŠ#I’ù#Ç°~„ŽT +_Õ ”¼×¹Žç²BjüRR{nè%¿ ½ûEAó»âuÍGnÕù,ø}wÔ²ÿXïÜÊuë„è}cÖl F¡À)zÃ@^¹™8¹àZšcö§_²ìŠa^© ¹a|Ëò\—ÁF +ŽM’Ú‹`þ¥y¦³‰ªé€S¸^'´¶ýGBdzÜoüû}Ó-±ñ;cUN#%YîË@Çzf¶œï‘vÝQžXn=Ø08äµí¿0rG`SËj8‚˜/ÜÍ®ÁG‡‰zµuÛ~%N)'H%é8á%ˆmÀŠ£P®`#~¨Ö¶Anº'#÷>ëmïGŒWj.N°7høÞA‡ +­BÉÓ<Ä‹Y PœŽä'~'ãžózãx#:^ò"^ž"bºZKë;Ïã÷u‡›ëŠé#Hùº(™†/ì•^18@£ùžkØ…òhÞÁ„b3i~Õ_$­Üb(±ÚV¤]p-ѯ» 4 ?#©ÇÁÇ7Y†Åù¨ê;š'ÚnÅ(†¡Ã„Ë6ý +x "GKlF£YE×èI2S¤JÓ©’õ?jy5ïGŒar"xªÊd³ÎžDaöŸè”3ŒP¼dà Ð3ì0ÉO «Úd$³äRžZq-O­:…n:ÅJu¶³õ’ Á“D×°³Dv"…#ý’s~Óú ¯Yû®³‘¢óÛ ÷÷Û®s1ìzëj± ¿ÞˆXö¾¸=ãŸß´^êhŽ§¡eÉ• š#‰š£«™'ÿ¨%7¢§ mÅp +®E±*ÎÃxUOtB[¬Z{°Ô&±S¥†¢$çm¤jsë¦ã©¢ûÊ®z”´Þ‹§Øû—i”¼£XEï¡¢ó#H­6’$—Û s,îe™žƒbÉþWEiwí§3¼&–Ý/Qz©}½ò¿N­oYŽç^”ê½ 3=§$„†‡íÆÿĬ:¯Ôºõ3˱8¾(8HR¬­¦¹Þ'§h4†Ð²<žf¼®ÊîË(áÿžæœj×Å4ÅöD-5¥ø~R »¯øYµ©<¿ðA%º ¡R»nS:z뇆Ø{¨XÛJSÌÍ +‰n—44¿3fÙü—¦ùŽÒkknÙx)$yÿyýr;1Sš‘´¢(µÖ<Œ\p3N4Ÿxmû¯ß¸]Vœ÷@‚á] ½î È°| Sý1’ó#Éð=ä8¾›Õyh–ìI¨,ë…×9Ññ¬ŒÌôz¢ç|žª²>Vi#Ä®:°Jíx=ë…ŠÚ|¢ïü®ˆ ®d¸U)víÄ•qð5¡ÍPr¹ùTÑsà ùÿHî§~Ó{ž+yÿáIî!&(=#˜å6ãøeGiŠÝC–[h:Ô²\¶K†÷äÆéX”\j*€Sðäµ­·zátÍ*šðIöWAŠÅù¦fýUýgQŽÍ%±j?ê¶!ƒµV"G NÅÏ _B‡ê„OjͤÙåöô®ÿF \ ¨¨‰ŽÐ)"§f¹©4ÃxI.¸åW\δœÇ‚ÙwMn;o‡šöËPb¹c;•ì¡µQ{Bʔڛ-¹>qÞ%Ùös¹h5€œf~‡"µˆ°K-d(ž«Àò4ß0cu6C8&§r s+ZËõg·ÌŸÐÃ5@BŠ;Ý,›ê—œ s,o©¬ÎP\m:‚îšÏ×2½’ƒiRÉAh‘³5db·ø5‘ JŸ$ÁØhžíý‹ó<÷£²õUEgx1È+¶2Edœg8Nˆè]ãéEÓ¹â»P–óQÃâTŸÐV ŸÞ3Q078EóP¾é*Ag=Rh*~Xr$~Qm?XrLô+oÂܲ/»éºë˜~:v²¦õ=ÕtÝôë¦BR÷ Êxî¼&ÊeFËøM‰¿â€¢nºgMo›EÃ3:Ûy*vnwåÎàîöݱ5G¤Å–bdó…Ò9ÔКX(î?¢÷_”a9À*úU=7ͦÕøq’áÁà)×güœí/KöÞ÷”ÿ³Ú4¼$0ZžôŒaÄJË‘Žñ*È®: +§µã”ˆœ"ùŠ&ù 4,çS‹£‰†½½<Çà¬Üw¿‰h^ç«Žë3Rr¦‰Æ¯l~Ó™ÝXšÞMÓÊ ‚hÏ4ÌlÊu÷IŠZp+Ê09):_´ºý9Ts^Å)6w#ïWšaürÌ>£8Vo1ƒ“1$ÛKŠl~*§ÓvËôœ†Înh­Up4$¿óUÑs5L²œÌ²|OfÙuKnÚ¯ýÊí„Ø2~heï‘Ö¶¿Hè'³ë3`Ѳ_¯Ú^ á:L´l/¢ÿ£äðÐû¿í„W3_ÅéUoqŽáo¨ã|°ŠÞß<Ës?Tõ~Ê×±k|Ït Ǽ–ëNìXý¥ù$—‰ŽÛdœå8À«4¥øN´Âí Zºž,¿ã~éwE«».XeßÁ@Çt2Ž\÷B­8eXÝÇŠîÃøU¡µ¡Ú.L/¶ =Lï&\J“|Ÿª÷5N´hŠþã@×w/ÍtŸˆM߯–Ü|èÝñ³Z{ÑÃÅVRLóUí:•äÚÿÒDëT6?Ëã©g½4ûþ{Çê!l®ÒJŒâ9_Uí?jÕü&×<¼†ñ XX Œ á1B©m¦cøÀ-»0Có4Cq 8Eò :GôÁ%³©~%™e¯ £§ ²ljkˆ!Z3ÉŽµâZ‹q²÷¿ª›ßõ’Ýi©Õpn©]`ùjõ<Ém_ðœ?Yq!vªÈFôl¡ðÙZ“qÜzó±Žñ>ê—^/]J Q G­5šé˜¾dù•$åÆâ ãÓHÃ~`ÖL&Zë•(ÎZ ÜO/zΣø5´¶ëˆX¶ß¸]ó‰ŠÚ{2ݯ·õWî»/%”fè9¿‹Iº÷.Ç·†/ìv´ºÿFAfze&4=c•BH”*(mÿ±Ü2=n-/º•û‹Øv¨ºÆ;³q>’j–÷ýÆÿXIt:¦¯Áé/:ÿÁ[ºŸÄÖcÁíý‹3lÿ(‚É•Ñûeu~Ç2Äbk°‚%Gx1šƒ·îDª›†²J¯AóDÿ;ôH¥yà‘2Ó‰ŽïWHduOFï=vü¶Ü¶õÛ®Þ7­Æ–[–”ªõ`ˆÒnºbÌ/ÙžÝr‹  +6aé-r ×_šéý’Ëþ_Ákº"¢x^r»þ4ÁÞT `p*ˆXe-†_l:LøÿéÛ6ÁSRDj7¤ˆÒ&˜‘†É ³ç7'¢3~;ÿ«¢"ó0n©Ù@Óø*ZϼÊÿ^Dp7ºŽÒ& !N±EàÝr‰†Õ®æ}Œ¢–ÛŒ“¬_rÕý/‘›n©HŽG…¿ã©ŽÙC–\n3ÔpÜ2ëÞ—Åõd¸¼®óÎm{.©(Î×R¢×‘ü¡Œƒ‚Ú±4-ØÝq’÷$Ç2þÄXžkA¦ó©Hþ‡2šßõ(³Ô&$‘<”Ze0Í2>ƉÞãHÓ{c¶ÝG2zû­AgüGSJïÇ/8dÜŒbøîLÿ]–m¼ÕgTôþ±óV/Ü¿õÆÿDCﺚê¸^Âs{Šåh¤äûµ ¯»Jšçm1Åât:Õ²™UóƒÛ1߇+6WòÔZ+Y~­ÍHÇâ€Ø±½T«-§ZÎÏ(†Õ1ÜP­¡øiÉ¥4Ãð3Ô±üG3+®¤ù…Ÿ¡†û.S°| .öŠ3YzÁå4Ï}œ'ûãdë]œåúK³l?Zåþ¢n ¤µ­ojáþ¢UnÃCÍW=P“lÿoœn¼g·­GBßíT¿ø¼¸ùzÀ Ú:a‚ÉŠj£pƒTV¡Æ‰ Ä×Ü ¢¸/åºõŒ‚Ô4.”¯$,ŒXs=É»&'ðš¬Wµ + Tµ +¨Vµ>ÕóÝÈ=Ãe)Í÷ñ'³:`•½VÝü¤/›ž˜‘ZžÔÐœ.º…û³à¶_ vש<ÅâXùÏ4½aj¡=¹íüQ]UÚÝRP]UÑ]Sœo$ïÿLÛ}èvN·5ßIŒco#I±¶&ÙŒ£–HqLnF9§sVÍhø¤æ¼KÔë-ê]ó‡T·‡“ µ(¢\f¨Òlšn;!uŽWåÎñ¬ß6ŸúM×±’èý%!²º 5NçÒ\ãcšé;M3mo9–í2Ï°ý—çOèhÃ^¬\:P·Ÿf馓Y²ýÃ+û?õºíšÝuÔû®SjÛy&!z]ÍT¬Íw-ËqÓî S3; ¨ü/×WôˆÁ ©k=yÉÌŸ^R«›Yžë(E²\Ð׌Fµ;·«‰†ë;Òñú®ó‘ÂíŒVºžS¿[q‚ÕGü0Å[mµÁ,ÕuׯÛÎÉ(Ž¤¶épžmþ _jß®— ¯…yžNßÿ¬wŽ§D$§cnÑö.‘zLÔÎmÅpË­¿•D–§Ì–õ(‚VjBjüî*ÇëyÊí¸\4=%¡2»¯÷]Wã«‹ðQ*ÿ`ÏmÀ+»ÿÒ<çI”b9žjÿƒ=ã£`uèhM÷„´¦1N™¥‚r×<×ù;`q!v¬Ö<Šaö©xθ-߉„âÿ­$zÝõK¶‹8¯Èlªa6š§ù.Ã&B ×U”èüI—y¦ñËïù®õ†í*ZˆMbŒC×#3\õËæ«è<Ž3l÷]Ïy -y.ÉM÷»`¸ŠñêM‚ŒSjäé”V‚Ëygw— ۯ bÅÝPÅzåלg:ŠÓ9•Ñ f±¥(ÃÜ|Õ5ÿ&šÖÛDÙsL_·Þ.š2ëþ‘áuÕnMå4v¢î¼¥xϸׂ¸å†Ò ßKœ^u+˜  ’ÜMPÚð* FR+.D9–gažÿÖ®Ï ‰m·srÓÉR™·çgšöÓ@Íx¯·ŒÆÏR,W&keDô– #±ÝyËêˆRõ\Ê‘‹ìen{išçj¢å<Ø‘²y¶W@ƒÑ(»æPEôºf5~G“4çc˜j¾‡œ)´0Eí :Lq +Ù¤¶ÿ3~¶àbà`µµ$Ë{%Vnw¬Êñ‚Ò7^‰qŒï©ŽÝªŽÈúÄ¥×™ˆ&2PµmoB³³"b«ëvÑúK,üO›û@V¡ù¨_lG«ûBGËÍDO—[M½7bÝwB,ûÏÏí²ŒÐä%¢yÝ‘œè)ï›Ø K#Rã}ïÖ,¨»6A‘šž’Ú l¶÷©ªá¢Šèü©"ø¿˜ ßU™ä.ŒUh8Ò4_š5»áí¢U ^« hM÷‰Wt>Û%«óQÙ~˜çï×ñ,Ëò|Î8òúþÑhX ½U°ÌÒë Ýw¨Mãm¤fû¯zŽC2jÏI%ÁñRGqû˜ÒY¹©ìL¤Öµå»PYÞ²*Ï[)š÷>϶]Œ“<çqäbƒ1 {CVß}ª¥öÿÆêU‡ÜR£¦ñM-ÜŸÔ¶ûÁë9ÿc-Ï µå»VhÍÿ¹ý`ð¼n¤†SIz¹¯h>VHýG2bû»Bì~R›ž‹q¤rWÁë{“œÎçTï' H‘y‰Ùsœï: éü®ê•Ûa‡Öûªyîf‰Ä^Q•k¦cp2Ž\p&|Vmn¤Ì”\4ÞiI½§bb÷¥àt6Ë.8;]/ ëÙq›Æ¯8Åê0ˆauÀ/9hxK”Þc-ÅÿREdy(R¾ã8Mè©ùÎâ ǵ<Ëq=Óu}û%³ Åñšâuç§yˮӡªûÃ+ÛïÒ,çs¢ê½u½_qŠí+ѯ¸Á&· Õ»æY¾Ÿ6‘©@Ãäd¤e}»æW5±õWPè½ÖÔyŸÕÔþ;­é¥rµ½zÁ½8Íz›çšDû“ZøÞ žû¡ÜwÉUÃm!é¹]2ý Ÿ+²9OðbÛÏÊÆW½ðxngU„V£-×=z˜ÆUGt¼ÕÐZÞ±Õ<§3=ãM–bq(Ìp=:7í®ùK.Ù¿˜´ˆT^ŠÁÑHË÷©ZNȈ§JŠûËQðþØÑäWœ‹c•™ÒK–«‚ÛúŽdVYÈs‹~õ*s©†‘5™ÄÚÛ)041)ë,¢}"»9U‚ Ä4BWæ¢P˜¬Ø5³M¿íü=W´Æùr§5¼/—^×Â×åÖ@e(‚äjƒ~ÓsCKf9+SØÙ–Z%(*ì{ª;}y¹o´Xs.Z0J¤[nŠÌ,Ð1¶)[ g•';VF%:¿M‰Èr;Ü/7’g—Z‰Ì IeÓ ué6‚Àd6´à÷~)4F³ñn±W„ ÄH)ª³ˆå· +DR(ËãÚ$ŽÉn¹G¦Uê ÑÖ»¨*Œ ¨Hk.ÂeB›tÊ+Ø«¶ŠôëlÇŠ†3fÝø¬WÞO’ó¹ÎþaKf~$"9+‰Ì¾ZÛÁNahÚ&1 +¢î‰n÷IFÑŒ®÷‰e‰¨ŠÂp˜u`æF™‚]Ú¡F•KÊ'Î1µéXîW}ÿ­Û2<©£¹‹é='¥ôÆ3ÁóCBò}ÐÊÆË8Ë÷o—IË,$ë¤NñÕV¥H eÅHÒFUXF“pœ Âpžž¼L„˜ºbh•´d¶]lg8Dù…&åºýaIf5hžaöFª4ëÜOk¶'½g;µÈ¼&TÒ— a©àz¯`’˜Â5HMé Mê nø ‰œâ”4fÓeæa¢:ËI¡†¶ÌŒCbo>Û®5“è]溕¦Zó³à÷ßÉ…û›Üuÿk„æ'-ùEKçüs©kmfHhœ‰tbà°Z©B’‚À\˜’¤WWçoVˆÉJ LÂ2Ë ²RsÉZ¡=‡ÈnX¢ó\nCJJ×ÁªÊú¢$±~¨ ß{¬ç9¬P[?t´¦3rÍt*Õ¬·aRÚqi«¬K¦6„õ•4%vÖÂz—d¥ÚF%.2f‘ØÌØ=§»æ5'8-'Ü–á’Üu}©HŽGCÅó\¢uþÇ Ç-Áåz–茯ïS&/·íÔV›ñ) +mÃJÂøýbátrŸX¯ÊœHbiÕ$q›ÉüÖÔ„†oáy¥–ÝGvÉqÇ -ú•»µaÈ,Z F'i‹/8 8  ”´œðpœˆèp 0AR‹& àä,¡F W(²µè¼6dÔ®?¿ê9©:ÿåšÕ%‘ÙÍR•A¸ñ’] •Ô,œÛ*Éã7`õ€–›.+.tXp0¢Ã +Ž P,àA2AKÍ1’XÀ`Y eF)á×4réF•£Fâ´•ª•üÒɃsnËu¨ù~5 +ßÝ-µiÒ¸°h]`fÆW $˜Ìh1à#† +Ç &$@¼H F 21Â55Êpn¢€|] Og©¶J‹…6j±Ú´ÓPÙŠè¬NLÉŒnû…÷q¨e;{¶c‹ºÐVºR) ¿%XBd8L\ÁÁ 8<ƒ„0PÌ0àdFÌ/';‚@L Éj’ŠiÑËW*ÍL⚃À؈ݱ½Ø×}\17WÌ I©l·a͸zÅ\\µV"˜Bª„!Îñ) ˆ€cD†"+H8TŒ  ØØü\h>Á,KI[À£.6×H-&ró†âuE`6rkÖû¶â:U(‰F•8n»4‡[x\|ÈŒÌàÑ‚Ä€'>8LlhÁá€AŽ4pðÐ",3^ (¨¬Ñ¢ÙmT‹+xŒÄ’;ÆÀŠ¯Û æÔÃÝ¢'ƒÄrË rÝg;‡R¥*£+µY4‘Pzd¤ÌPáÂ1A‚ xàˆ Â#^`3â[ §…è@¨óì =nKŽˆ¤VˆŽÂ,ìÀƒŠH[méÒÙÓHLÍJt†» +¥ë$L.7B«4–ç– I­™œªc]ÐòÒ\`Cãt@'ÅPXÁ©SÁe.QX*D(®ÙªÔ¢-k” Wë¼2§ ­íDFïüÐ<ÿ"Ãa—ÄܦRVsâ•Y% «ÁPgÁ&Ù€N ˜d«‘èƒ:EAºŒ¡Z€ÀX\‰ÀLH¾b´\î +7KíÄ)ú‡#š›i+^8$@ØÀAÁŽ Jp<àh€Ã*N p (‘R *@a9* óûrHz½’àpšÑ‘:.*Dn›~ÝsÊk¼_ô=ÓKQj¹1Àb´€‹¯È€Ž Dpp°`‚ãC†4ЀƒpHР8X ‡ *plÈÀ‚C…J„€äÅ88…ú€R¹I¨Us©•‘n“£áf¥Á€"­ À4ÙáÈPAÇ„8.LÁÁà4ЀB $˜àP  (ЀC‚„%L¼p´X1ßà±(…3©ÜAˆdfŠµ ±l½sïk½d~¬WþZÏz8Ú¯-@n +ø ‚„c8 x@VpD€‚ƒ&p€8(T Áâ +‡ +-.:` +!éYàa`^«4(³[2¢PòŽeTYHs‹Í¤ùå¦C%Ï‘0£Ì ´ô Š^¨@ᨃpTpÐ àX€(à8a‚…ãÂ"N| ¥åGsYÔ{䵂½ZólÇÔh¤e{‰©²É-´+ºîƒ5×;¿åTnª$N˜pH€ C‚ƒ +\à`ÁŽ +Z± +1J) @^ a¤€)R8D Á‘phðà‚#Ä +RpPÀp‚#Æ šPTˆ ´ X±qJ\ÅèuåU¡C_ô_WL-Éeç{¨j}‹£”™Ç3Ȭ¡ˆTbp#Ó @G  >p$SŠ¦RšÄ©•6%ϳë>Õ §‹zÝ|¡ ¹ÝÕ˦ƒÁ>`B +*œà@à +@Ž€ƒA8"h ‚# >p4`‚ãC Ž/p8fŒ°Â’t ghÔ@(­ê` ë`N™¥(J¡0ÇäXÁÖFb¹?Lo$C¤*„áx€pà@ 8P Jp8 p‚ƒˆ#GŽ!Å>LŠh¡‰BL*p¹\³ÞL/:Î_ÈaŠ§Ú:kA²í%H² +#’”.‡ +Ž"ÐÀ À±`ÁŽ8pH˜`‚ƒD ,v8V¨°áH‚¥3xcS]0D.I@2Ÿ(—N(¨Cb+Ü(u’Lź¥æb)evÁw Æ’CB@`ã€"àààGHÀá Â +Ž D8"DhÁñ 6ÀàpÁ†ã㧀#?ì…¨T*†É|’*‘ZOÒŠ=“Ø)LñNxˆ +!£‡ƒŽp€ÃJ p@à@,^èpŒ0ÃqÁC‡†"€œü “sU€È뀎R‰DT¨ËÄ evÉrÅ=–]ò_®ç‘¶ë.à±Ì +Pá¡Ã±ADÇXà@@8"€ ã \àˆ@‚Ž(F8JN|ãSU`Ç$Áo +õA9äÕ£;ÛÏ àˆ«FCF™¥†¡†[†àb“€âvEŒ2P” °è =p2ƒÅà )•}R®5žk\Q‹ÆUÙ}–¦y®% +Æý¢ëBAòþÔZfG‚Å©­â&ëÌf¹Þƒ³k6–¾dy ?^1LÈØáXÐ`‡8àH  4 Lœ0 "CUFçËÀÆD‚Ù”ràÆø´A4v±Œ‚H¯ÊT¡à&Æ/¶=SdȬ5žmØ[5h‡zÕz—¨Ö›+:E„¨ (¡b¦ +Bc©@ÉËtç%ÈNR ¼ˆñ+`Å‹^v„:šCnÊ¢·ŸoÚpŠ,gªÎC¹pº¤V½g†ÁÑTÃâ¤Þ¶_¹]ï‡Vu?çx¥æ‘à ëð”r ÒB5ÀB>pTØÃ"@¬ù5(Ù_°iZ‰ fÅXP¿ãS£¶‡ÔiîÓŽÉ™<½ÜbÃÞH’á7çØíÚ…ï•×µ~YE÷W’Hdt8ˆ‘]ÆÒ€$Rð8I@Dæ +K° …q›~ü¶I¿ë Å,y‚P;VsíJóµý@iØ[ˆ£³ +¢TÜ7=×T9ŸY%óKµlýÇ1‰­Á§ÚÕIŒÕ F8D…¼b`´á‰…¡¨ÔÁ„~A.µ"ð)­0(­_!Ý¢5N½#êôþ€ZÉ_±ÛµˆbÅM:Pž +ŒÈx@’¢- Å‹FHˆ¹.ä+z@\Bi8F­LDƒÈ.\*zÍvëíÃI¥Vò¬RûaÇì/Ö,7Eðy‹QÉÂÍÉ€"R86¬(ÀŒ™'f¨LH‘Ù"0ccT@ +˶)@P’ Øø‚$.¥.,±]Œ^qˆ¥Ñ8”‰¼b9¥Î™¦ëÖ홞u[V#e©WGK^ E˳€\p ð@Ž(°€ã84pˆÁ¡âM0<‚AY&ðÂ~€‡ Õ (µr!-2Çh¯ÐB–YkN,YJ2}ÿ@ceÆ剎"㛎àyVKn½*%x —hÖ›;¾+ ‘Ù}»dw*Éò‡œ(9œ$µI1¬Íy5«›*:« 7ÑûÛ öýƒˆEvÓ Ëm¥Ñ0+­é qËêXìH•…°YŠ‡èy¢«H2ÉGœIs@Yu ­Ú*¥6òÔjYvÁ0·àZ¢Sk(ŽOe3U08g/t¤öË(Z©1ÉŽM :³Ï}àuí÷UÏ|&Ø]…ÉUñ3äf©Ö˜Ea}iUÄéĆ úʃÈt?X,¹Mo»ÍÊÊi…©Õ†â‡µ&¢'ê Æ×[HP|gfãvÎl»ÿý¾év¦f<ðj΃…âtNFó:)£¹]RìÞÂ7¥æBˆõé ¾èJ¢CôjŒV È€Ü1»q‹¶[yŠñK¥ÜB–\oBm™ÿô®íœÝu?¨=ßmªä·+™ ú]Ça‘ù·\zŒ’œ¿Ô~¹ZÉ)‰¼øQ%/¾ Øi-ÆÕHÞóݚ빆ý6Í/=QënâW§Á'ÉÌDÑ©l¸Eï_¤av¿'ú Ÿ&¸ÔÛÞ_!‘Ñi!‘ÕQ«î¿ S½W„ÅÖQÄj ‚»ò&Q/=°˜•Áõò&Ñ]¶Sp#W¦´¯SZÕH•)-GˆJɤµVãR› ãE“øi"ë©–õX"ô¾ J½zË|š)XÆq+ŽgËuçùBÙE,§n ÐåøD<×GÅ ‡´ö3ݧ8ëÑY jRš gÐ{¦;•vsÛð‰zkßг$o~Ñt;V/¹lW[Z/â|š¯@*¡ƒß0·§QßDó‹¶Áh5{H“æ0ÙªºM·ªnâù}KpsÌ2@Dç›â9ä&Á:©•\¥Ø:¢NgO*´«–ðö*ã¹Eiºi¸¾b˜uæqìZsa„2s¹VÉ•X‰Ô"ü’b x€¦ ð «D£c$žÚ¶§¶]¡(%_fÑ/”Oe;ܯ¸iÑx®{„î»4Áê,Kó=„ÏTœHmïµ\2<Ÿg³×Uˆa9cXýÅËMGZ–{ +Bû×øÂ"€¹*Í/5®w^Gô=Ë«jãþªYî +N˵ïQFduLBm;dš*Æ–’·™°‰Š«À’ü¸ÖRž_r3U¬¸ê–˜ 냂äz)N0»ž«µR¼iLžb$„Qj2Ô1»3Ȍϕõ5R°8fxÎ}ã­Çù3Jñ +*Ûq)Øñ‚¯ZÐеì÷ÉŠõ?Xó>ÚuûŸÚtß& 6'CˆU·€f¿8N¥ÑL¹àŽˆàwzšà;Òñ8Hž÷›®ÿ1p¢èbŒÔ&z¢È\ »àX”á;8Hn74¯»¡ŽÙ3Ð ¥QŽWfDlz_í²ëršXq*€˜Ô6ø •‘"É©Þô¿™õÖ1Ür A‚É ¯m: ªy’äŠó(f±ÍHÅäŽ]sÝI‰]§:"Ó­ï}Kól_a–í,ΰ¨Mû‘[´ßFšÕÖt’£T«Î°DiºšæØ~BÊQ\‚ “Ù +Ÿ×\ЗþEQë>ÜšýE®Yߣß}YòRËÖ§l½Šq,wÂg•öâV÷†Ýg˜e¾6èMƒAˆQƒ’îó N2l"1ƒCX™Š58afA€±Ž/ð|Ù1W,4®Ùc¥jã@ÓD§q¦é‚U7žL3 +²|Gá£õvâ nE•Ê2¬nÜžõO/z/ƒhU棚ç’Ùu¿$¶KÒkˆ!Z—è©Z3IŽ÷0Íò~fvwQnÅ­:™ÝP¿ìÎ qþ¦ú—òôr ±ãdvF‰Þ1ÄzáŠÍý¢ä½–-ƒ QZGZÖßHÉú?NdlŠÚp–ä)„WoCìyßÕôÞCÁõ&I17!Ç~ÝtC-ÚŸò «·DÃê*„Mó.Gì–¨˜ý—ëO˜^u‘âVÜ â•[Ê2Ü_Q–ïLôHÁÅJ±¡,«Ôt®`qÔ túe÷UŒç; ßõì'fÕv¿+šîåù5·’ÌŠ£°’ ?8F©FÅ„\Ã`œ‚€‚c`¹†0‰ŠYÀrËP½Þ²Ckþ;Ö»8ÅwaUž÷’\Ói©BÑc•¦’ëw ì»h<ïHE×±è«8!.½P‰g¢Tlx(­¡¤z ’/ð€zUxi"+I†Ý‡Ú³ÿÃܤÉÅf3%÷gµä^ôHµy9ŠK‚{ð—6Íy´a÷mVœ„§V(L¦ãT†pêÌÂŒw ÂÅöˆUwq¬rKqlŠïx½Þ\¬]n8Ö±™}×ÝDËü—äš®Ä \¨´­» +`–[Nô|/šûs°cõC®7¿®8)Û.‡:îû´a{å—Ÿ…0ˆAäéÄâ·¡4ÇîÉ®º t ßì®ëˆ†âxNFr¼>`q>¨û¿EÔFA6[VÆOØýXÞ÷<å4€Ô¶ðŠ¦[rÍú$öLgÂiá$zÅܶ÷=Óv>úî£ò:àÔý÷eÇsÍ ñ<Ö§$µêPš[ @Hææ¶íåŠP²%{È¡Jû8~É}$ÁäVšá<Ú¦3 ^‘E â¸Ó¼zg½òþˆ1|_P«à«R­¡BÝPÅv/›Ž&zÅâ—oq~ÙKŽcøA.·Á/·Ü8‘u`ñ’#”H¹@ð(Á¨j~ Ï‘9!ø„¹µF÷Eˆâ>‰,·dXÇŒpÓ`’Ê<ø@±UÁè;™_P¹ÁGP(™5VÑu%x Ð6ÄhÇNì©=Ø8•%0BË cÔ6bÕG-7ãÖË2ì>ó$÷=ˆaÿ¥WÈZÖÛ@Ëy?\lÄ+º¿¼ŠõCÀXÇrºål˜ÞEÚ5çBØe7A¢éJôh¹Y°Y‚(³Ø”Ýp^Å0ŠÍƒ“%_÷™"øš t‡Ð +­ä¶KJZûY8]vKσvÑt8Ù®8'UÚŸ¡6„˜ì¹ÁÊw]§‰lå v÷€ÃT&A‹–L3åªïX½ö%†Mj,ŽRo,ŒOjƨ³éX\6ȽG2ÃKZáw.Jr^xUûyªh?Oõ܇A䊛Ðv#ÀB(u@Œ¡Œ$[Ùýš[ñKŠ «Òz¬c~‘ãÕ\„¯ƒwÆ«þà£ôÚ†Õaœ[w 5IfrªÜXôtɵði¹Í8vÉ•4¿æNœ\w@£2Z˜Z&~ŽÆ)Ñ-5ê4>Md/ˆYrrÊ<ð ‘µ@>¥Åh.™P¥ÌAáúü¶“qìªÇ–÷?ë¿ +Ÿ×]qŒ_¢ ›kzÑ}°Qúã9U¦‹Û¡¦ýÈl\/FQËNa†H¾GÉŒ…pkn…ÙU/rÏû%˜ÜŒ£Üq‹¦cvËt?Y0|Ëó«.¢fë§éþO·ë ¥Ô2p´2вž„ŽR¼ÁI³ Å®-ßöˆŸ(7 +3Fe!Ã/ûðÙµÖ@ ž/Œ£4 W\”íÂŒWí`Æ»–&HÝ‚¸%G¢Ë­êºá›#T0Á±;M“m÷{ªëX†d~ˆ°ëÞTg&Ρ%f£kñ‰r§ø‰’›ø*ó ³Do!첋ðU¹±f¹ ³h~•œß¡žù<ʬ·6Ú±B§êÍV\I1¬Ÿ‰–û2Ò°ÿ¹5Ó¡˜©‚5øø½4³Ð6ì4™]€9z7ˆá¬Zà‚Y‰•ÌF›ÎУ4?yzÁqøAzïHÃìÏm;ïÃÔ2‚æb¨ÞbüÂù–¥úß3ußA¹q=¤U\#b¸p„Ò1ŽSqbºîF¼ä 4Zp F¾l<š]xŒÚÐ혂|ö06Ék¦a}ÌóL‡²4ûK”c> “Ü'YŠÝu ë¾’Ù ¥30‚ß 5½_ZÑu?R¯9ä–ý#Ùu'ivÝ_ ¥ÚP›È`¦]õ7Dñ 5AmƧµ 9ß÷ƒïZ’KMhMãežbo,†Xj I/¸"6ý—t’ƒÞ5Ò²~Å/ëþ€FH.AÌ·A'(ž¨(þÑt"‚½ê&ɦµ 6ÓpšêB=GH.â焦S›Ã™ŠéY ³âŒÚµÝP+öÃ(F©IÈb[àAŠS¸‚; Òü +À £•‰´êí$*Ŧᇨ óü’cñcR ÈЪ–é.{’Ù†¨³Ë«µ÷Kîç ›Ó¹ñ¨Ýx •çXÃŽÐ|ä•„š¬øR‰~³Ýzû"•ùÍmØ]FЪìdIƯ +Ë“èÙ²—ø±‚ƒ~ÍåTÅúAª²£PÕ¼Ï`³4¿0³D/ñ“å6çi”gÿ ô _â'äöà 2³$¿Ü,¥9>i°AzƒÃð'˱}GQë΂Ó#«ë‰ 4ȉ!$(e@‡“èmyƒŽR™š¢9ÊR,Ÿ¼ÊõšZ98Bí(S®•eYÿ¢'+n ©ØØs)Aï)Bñ+à6LsìNÂã3@ š`àDk e| +ž©¶ /Kg\šÐ4äL©•(Åyh™O´ºó"Œ ¡8hðh … +0¤XE7acýÊ õ‚S3p¹’ÀPÃr¾èç—\†–'U˜)•Ñj-N{1êÌEЪm«íË-…Šå&ä†ó,|¤Î8Ü(ÉU–c|—f¸/¢ÌzYnÅðE¹Ipá¦p‘Ž™¹OžPi +Œˆ¡† QJ³$×þãØßÁG M‚ªXBŽ¶,#iµ†¢8U&Ìš÷ÄkÚNÃLÔ™Œà˜¾ +¯ (àäÆ9RµÑH»êÃ*8*Ú5L ?cø´æF{á3…&ãØe‡QÔ²£Nµ},³Þzªas'x¾c#’úCOR|ÅÏ„x®›A$ÿeÃú£WæYæÑmÌ(¹æ(”P·PìT­9ð˜–pqÄŠ0Cäöà¥æÁ'Å&gHΡçHíº8)6- }¯â(¼h×°XÍn†Üt–èn’äpœÌXµÞj–á|'r° d³0‚`ub<Å€|R€dÙ•€Èr -€œ¨D³T–Zk V¢_H(aa¦šµÁW$ “E*µ0R¹‘R±¹‚Ù¯^ù —âÙ-^ª €Á.ŠZo%€¦Þ(Ø•=ÀÂewèYB³€34ÇÀSï©ŽõD~R Ì"êà+ZÇ`VÁjR»`ÓT&áE)NaF Ž½ZÛ¥ïp’Qlb [~ݲ†œíØ‚ÍКÃÙŒËͺä5ײl"›à"–i®õl¤Ú"`!"ƒ`E«¦€Sô.´bóHv½)½f|q{îóXÅø¢ÖìWiŽé„LÇd’Î.ä$¥eà9*;Q†ÙE~WfŒpY.ˆ ú ŽÉ¡ Ó¿,9 5Gf`Šè+J´¿&Zî³æ’Ÿ¤VÀ\?-Í_µâ:ôL½}¹î(À+¶’_$4BªµiúOÂJа4Pht®äJøpÍyµä€´av%’ú‰LR©#h•A‰—íHл +ÔÙ  0žæ™¦›’ý/Ì0}„¨X)P”X˜J"|”Þ¨h¡&àáƒÄ€¥*¶pSÏðc$Wt¢£D»â$¨ &¨4›*¸hÇ`¡C´@Eúµ!J-CŽ“Y ¤VœÏ6œw~ÏÿŽ Ô‹”YŠ ‡¦3>NgE-¹Ž V½Jý`¦{nad’ÏH³ÞàðíÀ">Iš÷0ˆ`{/Vs(Ë­\ž_~Kd*ŒTf?Øò¾æ9Þ‹èÑJ{YŽñ4Uq&Z®ìHºq&ϱ 6Ht)BpH¼í¨ØÞ ”+-Í 2_ò‹c•Û‹âU ¨´@Md2Ul6W¯ú v¯à‚5¨¡BsQºõZ”ì<¥Ù¢üº,·âf as!J-¹C+µ9Le¼f%™%âÇDÖ¢˜ç¸%WB(ÅæÂ8ÅÆí²€aNE`a>I@Ù¦ 0ñ› +@’ ‚•mCŽÓ™  ,8A,¸&—ÊòË^A%ŠõAf«µa¦j­Á© à!Z@d™e¡F(þGèÁ&(M‚äŠ ³]Ä  6Ù®5]õ#G,`ªd +9Gt>«6Ã0¾êßH~ã€1: ãÇUñcå¶@:æ@Ét¼à gåŠ>€ä9Æ@K¦‘Šå ¸ð‚¸@‰ =Eæ?%µN áH²edlÉÌØ’]§Î‚Z²Ÿ…Ku\@…Žœ <Þ.É'´A&4¿$2C!·‡3©ì„Ðé,Åo‹-šþ?xš;p‚[À)šsàyB3ñÓzYvÅù¶böëXO·í¢ÜóÝŠŸ%³8Dt¡qð0{žSლ;ˆ2¯ÊZ‘óT†A‡hý‚E–,‹{¹^¥½`Fɇ]ñÛMÖË-†öFC%Ë]Ósß®™Í®(ÍîÚ•ÿ;€FfŸö‹l;ä¾c½r>2ÛÖû°ã9_6<÷ËšýV¤X(v†¼V bg%M1´gº~Ó\÷M’áz aÖK³üfU×yªi»ôûžË­í4×®2`7¬öä®ó.Dt?„ à“™³ŠmÅPIÎå¨ÔG[ÕÃS3QŠÝUuÅ•ðU¹I˜zÏX¿ÚÀQaiIZ]t¢Ó[Jl&å´Ž;RJËU‹Èn5Ô0·–çX ö¬²÷I­ºÂ4žp3ÊÁvÉq¨_q"x¾e 7X± 8ßt #YuLn¸Eß[r\‡ʽ£;Ó +½ïN-ÜßÀÇ“““ZéuTAnzFU7>B‰F¼ ‰RµEä,É5Ì,ÑGŒcü¦üF”ŠvcéëFA”jÎOñ±Ó€ +c 2« ¢ï»`9?Eù•f%ËÑ@É÷Ä­5f6N‡3¬*Ã0"L²Ãdb…Ñn `o+|Kô–ü¦ƒ¯½LÃК[x?˜M߃Þ1\q›¾·4ÍrĪ»o$4¿³~ßüžm˜ È;†6äžál¬a6jÖÙ•+'¶³0B‘¹P:¹e¥È$°4¯ H)BA¸©ŠD£Ð\ª]o<Û°·#§±Ýç«Å–Béä†Á¬zµh8äõ­¯‰’ÝR˜_hI­4>Kïç]ç~ãúfÚU}7j×üàUíwjç4‚TõŸù-ã‘_ò]Åp*Î#UëeŒ^q!x”Þ,Ë0ÜñßK³eyÍ,=/GªÎYÓûfÚóK†Ã‚Õý0Je J¨åHŽZ ÌF9 Á¢E —ä#Ϭ³gÐyó,ï[–ç¼Ï”M÷â ïi¦b=—H½'b2à ‹¼ÈŽ˜ÎnØ!rŒ•‹,';¶ݶùØ-™ß±íºöüOñƒ2#´´&nÃq+€Lg ¤(¯(ÌhÃp’æ+†Ul2Ô±;±[ÆÁ仈”ŠþãŠáŠÝ²å9–ó‘ÆkÀ4×èY²üŠó0fµ¥ ¹ÜJÈL·F¨(Ÿ„Ðô܇š­6ždüÊW¸¨NAy íŽÌd†7¥’íP¸KÀè™iÑð´X· +:€ä9¦:Ÿ¼¶û^pHh®×ÃóB€ŒØ P¤4H!óô‘ºóF¾ç8Ô %P"øôÁ¦ªOVÜ+¸Âðþfx…€ +'Z"̸q¶8½ÒX™v}èir«$Éu›%›_Íšá= ©åE ¡ÕˆóKp¦º‚‡*íÈô«1ÚÈNIXJ“ ¯Ø”_²©m÷_¼\ÃÐ0#¸â¦ûe'ɬ€1DŒ˜ùBàÁ¬)‚Åý¬ê:£µçÄÂõH“uÀ d¥N‘SÄ6@„Ñ”®9‚ ™ ž,¸í­ÆÔiÞ{h‘Ó5`ÉÏdëôþ ô’2Ð&«À +f 8¾AÆh~’üš“ âC∠ >bŠ7Ø­yŠ_'K-B„P € ¯Èµ;èX­}ÇøŸhXNΈ$•î>…­ùTÇxC.7B¬3¾+ú + WÚþ§Øá–#XA.@£Ê×$±Z2H §ƒc3yb•¡.É#HQr)à"†«!çšµág¨í€§Éå¡È-‹ Åa4“ÎJžMf/CéÊ)؆âÔ+Rh¬k§Éfµ`2C®Seð–È »ý²a»v(­§‚Ëv똛Üõ²û:U3>¤¹Õ†‚øDCi•æ ÇõLÝ~.8®·Òì’{¬BnÑ÷ê9Ÿ(«­ÂLY›'úÇQ<—s«{“Èö¬V›™!vŒãTJÔÊÅŠ CÏ—C Eæ2ÕR»É~Õ5äa–å7˜¥zŽE™¾;³ðº!0½Î +iî¯RŠ÷³Bk¤Æé^ü¼ÜF‚^o"F.7)›o¢$ëIðT¹I(ñ¦cµÞB~ŒÒ=K©¹ +ÓëƒMP9‰5Ûµ^÷ž‰ûÚx?ë•÷‹ÕtÞpê fXUF¢„™UÀ*…gWÈÐÉ,&*vjÃs>Y®7)WU«íeé§~Ås/Ǫ74ÙóˆJ­dɇ-óM”Tn%f®ã )X1$Ì+-T*‘"Ö…(×’ïX…(ÆÓ4Õÿ#•Œ†v‹FƒjmÛu´Hµ ð°‘ú®â»…¬9¾¤ W0Ÿ°|ˆqŒßAÌŠƒqŠåG¸L¿|ñ¡@⃅™n©5ò À‰Ÿ“/ŽTbÀì¾%3ªù/´¾ëf~MmP˜ühª[e6Í,6'Z3„—%³Å-¸–(˜œ÷¿;úÒi|F Ê2ä(ÅΙ‚É…¸ RO@f1´Jdì7\ +,U->vÀ”À0+ø˜T˜Ø5£$š‹’g,N Ì`¹ bŽcå2‘JÑ;ð© ‰QŽh‚¯>¨Oi7X° *Q-À¨3A'3 ¾#w“kæ{ •â(ˆFéè”ü„:u–“»ë\Ãø”å—=ÅI•ÆÁ÷=µkªbrÇ)2äVÚ d×ÚÎ5,Ç"õ‚kayzɽH¹Ú|×óýD†ûIÛsUGdvZIdvSGó?µËÞ'»iüp{®K»o|,÷«JÅMül•¡$Ëu˜å˜E „oŠ­kMGûWb…2Ã’šë€¯ÒD™ä ~žâ*‚Wi'~[n&‚Vk.”Oô+ÖZ‹d›dêdƉ¢ù~†ØÌ(³˜*˜}% +V¿¡ž÷?êûË%«QT¦÷ýÎéºÝ¸?gJ†{fãþ(7^×ýÒïº^ùŸ5וUøßWEãw¦f»4K§s +j£¬¶û-Îðý$¾—Ãä>ŒVg6Ð1¸î6M‰•ÿg,·Ôl¨â:Mõ+m†*–¶â ×c»â2 ¹OU¹Å@»ä’Z±þw=ûC–Xjà ¸ÿh]Ï}Çà‚Ö÷Þê•ó‡Ö4~ÄÈõfôÛÉ°ÑŠI0!>Á@¯Ö¨Ah}J´ nF³Jí$ŠÕfòäz‹©j±q¹Ú¦Eáz Vçñj½1‹Àê&Ð+·c\QŠmCKU,ˆÔ‹eùu7bÍ|–$Wœ#öËrLŽº%ÓûIåwÈ*YÞ/:¯#Rçw>ϱÝ*zÕûŸU[Œ©4ãy¯B|×€™R‹Àîp²ƒ·ê(Ã%øËSÉírµb €‹ßÒ/y$¨å†#ïc$¥Ú:~’È@~Vh3E,39P+«X=OYXqˆª·™(™S»3¯j?’ºþÃØAŠk`¡r½4¿ÔJŠ`wP(±øÀCäÀÇÔëBLR<„OU›Ÿ¥2?Jf 1À† !¿ 0¨èápð¡…£B +^¼`'Ô4½,àHÅ~ˆÚ+Og b¤D ¸`b÷ŠCÏû©T £<à<™¡øiÁ‰ð¹Z:ÇòȈÁ.Ð1}aL:@ŸÒb ‘æ#tÀë+Vñ‚’$+U1>'²À&³Dxº"~EcÉ)ºIói¢l^`Äe@ ¤<–YÄ:e¸ÑŠ5È$¥@¹ÉJÐó”r C媀3”.ñ³Dvò¼bÛÁŽÕw°ãz6è½BŠ÷ƒ†à}i÷½·™šíLn[¯ zó…„ètFBdw'I®µ#Û•½WnÙGª¶ 5Hr ;Mt“¨V[É5ê hIê G( + DÑèÝÁ¤’ŸD»ÖN©Ò"ؽäpË~BjÆ$¶7‹¾!§© ÄOYOV,η‹{±z±qƒâûjWn·ýÎõ ŠÊô¼ÌôÀà¹Û…çÃŒÚðpªa¹˜*˜›p[~+VËpÝmYWÑ^ÖÐ[Þø†±×o»duAßùÝT|Ov×ý¨8NF‘+m™¾Ï<Õù”§Ø›Õ<×A¬’Ÿ0¹Ê¦\xÝKS¬ñ#…æ FKfÐâÜÚ€óM¯g¿³«žË‚ã}¨vþOVãtQ-Ý“ëÞÿPÓùC+5˜f8î5Û§XõÜ‘[ïì²ó9Ô2þ—%ëw¶`rI«4 NžZ`¬`.’Qd*×*´cÑ–›u îg;&õžõBCm;`õÌï0n±<³Øh¨aq8R1¸éq›ÆûPÙù˜'ú^ÝÎ눺izÍküã<ã‹Y4žæ +ÆÝÂÿE`³<â6}iží,Ãò\ŠOØ=EŽ›$÷Šßöëci¤qR¥Q€¡rµ4«Ì’\ô¾&ŠÎÁÞ<~XmA.8éY_ÄÆ#¹â;ÉQ,Ø|ÛÍ0~ÝQšböã•ÚŒ¢˜ÌR½×‘®ÿ<Ô4Ôþ©m»<^q+@`r&É/9À§³*V10zQ²<àÄLVDMW<‚§È}‹–ë=D X [ÔLÇ:‡æf¤ã,M°1T2•àS!4P >Ef :Rf,x°àj’á>ÒÚÎKZç5ŒU9a2¼À„9 N¨h 5`â € + (J1 ³+¸xÙ$x˜Ð|Òô] Ÿ'¶J¤4/ätÉ H”X ¤À R ¤©u!†È b&iÁ%ÈmÂç”æ!lJ{ "EÉ1AD‡ +Uƒkx#Õ&rŒœ@»Z¥În®cuîP;ÿbïg¤`l=×0ÛÒ›¾ ÉýO°¹Î“ ·Á<ÇÞRœcr4T2Þäh…–&ë%CYEiŠÝ_ ³âFÊ<ü’äv¦a`‚Q ¤«0ø e4­ÐD•äz¼g r¶å8]t†‘:ôµ–§ ï,óÖµ¿eŠ•VÈÊÔý2k¬Š‡ØÁ:côzѦ÷×m™ÝÑ^¸Ì 7(p²V_°û^5ÔVHºÖçPËr+LrD8¶ë4×x¤ 3¼g8nBÌ9tžËvçu8Ì5¿…Oª¬Ð)Þ þà¤{vd;FÃ4Ïé ³Î¨xi"à᲌ᤒ—$ÇæV¹ä\ »â`½ÜZšj¼ÒJf'f7AŠ‘Š¬„Oh „Ç5¹iü2¯kVåw>'ÛŸ²,ß±[3½&•m‡¹´À ’ ökÍGúþŸËû;Jô.ЯD€S¬4»>[iTJí>ŽiÝB84Ä ·é`Ãä\¤^n¾«Xœï*¶ÿXÕú«W^‡ut–îª÷+‚Pq=Hh9Cg›hXÜõ ÷‘Ý uß—æ›ñK~ÂÉuc}įé=Á9µ  t2U¾o{D¶ )•ïÄî¸Þ´¢Sµô¿pêöÃb­e¸Aj·P»Ü[v? ¬FãJèm‚“äX½#ye¦cƒ{rá}){蕦 ˱Âbûp³¿©ûIî\„—&”aÑYäXÅÖñƒjÛàâ…;àÜbácg4"sà$%#ÙYbµeàA’G˜ùªPÇðHn%àÁäÚ “ÿùnÅådÁäj¨a¸%·Ý?jÓý§x®D)ÆÅì"Å1ßcˆu?IRű8Ãò |NhÅ,»Š²üÏyÊmÇì*¼ ¥øɪ«0Év.S/½o æO\JÛ C¡”ŠSQjÙM€Sn)ršÒ0àÍ5ä€ãb¤á0H©ͦ³`ža ¨@½ØtÛtŠä#L­7 vœŸ‚Óú™'×Z›®²jMä¦ëW/œýšåpª_i$I±7aµ]7õ®íD~Fïb—Dô<¥c±ØÌhjq\PQ.0cÊ•€Šá6¸X`ÑC”¢È„g*€(LP†DÐHÁ$É’Ô€Í%Ï,4‘áXÞ‚Š÷ÌAœ–q¬b“‘‚½ý¬çú ²g|Òï’U2=›§ûOÍžá}Óì¦Ø³º&´•JŽã*Z£ñs’á¡Õzb•½ÇjË첈ÔòÎLgxVHô;èv݇ƒ[Y–çL‚bö%W1¨ á>¢œú€sÄ~±Uf2äjû]Õz§w%V– À ¡¶L½ØLU©…ðM¥‰$¹âXœ^r Q,§¢Ç«mFYÆkQFÀ!DèÀ QHQj~3 ÃùXËs)K¬¶>Eï +1[4/x’"¼PÃV¢Xi ~Fé‘&Ö™+žƒbÁÍ<Áê0Q±ÝdÉõFÁf¨]’ÌZÓ~átE«Ûˆ‹Íů .YÖg¹eyF_µÜmY — UÙÏÐØ™-çcü,½%Ä€ÕP¢^+nŠÒ%Ã,¸‘¤—½æ9¾#­gýˆh‹­VÍ­¿ÒU“°Aš½ê5PµÿÚ…çm»ezD_µ X¨tÇ&¸Ø™"³h»ÒJÆ»ðQ¥=aä–…Ô+‚Úñ†š&¸ÏZžóYN™QáÙªà ârI–÷ ¼Xrå©@‰š-•,ƒŽÒˆŸ¨6gØ®äûOÀ<«qcMñSb÷Dáv.ɸ] r~Wäß5¯gzÎ,¯Åι”b’›Ž"zÓ!³n»Æ°¹Â*¶B$ùŸ¡6  ¨2¢UìþÁ%Èä@å'ô‘ŒjŸ¥ÎNä­Aì½GøžÈDÌ`Ç*ÐpÉ|Dëí—[Œ¥•Ú¡R\ÐúPÒ;FòŠMÆ +§Zû«Þµ_§*&Gõ²é¤]w^RËÃŒTÙ‡/¸?1^…)ÖG½mÿVˆý'jÓyäÕrÙÿæ×ýÏY(\1„!41lÿQÝÿ«ÐZ/ÔžçV’ao/È/8èXÿqìšÓ`nG ’¼j`FŽÐ “'C¨?îØD±H."˜$ǹŽám¨cþïªþ[Án:¢!ùö ·“nãtNDfx^/ÙOS^‡Ǭ7!ãucç 3Ô.9ŠÝYš\u@ŠL +À ¡ø©’£ZÁM€š3 ò / ¢ôjÀDÙµÀ…JÖ°#äiR±q˜ÉŽ¡½S¢XmÌ®ÚÏBGŠM†Õ[+.†ð +î¤ùe/Qb©àQbÏ0zÁ¥vÉÉ(ßwÍêYÞT›^9© ÉŒ®êˆÌî¹-çO”[lIÝ8¥ò>H1 ­ƒ¸eFã,çð¾‡0Ìmdù•†œ†ß1ähð!$ÅNÐX„Í=ˆä dØÄ€ ¤)×t'Ôô«V–[\–R x€Ãá…y4‚çˆýÀ ãU…!wìÞEóOŽ]oQnºOTä®Ë©’ë+N17¦6^gÄÂín j>ql1–ï#FóôZ¦÷âfš…À„$̸qÒT¯È@ˆYmLšWDŒP Z¾f 8Cn‘¤Ö›ò +§²¦ù0‚Dh!6ÌÊÓ!µl?Qa•½¿yªñ-I²þÏa½n¼‘ U›+^szæ©eýŒ³¬wqŽó7Õ°>…¹ud$ÿUÅv¤_¢·…” ò®¸„ˆõv÷‡Ù³”ûÆ3RÁÞhñ"…@ÕÐÓ%™îë4Éô’S6 +¾Ú8€µqˆjÉô\š[k!H¦5’ÑûoÌ¢ý-|XkTžâLœà ĘnEˆRó@Ïz¤ÓL§‘f4tä|£Ø.‚Jdb¤`d¤_ | £à¢¥ˆ³KÃŽÜÈß1ü¬at”L-˜HäiUÚˆáR™“ËMgŠæ­ï»/‘û/…·»ib½2Ås¤á9œ&›®'ê®Û™ªý%H¯7F,5jÙþ•df×Ò£o ã¦:ÂÇëÍR›zÁÕPÅw)yîd9gÂà ³k<4ONYØRK¨ñž=à ­;ЀÙ¤<ÃL æ:^¬:tImä9µ 2×»çº-™ÜšóBCp:«£²<ª"´Ý/ýÏÔÆóÐ,½¿ÍžéU»q<Ù0½‹b•¨²=Pg r¢Ê`ü`ÙHÉy :Bo@Ke&Fª7¾¬;)Ù´'M0 +8ÞvÈsªÍ&û%G‹Í¿c¼4(ͧ +Š«`§Ùþ›øÉbk“KQšó_îZ 1¢;šÓößAˆÑ æŽcRÉòˆÓ9ÝtK×kƒÜ÷h·‡":£3+­Ý‘¹ÌLô@¡“Øu–KƇ^ËèªØ3;j¶ì®gjž»a–çf–b<ÊÒ +-Ç:&†[q#„®Yrµ±ç¿ÓY>&bè$ cG‰£•‡šjVŒb“û‚ »ÄNV[tm—ÄÊõ‚ºi6¢Z²¼©·ý‡zÕ{vìýªí6Qr}&z¾ç@ÕúŸ)œn +¯ JåuÈ)œ.à 7  +iˆ' dÔY2’Xdâ2~¸àd’j;`VÜðŠMÛ­ÅêJ° ?Ðd±V»Öj–í=”КêVîŸnå|§VNçížÑP†ëNÀb”¡Wš²›Ö±h½ÉR¬¾bhÅfbè¤V‚¸dö±”BcjÝÿ^ì %8çÉÜ=ó/À ¹+ÄÁ5Ü4¡²bë¹–ç¶Eæ=–“ºnÙ5ë»Ü´X,[@OºM3Þ—Q¾û+~[h2Îqªˆ®çäŠó#v’Ü!všà$I/¸œ'ídöŸb»îp¦Y#Qª8 4ì%)¾Ã8Í{•æXÏò ïCŽXr´\Ç^ [k¾«×œ'Ë0BŠQ œ¡ÄLÃD —ÊR¤\o>î˜ý&+&ìŠë-?Lé”ã—Û‹ —[LR­gVÏîœWzß üv£È{Fƒ)Å2SRÕú8\i/ų]‰ˆÞçµå‚ØtÜðº–ãzçy t献ã†Àï9e•ÜCÏR†«ÌFzÆ÷HÏyå¤ÂýN.oÔ¢í7OqœÊÎ+¡^e 6Í¥B„KRø†(´*5AŒZâôrqt"C‘b¥¡8½Ô€¬g{P{¾ßTÇqHì[¿Ý¢Õ³l=õ|ß‚ßúí—÷UÇÚ´`·ŠMÏùPÏv¢8®P–Z&ù + *3™&¿cãe8æx‚È?Uµ?gjö·Z±qˆzC ¡Žaü´à¶Û²CBiþ + ©…FÄUÓËfÉê€U¶ý™¥çRº(:¯;NéwÐ*ýnš-»+i†µ},±Òp¨b<’{ö§8³Ü8ÌL¹JÈT¹d˜aq%lŒÒ `°X5Ï,3äÕš„ïùÎSÙŒ!˜=ºþ#¯î¿Ðw^e4¿rÓy»t½‘"ùÎ’Tç‘T3¼cÕ,O8¥Û%£ô»åTng’ìzë&¿¯Î|®äü +_XÜ +±½D×[ +¡ÔÙò+Î$ùUÿ¡–ý@PjTØdˆ‰Z¥&‘aHIn-€á3ÄdiÕ å:Ž#Ô|B yR©}¸ÁzQY&¹8ÃÚ\Ž`u?¬¶©º®©ç5 ¥UðÄÊmÌ4Ëü¨úß!“[Q–ç¦ÛxóúÎ VÅæ„Öó~…ÛÍ fÁ‘0Áêšì™!s +àÖÙÈ î㈥¶²ô’cÑC•¦è*MÑ ŽB\…p‰~CÝ’ܦ)]É$ŽHqË'³”iV܉³kÎÇzöÙȀìtU…®j®clDì:oåÒ넾fwYJtºòT;/Çjóc€Kh \`)ª ±ØÓ 6ÿ¨eÛ͆ó%~°Ú@E¡IðÂ5S:Ÿ,¿âLÀH·bäK‚˜ôÆГD"è´‰·õªë`ÇîØ!5Ÿ/MB)x}ÿQáù&Ö¬/´ºïÓm™Ý‘ZöS™]’—ŒŽÆ(vCJ×øŸ6Ì…ÚE?j×rLíºÎõÊóE_4ÿÓÙh¨—”š;ˆÉ†_Xe_pœN™e÷G~Âäbøˆå@„c¸™æ8N –Ó]»du'8Fa0b$‚Ê3*G©ö£Ç{‘¥àyB‹0#§B§¾ £Eb&hŠ`é×” õ›¤¹ +"TZI´ŠíƒÍµ ‚ˆqˆ¥ ÆfBÇjMÄMšÑê¶ó‚ç4ˆ¾h(­ô ”V:?ÔuÝMÔŒnáwÁèúßñ#Vgäõ–r\óa’î:žé¹/EòÊÛd—Ì"8!µVÁeQ¥](¡zE˜ír€Å+ŠÑZŽ©³&Ø\JÐ( —é!4ÎÃ"t›j؇-¹…JÍd)Vç‘–ñ4M,5™©VÚISËŒ –ÿ†èwÝ 7ß( ]ç’J×Á Üú´¬—0"ꉊ¥-½g> T,?‚‡ ÎÄÏU›`ˆŸÒ|’Ë-… V·0£gˆÃ ?Ý© 5às(Þt†§4@UlH-9(˜=¹=óuUð#ðº>cèäñ+÷dÇk+Ï0´£ÖÍG"š×…›è}÷“ÙßEï£Êèr¨_l>×p[‘YÝѼ?Tä¾ ³g8žêYn¹mã¡\·Þy-ËMÅýMDôº˜¨¸>cøUj²ûVk6KVçÔÆë˜Wyq\‡@Ò¼ªQvµ…ð0½;À€ÍzœÐ,¨0±$À$©P ‹Ð>×2ÜÕÛÖãP½Ø¨Þ±·¯'wÉ]Ï)¹ì¼´ûÖ‘ù›Z8 vó¹BìýÌR+ H ;K"‚÷u¢^o!M,³`6]·‘†ål¤á» T<TMï?‚Ug L˜R(zŠÈË­›O=ç?Œ_r)|Vl5O4>iˆN7f*£ã:JË«r\Ús­Ra†Ñ€ÓyÞšU³ãy¾ù<Ò5ª•×I¹í½Ñ›N¨Ýª/h‘ÓíÐy23­nºŽ_Vœ„¯»I4,A ”%L¯E&³î\ˆñh­Á%Ùd’„z¿0Ó{¢YïÂ×Ŷ‚ìRË‚áz¥!2Ò™]ѺÖÛ<Õù”½G:Ý~¨”nô%ËÃváuMmZÓßy¦iûÑz.§zEæ☔Ž‘¼2ÁS„¦³c´R#zÁî(Ï(µ#Ȫ@–S'É/9A)· @Eô";C€˜ÑóÀä7ô‚¨ÔfrÅu«W\‡&¹Ër,ÇÔ¾é˜YxŠÑìWᦎýA_³ ¶ŽÐjl!™Õ°a¦õ(zÆÞxœr<¢íΓý!bµÅJ¥i¸!z[ ):ÇHÁÜPô8©9Ãj~BÔFa3u2“{i–ó,Ìr^æIÖø$Ñ(á2] #§¡†K6ÀÅ"Ã(?Hò—hØþüªçœŽâþ_t¼Ï St^i†½}µÒZœb8Ÿ5]?"’ï³Êê¬Ý·ÿ˜5׫AëýJSÊìq©Í “ ѳTæ e:Ö`…¹…!&Æá†ÁŠS ‚á’ 5ÊLCŽ<à “üDv¯™Žó3Ô±½ +Tlîˆ]÷ÔòœR‹¾+¹é}‘»_…4ÿ3½é>³»þ…àvHBñRP™]3+ÿ «pº •ý'+©Ýè~Ùs"P«øÍVËì+4÷Û0ÍøŠ\q.ŠTfÊ-¶ 9Nf XàršÐ<’UqD0Øž‚MÓK_ÑW̲•Z#‰É»ä7é·¯rËô®ÝxÞS»þË@Åwm—Žçä¾ûNDdÿ+£2»!°üHeï{¦î>Ì“Œw‘†ÁÉ8¹àh1tˆší"r¾¸0>IXÑŽ)ØxÛ2˜OlG¢­ý fÓ™ Óüdžó 3µ¦áÆ M†¬ÎõÊí8ü,™±8ÃìHCó;°$4¼0¥³;(ÍvÃú«>Åê,Éæÿ<ÑjH¯jP•Q@n*ÓÃzÕ÷“ç½åéÅ&äE£1ò³åÆ@‹¨W(°^(nÌø™âÜÎY-ÃÃB*ÃKfÉv¿&t S,M¨»f&9΋ì0½=t˜Ö2~²Î*¤4Å#¤DÑA~ã¹?ViKó–#–šŒÓ|ª®óLí›/ÃXuæǵiüS+ÿ{¹gxÅ*zN&J®ãLÕø¤ ´:« 2‹Ÿ#r !Sº&Š¾ßD×ú([Eç¥Ýv?yUóEì5äxÁ€®âúŒ!Öš›&:'²eV\ÏÕü¿‘¦ÿC¬š.;¤¶ƒ°ò¼ºÓë(n©ùPÕw"¡y_‰ˆþßB2³£BËoÏð¨[¹¿x…럈ÌꬊÐò’¼o7–Ò4=•czïBèåbôrûPn­™@±Ì^ Rs -S) 1à¯4`³–¬XÄÓ™ à™ËÒŠ-ƒ S‹ÃÁ8ÌÐùà#Dqf¹¡@¯Þf¦_õjûÿÄÎó;¢ ù]”ëî{ƒâmœnÚ…ß9µò¼ãÿñ+ãA„è=êþ# ÁýAëœ áÒÚÚ¥F%ßK–_n-N±ä®Ç@ÍøiPš?Tä¾ã‰–óĘb9X6‰,³ä1Î2ÿEÐ+?É,Å WÝ‘“=/X9j•ØéŠ?Pe |®`$Ð)8»®¯s>pJ÷S·s;íwž×ÏñªèzNn»ïÔÊûÅ*¼MëY”ç:NS^Gœ–éAµò¼a•Z1œU`‚Ä%L«·E¬º§µ 1à÷_RÙ !’™ß<ÅpêlFò«ƒèUoA’õ6N¶ŸºÓõDÅyªwÍŠÓ Áû_!7ß ÉÍ×¥û+Q-7Â,µcÔ oIe« z5£ Ê]ãY»îºh endstream endobj 24 0 obj <>stream +÷ÝŸzßü®—n·Ä¢ï&Å-µœ+µ¯¶¡SÍF6Ëvì5£±ýÂé‚Õô¾$†óIÕsJÞ· +:x¶äFàNwƒ ’›ÄÌ[ˆÏ¬WNÑnxìDÍ9xÉÐÂTr%gÀ9õÀÉug)ÍɇԶé˜[7ß÷Ç<³ÖB‰ÒÃmY®¦*æÆì¦ï\0œÄ¢ïÅ«z‰uë©[úe œ­—°šÎ“˜išCãÅ KnàÂ=w¸‰*£yžñÞï¼®Û…û=ØhÃB–We=S³]å8&W‚«{Çä~¤nº©–Ž‡U¤Fã»}÷Eì$™EŠRïè6^·²$ßa}±™à©*Ij±­4Çì*ˆUh(Ï.·“$Û‚?`R8ŸhﱌÎì”ØrÝXu÷e”oÿ _Ø>CxÞwÁâPœ\oP!r^GŠµÖ …ɤÂW$¶±†é1ÜÑ!¤@»|ü”" )B9`2¤š R”ê€Ã´Òì–cª_nÃ.YŸC ãG€âºÇOl·qª÷Ñ­œÎˆhnG…4¯û½ÿVðûO44ÇûzÍl§g5^’ñ;™¦š˱D»ÐX¨Yd`#³ý¸Ç},­ä@+úÎóŒ×íDÝþ¨WízÏüdW›ªÕ›©SË4+3³—ø¡Š‹ djÀ‰œ$Tô,A ¹š_¦[q9U¯;…©•È2Ë#uÛu ñýËÒW^éuào™Ó 0%3=$"¹‘мn((Nç¿ÿO¬YPZ–ŒÒóv¢hþ‡Q«mÌùäXU†‡÷+ŒUmf–È$é¢1Ì4U°Y2K¤J“™ŠÕOœ^r(Í°¸`N¤ÊÿÙ.YyeûW˜Zk,†Sf2Xk=Vs~ iíÎ +é­‘GŒWt¦ïÚ =ZnTÀì 7If2Ž^v"–]w$D¿ûJ:Ë#!baÓÕÚnç&P4?¯¸5Md j¶Ú<àd±yµÚ¼ßxô¿Ã …ÆbfêLH‹íˆ-ûW´Ërü71jÉe°ÙšEØhÃxž\k1É­6/Ù±“b–œHlŽËm† NÐÕKÒŒª¶é|Zñ Vëwªbo^!¸¿êˆ OËEÃÃrËê–Y8ß…9¶O˜ 2Ã4µÖš[ôžBŠT‹BK¹ÅÉefÇúÅ-™Ž˜]ÿ¡\·g–Q:Å¥à±Ü¯ZÆ«f±¡0Ãä¦Ý6]Ò\¯ +iNãÊ…Û‘Çê$D³\7MÏ»-»‘!Gh½xeƒ,Û…Ôôþ˜]ó§ÝöÚ}Û¥zRcP¢„:in}¯Ø$haĒв磥¦"¸µ¦Üªõã(·WTšn¸Ã÷PÓs(Å3>ÄNUÙËmNáý©–nwå’á=·ñ:-8n·ò {Qzµå<áÿ˜$ýŽ—\†%:‰¨³¿-;™#ù + ”NÌîÂ×-C "±³¤C”–f:a§z>Á¢Ÿh—ÌJ¬Pd®بËy(±Ô<üDÑKáz‘JÇ«jÏh(µí?sËî+¹êý³Ûæ' ‘ÝÉ4ÇäT”çzî7^áv,Lt¾å)Ž;nÕúíPº”-Ïñ\ÅmQ¯[ï›ÂéLðl¡É(n­©@¿ÞX¤_m>n—[p«-H¤õ6CúD–‚HU¶‚ô’{á•&&Èý¸dFBÈT&ãxÕ¦Ò{Û +±ó@×´Ý&ºžRÉèœZù .ËÍhËò´’Êð´”ætcMrº*%¸?™ó[˜j|2,¢ ƒKiŠÅ©4Åà’ÜòŽµËÍÃO{'j¾Ç(Åôh¢Þ8äP½¥^Áu¯Ü>–\oBlZ¬®õ0Ò17,¸=·À š!%Ë,bWEIÞçDÕþêÖÌ®HhN·ì’ëHDnü8­ÆŒ±» ›¬7b¹T]×9µr=êŽEDÏÓ©†ëACé»XÏ$tFÂʽŠÓ|E˜Ü 2Ž·Ó”ëU·sQGs6N-¹Nø i9'ï›VŽbz,[v!R²,„U ˜ð5à‘Z»~ÙtÖ¯ºß" %nËs,α;ž%25Üu¥yê¦K +J³D†WĪù9×0\7þïzÉh¼ø•ón¨Ì4ìDÑ?ø¤Ê:€YlÇ+û‹ƒ£G#˜…†"ÔªKø F9G VÌÁÇ©ìƒ +­D)&‡ bÿu¤â9C«4 lÙ.DÇ3Zßv$Dz>˜ÜG0|÷=ãvÞ-1|¤ÖA’tAVÅ¡[7Šr«NDyuÁŠâæ) ’: ›H×±¿æ¬0Ç@!µSžan5Qs~Æ)ž1b±µ bµ¹(n½5³m¿±ú¦‹ižñÐ,ÙÝ–{f—Ýšåå<×ÿA­7¿+·&ÚtvJˆ­˜bÛÁ‡Õ‹‚w Bæ©Ìc(¦§‰šé€²ä½ËÓªmA ¢ƒ¿hÈ1 ‡¡¥‹.@ÄË?JˆñÓÄ@ S+DP©ü–߀W1· :Aã +<^±ÉL)†7ñÛzKñ ³Ó8ÛvË®ú_ý²é¼Að»ŽâUÍs—õÊëlžæþ2²b$Hï(Rg$rÆî=Tsþú}ëÖr܇Òkmxm÷¨h¿o +6§SÝ‚Q6¡m¨Áž#Hq~Aðr{‘†½‘«Ê4Ü‘c4£æΪ5I,8fØ\Îí§vãyDCò»ª#²õžû9Q1}+Vó#f¼°ø%Yp!b¯«ÿPÍø(n‡2¤BC@ˆpBË°èä™…&ÂljL‚–!·*Y3©4’¤x®(H~'´®ù5Ñò™…û»]3=¡ø¾â÷%×âׇ·Gr³}Ñh€½e4NÌ,±;‚Yô¦ö]§ÌÂiÔ<×z0|Lk!`¢_d¶ä3Ajé³â•Z„ê×çÕˆ¯ öÜ€&k®àó5ËT»Ôb¢aq)†Vi)N0» +ÔkQŠ $Ù%çÒ,÷K”áüÌ3¼a†Ýa±Òtªf|PÐ<¯©ˆN£d¹w!F)î¢G¬NB<ûo¤g?Ò[ƵæûK îEq‹-,×óV½APR?`’ ?`!r?à! c`†“‹„=Ùão8IN1à—U^ÁAøÀn.\õš¢÷‡1Ì^¬ÂÞw^On»Ÿ ô%2µÿ;±s¼˜#›n¥¯ º÷!A÷þ% ïß@×y&—ÍçÁŠáp¬b¶)úr ã[üÂò*~_÷–f™ÿòÓËPVÁ‘4½êClºNEË¢ç*Ž„fÿiÉû¨×}÷ì¾ï¼`úÝ+“Ûþ7µqºŸ*[¯ÄÊë¾]5BÝ6D^6=¯#5: è[CÖ}ûeŠìý†™'³ +3Pjf¢Ü<†â¾—›Vãí”F'¶Dß¿D¿ÔL—ÖÓïù~U”V#(uÛÀYŠ«És6Í÷¿ÅO‹M§ÉîG¯dz1Z‚Ît¨ÁjµfyC_³¾©úîÔÚ‚’é˜$Ī^Ѐ8)Ný`Ëz#÷¼·±ŽÕ«_ö>»•ß¥ðé‚;ÑÓ%gæª-ÅW]šmÛ‰)Ù Qn¥(¿Ô¾^:Ý6ûFL’ýYŽá_ü¸âJa¹ÝDÑ}!í!Jš]@Óà"ñ3$7¬Š#áÓ’;A‚á]”[u”eØ4­G*ËÁ²ýŠáÓ„.fÓy)n§¤Òõ<‚Wn!jŽâœ€×?k™ïý–ý :`t0€–Fì©_”Uo-̯= TlŸƒÙw¬`vc•Ú„=A)x°_É)5 xˆÔ +¬‰–ð}¿`¦Zu*É+`ä|(ù%mðÍÇ+¶¤W\0kî“8«Þ8üŒà,P/¸š©/Â'µ†ÁÆ -NÔY‡ž(zðÊî?™Ý2¢×¨©zű<Çâpžë:aל  Sš + ¨2?Tf vžè&ɱz‘fÉžRçv?Òö¿%‰æ?øxzM(†µšZ»™’÷G®šÏC kCA£ÍÚ°"ú‘žç^à4Å)°€Ï"|¦Î<€b}‡M•ˆO+îäxÎ×8Ãsh²c ¿*y³j–§³|ëqpI"ƒð! »ðRôöÐCåæÄrû±žù+hŒÎb‚Ä,Ìðgª¦£qžéJè8Y`éš3н_³Úp¬cv 2Xñ F'?Rjdö½ÿ]Óü íGRÕu(@UnjžÐj’m;äûîBJÓ܃ˆÐùDËÑÚä(VÇ‘ªý7Îr(jΧ,Áî\²cÄ©9¯Ä²ï>äH¹¸Éz#¦û>( ,—L/ë…ë¯åÿ  '3Â*2gUm‚%½F š,û-œ]œdÅ"v¤Ðdžd}ö+¿K^Ýv+‚]p'Êð=˜E÷IøŠäZ¦c`¦_#€ˆÜ%Jª³£uë ¨ŽKñÃZ‹AŽùGè;¯zE«àŠ=»‘´w1cÝ 6ÁOœ^rЭûõK-7žêYÿ3E÷W1™¡B’ÏV¥¡$ÅvG¯·çx.GŠ¦c€„ÙÕ!‡éÝâ“^Óv$|YõC®: TìN²´b‹@EÕý’;Q†åOüpÙCì`½Í(~ÙÔ6ž/§ñÂDÿ…×6^É2ËÍÃ5÷mÃò J¯;É‘¼W1žÿ$Dq~¦‰æóHÛþe6~g‚…¦òÜ‚³‰†÷Z´h^ ¥¹š!¨Á5à(™¢Z˱Š÷'K-8 :Ió–(˜\Í”¬¿š÷-L°9;Fó’å^ÆÑën¢$ç·An=Ÿ“š%Tñ‡&ú,¯²,ÿS˜ã¾ì.ãˆwB•æò«ãLÍ|ð ­Q˜_qE*™Þ¯׃!¢ëRŠj»f~ ÌãçävQ²ÿ Cô¿£çëîâÆjílÎ$9¦£™Šý'É0ü+~GD˜K2Ž[i*„Vjr–È´É7¸D¥­à᪫lº_t ß@‰–*‡ƒ/d´W6ͳDΓ™˜ x…™"² -Ddf˜ÒXŒj¼%V.:Œð„ð"£ð­7С)¤XÅ xÑÔ²à‚ô.A’ù6Mö°šþ·°éŠ˜D­n j~I1¼?±CÕ悇Š­CJÚ„߸©¶¦Úî‡)Ö?@y^=xÁ†­à©:†û(~®ê1„Zr.p°Ø@Ìh¹…ÈéŠÓy®í<|Eè^–O€†Ä(‚Fé#P[æw‡Ôt5Q°zŠ Öšö ×óÁõv¤æ½ _UÚƒ”«ƒe +&z»ÎóÅõržk:B¯¸eÙ®²‹«pÓE[ÐÑš+Ø€Õ3†ã¹(›®‡º®SqzåØhÉ'Ï«2'_zÏu H®å>¤`dºi@¤2(LîµäXuÉõLÙu&̱¼ì>¢‡Š‚ŒQ<ÅïŠ-†2‹m&Ë¥öoÉ­H>•ÑPÁÞÛ5žŠ Ó £µ _Ü£Øõ&É%ëeœå~¤¹ˆ›%:ŒòK_#õâƒ$¯ì*~Un"xèh¼j 8DköŒ/9~Õ?ìX©¥ârÓ sI~Ý=^s)K2?ˆEߥ>±Z2#fÍtF¬üƒ¯ÛIQÛÃÎÕŸ'´ =Id$L®8ãM'òÜr«©†ñ7Q´Š²L—â·e—A›[i’ù'N±¹Ÿ•M·òÃ{(µâ:†Yp-ɶ?CM•Z‡ïKÎפç5¥f7ˆH¸]3úöÿ4Û{0Jì¡ò,®ÁÈÒÛB‹}©æg5¦Õµ +¬V¶ +–Ô´3Éô¾“œÈýb«bß‘ Z Ÿ(z ™#·‡"x 1 o´¶ï¸Z¹ £”Û‚åÖ®™&JÆ›(ÇðX”Ì6„$™°Ñº Ãù¦ÖM§íÊï^˜e½‡ž&:ºæÿLÙA¯ú…›¦4 +3EdZÌ>àXÕ]”Ịà“|ƒoÉ ÛQå}ˆš§²Jª_\ºá‘å×Ü + T›GÐj­ƒ¨ÅöÉýA«¯é:¡µ­w³D×™ü`¹™·â\ø¨Ì.¬P±N•àJ†L ”£FðDÍA‚br-ÉòF9¦ŸQŽ÷/I±»Š_Ú ¡ÔÙOÍ·3$€ +c— Ýõ‰±þ‰š1ÿ 0¾/Ú¦Q¢l>@C  %áÄ°z0¦f<ø¢t<ÅBÀLµyù3Í5^γM£A‡’à$N|6ð‰Qü@ÉGøD¥mØZ{sTVa† miæ3 Ío°ÀÙ–ˆ\ ¥ØLlûå÷•ñRçDˆ>á¥)m‰ÑœŠÐ»e)Þ?@yfIp‘V™(¹Ôf©ÔFì µAä0™qÐqZ[ P +¾!•¤U™ð:6炈4ÿàóTÖ¡& +ƒŽÔÚ +"Õ0Ë•÷]Áô3Œ]ö‘dؾ RÛÉ B••*µUªWj!I.û  ÔZ ¤y +!Ø,â‡z¦SnÙu[v¾®û `¸Ÿ!¥©…¥˜D¦AfÉÝR ³Ë vÍÍŠñCˆá> ³Ìržé0ˆ@· Xaƒ•YZÅømÕ!|×Dè)R ØVì|í=ØXÙ;è ¥,«ìJ˜^Ä0.Ø©2‹ ÆR+*|NNºm 4Qn!l¦Ü>Ð(Éo¢bþ ž!÷/hº#€No¢Xß+n “™¤¹ áˆñ ¯#U×EÇò b‡”½+W(zo;'XK­¸6ˆT‚©²äz«Cõšð‚Å +Qv­±ð1•Iø8^1øø!¢cýÒ€“o0#Ķ±Šé[ø’Îð€‚eaz‹ Áð'€SrlŠÎ"Ì ™•øU±ÅV©…È1b·Ú8ì(•A A¢7p1šK Ã{ΰ‚q¤rÓÁŠé™\v]ƒ–kà Ì$ªÅ¦Bè„æ Æ›N`Æòjg–&¸ äÖšO6<×”–“­Z†¹±àa*3Ô&•&R»·4Ëü¥yŸ]Ó‰è¡j«‘–ûÂ뚎…ÎÒ»É(¦üî•ç•Ézb¹ë?ŠaöèúŸet¦÷¼¾ý.~^k.€aqÀqþù®ãnÓ(‚ã!ÅñF„a<‡¨2%Û?­Â @ÂËÓY‡˜£9Œš&3“ª¸9Mk%lšÒJô@¥ýPÓ~¥ø®¤ªQ’óu_ #{~€$ˆ ÁŒjØа-Z5ŒT¬Þ#=Ï…(iB1`¡s¥I¢ÿ T²ê/Ù´(Ùó’ëxϽæYæû¤n<| ±J!Í@ÁÜ`ø¢Ì" |Ù<Ç€ñeÀ‰oƒ¨V‹s쾒슓à£ØDb'Š~bÃÃ^½yµâXäD¥©Ø™js ‚-c¸£—Ú4Ý +T…˜"8‹*63Xu 2Lh"zšÒ:~žÔHä<¡y؉R“i†õ>QqDM’;ÃÌ<ĜќÌu"¸T&µbIN¥qøIj·á»v'¡ÃDÆÁ&JÍCUܯý âÕ}ÄYåö¸õÓã»ß9—蘾ãWõæa‰ž!iΠ/2üÊ›(›Ò¨H]û ;_· £TZÏ4]‡eÓèø…ñ!˜|å \­ ˆ±0Ø0¥Ø™Zƒ Ó;бôZ`¢E£øÙ›èѲÀ™j;ÑSÅ–#-Ó£Ø2À@½@–Wj3†_ö8Wn¾1ü +òË>#Ó§(½ò&ů¹=Sl Bª\ h¶`+Œà63~O˜D¹,б/À$ѨhÏr’äÄ«5 3Ù± 3Ü/@©²V¬âNì5àâgè‘(×…™$z ›VŒßh¾ˆ’êEÐJ’Ī7péÊ ¹ªðz7Hi*ëzÙ[ aýI3l.‘\DU‹_×½¦)Žý2’Xu&– S¬—fƒà)J8»à6ô‘I ³EGéž3Ø€Ñ$~RgI¬µ’§Ö[ëØ-Τ#Ö™-ŠU€ B50ƒ¨aƪÍ$iîÏ4Ùu6Nõ_…/ìï¡®ï~ÖµÇN“Ü:[ätnb%(퀟%@´è 4Xn3M²ÞL·S+µÕHRÉ!h±c-ÙY:G­k ¢ÿ$@a~‹+8±þ«E»1ÅÆï"`Á†Yè8±¥V4»e•,Ï ˜­ÁæhÞÁ甆XÅöƒ–éQ©mHãDö`å«ž! ßehÿÉp¬Ÿ Ë£šj±3•â†*ÍæHÖ·±ÞЂÆË %)ebƒC)š÷C­¸D,¹?[õ +/Le2~¶Öjšj„ê—„•¤xϘ†Î–=…Nœ‹WœOômçmÛu1z«ÉûàÖ[ƒìƒ”,yP¯(Pl/|aûž)Ú.´Æ3ñS´žP“ sy†Õ!  µH±¼z@†ö¡dÉ,Í–ÜË‘m÷¼žQàáƒ*[‰oÆ/+mƉ¦+á«ŠSࣸ•À“çPœD½fÇî>éÛ.LP9b¢(Ìp=0Ì—BÇÀ“+‚ ðXp|gfáw[ï܆ D'"Ȩ8Mt;^q!B3K2ãçtÖAr¿P"ɽI_÷¥¤5 ¦29Qi-x¶äJÄ4¡E@ù¶IølÙYšã¾GÑk.‚¡õ âWÜ !—ý‹ЮB°cC-û •Œ—åÒï`Åø,α<ËS ý¾ót®bsp‚Ø D¬ã!Þô¤9 `˜^Ç:¶û3¢Dhá¦zµ")eÆ'ê­Mqƒ—®š…ðŠm冧¡Žé;„Pj ˆ£ÀL±>’Vl"~ ÚJø²äb Çò,€Zq)€Vm(€¦Òv¨d}…m9‚•®ÙÄÖÛ‡OÜ‘ó…OñûšIŽåU ayê¶ê¿¸Eç Å|;Wm¤Å%@A’{À±bó8~á=]u@Phf¼ì¥ÕÛ æ•[‡²ŠíÐ]‚X]Ag¨¡ÇH¾¢øDÖ⼊c nPRÔ6ÑÃUoñ Û,ÅùçYÿÂL÷1È@¥­0Í}è™ÿ3m×9µò<æÕ]§¡¦ÉÌÄMUÛ̲·ã¤Ó Qªýì(ÅÓ7ž‰©¶H²ë:”cH¨k?[öŸh¼ôz&3Ae$¤pið‚G;c8fGÀDQ‹CË]†Ë^ró›V3 +´Z4 +‚Îôÿ‹ï‹%v ògâ¦ën¡ŠÍƒ Ö›ÊQý·qÆñZ”l;åuncÎSÄ ’úçÍ–ý%z¸ò&v¶ìRŽæ+~Xl:R5ßx}×É<Çê Z®^.~Wf=RwÏóMÎÖ=D› «6Á­:J˧jöw±Þ$`!b«È©báRd^òm‹àɲk°±zÃ`ó”6’¬br¿ìt–È0ÔL­•€Ár a&GBLë“V:U[&’¡Ô[ËR* gJγ,Õ} +.Mi ^xÛ  †AÐAý’YŽå‹Q°;Œr+.äÈ%ã³Ç0ÅûN´ÿ9V7I†½=±ò?u[Vb»£èÉj{áûŠ£A¾õ‚¶lKBÓ#3•ù‘‚Îð~œq¼dÕLOÛ%³ãjÝý_8ÿ¡Æ©ÌCÈõV¬®ùY®)6M/è$»S¤å¦eûožîÿŠ²¬_á»bëðÙI„k¾ŒÒMg¼²ÿ×mÛÎCNÔYr}×ñã•O¡SõâÃeOQµ¦‚÷E†Yp2Êñ~E‰¦{ÑCÕö#MÓ ¯í»b>…¯ëÎâ—UA~ÍåHÉ{!ð<ïžȩJÛY²ë¤V4Eá6ržê;纆˜}Ř\Œ_XܲZ–·A'ª,”œ©4OÑ„"ô‹.9™¦šîæ™®ƒqŠía›Ð\ _rL.YŸüŽõ)ÄdÅ.†WgJ­¶’g–Û tLÒìºÏTÃö b¦`L–I2Õ­¶èWÞU› .ê¾Óq¢é”T·Ô*¿kbÝ~àv GìšÝ’_ôÚÒÛ­Jé-W3ý"#a~™UÏr[¯Èðj-…ÉÅ–S‹kfç}p¶ŒŽ…Ô|ªŒx5ã“XwßÇ9ÇK‚ÉYz0½Ú€°hüL¿³B¢çµk¿tÝßy¦÷1Gñ›O*¶½ã;°¶æ‚©Dö u©¹Ndz5©|–#-·ùšf;Ø ÷ƒÜ~'÷¼ÄåÆb\û›ZøÝ=/ʈìNÔþË(Å{0Um2|À܆Tô½(©ge¶»\»ÎbÀWï¢Ò|‰4FF"Å¢ÇHJÉW¥ä#L.µèUKËlgûå&ÜšëLmÛŸMëmžgýÏÔMdUóÖõ¿Æyî«4³Ôd°[g1–Vh,ŽWi"Ë­µ‘£—›HR¬^5ç{¨g¼‰ók  +¶VCýJ‹ÑŒr@µÌ`,©Ê@–Yh7P3^™•ã-±s½çVŽGä–ë-Q1¶àÕí÷©®û<Óv?eiž 9Šãn êû”Kï{1ÅùFHk¹·¼öS]Û‡T÷_æiÖÃ<Íû•dúo¬Âm¬¡Ò:ÈX»\œ_j-ÐñÜHr¬~·åF‡åæ »S·q¼*%x]’“8bˆÍÂÑf¹“Ebl<ݯ7>Ls7JoÉ,5,œ“¨Ë­Äy·P³«ÚR Ái‚Op)‚Ãøm± }çý"¡²z uÜÖ!ú"/±¶¾¦bXYZqWø+ÌËǤf\3o£Âoê’X>£½:ã|¯ÎHî™I¨ŒÏnR£{3µÝm¹fÿ—'ÚÞ#e糊Ô슈èx¡·¬fü¢Ï¦Jç·MÐWz† Óõ*?aÓ0²JYC¤¯4©LæS=¿}ÅØD–[tŸ,y ȺŽÃzãù±$5=¦µíGÄRóz¹Õ8Íq?W´\5¼VÝ®ëS/¼ž5R§II¡Ñ–Eä4k¹-§jv fÙöæ—}Ç­íEGm8ˆ^÷Š÷«Ck;µ( w=Z¿ M‘Ï’N]j$“Wú†(«\B´´µå4EäÊÂB#T•ÂP²Má› ­5ŽVÌlÉ]ã½_uߧºÕæ2ÕJ#jÕù$#¸( ½G"uÅ›²Ìv¢¦ÖA.¨0ìI‹' )ê&,©ªå +é*FÑ‘–ÖYØ´¥îYÂJu¹›Kar¤݆š·‘Žß6ȸŠ[Ø(ÍU¢ZðiÓøì”ÚbòÂ&U€`>hiH($õÈœ _&ë¢ê [ÉkŒS!Ñ|A)ýd]Q5«º¶˜¬Äh®§÷[ºé¬eDÖBÕ÷£Y®xuÏA=Å×ÂæôXÇëj „«)H$Œ©"6šŽ¦ QSc‰’2gF¬z¸šIÂŽ²3[TK œDN~à(k”—ðL­EBŸ}‰Þr[¡¶ýw¿±H2©•àòÛsÈÜÆ*¯ÙhÃÌX4—¼|Q_\V±Ø5eæää몊"‚aIý„UI­@EM¡(=]©05iÝ@Uue¹ÆÂŒ¾Æ°T`eì—œè«v‡ä}«¡Õ®åI»óþs‰L7F…•y¦¸¸j¶² vÈNÞRW'¡¤ðEÖ”è²^ʪt5‘\%íxI%‰«šjÆNL¾sTÓï«ŠÊEÖ)«g î,*·ùlÁØrªb¸F¬²ëVÙ•Ó8Æ×5èƒ2iAhǦ扌”,8f6T(ÀEÜ"ÎÔ¡‰tVÙÁîBuØš¸Q2¬£”¦¦&¢©,ªšìêç}Ó2œÔ ‡Ì®ñD!­÷Ñ8 CA¥PO‰` _é$`d#b€ÐÌHž'4G\0pDƒr@e†&”eç„Øê4ñÔý‚11)«¾ºˆ¸ÆÆT^cê§)ò©%«É0ÑqÑmü_-Rã¯Sa6êVú§Ë)Ë„ +ji†ÓSÒˆÑ ©)4Ô“é!É™1e…v|¿7b¦&¯')›+©*¦V×v ,ÌfÈŠÜ•Z³HÃÜT¢`m0NóOÔíÿQÛý,™mªJ Öt%³òð’>1`1‘²¤Í GH¥B NBêëq¦¬È‹”nàN±.TMNO,¯°ž 0w‹¡œƒR™Eqêíü®ñTRi·«1¯øÕìT^ñÚ~KD2Í°<€šÌ€à[s’á + 4bë™ÑE…zˆ…¶,å$!œs“’O˜ÔôkK+Iì+Üä–ŽÙ’Õsþéj-"e”Ô"éI´`Lé0ØB‡Ïƒ (@x¢ƒV=/ÌK o(Œ5n2ä]drK aÙZMHb__Ù%1=hÈ}ï’ã^ü¶Î\¨_fW­ñZûÞ‚šs!¶š4!ÞW í§S:#,ÙŒ QQ + \axh€Äâqa +f…x›Å•vly{Ĩžn®ž¤Pˆ”°j¶]î©]f:¦&s;û*×”N_mdÔ×ÙGŠëëC«(¨#Ì]Î(s‰0ÈÛ¤†.Ž îʆ#ž–UAœWS%Š¬î•„ÕÕ +Ì‹Õ;u±ô¬%¢s×uIì¦22ÓoÁò¹`÷¼fd +s;ÓUT'f¢íŠ®jÑÑOŠ¥„dL +H‚´PÙBÂJ†/çPUñ‡‚P͈0&ÏGš9S_¢Ö¤)q]x}¹Äð”•qê*ìªöƒ-»ñlÃÔp–¦Ð/¶ªA $ÙÐPô¢RÀ2F Ž 8|>\à0¢Á³aG† + Ù¬,î8 {L¤e7sQΟ3ª.O;ŠÈ¦¥…ãýj«0^©Gš\ò#¦r›‘Yk æܵzoHçŒp•œàð€À,1Ã[²¢èÇ + +øIÑÃØ‚DP%è–°xà(FäÂÖ2X’.âÓRIÃ1'¦)¥¨$ÒWä¸_9’Ù°Eg8ä—SX Ì$C}Ín{)`)o*8i"ðÖ¤ðu!9`j2#Â$°’¬p MEž _Ÿ+ÆYhœ©K,[1±(IY¡x­Æ„à±2¦Ð˜Í—-íPÁÒP´Yò_%-RQꆫÛ“¦'Hzù`ÀJ§%!šŽ ¾±€ fd…/'2—z¢>ÚãSJŬ,®˜îVºÅªõf¹nÍ•Dä5ª•Wz(¶d%ã )… èæÅ-JÇïÄ ( 惃HMZ PMñ` †DBW²'…|«DQ+²æ”1™ó*¥¤˜•P”U×´¨¬ckxÊè8à$™‘@PËH3$€€ãƒª3T í0Á‘TK/) ÌÄœ +s¦oCÙS˜âuÚ˜%uÞHG*YDP?JXjì[J3ܦŸ×d°ˆœ@\m,¬, `ÉeáÄ ¶ÐÀAt’‚#ÇÁ8¦* +øŽx€‰€7%-žp[äK[Òµ%näU¥:¡%º"™^±}[±šÏP—ìÅU6®Ÿ¨hèHA&äV¤1ËR/0à’œ8@:ãðDŽ`ŠÀ +Ðé@áâ 0éÌ8#þ:°§Èg_eœ¶ŠF¥”V·ŸÎêZNï7%ZGÜôƒžUBþ¹ 0ö9)@ +B‚cçŽ+H ˆVD +`Â@QÀ hz°‹‰D+#8cŒH@ê1âÁÕŠŠ7n1äè9W +ªéjz‚)‚ú2nËr-–Fg׫*hÖ# ¨TxÀác‡/ÅŽ + +pÊhqÀ’ +ß’8ÕÈH þ`x3…ÜÎ_Š7n¸—Âú✶*é¢/²jËK‹$–+9ÕÎ_â4&7˜ô¹ *ÃE:p8;@pät°Àáâ8Z.,(€È$[†+Lpôq€L +K/#lGZ(P•Óâá-EÎXƒµ.¼¶[JÚ¡.TxÍ2§1ÑTœˆ¤8€!“tG\  1€"“ ¡tp(Slà(’°ÀQQ¢€-‰ÇOˆ ;$à¸~8ÀifärPfAÛhS,ºÃo²ÞTYEí(i‰ A‰ãMRäµo“X-iuu¦SŠr@äæ D[ˆàX²(ÁQÓ!Ç ‡8~R`àÀ–ÄÀ‹ŠU“ä 8š1y fö|˜_‹+à¸Ê•¸¢jüiˆZƺšfi‘_‚¨ÒE¦­õN×– ,êæI +Ç  F8°#08d>€à`Ñ`›8Ž!.pe)1ÀÚ2òÐˇy5ø¡9KÚþˆ§–ªW^bËnz-ZD†ãñ]q)ai4AY@eaiÀç……'Ÿ¯Ä9ÊT¡¶N?t9›ƤL³!mݪÉK·š‚rsuI•¿ÂOa_j!YܾO•ù—’Þp>TJV¤+*øŒðÐ7ED‚VÐW@ +@€çBÈ(8^<€àðˆ ¡›$0!y &þ&t}" ñÔp G$s"kŽšÒ·‡rbJeU®Yð—mÖ[iéËæ* «–4[a¬8d`¼3ÃMÁÈļ&L?\püðPV$F¤fVÀÒ±Yà2‚ÀÏ  XI>2”›C4¢ìTmj鈭µ$¶^‚ÍgPLíµ§­0»ê¦š2Av>€ÂXÁñ 1¡ìÈ — 8P&@àð¡@”ÌE82à˜0a€OE +]ÁŸdØ&­!Ð…ª OE[ Õ™; -ÙSQSsÕ•Œœ²¤2c¤‰5agŠÕaKr±ºBq¨`D ”&,¢3> D©„œ +ÆQžל£ˆ# Ð ¬Qz²$õÊ°švG¾¾E’Rò›lMˬÛ4§}âBs)ê†Y¸"—dš–Ô,ªT+¿_öÃ(¨ +Ȥ/š›]‰É“ÄXk¨ -"¢,š&§/o׺ìkfu$ïÇ(Çv?¯6l–N‡…dö¿bzÏ9‘ãÀ[Vh$É& &ÄÍc‘ $ê• %0VŠ*ÜÄ5&wº +§!›®Ø/OL^@^R^0¨ºO[ئ0nÔË”R—;6ï‰Âó8Í7¿f*ŽCf5¡}Ò`­Ž©aö.˜\HQ ‰ 0MD Xi|¬4>+¶¤×–²·¨HVÔ¤bޱ𪡈y‹žì(ªgZÊJÕEŒ²*Ï|¯ÖY$qZÓ;¿5¥¶£(e±ðê(í ',Wz*@ivdŒ«_•2—JGìÈ Ù%¥¶yJJ¹È@q‘˜Ä+kµ‚++d”79É®¬’bWXG©­±¢)²|‹J½¶¦‚Û›Gal4CU拉ñ ”¶©EËÚÄ"›áºCäàÄœ†|m¡dĶZ%ZÔ*RϤ`Y$™5¸i)Oº¿¥Ä®ÆÆÖß&4YS(}–tô¾¡âù¥$tÜÍ4ìLŠvûvËöÞ"4Z–«=Ó«Gce)[%²Q”¸L‰i½¶Ïï߯¿ŠHí.kΆԷ~ëe£{R³k"*ë_!‘éÉLhü7Õ| ê·¡åRž[ñêÝ9ä6Y‡´¤ÎP,*52Ê*$5&ã>‰Ë€OVfTÕ¸§Éj ú¦Æ&¥éLKq7*Ø-ÇíÒñ`Ifþ·S]ÓÙþçŠNkvÛoС3œ‘ è(IëE³GAOŠÏ"b4‚S1JBʺõÀ"*±BŠNY•©ÄÀÚVä.4UØ;:”nûÁ®Ý”\vÜ” ¿7·ð{P›vSzÓm×!vÛ3H —]¯)Ÿ¨Ø%‚”I"SU&îøI¬%æzYQQc"”•:‡ ŠLc…¤õä + •¸Ø9[±4gø ¨-»1…În>Ý°3 "-· ìÌ4^£¡á¾Dl¼ u+íåIE_©JÍM$‹Ê>\08m–Z +Õ‰³µ‚»å8%$öÝË4Fû5I¥3D©V&žEa8Ü,÷ÑÒúMìDÇ3™ÑÙ0ÑsÍ+›VJÓÃB¢û½Dh¸§Xíi$¶FÌf™™‰Â5Û¨8 *W,c{$V&yÅ¡’àrß!õ§*v;y‚ñpÃj2GOk ,&ôÎÕ’ÙGéŠm…DוåÏlx¿ô¼å›ŠIíÃU>¡‚r­Øî0…XêT=T…T,¯UWVw,®o±¹Ô\nçXT9Fê¨+Xåf¤õJU™{¨žÆ’TVçd’—l*]׊JÏY=¥ñ+„Ø-Ôà@?lŸE.SB^*QBa/SIdŸ¥+úOhlmù]ã’Ô{#¦¯¸,—¼ªlq"²2rE…9­²Ì0`¬³ŒÖ nbËY%Í÷`Kr:%QútH¬Æ(Ê\ÔÅÅ.êÚ: :U™ 1­UdÆ+`)·ÖJK-Iå4GtÕb±2Êb†ŸÆQ/*õ2* ž´´–‹jéü"•lD$ç[í½Cn;u ¯/uÛèªÙ3=КVC‰vÉ}Y1[Ö;ß3Ñõ\Js½ð:¶3ý2;Ññ\Id|hvοqºó;Òu½êãˆäùª¤yþI ÇäžÛn¦â´¡¡6œ\nLÉ,on:ë;ÉóN!1³d7íæô¶ãŠŒÜw©;•FÑR©^1YõÛ†£qŽ­±(ÃlÙmY^ÍÄÆ_;™í¹’ÊôQî|.©mÃ1 •í©æû-¦¸¾ÊI}ÇáN‘˜‘{˜¢Ð$NDY)OGY>ORᦩ13°¨µœš­ijLöM:¯ù¤sýòZÖ×½çz¶af9Wòšö¿o¥ñÅJiü(#²9­¤²¹éÐW„œžn‹÷hüD^#z +§)‰ÂeÈïX-4Nû }ɵU[o0“XÍ#édIF¡†â|žiºN¢[«¡Žá€\2œ3‡Ãç ŒÈôRFôÿŠbÔ|ÃP™‡úÅVõ²ó9Q±\£µGRŠŽüŽå’’Òö¤&s›Q‰«í‚ô4†ò]*SÄØŽÔw&W-‡#E¿-±q½ö;ÿc-ÅÿEEé|ŠÔJþRí*nÍpH®úý®ïN/ÚÌŽñ€â*Õ«²,Òøè «Å`»èÃmîWUçW6_¨Eãs²^m1Ö.¶c7mGGÅóQIðsÛî©r ±ÛKìŒË$f#š‹ã:‰ÍE™¶Ü\Œ”ÒO+*óÕWØ<È)L-¨ÝBYJ¹‹àpšW©¬öuK‹‰ß`°^t¤’Wü +F4¤U62˹co;VñÛÊRŒ­ÄOir…Jó]Å}EZf?ïX[6è½Ï‚áûk[•çk·^oV~G j†`"-xŠ×‰ Ó½ŠÛhÁÊ®Ci81§øž.Eö‡bÃû&´è‰€bÜêt±ÒJKë;“rËS*ëG»ë¹Ÿ+îxeÛSµÈ<ŠXò§!øœrÛŽûÁ¢Ù Þ¶ÜS۞Ž٨]ùœÐ\σcsÐ2 B±&…‹C]ô˜j}çJ^[fÙu¡o?«Hm†¤–Ï::à Éç†Àgù3Sš?äž›‚Ù÷ïÏܲõ2P±·(Û²«ŽK"…Ñ…¬¾Þ[[á2"+-:’H,-yF…É Båµ­Pû¾üžåv¸`jÉ ±[UÒ\ÿZÛŸ_óÛò{~£¹Š•E½o8îл^däžVÅØ$0!y¸©B5µãÀ–æ£!øþÆúµ†B½*“‰†ãˆÚs”–Ójzß½Dí:ö ÇG½l~ðZÆÇ~µqÙZ›4ãI”arÅ.¶)¹ÞäªóÃlÙ®òk9v©ñLÓùë6þ¿‚ÍqU"s›“hŒåtn³zRËy“ÊqÌ¡1Ûœ~“Ñ5±fxDj¼Žøƒó¹n¡õdÁزBl=ðšÖ³b}ð)©U ©æI/·ŽcMU¬Ž½Rs sÝ¢Á^µ£Bã7¦Õ™ +¡VZGЫ­„(®ë8ÝtN­OìÉ­_:rë“Üö’Ù‹ˆÆ’Û¶+¿è7ë—=·ÔÂû;Û0·•íÜHäeÖa‡SÄN¤Xnaµ]÷rÉúÑm¿ô¢ëÙ"tœ÷H]:Zß‹ß1\ ´ËìEúuý®íV0{n(hn´Žçnª\i$ÒªyÇŠý$·é`µÈ^0™Ê!ŽE_6Ù¯³éÐ9o£•JCÙ*±†¸ÌVºSnã‘|º$FÏzRÇi9­ëSJl<׬Æù)Áy] Ÿ7©¹ŠßÝW5ß¡ÛwŸ„/9RÁXõÜJR ®PVÚ àÔÙ Ÿ¦¹ŸûÃiM‹µùl»Ú:˜Sd0Ó°[’»ÖC»nRËî ±ê½RÛÎc¿q}´ÇÉõCDêûÐKf nÍmëº~̲ñAîØþ*çƒÜ1ü-\ X”\—i—»˜m˱,Épð¸—gÙî“%Ã)½i¹¦-7ä–ã˜]v=¨Èmÿ¥ß¦Då6+¹†ê Š:„OŠ>S ƒ“™>Å!HKÀ&Á`µÞG®ùdã] WoÊ#øü¥F\ÊŠk­¶à¦«õ•)\V-2¯)Áe6"#7>¸ Çý¶áºÌô‹Ífj†knßúáö+&Kõ–ÙjÉm¬`m0–Rôg¥ºµæÃs3‚ÇrG09ŽI^s +µýlÅmC-®¹uç# <¿y~IÐÒ-›ðÁRSI’ñPà34H¤ó %·kÙd5'm¥MÇöš¦rëmñ?¸›¦Ed¦‡tj¡‰ø9¡?œWë ‘W<ÊÔ%72zÛ¡†Ô쬊Ðî€V2œ0{~«:¢ï‡ˆÖõa—̦RÍ¢ÇHÅØn¦ä8Ÿj? ×q cq$È+µ +;^5r«ž#jÕwíXšÎ·+Þüªã°ÞxßW=ßVô½Xeïm¦eû” çc¿p?0{žc‰~­™@µÐ|²áúð†ë:±a•ÄØ^4—Ô5W/3nѺ~£Ý";±Z½U¦]g1V¯6ªUÏVÌÍ)4¶·0½QØÕ u~æiΗ(Áâb¢ã¸,Y-+ĶgÁpýWžWBz߇`1›6¾÷~ÉðŒØvŸ˜-+\œˆ¾Äxñ¢È m40ƒ.X P³²ó@|p@C ¸Üî÷€{À=àp¸Üî÷€{À=àp¸Üî÷€{À=àp¸Üî÷€{À=àp¸Üî÷€{À=àp¸Üî÷€{À=àp¸Üî÷€{À=àp¸Üî÷€{À=àp¸Üî÷€{À=àp¸Üî÷€{À=àp¸Üî÷€{À=àp¸Üî÷€{À=àp¸Üî÷€{À=àÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞÞpooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooooo¯ø„;€ +œ.;…’£8+¦al¨Ö”Ö²7OôÞDJíÅIîÿHå6–T4 +˜ºöuÙn¼$Ç~fºOÅ®ÕÈ +²W\¾í€©+¨D‘Ût1GºîvÜ-Ù ” X½ÅËÍ£èõ–´Îñ|Q¸]‹à˜ýÏWÆÏX/µª +üví–¬ŽtlN‚–«˜‘+MJ¨m‚ì–^(Ö/(¡BÃàòuO¡“®;)Âõ¸ŽÐld…ÆwË'7JSlMHÓ©ßÿ?¶ß„O×} øMò£î qÝ&àzçv2Š^lKíû_44σrßw1„d¼Ç°|ÿ‘Æ댾l6–‚Øô¦Þx¿ +vû½_²;(Ö,Ü]³æºU@œšÕÁ“waæÊM…o,ßâ$÷{¬çJs|oY¦óÅ*ÜÝšÑÀrÏ&8^åx¿*Û¢,ßÓyvk6u[6Mtͧqºë`–ñ<žhœÆ•×SMÓÑLÍügxÎG +×ó=Í(€AšMð!‡ NDO×Û “M—ç)±sG¬›1Ç¢Lë¥Ú² +¨]:@ÔµŒ 8ßá†+.„Ï›ËSÜNã6.”$ÍX!CÑ#6§ã„ç«ð¼+×ÌX7é•m&ÜßÍÂ@-£`ÅØžÊýßôš*7cù?œ–Õê¶U@´ÎñˆV9ÞÑW)7NC¤Hæk¨Ñ‚¡#fRãtIBh6”ˆÊl0µr¼›h{_å–ÙpnáÌ<Ñxjºêd¬ØNŽf¾kVC›]«`y?!E,ÎDzOs,«qŒº% (-ɲKNÆñ«M¥I® «qÿ4‹V£Gú¶#¡Ó%ÁÈš&Yl'ȵÐê¾ë‚é4dže¾‘¼_9Îõd’e6~¦q +h¦äº˜gºîÚΛ@’ ƒ³Ô¢Ý·“¤—^ÂÌýÅO{?ƒ\Ó;JÛ*ˆjÏltÒý›êWÝã—ã¤ç}Ýx#C¹ÞÊQ^C +¯ñ#ßðMá7€Õ÷ ‘ã˜ÂF̞⇑+·œ¸Ì‘^IU£à‰=³1óãc µÜ~S¸]ŒSÝÿ(ŠÕ”hÓ¬À²sŽï:+°}î$;V+ž-5"ZßÍÂQ`½º ÀdX¦›0ÃûNÀÃñ*¥xæO¯i,­f6f Çs¾¨‹}ÀWë&€¡ô‡âF + ‚Ð. )]s ¡Öóúö+­ïÿŠ U†*µ <Ïø|;€ð¹v€šeYÃi;Ï…IÖŸøa¥ Çâ`Ãu“âÚŒªQÍ®ÀÇ9¿¡”® ¬…#À±:·+á»B#VåwÉ,üÎ$9ž›A,ã“Ô9ÞÒ—m‚¡.ÛN¬O†¹î·,Ñz¨6^÷$¤vc‰•ßÉ8ÓüâXïÀ$JÍ W]EOùÿ3uÓ0Zåz-L4Ÿª¥ß¡Ìð†¾s¿0{ ž.8=^o-€Ääv¢ð:ßôm·ÂÇêŒäH–[béxÜ®™ª7NƒpŠÍ UÌ‚Î7 ©å–ÃiL¿êº§™ß’TûU4 +¬X¶$©f  Çò*˱Ʊk F:æ†ì¢ù+Ò¯8¤\ Ÿ­·;`v@/¹çÖ­§íš àÅÈƳ·‚\×­r;Ôô?ê¦;NÕn ©h6vžò»®vm-J2ÝŠŸ×ýey¦JÛ5~¨l½®ç‹’åùDçuÒì ¤ïÚRCkèTÏ|’ãXïâ'†7RázABq½¢UÓ$ûm j:¤ÖýÇ¥ÿÄîøßaܪKH©Jóà·r\Û9±d5¤Z36MxÞïYfãº5»Q¬ÆñBŒaþ‡*7H‚Ö%xÂäfžj~N'fÙ˜ç™/ãwAÿUð¬ëjšó9Q6ÝïÊî­p¼`®÷¼¢QÐãœ×‘3ç „«Î eVB4÷Y–cþïz®³–éPü²â^üÄì:Ì2B'\SªœŒÒµ +^ši~˳œ·‰¢õFëü®DO¸."Œß™ßy;Ðx!uN˶Aõ¾)ȉªíZø´ÎšÖ2¼Ÿ”í‡ižõ%Iòœ‰q½ŸbÑh4©ÝXZáv=ÓóþCùõÖÂLëw˜h›kl¯n7¬Ô53ɵÿ'ú¦»bÑôžW3‰ñ\ç,ûWŽì:´ Oš=ónçw0ˆUkd”æ#@âü0z6ÐÓ̆ŽsNc™®Û@³D6rÛÕv^ÇJŽ›¡ÔZÛp²%c(©†ÉR¹ ­ê»ãu}·óO”bsÖî›.ÇÙþ¯›1Ã%aF‘›„XöûHÍþ›ê–ÌÕJ%&gܦ÷L.›™uÛ•Éú=^öB1=ÍSm'´¾ñ†U¹žI²ÌÿH†Ý—W2T¬ZÅ)Y ¦šÎ…ç ¯â*ØxÓ:Ö­6Ÿ«˜~p+ ( +ïK³fzÌjZ ©5ÍÆ‹fcºçÁDÇâ¶Aï;ë×}§c%߃ٳÆÎÞÄÌW]Fٶˉ†û2Öª·C"x†¥ø2+×3Zåz(ÉòÞÐL3íZãyÒ-†”;ÏÉ®û+̲~)ΫøÃÇ–ý'H³ÿÅIÖ±é~µMw¼’ÙðEç7PŽî<8b~•ª3>cq@ÓxÞ×{v#i-£Ñ’TÓi`ab[ âëöSåæ¢$Ó±è<iúî…™®»¢ë„‚æ7”Ö2¿'Ú2H´?ey@7]ŽtÝ?zËyãV½a®õ¿éœ*·ÅžUŒÊoœ Íu:зÞ§!Ôe»½Âû|ŒÔF+4 ëš_ãt÷kŠg¼ +ÕY—$²7Tm2Šcyšgz/´Â÷Ãê\/”Êÿ2Ç·Ý̱·¤šÕà^Õn¥ò»ŸiœN»M£ÁÕªÝ –ç\¡ï,ÇxPZ/è¬'JÉòšÔ÷ÅH¾«ø ƒ£^ËôœÀo$«dy,Ʋ¾DNx?"Ç +.„ÈÕ¾éÐIo¬ÃÚDèDÅIˆ^nWmû.I]Ûìd±°i¢§ðaµýHãvAÞ³Nì¼îe¹®7¥ð¾ÛVµ +7³Lï%y¦“! ã]Åä|¤í=5[†'878&÷ã”Û!Ÿh5†Ï³6Éø Ÿ°{ —[Œ¢ÕÙ’{Î;ÍëŠW9¿ƒA¢ù(Âõ5»QŒ¦Ù0BÏjÇú ?Eoé×Ý7U×Í ŠçVüˆÉ¡Ñ}™e;O‰Æ›ñ“#ˆÅ‚{#bÙü  8Þ1˦1ó4Û½vÕGôd±½ð•÷+Ev]Ï´¬1Ží,Ju(Jf#&§Aâ&ªÍ U ÁÉUÜÁ·dÆ¢ˆõ6S“»±Šï4S3^¤8ÆÃð•û)Å6ž s}ü’;1žù#FôʶCZçy&Æ4ÿœWñž«q®ë†Wö]Ltlˆ-ã—ظÝÚÞ ‘Ù) ‘ÝüÆö:ar)|Âì;PvÝÕ¿{jÝt9Tó½†*ÆŠÓ}Ó& bÑ*ˆáã…w SL‚–nšÃN“ÙI2 ïô¦ër¨c<ÌS\oi†í6O6PŒ7Žñ;Q7ݯ +·Zãw9SuÿdY΋èÑŠѳe?ñÓŠ{q’óÃk»ÎÚ•ëm»eè4é4Z†p<‘ŸY¿‚dÓí8åzÊ+]OX•ç«e5| ó&ÆõÝI²üo̲È¡j+±†—q®ñœÜ¶^Ñý†~w¤¢ÝJÓ&HJÛðñ+÷GàD‘¥NÍ[ ³Ìx¢ïþöK¯ñÜÆkøLßx+€Æð0€Ðþœ&Y¨–là?ÃwÅFÁÆè’ü‚㙲÷%Çóœš+µ@¸f %Fô?a¿ T}‡3-ëqªe9£u¾w»ÙÈbÛ& FÓnÀ(Õ~šä¼ÆÍRN#i¥ãE ±ÝˆZéz.€Æv@êü1Ú6ŽÍÆýÿ’ñ-~Âw@d| rí'ú–Ñx"*ËS^çw6Èö_&×3Rã7|¦lº¢þ·‚« ÆVÚI‘ŠÌ„Ö­û.E19/Jo6Nd$Ã0„b¼Ðê¦*jÿÜôGŠöË,Éù–£Ys¤×8ù©í>¼@™ðÙRѲýEë¼ÆŒ_¹ï@…(žAåË1ÃdöaôÂð1‘}(­ÐT `q8U3þ¨m÷išm;@c}'3 ,Of!Ã3§§$ÓˆyžõEò]F ¿ƒQ¶ëZ–å<É°Kn…Ï›‰‘ŒŸjÑj\»t¼i·ÍOnÕy“ᘜIœ—ë=‚â½ ÑÌg‘öVb<Û›\7ßhˆÌNè{¦‡´Îõ>ÈX½9pEŸÀ©zCI†ÿ*Ê0Ý à›L4|‚Ñûž+yî£8Vaƒ¥æ‚'l÷yÒi”¿æ:x®ÒFˆ`n6ÑôÞ¦©¦{Q®íV”gÿ ôÜ_jßxQ.äh­Ý4×~#.["Äq~„ÜL²m÷Ó4³±œ¦Q ”šÕ˜a¦ý.Érþ¦ùö§ewZ-=Rö'Êö©ó;¤nܸÚ4Ünüû…Û5½j¾ó +·3ÚÎóŒ¾e7†V7 7Le)Àñ=Z]£@ˆ»fãŠh­PuÝç‘®ûC[4¼¦ 4½íw~'Īû&Ì0;ªFCzu»”VCi¨LϪM«AÕ’áyËjà8Ùwb”æb’æb˜è!F1¹iК/Bï‘\uÿJžCfÝ*íF’NCP}×A‡ CÎ÷ìqÌZ㙶÷]/Ýîå9†›žñ-Ät ¹ß³„ÓàqÎkˆÏ|Ÿ©»heû¿Aq»(#zžp*Ïcáë7ÄdÁ‘ናa¦ûÀ*ïx×)Žõ+L0û³‹¾CfÕy%Ì°|%ر*Aæ’£Xýçšî3½è|HÒë D–JP¬îÓdÛ§s0Év¨´;Pd?Uµü5»!ó˵ v¡™Íú›#Û®§9§ñáçGÈ`­u˜©2«i¢óQGñ¼­w®Wãlۉȳ‹ 1ó[à¨ù/ǹ͓ýÏyºýÆjÙ]4k¦×¬Êià8Õw2PsGLÏçªŽá… íd 6·sËÛLËyŸ©š_¬²é|QøÌòm×ÀŽ4Ý/^áxAìšÎdÖSÁl?q«æçHÙ}“âÚ¿…ß5³e5šØ²Aj¯gú¾óIáx@*\æɾ)¢ÿ1„dÿ +߸2Lû‰T3½ìvìV#õl¢¯ÅWžÎÕˆ­6’£¸Ž¼ÒiX·fX¹e0¯e7R’í¿ +ò]‡ãœ×ðrçtC©ùÆØ¥6ˆ«íÄX%ÉþWµh6zžnº¿°¸â8O²ÃÛ@Í~ë•m_뙨ºßÒ$ÛÔ·ªþa^¥,³Ô^–a;ŒpžG•Â ˆ›&fµm—à ÏyÁì"I1|±:§ÁâÇ<ÇrŒûQú]’:¿kRÍh«ô»ë¶ ¯)(­FÔšFcÈ«VÜ5£ÁãLóQŒhþñ¼WI®û8R4Xu×= ©QÐ$„6” Ç úšÑÈnÏ&¨^Ó*ð5é5PŒè¼‰RlGbß0£7½(vþ×™¢ç°Þ8ÝÒYÞ»Vã%»£qªù Ç/û +Ÿ—œqꦓfÙt+„Tg'Í°¸_Mç3%ûqª_ö”g—œŠ Wˆœ¯ú…,6iX}¨-÷Kž\p.O±;”]£4÷]˜ç>Õíšÿ¯Þ8ÿH¨¬.«h­ò +§£yª÷4Ìv]Œ²¿¦ σnçxW/¼®hMów¢m?·[–çDd¦çsªé( 4•MHq2‹ñ›ã™¶ïšÚ7žÏu¼ßÁŠõÊ-Ûî‡Ê¶«q²ïNŽdÿ¥³ 2HòÄ.{J3ì>DÔ¦[n×v$F²>Pœ!†ù.Íp_'Þ±ç½ÏõlZÛø'!5<ð·Ìnƹæk8™JCA£å&24ó_’éÿКþÿ®é=õ<Çd¯["*»1œÎñf Íx@弋1n÷ƒÎóŒÔö¿‰mÓ)¹l:¨—Ý?nÕú"öíß™ªé6Ø$•ii*SA®ëv¢è>ðª¦kŠñ9ÒµÞ'…Û½,Ùv!Ås_dØþ áu0ÊxÏ‹vÝy3Ðó¾X×pa–ùršÞ2Š`k<Õ5Ÿgêþ±m»šiYãLïy¤ï:œ(û_¤Êõ~Ñ÷t]盾óp¤h:dNX}çÍHÍý&ºãœç¥i4«j`^ËjÄ@Ëýe8RßgÅâ~ÓøÝÔ}Gr$çc˜n:™f»ï3uÓ±p= 1€E´ Ñ*ЂGI —}¦«¿ã”×XVÓl„ðh©Q@ñ†ÓyÞͳM'ƒL÷I|¼Ú8ÌDÑ;€¸Ü\–ã{ÒJ§{»ÝRÝ|£Þ—úÚ ÐtªÝ‘±Z#2ä~p~+à1w°‰R›yžýCß³4ÊùßI-£Û::»[¢Ói¹s»¤5Nç{ºý,Cöÿϸ½žåí8Û|уÛð]imÛ…ÜT¡•q¢£ ÉwkwþO"¢ÿˆä~íWþgqŽåœXyßj¨­f•l"Ç°f:¾3·m:£Ž7=û{œo¼¦ï…¹®KaŽçr¤hüJs'ãøµv3-×½ß2;£¶½ß‰žó-į8žçÚOÌšóÁ¬WqÛ¥¤ÇÉLÇo>×s]éEãs¦èû 2 î¦iæÃ4Ñv t¦Ö2ì$¹Q¡â6U²\ÍÔ<绢ù3QsßΔš¥x‡ N%úuÿeÏxäv­W‚Év£¥sþ+§RçuÖôŸhã«f5šÕµ +nŽó<%Ú8K32Jyž­· 3SfÂp&:Îû\Ñû5n÷’dץث—Óú(›ŽäfgIžóÆk¼Î™Ó Rá6RŽh;’"ÛŽ¤È¶óžý¾©û®hÆK¥px¯÷£ev-Móœˆ2 Ž9¶÷@áuV« -¢· +ŠÔ5EùWÍÒiTï(JÉèXŽg{ŒÙžÃ$«[ZÓò–Ö´¼j6Fš(³ª´ã’m—¬’éù\ÏvjÏÒ$Ûmœl¾´Ì¼u»!µžÕ ±f¯9Òix±qÐjÕnTµfxU-YuKÇ Vß{ µ}ßB"£S)rµ=X J{¨©jr’MpœžUp´ÒmL·ô;æSד$¶i¦ßŠ¼i5>ÌpÅ‘°çÛxA§Ù æ5~·ížÑ¨jÑl°N¡ùXÉõ#U^ã§Åb“«y¦ëNe:#ùÿ’LÛýDÏý+Ϊ\ø¼-Ê+92«æÃ,Åù;TjZä'|UoBr>d˜îs½rÍl<ïuÓQµn:/x®·",ëUäl½™Ø»ƒ°³»ø!ëÕ³IAiÔDÅyH©²©÷MäM»Á¬ªM`ãŒßñ8Ñ&ð=Ó&ø]Ù~)W^Wãl÷W’k?±úÆC"z×0ZÝx5дŸ¥Yî›ØÙŠÁ£åæEû£\øÝ +d}f:nÓ™¢ó,Jµ_ůËÍPâÆ«~fJ8-£ÁÌÎñ^žfü c×Ú‹­_ažñ-N3þfŠÞûT×~(:o¼ÆÿX®YÞϺæÃ(n©©^™©4ÃÜr¦é9àÕÍ÷UÕ{åX¥I¶G¹p¿÷KF£…Iöð¹R{1Ôr#Q‚Õs¦êÿÊòÌoäŠ3áÃjsi’ó1ÏóÞãVO!Ìb+A’çZœä9 ¬9¯%R÷—\µžgêÞO·ez_¯Ù $¶­§âãUšä¼‹óÜGQ–ù8Ô3?¸Eë“`2Þ Nûi¢iÿMtýVçxBß´ œ„Ò(xfé5bžh¾Ê­O9¢ûj¶à,ÌP©­ ^©mÇuîP›ÏZÿ£`´ß‡=ëG–`r(‚Xk'ÇóÜs;Çû~Ín|¿dZÛ5t î¼ 5®ç#…ç¥Óþõÿ)…1¹Þ—¨ +Ï0J½×øŸ(E£áÓ|ÿc”ä¹ešÝžÑð‚Éò˜†Ìh £ê¼ˆq줘žûAÍô°Ù6D[9 +®Ø6Çk¼î7•×Iáp%$G&:_âüJû¡ªëO«Y]ÛVWÛvœ…@“íç°“”^òºÝ0Féz%Çrž…Y¶Ã Õ~™#ÛΊ]»±„fw•$ÿS·s;ë–~gT¦÷ýÊó^šé|‡p¬­Åo¬Åèæ£ì¼÷ o8}ó]ŒæzÑŽ*!7 +¾Û³<#U¾?)¦õ B´Ÿ°KêU÷©]¸MSÍ?ZáxÏ,Ù=Ñ7 –#ÙOsdש Ñ +#O-1€G@L±Ý„O•Ú†)4–bÚ_Å¢M@í¾ïpœê¿K2ýëlžmºæµ ü=£AR««èùz{1¶ýËéÚS+Û‚N·]LríoY®ÿ(K²þ‡ß·Bì?p;ž{z×y#!2P4í7ñÛb3QŠÉ Íó[tÅV‡ÌjAŠÙA~æ:?óçÙ®sqŠÝ-ðtÁ„Ùó;ÿ§àñr;ÑÓõvB\×½ç6@€Ê¦ûn'ꮋAüZ«™†ßz ñ¼;av¾®¸â¹?¨ö‹¸ ×;|âú;¿CqŽ¹-±q}“Pš3ë¾)Žõ.€Æx@d<°ú™-6Ÿ)[_Ķý4Ò²ÞǪÞ×DËû#™"ÆËná…«nÁ%+.‹“ÙÎóÜ?bßw9Ó4ßfjÞ»<ÉúÃ0»ÑÌOA¶éZ˜ë½2+¯›néxÍ-ÜÎÆ*ÆçXÅy’eW]SÙ¥ùŸ(´ů·ª´¾íx¦jºªY̦óÏïºÏô¦ù?Øóþw]÷“ÛvX]ÿ;€¾ê&|°Öd(µÖj¤å9fXÜIs‹-†&Çs5ãu¬æ9ß5jéwÒ-ýn‡ªÎYÛ}žiºÜªùU0»O̪û1Í´ß:Í#àÉ+'•›¦ö&d¦'>&õëVÁ “Ž·RLïw’ð:ìŽ_lÛÓ¬›ÎW-ï3ð(¹ce»WëF°*¿ZÛü¢UîfËê˜VzqŠ¦Ç´¢ÕJçy$Gsh5Ç…!­áI ±ÑFåu2Í´^†Ùö×8Ûþ§:ÔUË{Üj ásµæÔªõcIhz]­Ú¤”ŒHÏWÜÍ3݇jçuB`3<#¡w¿ãGfq¤b¿L¿èÔm<¯Í®éyÏî¬\9Ýûþc»t?ß©á¶@+8 Sµ¢Ñ`VËn¨ðÑr êz»a’ó4Mö_Ë-£ô-£€Eyö›ÀÑbëà«£Ï}—%šŽGª¶{jç5p¦fþNµ¬ŸnãuH`4½%öMÇ⇠.— ñÜŸ14ïwžñº-­Æ¯Sfã.7­ÆÏ“ž×B'ŒP"t.‘“µVRLûsœoÐ8Í®ç!ãg–ë¿Pz6QªV RŽ7B\ó—×yž T,.IˆŒoUtv÷Ò$ç]‰Õu”s<¨íƱZF£'¿Û‘²ÿ%ÈqEiÖ§ò»Ž`žÄ×}„‹ÕÛPÌY®óX”fº_•×Ø·bÈÅ6Cégb8•†â·å2,óc”n½(<ïǪöÿXÙ›)YÏ"«µjº9Un +„hÏ R¶h@XoÆðÜŒ³Ý—aÂëX¿î#Ë0ûJ4¬Žƒ ßk®aw&Xä(¾·0Óyl— /Úã¿ã=‹UË å 7ãÈåv"h•ÆbÈå’ƒº¦ñÈ-{ïä¾ýCîyÎ'k¶ÛPÉuë´”§˜\ŽU\fÓû(7~÷³,Ó[ù!ã;r¸ÞF|¤èYkœ®Ä·…s,×­X6QÝ98Ÿk™ÙŠS!Ód†Â—e7áã‚›VÑjDá@󓳩†­…à{"0¸{¦Ç"tßyÇûáx¯‚\÷­\8üÖÿPÑ÷'/ŒÊé¤Ö³¼)öLo8ÛM³s»çXœŒ¤ÖÚPQRÏ ©o¾ ÓM'#Gœÿ=é4œW3Ò-Y^‹l)?¿¡-©Qج) ŽZÕ÷àu=¼®óG컺Ó%·ê9§õ„š!°ëöŒN)(͆òºþ‹à‰2«€ÒDÖ¬ΒTÿ¹^9Þ˶3AŽõ8Î6ôjFãG +·Žñ$ñ;N4ÝfÕ}«:?Däæ×@ÃÚv¨èüOšî#§ð»ä8av`y”ÆñªÜø8HNw>Ó£Y¶ÿ,xÄî&¾,µdÔ]WÅžM ŒÒmä8ÓeohŠìGEßA’]r#p ÌF¼¥_ˆ^p'¿°~†PlŽæyîç@×t*Ls>ºß- ݈nÝ4BèH±µðyÍüÂôAqßfJÖ/½ç¹š¨X\‡Q+m ÉmWå®ï|еÝJòüOÁóeÿ’ý&Gt]²Z6A´]ã<çÕ2/F³ÿ(fïø‘ñ)|áúê¶+jÕ/8þÅéxªæ¼ÊöG­i¥ò<š¥šï¢lÿCˆè> +Ÿ0^ÆŒ‡fÉlÀ8Çþf˜ÿCeã%µo4Nô]É1Üÿ(Šñ´$ÑCôd¥…,ÁâV¯ÔjžiýTK·´Â'rÝYøœÈR–â»HRÌ~"hµöcUûIˆcø7WmÀ­8êØÿSeÛíDÝtB4ŸDX>ÅOþ„o îf§©†÷ J/{Ê’¬§y²ýÉ+=ÏG]ÓÅL¿ì.ŽPg"Ë-7›êxn†rëíƒOêL…KíǺÖ+»ë~°+¾ÓlµØT¨Yk#Ï,5‘%—”Œ÷¹šõAìÚ¬¶ý$|Xo)B´ß[U»áEWÓîF„°ÌÑ+íª(-oj¥ÿAÔx½¥˜Ó³ü ë’O2 +¸Wµ ¬Àw|·i7:Ü¡G’Tm/¸=g¼BËò}? ‰‚{ÁöŸe$qã0ôM³ñc‹\bÏ<ÃpSAqpµj6rœn?‹ß\‡P,N¦¹æû¨m¿˜~×s=׉ÜsœÐܤu€‹1]ò »‡¸y"[ás’wÜ,¥sš_lN°8®›eSýR+nÓudö½ßqºéPìL©}¨"3Áse£¿5¡é¹@¹Þ\$£Êv¤b¹£s^ãfùÖkYžý>©šï“¶éFâûÏÔM÷CeóW˜e<ˆðŒžç„Ô·O5ÍWzËøªz3ýj{v×y!¡x›Þ§(Ëz *Vl'p¾ì-H¶ÝNôm'ÔM«áåšå…¿ÜV–cv$!2Lko¥hîg`™jÃSåFB‡«NÂKMˆ=Ï}*½=•Þ#Ð+´ "´…†ðê +'W†«¶D±»1›æ3±é} bšŽT¬~åÆó°Z´ +P€Æ} +Ç+,ß2‰ž¬7D/80Q›ÎF*¾“$Ç÷åYŸ´Êñz¤l;•¥¹ß¢4óK”d;ÔÍÇQ¾í€XÅ#t Ìt k: ©»ŽhÓh1ªñ\ôˆÍ™Å÷e7½ç~ÉðV€f~”%z—'9‰Q½Y¾í€Ð9 àôdUßyä#~ŠÈ?kZ?Bï!”½1¨4• Ëu%|¬ê!È0üUŒ‡©‚ÁE½m~öÇK~Í50iõÀŒ+ØŒ"—›ÎÝwa’÷;V³þÆ*Γ8½ä|Úð= +>ûaøX¥U@AJ78‰š[pðû1Ðlɵ‚Ù}[1&Ûe÷mÃs>ÔöŸÖ›„•#:ƒðzÛ²&¹KTL®%*Vÿ@‚ɽ4Óû–æx¯È M}A¬¦ÀCÄöðóD‘ŠÕg¨â;ÐUíZáy3Îu]v{FyMï‘Ö5¿¦©Î¿$á|'o0¤µKDqÿ + U<帮s·k4ªÙ³»¤ôÍçiª÷0~Yql”ÒDèdɱÏsÄ(Z‘_š_ƒTÓ1­ô ŽÓyÞŒRÍGAŠñb˜È0Ø4•±V© ©éý·›fÃgÚ¦ƒiš÷:η’WÍØm‚êµLO…Ûy°‰‚Ÿ¹Ì”‚ÎèœW²;7Ul3È6Îò·’LÓa±lBß4b­Ú$¸ÉŠ­L»Ì|Y³›Ïª¶‡üÈ÷¾®ºK1MŒÊiyÍ*ŠŽû&€šÈb±Ö¸]2<h›®…°+΄ +ž,¶ây¯’<÷¤ìº-Ú°NžV7Nò}šE«!hì¾ Ä[¦`‡ÅîEëûå’á9¯è= "ôÈQë Ü=³QÔm£€z5£¡r çYî:¥•,‰…ÓÅíNYi%|OîŽ"—”M÷#E㡱âLØ¡=ìxËfÀl:Mó›¨y‹áU¶’$ëm˜m¼¥›Æ SܧYfÁ¡°!Z/0©j}‰Öüêw]ÇÂLÿOèˆá?ÅCÀD¥uÃð)K±Þh]×™,ÇîB+Û3-çg,µÒb$³ÒP–brÃ.·¦_¤–á!§i5<†â9£ö¼ïj‚ß%µý2Ð2~ÅÎXßA¥ŠíƒŒ[K“œ?bÝÿ”ã™âçKæI¾O¿ïºeVŽÇ3Uÿ[¿ìv¨ÜV«ÔBœWeÁ-9b ç[”éþN´mÇÒÿY³æ¸lÙtˆØ*Ñ°·$W½ß¡’ñG-8D­¸ßvlܿ‘û$ršÈ$HŸ[½ê+N±Þ‡V?zÉú=Pô 1Kh?cjÊ©Út–óÈð‰Ñ™è‰Ri^­•L¯Ò–Þó\ò*ǃÀ£¨=¡ä í„N׊Ÿ/¾ `X¾d&'Âôz{‰ŠñN-τΕ/²æ@(­`Á´ÂP#e¶v_yŠïÓ õ^Hh~5´&£>ÁOþ!ôró=Ùþ%•~÷ݪéu³gw:Ê6è¤ÓÙ4Ýý㔌ÎHÿe³Ð6ì0½[áû¯¹¶.ÙwÌèÙë•mèüNIE«ÁEG€ž'O„K-ÅÊLçù·ƒ³Ã Ï}_Žg¬Æõ„ÔöO”ý^Ïhà0ÛcךÐÚæß4Ó{#zòƒóiªõXlYÞ/Úþ ©ò;£¯ÚÈjÜî$9žû‘¶ý:L²^– ŸSœ'+涓 [Ó¹ŠÝŠÀfvC_²¼¢µ]×CEÿ]šd‹ž®»†—$y ̾#%ë5è­[˜c{uK–ÍÊóde~—WýL;„ÌÒZ8}ûožê> +¯8—aºŸ4žFåxËéü¦HÖ£ ¿ê\‚Ì"Å*:‘Q[¯#U×Wg9ß› 3ígbçyÍ,üŽ™MûKô$µ#`™fy «âÅ,{‚Çé¬ÁHT¬AÇЉÁÈSKÂÊÒÐXœ¾óVð¥Oü(™¬h½ ¬Na%ˆ-AÊ·íÌÊV½¸e?jÕv)B)µ9Eì–é–ðªî¿èÙ²[P1"“ÐbïŽÝGŒb|°Ê¦“‘ŽÍyµc¨`p6M1ÏTš  ©¶–%zÏó¤ßÇsIîy¯”¤îëT¿ÚHœ_jÍ-¼ß…ã¹à »€‰J;ÁV?áó¢'kÍgzÞ ³g¾K4\¿‘ží>P9^˜*¸0šYDœV(~–è$É1üP‹Öû`Åä:Xm*‚ZöÀª¹@Qq$l”æ'tšè Ê-8&W6LNY$æv4úbóu¿ÞXŽau?aø?Yö¾©6#–›LµK'+&'¹9‹ÆjFHë=HËÁÇ—À ¥–†š¤vÎ5lŸ¥÷Ȭ›.Ũö§d7€ÕµÞˆŸ¨¸ ൄ•£4  ,µäØܳëÎ[QŠ÷)|¾î!±?„†ÿ ’û"EôŸ…‰æ'»ê}QÜÿTT–Wó,ïƒÒ²:ç•^‡e”VcÈ{–ÍÎý7MvƸÖO©gx^-›$n}9Ë´Þ‚K6lÅïh4DÏ«bËò”S²:!tNwͦÑXVçv`­Z ±"{Ô8^ à‰³Š.Õ¤žû¹ù6Oµ¿IÞÍ|&š®¦ÉþW±k7NŠä¹#›Ð·,»M³Ñ•ãíDÙþ•#yND8Vw´Å¤ÂÿÐíû’ìBƒ~áêö“˳è‰*#Yb¥u£ä.ϯ5&!³º›h.'šÖ«oº#Žä4£À¤ˆöß<Ñ~¤W= Ïa¿o»˜çØÜ…˜¥ù†'4:Yq)Å2¿ÇéþC³ex#E°·>+4át®2 ß;~bq$AtþÄ[ŒRM7£\׫j>wh½AŽ±½(×øíöŒFr:§1c4÷aø`µ¥èq"ó€ÓDæ!GÊ æ®ÓLÅö 3ß1¥vˆqËͤ†GáÓÈñ’ƒñãeÏ@fƒ©æ6Ñð=OÒB ·"ì²yé[ø`Í}¿ø1O±þ•¬’çVËë gJÆw JâD#Gë †¹¦ó™²íx¦hº?Mfz„Ø'Ï/{ ªµ +EïÁ®û‘ +Ç‹ZÓ&¨a®ÿ+L¯8–eŒ"WÛDzkM +fço éþ¬µ +)Ls=ãý‹ßØ aX=¦IÞw ·â`±Ð”Ù6ži-˃@˜¢S#„JZŠÚF±9¡ö¬wr±ÍHz¹É8ÑtÅ,ý„—êú€À)+AéI­8’'W\‰4k-¥ŠUæ’Å"3 +…½mÁñ<äùîÉõÜÀH4ìà…(>ufòìr3~ÅqDLf7"¦qÜNÌŽÄzk¡Ãdæ(ëÍå)–‰ŠÝk hþÏôM7äU£àxmã±RÁ}hY"1#eÖ»Çû©ºÎ¥Iæ‹ Ãøêû'ʾƒï?Üp½¹³çHÕ{g·Ý·~ß~iؾպÑH³Ý)µõ¾ìص ÷Õ²ÑØIÂÿ%¿®·‘_X\ó,%Óó5Ã÷¢/zŸ 2ëWžbo5Nõ‹=³ +z»¡EÄfÃÛ¥ß%«s¼ãUžÇ"íz³Ùb¡!‹¾Ôf°]i7T²heÿ«Xz^’Wmh5íÆZF#eIžƒ‰ŠÁu½t<':Ÿ²,Û‰VöŸiuÿV7Óú¾V×Â)³ =DgkíÆdv÷âgJmÁŒ&lŠ¬|Õ#|Oe:ѱú’ZžJSì­\–´fz=³LóSøÂäDìP¥U a"ƒ1ÔrKbÑÿïƒM•Ëi-ÏE¯q>PõÌr¬'1ŠÅå<ÛüŸèÛÿ“ªû/M¯z$¶L}_ÚºM°ÍÎiœ Åù8[n#Àð](ž[ñ'Ãl÷¯[³/~Àì^¬Ø>ØX­½Çò$DñÅhö/±ô-Lqž‘àQŒ"“Ú[Æ«4ÇyÂ.9>/¹¡Xþ°kŽeiö£ø©Zk #”†”2Kr×v+Åó†•%´(^6„˜¯»K»ÏÕZû®jÓþ5Ý_Vçx6L6¨²A/9:Xp H¼k 4àõ S rçMŒdü•KF#-ó?~XlNÀf P¸d9Vj/€bukü–í,Ò°7©¸Þ3Mû[–i:¢x¿âçU—ðbwЄ¶â§jMª•ßAÕþ0^ó‹!UÙ‰òŠmÆ1+ÅoëMLŽCLÚŠ±Œ¿vÝ5Hø8±1x™’1ÜxÕ&ʯ¼ ž#:„d•(N­ +:Fï@Od.Î/¸åÖ}7C–—@Å«Ž´ÑCµVHÅ£&·²$Û_ž]m&Ϊ3 vì¾5:ÿ‹_±–\…*·:\u"9ÿÂ\çV:N½g‰†Ý…Y´%YöwÉt$tÆü#x¼î)€\r/ˆ^r;Q·Gúþß<Õ%9_5ïu¤ìº'Ø=„™åVâô‚›bÍîz îü +slIzµ]¹o>Pêæ“ði±‘è¹R³QºûAZ¸ +¶W4Tj¼Ž‹¥ëqØJ¯èirsµeuG]´»åZ" ×MäH…Ãù(ïDÊç낱u(—Öt°^7Õ¯6)X­bÓw”$X‰œ©3¿±{J½7^á#–<Ò¬¢ÿ´å·ï—ìNY•Û©Ëy=YnjŒÈ¤ðyº€bRÛÿ¾)²@Rd8Ðô?%£`ʾ›q’÷.N±Þ¥YÞãLÉù¥"yüþ¯Z™,Çä¦X2½ãTŽ§]Ó ¯ì¿ÐŠî¿ "où‚a¿n>ÊRÌ!†û-xºê'Ç1ß(m×9©m:êÖmã8uÖ!‡èÌÓ<߃¶jhÀqšSPIbw`q’Ãàë×vÅéø÷Ó–÷8Vòý¹•ãñDÙz5Ïr]ˆ‘ËΡF + C•š…›(´@QeÌîz?‚³‹Åú˜d›Ê1mb ï=Ž]wéXï" “£¡r¹ ¹b|‹´«¾Ç +®‚‹Uœ)Ld%|¶Þb½Öd ¿Ö”Õö^ |†'%ÄfãP[ˆ¨²çºoͪÑVßýA¬5 1Bk9Og&F±9i5­Ѷ.•äYN©¾/«g5ºÛ5 +ŽS:2`õª¸ÃQ;ÅñiÞ"ÅRã±v¥±ÀÉbih‘B)¯r>R:·ƒIš÷2LµßåxöSxá®'ÔxÑ,Ì°tÍo!üŠ‹×oí>:Ÿ ðKÿâ·UgIšýpà%€”ÜÄ,zï#eסøe¹ø¡Bëvű(Ïþ«¹?ÔŠÙu®]o(ŠMñ”§W[Œ Öˆ©3D06ç8.‡šÖ'±q»dõMGÍÆñBŒb=’J¯a½ºÀ W‚ ì˜Y1À­¶›¨ú´Æë–‚Ölô@Éy%I¨¦ØÛÏkŽý+t¸âL†ç|0Z–wóTëXòÖ«®‹ñótvÁ†É½£œßù,Ón¸ ×x"=Sl"@Kð"”™‹ŸRüe‰uæäºéBa{Çð+N¤èU?IŠñK]x–¦×ˆ%ó-ÈX·Häl©€×±³æX=ÄŽ› +ßV=FÙ¶Ój×&È‚ÝwAEh~—輜2‹Q´*Û¹ŽÝu²`wk¹ï óY¯ä:†]õèÚÝÆëD”]m'Ì/8Ÿ)ÛÎMÑ[OTY +`×Û‹áWBM’œ øüÒƒš¦é°`É)‚Xn%ʱ¼ŒÓìïømÅÅ(rÁµ4Ïü™¦ûŽæßDËt*tŒàv†Î;V2gš¾sY¦ëfÅz@]u@1üGqÉr̾ýÆóH‚VpV€î¨¢Ð+Húa@@ž È0†!‚€@à!¿#«!Qê·çõˆª{Æ=Ý<ɇ#J#p#JÕÉgЩ‚ˆhšI{ÍÞ˜¥n÷RŒq©>)Ô߃T:…U¢xÐU ywåõßaž–Ë‘ZnVë ÈŠj=msÕÛ”Âò㞺O<´ˆ¬‘ñ†ÔÀÓFjˆHCÔ@ØjD¨QjD¨jD ¬i ¨6F Ô5¤F¬! Ôˆ¥FÔ€ÑÖˆˆFÔ@Qjˆ¨QÖ@HmD¨i@¨mD ¤5¤Æ¨B Ôˆ5F¤ÑFÔˆP£ÔÀQÒˆ¨±Ô@ QmĨÑ@¨5B ¨5d¨4¢ Ô5†¨± „@„é<ø@-808117768548l602 414h W n Q48æ@¢:U‘ $ª'‹ª¾ì+E4Ò1û„®0"Q€UÓ¨˜< ‡ ©O‰m «G©F!\ €à"å÷-Û(T#¤‡êäÄ`…ø5…nš»ÆvJÖ³ÿÇ ÛCµšu\ÄH_55773642Çe"£:ªpÕ ŒÆ¹øìÑe“Q½MòTPç²¢QŠæs@¢¤f@9tP&ªg` QÀv“¬Ð“ÿèº Q=ûEW$4ÿ1085.689733530502664 977-844188m10SVGFilter / : /XMLNode; (xmlnode-attribute/Arrachildre2nodet(AI_Alpha_1) /Unicodevaluidnam; ,100%hobjectfxxyywidth,noStitcsTiles.05baseFrequencturbulenceresul2numOctav1feT2(inopeSourceGraphicinin2Composit1/Def ;4fractalNois4BevelShadow4-2yy€¶¨&š„€ q„€X+ÆÐ@DX@1ˆPƒˆ¡BŒÀ”8 @AH%, +ѨÀ]ÅÄð©ÊàMÙ:Zt/¥š”lN¶œX™%ñç B0mkµÖøíÝÀ¨Ep!x>Î@“*¸TÁ§ ÷舖PùuÖ"S䬊ßU´ƒ|Dc.Ë+žg’l ö5×sh¾^€ Ñèkn*ÿßE kPè´5hXeÊ=‡Ÿkçð”û*ÚÇ"£É–¡m$ ò $è/c„|“÷cl´¢ +M* £'Å+Ð$åÄLñ"4ˆñ®NÔrjÂL(mFtT v <ŠéG®0Ïbã0þÁ3‰0²¹ZkBtéO]Bd£±¨¹µ˜QヘͩžýÙ÷Ùž3½Jìðý˜#Ý<;èì¬yt½‚`ioç5åÖ»‰‡âà¡  Zï H5`º€aq$»ÐÐH<€4”† + ¤=*ØÔp @VÁ><”…G‚ÚÀÃ!ÃâH¸RÁêê¦:7ž4¬ÃÁ €È"%–!%cááá\ +‡ÃöUÈÃfòM@Î1­·¬«» +å tSU3•±®P®  ÔaYL8QŠñFâáHP(ˆÂp," Ž Ec‘ 80$4åñ áAipXXX(6!×rV“¾B±`04(HBaPÅ‚¢h,"ŽEy˜š&äš«%d®en‚«å&¨é>$ +0”  0`Ánéÿ4Æ<…ã1€1ª`!` ›RJ)iJ)M)¥tnY4ê°8¤”h8$F"%”Æ‚á€8 +$ Ê£á!!#Á`,x 8ÈȲP(Êcq<Öuñ€$–VKKË8ÒP%%  ’ÂP`(F"‚$ÂÜ ¶ÑP(çÀÁ>p00<$Œ…Âa¡HÎWIó=Cg›Kó50?‰y¾zvÍø"T{GZ;•˜¹V;ÙçÍ©åsÓ Ìõ”Q•µÜü-²Þ3BzÖŠ?Ñ%k!Œ}Ùœµ~¤ûˆÍ(¯–‚1:l5Y‰Úžýÿé³ÓµÚ3Eçñõx¾±Ió¶ÿc{LÛZ!aÚwâ›72}ƒi¨Ó´Í‚ Ž©‰iÜgŸßˆiª•;2«˜©É˜Çj'ÏŽ–™?ò›ºÆËÉ!ãŸ6nÇ\%ößk•ÐŸµ1Éö1S²Úâ2þkoä}XŸÑâ93™Ö\‘¤R¥ŠEÇ3Uò³óC ®¹3ŸüW‹žSVƒt;UzÄ~ÈÌWI6ß¹†üº»ãñCS +ÆôXµ÷˜ƒþ|ç*­ûûu_%|E 2—øÖgs|‹~¥`!öl½Š$w¼}¼ýé§*sŠìUâ}›{P¯"A$¦n0ÏØ#ß¿´ ’Š°ú~%îL»×ýú.WâuÍkÌýE§˜A¶(k±sÎŽ©á£wÐKÁ~k!*éGîZö$fú±ÑYw!»Ì>g[+‚@$Â6‰¡õÝÔ£ç˜kç¯"ˆJ÷ШWbÚ9Ñ ¾{º t§kbV$1„z•*Uª„ώɘv´9yí1…0Õ@µ{©†–Z Æä'ªÈÑR°Ý¶­Ä#÷W{dˆ]Mä5OóMüJøfŒ·WÕÖƒ*ÕZ¨-V²ø¬F­Ì—5U‘êaT¤æsÑ(ºêv³„º(ªÖbÛfŽé2·F•4ã5Ä¥àúG¾îâAßÅcÍÞ†­ËH^Á £ üÝŒlÊnîXäZÚ¶ òB "º–…J{Œy|§í >Žj ÁŒÙÄ<•øî<ö½­!›‚`È,+"• ñ¦ÿ¾Æûuû`ŸžÓF3ÏwtŒAcJ\s…à6¾ºÚo϶éÆWk_-Ä”¹f¼ á…@ÈfÓ(B¯EߘĴo5˜†Ó3¬d½æöë)UªTi+1*¥¶Äm¬HõŸYSâ7ß”o\SÒtõä•*ÁåJ•*UâCØ•*U:' ÔNô9nEÌ£³º«Wz6Ëè[‹#ƒ[‘Ó]‰ù±cUª„ÆÛš ZIÛƒ~*±cŒѳyFwT©’TÉx$©„õ±R:~Ó6~GxšJŽQµîZ¾*©HW¢¯¨•BÆz*AdŽòØêk-Æ)˜ÎÌÛÝänŽ‰ìÓéddnÇ|kiDŒyÔ÷"5I93ñ˜Ñ|ëOÍcÇÿ CϨêïÑÝc ™óíënì÷Ü!dŒqôCø¶ÌÍS‘¹9†Ðܳ¨ê«ÈS£g’Ìdk3ç¦Éõ;aücƒlýîJä¿j`Ív¡[ѨÔ3 %½e4@@ãpH‘ÅÒ ø­n**ŽÂP0ˆa @Š ŠA8áh#c@Øž×Ⱦt°M–ÕöÁ+ë`–XvzÑ“u-ß7¨*¹Î«&#+ç‰z)€ –YScH/ìv€”S9DMGÊ%þyý'[T£^ºcq˜¶Š¹Æ<&äå› ¨ž4ÔÄL è=v2é‰^ʦ#d‚×а³QÆ6ÛÖã” H®€½ÚþTy:xfÂRd}œ»>vwMÖ3¤ì£ç‰“\Ó0ÞA« IÃV^#…ཛྷ¶w•-ÒV3w“0xáªJ¤EDô”ÂÚROúau 5Ù!¥éO¨qøÚ -,¦…[¦ZòÀ‘aáä`O)/éÌ­lµùeá§kÎ2>ÕŽ†×2J{"÷Nzi ¤«õÿ.»»Q_¡‘âÕ_ÑM¡'ѵ+ØfÅ+*Ó³[݃u4Ÿ\êP/ˆiSBíéGÃ|zŸitõ͉8ócd!ÖL©ì¢Î«ŒN™T©-yX‘qDÇŽ&”ãí´þÑdW·7"vÒAê³úçN£6m`+,ï=É°¯ÆúäXuNv^]•M¼óç$7„JŸ(È¿˜o{vº¯UZ(ºÔpœ·ÏR*óʦrØ,U«®Sˆ" MBö;àÅÆÚ‡Žöì4 Õå§kž‚wÚ»˜Í<6$OÈæÇꉺ»CÑ÷+‡¿Ìí„1µ2““º ªœ!P߸‹nS _Ú:õ9[§p_JŒ ùʆkƒ©uÄ'kØä離Ñu(/‘’xyi´¶¶áó¿4rvÅ|΀br?Bº +kZP'Ý©dµÁhä‘l»„”kb¡“ ã‘ ¼ ƒä\{åXf—JçwÒ7·Â;ôY>ÆÓ,W¨ÕÆ…ÖaÉòèùŃ,œÎª€Ò¦éP´˜ÑéfpV}.Μڥ.ª +¿˜·G/Œ- jø VßÄ·‰ì±}[ ðzR?¹ôWÒ¯<å:úÞ>°+¼3Ž+&p¯~Ëgß/ëë€Ó›™²3ÃÏòâÆP–1ÓsÌ[DòÊM™Üf‚'\Óà’éÝŒxœã…`¤ Gm +«‰j<Ë´QÒ¶¹ÑºžcÝà’æ÷fïœì±„1*v oïøtÏÚÅ®¹ƒùe7úøØYzh!Æâ”q™3÷BñâšUÎ_ñr®ö–C6jÇWò".KŒØ;3î1{çªÝ¡wi´OÙÛç}}NV?eÁÐôJ|†r<á*ùÁÞÊŒ­ív]’Ûß3Õö€šAÒú“᥄¬¬làYZ[<rÀ\'P“\W8@©²„›Ä¨­žF8è]²ƒ(¯6Tü¤îŽS~97Ô1 µ2z! ¥®KQCŽ†0󒧚³ªÀÖ<ä¼áש‡6%⺠~ˆXøu”ÖËw*yq!ŠÙ;#oÊ\]Œ1¡Á( òW +¨MËü-ŸàÍŒœ¹mH²Û€Ï§Æ’…Ž•òÈŠ„J‹…°ñ|$ÄßÉE»I7[›»: +¢¨ (óz€‡cšá¼X¡æÚ ô@¯Íj^¸ƒ¬âÒA¼/Ü(+¸(Ì'pIU„geõ_¤a?Q#4™BöáµkóÊF4±D½©'ù‰Ç kG,Jçï°åu’-ä±k:܉ØuP¨‰r¹ŠéÆ´6×R (%~ò«qÚåôþU0tK7“F×Uï²_•Œ?Gwp  +Ù—òúèõŠªq÷8†[¿åmñ=öÆ”ÂM£ˆCòÒG¶`¥HrVòxðΖœ>@d·B@Ý̵S›tTrÜQÙŽáWý¿‚Q,¥3^ϵÐ'Œµ8ßÝwooß±¤ëôÚçȒ㔬á!š¿PB)y´;,&aÔQgÛl-®ŸzòëZ~W¢C×½¶0C4 +7}Jç+è'.§Ê›w¥†Rh43]/i§ç"‹ ±Ï rÚl‹ƒ½ñ_mGkî}â`å\ú³æ&ÁQ˜š˜y íÁ-ÕG8/æW|˜ÝÆNx·5©(e‡©F‰½’bâšU¥Úøiü=ÁRâÎgj·MbFØâz!ãg`£~>&{?Ž8oŽíƒäTF§ê¨Eg©m<ó F‹”oŸiº}÷MÌ7u*¯8Q ár}(¥¡’5âYK!Þ¿¬!ü$P»Ù_äyaEPMnRÂã.zö¨Â¡”q5ui_› éª4îq)±F7âD§·Øí’Ò­ ÅÄ4ú'ågV(R…“Á—ØëØÌú‡º&Ô•É®ž@dçžVˆŸÀ!*É O?b”ãÈÐæ5œ|…fBW•S[=Õôˆ<“Z¯¢“áVb iG")©XjIQ€BÇü¾£²J"ÃLf“hŒ)Çî­Ê€Ý ð+¸pö3å­Â“=ö§‹7Nç‚‘ÍdÀ}w¦AÿüäýHþ]þá::xJ£aU4’\…>‡™7®éônFˆ¦n:OôHÄꘓ§’bàé8ÅLâ~¾ +‚ƒ³v AÄÙû€R:°Ñ7ÃÃ} v”k"ÖÆaÕŽÆéÍÚŒò° ÷³¸É—‚sÍjÔÄ^òØíFôÈ)`;ê€.±ÛCB " +õ£ÙtAºÿ›:b"H‚FJÏBâÎ ¼ƒHV—ª»¤ô-ðGe=Wíú!ňü›åûmõÑBÄœæœü +f,A2âUáÛO3~2Öf…Ö¡Ô»ÐÁMƒ!BAEÍâàƒNñvH—#½[eºó±Ô%ÿIUú ì)‚õ0dÍ^êÊÃc "œƒæÜA2ð†sjÛëêÊFËz{ß4ñÑÙ 9EêTi‰‡m‹¹|ÿDam*ªô ”òœ»Å¯¼u/èœT¸¢°Ï¼ÑÇ…²¿BæÐCÐ[ÎÄ£JÍŸà?ïU²@Ȉ „R[EÌë¢;³kBñU‘&cÂÎÒFMaUb”“9SýÔ•—ö<º.*¬%3ô8Bª‡wXâE¡L,äv© Ãóã/ò<,†£3Çã†2£ñ•8ê> +Z!Ò²v…±lÀñ芥 Á<4â_‚ ÉðHv,© .¾Î£i ¤A™Ú¨zºúß(‡¤剘H8¿Æ¥ÂÙeb çey +„u‰sœüõ‚í¦ù™Êþe˜äȾí¶]ÄBF²MúÇ#È¿ÇyýshZž€¶ñÜžÑ\Óc@¯ @è=ÎT¡  +j¥gÄÞÑxlz5PùMÀ:;Ⱦd ~¾HüS×B~EÄõWýUɾ;¿2eÐàr‡àSàõ Ïmñøƒ$^4.q—Ùg'˜tÏbœª(ŠLŒ{DÉ 1Vœ[dê¸;ØšÖÏA3JòΖ‰28=s2&ÝäÕ"˜¡k6M±§ýBîŽ+nž‘Gé˜ ¶lš¡¼²xK^o1«þ>¢¨&¡k£yVæ¢+©Cµ3@È ¥¦”ÅQÇDÜC¡’ +P‹•˜ŠJ³T +{¸Ôº.¡C!m3O8ºG ‚©â×hÝÃZ/ ·Hͨæ ëÉ5¶¦?ã.‘ i¡m{ pQÂf¡³ªúëº;èÈÓ$TŠõª£}&͉¹œi†lJê¯';—SëƀČÚ4áÒÓ…Ðßï<Êkhìd.°þÈ!q(¦È9BVî϶+[ReJŸç3 ›ŒŒD)¢H9#ÂïÞÍG‡µõNOýL0D¢•.âO#½½tXRh®O®$Jdh/íIk[†Óæ¾ÿ‚3Ù˜l®¨)Ú†Õ›.÷%dàŸ‰ÄצäѺñêQ¥"÷kÖö;W•=ý¢ŽÂ +…-¥íGycrU×°Ü쟔j‡Q±ÃŽq¤¡ü÷T:ðOŠê¼b–Ù]ż¾]G˜Òñ&?#ƒêðÒö:ZRâ–[¶=¨µ¶i–¾$bS_œeª¦(€›ãUÐKlÁ§$üwäIÊQûMˆÌ¥)|JjAaˆãïÁ;Œ±Y—ÝcbAÃO^Ÿ!ZÕšíB;“ÆäX'ڸӚ¾[Î~•‰z«î2RI7G)—1èiØ ÝiKNv)k÷õŸ»Ö*×¹ÞÖâÇâŠPqßl#!*¶\üÌ(åR ƒê P Ô1ËÒHö¸—Ÿ°3HÓ_ĺ8%î‡Ãè¤bÆÿ|û€È¸ìÑ2ZËA´=—œóüÛX%MüO‹¤Ä&û°œ’ˆ4VÛJx$º²4¤®ã4á!…ˆDS”Œðfï˜mû#pÊXJ`€´éWãÄEÔ¬Fgô_‹%ÛL̯ Ëÿ^J<™=wØGÜ®2ëåzçxDsÕ´MóVñòPú'ãˆÕÛ—êÈýbÅ9|êGÑ^‹¼`À 54*­Î£WëóàiH;‘nµØQŒ=£“Š‚G³÷?Öïy„ÞMŒ‚!¾ò‘ ¡¥¯Ë:ª˜˜øóm¹æîs-¯£bb©=9çR^Oƒ«Ìv-ƒ™*…g¶W¬…/ZðÁèim…†UÁ6^7Zç–Å1§oâa*Qh %’)Wæuq²ÒQ½Æ +U(t+_îçÌH½àI9•kNiÄ­ønóqâó²ÉÔ¦£ê@ÙhÍ1ñ-Ó ¡ÈaV.Bˆç¬óι¸à_o¢-?’ôµ‘íˆMfJÞ"uߧ0^¬kQ¹du©u/wFWÇYvñ™ËŒð9$u§ˆïÆ­ç(ËR†_hÔ>{SG…ÅYWìnGÏ^Ð[2£¸rœiÅVdɃÙ÷Ç©‘y·-¬]m÷e¢"Ï ç@'bÊ!/²]У c*3‚'yvaÆ·•2Y­ûð³È,¨âè¬!èaôm´/ Âï!1ʘg/IñõÒ²¯’ƒª£g`‹Í¾U¦Î:ì8PâƒúB­vaO:ö60'ì8º^çë2šÖa~~¥.ˆa‰åÉñ)Úš« MF³õWûãÛÜS:Ö;Ël:繄HáV‘!ƒªŽó ëmAdkȸò„* Øa“ +œo ôŒqœ.mÿ2ÌhŒ ]æÌžêîrä÷¡àšCÚ;œ m]®bD¥f((ÑE·ãØ€¯ ÖD’,ÿ4›£¤æ‡Òf³Ñ ÙÈߥD†™ìdüûð;Éfˆ\ÎHÕ–xÖñð2 Ÿ ÕÉ lé•lyÍÀ•õëÛÂMÓà‚7&C"cŒzz‡tÜ36û÷@R‡°\V€Â&àÕV‰Æ¡ÀƆç¢íÁaØa‘±¡{0Qõ,5¶ç¿‘ߧ]ïÎEÍÅ…”L¨V¢-öŽ¯°šo)fBô»—uã*kŸ¯Ûø²¼ãKbŸ™ùzñ6´¤ö(ƒ¦Ç€‚|€%f>’¨q!Œˆ`@‘B_ ›˜-ÉõÄ­ŠN‚~&¥¤ìyÖBÌtù Hi’ˆ16ôL#bõ‰A¦Í“°l®„Ç›š»¨J—îS¢?sé$=n‘˜u ¶qˆHåfõw|R($XFQ¬ßÐ$‡Î#"Òèüúo¤äa˜üo°$Íre- “Dü)‘­P"Õƒkų̂* ±J™[<¨n¢ÚŒ‹{†ÌEJ@O[·)ò /?–1þÚÐl8°{Ñ·BåêDŠ³0E™®©årÝùÒç¹ä»PÎÐk«Ö *m™ÏPÂ^‘Œå]¹BØ;ÛR7üšŒØ#RJ +c#1ŒÐñÊ ç~þ*߈SµüâΛ°•Ê1`ï실ýdCÕ] +õ‘8äUªž6˜v–E)LÑÂÖ—PÑjL¦¨))žád)Ší„-†ƒ@¹Ì[ÔÀdÊ,Î$y¬#}ê½¼wIÈ»ZstÈ9GŒªËô3S}BîGéíx>õ%”½ßÎÍyÞ¢þæ8k(< SN ר”‚2§ò?B=ŠÒ³ð"]_Ì 9}§·½9Äþ}Dâÿ#¼Þ‡nŸ-¶h<»Míàð7áø¾O‡r„F…¡òîTQPœ +{ÖZ©€h=š)2êêú(|ûôá=°Ë•Â…dfM‰Èˆ;´Z×úñÅi¤ãºçüü˜‘Šç½åüýmïÄJ°M˜¦ššóƒÄ„”ss™èuk^s¤ˆÎÉû¦Á,T¡UÃRp‡ ìõàP!#ÆtI½…ð^¨ù:ì£rpYr T%…§Ã‡ˆ¾&‡ºm›[LØ:ø“J~Át÷œ¹¿ ibBý€Mv'QÆ_‚B×£M—ë–¶Y½_S^ù{™¤å®)ŒI +j¨*7(žLôrÛ{dö<°'­G«èÉNŠ#àcØ´\Jä´c‰žUÈ–ÅÜí(²ôÐ GVŒMoÛNÕ$ðC†ÊTO +`åH+Mƒ¯ì%°ÈZu† +7Kò¶5‚CwEuª®N0±*ˆH¸XWç~£ú¼(wä*5NÀ +ÇUÉÓ„nÎlÔÚÙY­Ú Áqy’¸ïš +ÒJ;çÕYx§`$Ùìªâ7G6A¢ƒ¶Äè@Öyé®måv33_²ÆÄhÇÉëº ¬'ËÛ ˆTvüôˆOL´J»áÄŸF ÛO'ÑeA7Æ„s =1;áš=Ãh;'Òï(·cs1V>Zh£ñQdàD2íäÑ-V&_(¢£Ÿ,%g¦€*JÜJi—~$Ek'ñy 9¥.#,85×¹ðŠËf¦w8îß÷…—òU’$–Þz®ËŒ¹-ObÎK¯ÃŒ~vž¼-äP4­ŽÿÀe(Í¢¹é¿ùÝÓ7‚²sHnäTþD¢)îg#|–Ù†SÝs:ûIò(S©Ï‘Bä⢢õNBÄgþÕ‹Ù‹ˆci¼{‘âz5ºªÂ’Ý»ÑÑÜeȨ#A}7d²œ P¾< #ãd€9m1:¢?úÌ«¡Ës²y9~¨;IJD÷íFPiL9ÄËO‰ÁPåJjÑñÀÒñ©<Ù/a‹ˆ2¾vÎö=†.þËŽÀè”ñ´MNJ~Kj9`G[4`+u[M2rèéNij±Æ +\ß›b7xæ ¤P´q£º™ëDr,ƒcê“Rt¡Õ”²&8h• MÂ@_*Á´„‡¶á¯ÖØ;§|ØEÂ5Õùœ;$¼¤$Ù‚šãŸ„FGVD1§ÑCœªmøÔïZÞhâ°bArWå7xn}xJôX€°Ã­×2`EPT|z|Ÿ}f„Ãm.*Çˆè ’\¤jà/1nØvà/È]–é"ŸðÅÉr QXŒbò2Asf€ Õ[þñ‘úLˆåõÏæ"”1ŠúÛ7å'Ž•Iüàp3ÿ®¥áŽgˆoÿÈ.Y×D¢Š7ˆèy¸3Ûq‰'W2«Â7ˆOLºLµÂ:ʹĨåÁ2kÿTÅ´%N³–ÐëˆÆ4QêÅ;÷$'Så>>hÏò†Ì !¼j#:_P!Áõf…;…s0#}5–0m%ð= Æõ=>·`íZán$¶wë“É…ÆæäðŒëƒÒ‰¯£Tª'ÆñpÃË ;$êbRòTð˜x†VíƉÚY>äù|Û}^„õÍœóÀJÔÊQ«ûù~„®øvj3Ö¼xº&\ ½$6¬^†å"§ÌˆÚiG¶n4v§c²vÃK }vmçý’W["zâb°õou-´ÖK§Ùž»j€_³ªW™¡tÁ‰³TO¤Ž\P?I”ÑRÔwŒ´"Óâ:Ô‘jˆÒäc‡ù˜Ð-š]]×U¸o3õž4Œï0±Ë*\Äéd–ÚM¶Gš+bhMrxi‘ |CNt3ÜÛ±ò ©jø˽†Q²ÅÙYhm}í‚!z¡&„°‹pš­×N•údPA —³´æõ`P˜.]@Õò=e–b:ßæ&÷.ÛZû¦v«W€h'û¦Ð‰Í¤+ûE@<\ðÃà >i|ý”Á@ÜÁìèâ/ìlzj#Ë%8PT¼à1¼í‚~°v+UìB^QǾÞ×Ęu®t0‰‘yÖO-ÁóUi‘ú½?Ò¥ð ¬5ºS{1£ÝÈ íæÕ@ÄávÊsî’5. f›øÕF‘Þ¥ÚÌ:¶½kuÔæ%‚3ÁA-{2úßõ²ªu"¹z·­N6p0².Wœ[%Ûu¯ML*b›êÏ°àÞú…ac +-9pç™BÔ¶®.A¨ë"Y \˜"U-ùåÆ”ÓM±ÐR†tj2`zM‚MÛ’Qè-Ƕ1cÛU{¡2tF´óô"¸y"Ì ‘‘‘éƒNAîà6gÕ¨ð{UÓÑæ]‡X‘„¢¸³ãEÍ¥­8Þ‹6}1¥E3?¬‘†GÚZç%«aA³ZWFàkìÚ‚äŒY‚’ä ʧäøaK0ëSš uàô…Ò£rø59ÇYÖé“ nìÂwŒïå—Ø1iFMÏ‹),s‹ÝÊåü‚ÿö1äåsPx³Q“”‘‘»BCnˆÈvw}£ w%–¹ óò¼žÔ¾‡‚•ËêM>Ù-–j^GfnŠ™Ìhî®2Ê”ùuú39y™§mâÈS#õz¼·`?§2kñDìƒkˆC0/òŠáRÀ€RÓÑ)ÓpLMhcBŽ”-f~㟺<ü™œÒ¬M*­ú_—¨NoÓeCd|éÓ¿M4•á™Z¡J¹µ79½NQ6gÔ›}1Œ7"Ò8V@—â_WcÅ@ôáì™êgAï/µH<ð|1ÈÀ#·h¬}ò&ˆ[!9E4AF«1zÌ]sZù½jÌ®ÛЛd|=$udá¸xŸpõ#×îdîߺd,)WVSBʲ.MŽì¡VÌF=jŒÎV|ÂIáÝXE‚<Ð0“€ËH{D©T[ nɈK›ÙŒIp ýPå™y£á‚ÊSÑ„ƒmu:AKC 1ÃÑ-B[|àFÇúá1K>I÷€ɦéò¡†2+GaY|SÑïE‡Þ–NÓ1|_§a„i³z7*Jè°Û ³IÎ"ëu%§2çÖSÊ9¨ÌÌí'bz§°ý\§æúYí}µþïYÃöi £_Gáî¨BG¯ot:;=€\ŸjGÅù¾®ÆŠ]è祻9RÂb¬WÉä¥wžk"GmŠ@æÎõ¦J4XDö×#"$ŸNg…þE¶CÅJY›S¨;ü¾ûÙ<Ío z˜â7 ^2/Ôû¦_Pb-Öà ++Ö Òyrvn0ÅY=ó\þ]9R?ËÞ *?rH'tH±ZCr¯ +„ä$ë.P÷9KÅùò¬Dq$Xi™Clç“\jTN!Åygs9YŒ9 H‰R$E‚7—N D D9?úËÓži• +ª‚dç•Ý›#kth–èbf{¯Dr™bENšUóÇà](á÷!AÂ2Çg×9C6Dzm2øÔÊÐSØÿ4I ù·$êN]§ÜÿB/ú 9Té?˜ Û[dÑ›ÇÓ×$L¨YÄ%ñž¦Ãô…Бݸè´ÖlDCws¦š.>ýy£§«Ò,º1„ïiLÕUå/‘!ªò—³2qt–D(#sƒDÇc®ñXQAµ›õµÅªæ…2ðR™õãŠîíEµ¥ZÈ£¸Žƒ|©mÊÜž¢5x/õ‰|W6·äê&ªÐPlòkÜ÷t|ÌŸ±2¶Rf–S-ª.½-sƒä›Æ”,}‘—ŸÊŒE¤íˆ˜¿ÕÝ÷0´ÂÜBÄ(>*Zã&k½¢‚SüÎbˆ@Í[cª(’‹B<¶ˆòêÓír7vV¹˜q¼¢R~_WcÅ|÷3œ ,êÌù˜…C cD¿cH_Vž Òï·N¤rÑEšVÎR;[ÃËšO’‡ö[›l ÃäÏkàñ'ÿ˜Äà 7ñ¸vîzöþ®Éâ…ãN„ÌvQóõÒåש_ÁQíÅ|ª»D†î.e³pÚ-컄Ž³JcÌ`Ç|ÓÚ{8Õ˜¸Èw_6 1MLÁg×ÁQˆó ÆÉD* .¿›kÀ¢ºS—’/=fKÂz˜V@§4’›V‰9L~’6°ú´hXXdÒ©m åp´@Ÿ±xÙÃÍ‚AòÎ; ÒÁBûPÇ0´´ BÐä•šçJøY4\Ꮌ–/xÅ17áÆÇ´N¥÷í»ÉkGŒÐ2~àI§sF˜—ÿCr½Gh#ÆÇ/ÝPÂ3ð.'˜°Ë4¯LR[ÂQ¼ ;VwÆ.ÝzY¥S ¿ñÅ;ºc13ñÎ “k´á{Ï5®C´R=±­·Vt¡“Iå¤ ·0¡•x¯Et¬¬ßˆŠi;àµJ×u‚ÚÚ´JLE5BóBÑ!ŦϢVBÒuî=ÇOêAF„2ÈQ¹†à¶}œ…ÏGRŠÄUAÃw‚×,9½þºve ÅU.…ØÚÒû:!³X¯™B77êã°´¢ì.(ÇKçõZªv„³·D×Ç«T Õ:A(Ú)ó'ß *=IynqˆëšYZâô„º—k_ûmá­HŽý…]6± ç"94­)ÑǸ¡ÛÔ5î4ÚPed­þ9SÈñ· ©†÷ËRñT…£ŠA‘QvÛ¯®ìg`•öwx¼ ý¿@¾°¸Úe³bH`N©™ñ§’êkC¤èô>W7§êra©©x:Ý/…övf‘O­ c5-ÚCÂœ½1Ìí¹gI/~¬¼Üà8×ûýŸ£mÔzVîD›®D= ø{Ôý«nÄÜ£ü™–"x-§SèFHæT.û³gRtÕq¡kôA´´þ8G3#hÞï**³ªª¤Hx<:8Ô„2‰€—}â¤Ó6§šã<ù&ÂqÜûW€Ç9QÊ'p\Ç#*À¾ìCà¸ã8Ž¨ûŸã8Õq×i(™ -Çqœ£ã8®«2, pp—›:¤Áq×iµÀq€ÇU@Ž @€À“ÅìË"ð"r`E:.r 8µ´yrÍKǾŒ‚+añG†ûº€ì·,Žë´Ä†“Z#¼òKU¥ÐŶØ& +VÈeª’΢ÈÎJ\Ø}–Xjˆje!Q 6’ˆaˆ*¡d,œ¤ö>ÊzK$ÁM¡•Úbµ\ñ&±Z”,†WhU°d0ɪ;c”P ¹0œÕB¨K´šj\‚á,­kÏ.lÃ+ -–Š5Ûb¨RkÃc³-±*,u‹…¶ÓhÛF¨Üå +m²Yk€P¾…P> +å®­%ÛH8ÎÚf­`­-ÚX¨ÉÄBÉP$|cµ6V(wm³Öx,foßY‰Y¡-ÚðUÚX¡ÍÄBŠm,ü€-¶møI¶á°Ð†ÇðS]ÀQ~Ëb¡Õû¤»\!Xý9+±ØUÉç¬Ä½«XˆÄ +ÉèÏY‰Ã®J¶M Uɶ}ú³ ¬Y …xìs йZŸKT>ú\À>—!”Œ‚™>—ÿ‹¹d¡¼$Ãc_,¦‚…VŸje‚á¤Ð)6ê`«så¤OÆ*ÉX­QþÅ\(Ñs…L8당F_ÌZÉP¢XH$j¥F,*†“>Q+ÃY¨¼„“>]‰…ÂM!W©ÔÊqRkEr¹¾\c¡`¢Y쓱J²–+ö¹ø`ùHÃ`µFŸKXHƒX¬Ðƒ‘`1ÖhûH0\ÆB}«Ñ(wb§S.‹¹\¹,€Q(Xe@´‘pª„W +Ÿ„™_†’‰Z©B©Ô"áx.úT+“ëƒå#WK†çyëä +å0<€>¬õ‘`£ÓçBå¥%ûH°ÑG‚H%<ÿpSh…*»I~0—«1V%ªd »*™7ðÜ…Ê)E…P¹dCÉX’Ž-ÛØ7jk±6s“ÅPí¦b©[ï£OËU,”BÊb¡V±1b2<“à¹(¤P•ÂÆ€€’…r|þÞ¶P&¶ €ç.T>ÊC¢<ÙrµP²ÐŒÇD3º“®Vm’­Nç9Né¾Üú7Iê'±T uŠ¡X¡ËÖɱ”Ñ8+”Dzޖ𶄷=Kx+C±lQ,·nördb¡€£.â„Þp™Y oËÓuºW- +ž£J-XLÂE+ Š’…\«å¬Ä1.ÃW!X(V%* > +²–‹– €ËÀ<Ç)!TÚP2Vhì2:Ïg§*Qø‰½€ªà¹(Tã«Ð›‡F­6VQa¸Œ¥ŽZY(Íqº°1bÎBå²Y«´9ÞnÈPyh&o&ÊT%ð¬EÉsœÒ?]Êjk¥á kµò“ÉÐOH(ú?ÌÀ·-Ãa.׌ÞÈ®JN&ÔœÏ\øœ‡D­uµê‹¬„·$Ï'®V¼á¦ÐÌÄ +µ²™K6ƒ7S•œb¸»™ª¤o2ÎÂe®™ §·Î¶š¡H¸»)бP¬¥ËP9j“ €× á°8Ÿ­P± †»B®ÍT%°ð‹ð\´á²PÞŠ¡L[ß`­¼5 +m¦*ñÿ±„‚™¶F,rm¦*ÙZ²™dS%­Ñ‡r‘@Cå!YË[…\£7m²YK5j±TÛÖIxL ½X+ž[uŽÏ³$.l ,ÔÉ`’§sax>› Û§Ó>ÂaW FIfH"…dø +¥X—•ðvÕ’ÉZ¢PAñ—aÇ@€°—a(Ž#Të(ÅÂ.ÃiN‡d¡ +ž»V¡Ø"€Åª%‹ÍFŸ‹ +¡`¡:®µòÄ*ôÊp + £D±Ø§cÄ`¡>1¡ ûtŸN[lŠOg’X–ª’.4ÐèGCµ“xMóû÷ÉIaë"ØÁ°ûPôìŸ$MÓ4Dz,MÓ$MÑ4M³»)ÞúfÅÚ*z3±P¤ÐÙA’$ÿ'EoŽeéI/Švû£#³ãÇñû_t¸(~P™í‡wû¡øaø¿÷Š'è`·þБÙ>ØÁþ™í“JÁ’ôÞüå'ÿ†Žðs¸Ûÿ¾ÁþÍÒüÀ­t°Û/™ 4î:(ó»ƒÝ@Ó‘Ù@°;Üíw¥ ©B2n +¹ >Ë'=4dá8 ÕF±–!ásA5 +å“~F±&Šq™ ÏC1‰eù˜ª¤ë`îZ¡èŽ,Ÿ…Jxe£Í0™k · gÁZ”­àöǯwß;ˆÙfð7Ü:ã'ý'Ž?ôù§ÉYÙ fµP²ÙÀ¢ÐH$˜…`Ác¶–Ø “Éj¢ œç¨3ÏQ'‰…ç“òë†P1–ZPlÙ/•,ªÝ +xŽ:›Áå +}}Û +›¶…ÛB‘€Áyˆ«Z0-ƒ…bŦ1d¨‰Õ¡b¡‰j’ѹC][×›bc¡ÛIbÍx(Ù_…^…¶ØXh±X…3A¿ù0üaØð ~¸ýpë ŽåH‚ci‚¿oÍÛ6…ÜÀM1nŠ-,h†‚f`…Ή5kÍŸnŸ¦|€…D¨Ïe1lòQ%Û¸ý¯oŸ7° \-· ÂþýöGoÍ ìÛßþ7ÿöe¡üüàÖ·m 7¿yþ¾õ‚f(h†¼Õª·o3(V!œÞV(^‘…bìj¹›¢ÃO²~nÞ–‡Z#XèD٧ѬUo +Y(?M¨<¼}2×æ{Aó6ƒ‚Äšµæ퓹¶¿)6 'Áà †›B¬»b3˜—à×Aq?ïËŠµá®Ð)¶‘X³ )aøCü¥çÇÐ÷Ò?üÿáFöP[•fØÉ°¬”:)Ž^ü£FÙú8ö_†ÿk”0übùõñ 5Jÿ>½h~Qܼ¨Q>/öŠ£/{ÿBQ£„!éµQM/’¤¨QÂîÃ4Ã^ö0üð“¢I†âh–ÿæ7G²‹ÿ“a½Y’Ý{’ÒÁßýFö/zïGÿC²•~½ÿ¥FÙzÙËÒ$ý7±ýï4Åonåß>¸Þk”,{ÿ¥}8zSE¯Q¾Š~½F;iú͇_8š¾‹c(Žä¸‘⸣Y’åHz2ú² K‘2’"Yj0쾓=,C?šâF’åØ}øE±4ÃÍühš=쥸¦ÙMòI±4ËÐ,Mòwp$Iß?³·ïã¸ãF’â¿N’¥iŽ_ÇÞÁon#9v?š¾AÑ“¡§Q@ßýúö ·qì= ÃN†Þÿ­4C¤ü¢÷Åñ—¡9v ËÑ4?që&(Š]ǯo"é‰_÷J±üÝ4ÿïâïŸé•å÷Â?z}}7MsôHð$HŠ#陟ìÝ#K2$MÒ3;é?ò+Ãj3$ÃÒÔ(݃þ ½7zæèÚæ÷Æÿ{úÐûßý/Åü=»ï¡ç½° EÒ{ž7»÷•¾ƒ_Ã^†ÿ3¿è…ýëf÷^éÁÒ ¿èEqÅ^ŠáÖÍ/Š¥'þîAï½0üBO}h’ivbzo ÉïÁ_†ÝüJ¯QÈPÜÂ/zÏ‹ßûñ#5ÊŠ¡÷eÿæûßzø{øûj˯Å$³M»7Ãpûc¹‰£¶*·ÑoÝ‹cɯ$AÁrµÕÖG_úÐ{…I“$IÒó¤F!·’ô@O[‘ž·R4¿8n¿{Ÿ8n& –‚¤8†ß‹›ùCÓäߺé=2’¥÷ã¸}/’$ËE°û§l¦'7Ñ÷/†(z›HnÞ{[Hn¡Hn9ŠŸ)z#¹ùP4Iñÿo$·Òûñûß#ÿ’c/H‚aŠ& ŽIPý8þ°,Ë¿£÷ËÑ#¿gŠß{…Á/zÒôdéiÑ Aq EO£|¦iúmìãû½W~“üæ’ÚÊü‘Ô(Ýð—âèõQô%éI/’&ù•_$ÿ÷6ÑÔ([¿·ãŠ¦ø·ß¿·•fø=ÒÔ(â÷þ¿·•[ÿÞþÑë£'Ž~ô>o+½Fñ¡HzâË?~r,¿Yþ±à8z›75J(ndø™ãhŽähŽã×(äXŽ}Ão{'ÿ&’é…"Xzâ½$K2CÿõÑû|ïžï&išž·•eù•å§ˆ¥/ïoæèm>4É )ô¶‘$A²Å­ÇÑó£GšžôFïÞÖEïGo3¿?’¦·ýÑëÞ’¾,ý/ËÞ»f:¬P0t»t•l„—`øÇY +†šX¨<4¢M’Ü:¸}²‹ÿÿï½7Ã/ŠÞ›¡ÍßGòãï^/Ér$CPÁ¿½6ÚÄï}9š¤(†½{mäµQ;HŽ¥‰!¨çÿ¡(Š`)†b(’ac¥{ú°w$ÉÞ7°¦hö A°{´oG‚ÞAÏ›Á ½ûæ#ÐûÀOz ÷þ—^ôþë_Ìðçý÷\ »/sðAOAÁ܃âÿžèõ¼‡e˜‡aø…#ßÃ0ôâè½ï>DZ—a†£Åÿÿïß'ûÿßûþýÿû÷ÿ(Š¢˜— (Š]ì]½}÷cï£÷}ô½{/Š^ì¿4¿ÿ}ßEqý8Ž½wó_üføÃönŠ?ìÿÿ$¿ÿÃþÃq ýèÇÑ›_ôÞ÷ßGñûî}ßÿï»~“4Çÿ}ÿ¿÷Þ{ÿá(†?üa†a†a(†a†¡†b†a(†b†áÃð‡áÃþþð‡? †?üáè{÷Þ÷î}/CÒ‡fè½'IïEp,Gÿ{Ò{ÿyOúÐ>?ïÉßA“lGÿ“_üäÏÿÿh~?ìß ¿ÿÅïÿÿýÿGÿ¿ÿÿ•ß¿ÿÍÑ‹âX†Ÿ8úŸ¢½÷F_ŽôžôcNzŸØË°óP$½'ŽÞ‹Ý“¾ìŸØEì¿ýÅð¿(ŽÈr,G¢XúÒ{ïKŸ—>,Eß?ïË° ¿0,ÇÑçah†b†ý‹&)š¢)úoŠÿ›ÿRôJ±KÑM±KÑ¥(~"Y–b)Š^Yz[i~ÏÍÑüDÑMSEÓES Mÿ?ÑMÑMó‹¦è‰"(šâ7ÅOMÑ3ÇOôbH‚ž7½÷¾ôþó%ùù°çâï?ìc˜ƒ}ìc½ÿãH†ÝÌÅQ?1üc‚à8’¡·‰¾ìâ\úŸ[Q4E0ô¢X~"YŠbž‹a(†")Š¹÷_üÄOIò¦ÿD1/Ã~bÿâIO IÏ“áH†d™›ä÷ß—`Hú>†$ùIOú04÷¡Š¦'?èIO’ÞçsOvÑÛLð›$9Ž øKñ¢8ößÅRô¡8’åïŸË0ü$èÉÒÅïGPüIJüâ÷ãhvŽá'–béy›(þRüaø‰bؽ­ôzÿåIOŽ"iþò—â/Cs,éÍ.–æ÷ãXvò> ÅÒÓ°õÐÇhÌ6o 7»çiª6 Íþ{ùI’ì}ìÝûþEöôùVvÏÓb˜ Ü:KkÛÖ3ÍÏ47ì>Bå´gn' +ÎSÁBî¶BÁjl½ã²’¤,Ãq+C474ÿæÁÿ}ÙG_ŽÞùr ARüÈ2üÆ­$E± É2Eq,=X†þ ÁÞ;èå×Á.–ßh®Dó³ ?óÍßEÑ3Ãq,=éÉþÉîÉ­ôÝûáŽe ŽÞ–dš Yšå7~üDð ¿ßÍîÉïCïÁš¢×V¢ø‘[˱û?†ž÷ÊpüzÙEòëcéMï Y–äè•d»×Ç’ì¤Xö’»¸‘åï_ÙÍ1Ã4û8j+ô_(‚`)zðëþû¦gšà7zd=¸%ùÿÖÍ­‹¦n&)†Û7¿h~¿—݇›ØûVn&)†¾û®­À¾‰`Ã0ÇÐ{ivòÿÞËr$ÁOûX†Û'ɯôJP‘$~dßÌßMò{X†æ†aï#Ù7ò¢ï£·‰¤Ù=ÒüJsôÚj#¿Ð¿ïýð“Þü#hvÏ ½PôáØ=šà’_,Kñ‡=üÆpëä&Ž ù‘`j#O‚`ùü@ðÃÏ =Ó“¿‡þ#}½²ûð›}ô™üLo3CïÍp µÑöýVú­‡`hŽŸ72üÈpô[(–$øu¯¶ÞÉ>Š¥÷¿î;ÙK²—dÙ{ø•£/–Ý¿î½z è?ßÅò?°=,ýøyìÿëÛAsü´‘9öñ‡›Ivÿ•à8~e}èE/’#øýî}ฅeé{©­6ïmeLmÞ{á÷¿Ù½ÑÛ:8~s?ò?é½’ìÛ÷= Í?‚ÙÃô¾Nz¿‰üæ×{ ú‚ý+·ÿu¯È>Žßè?3ÜÊøõ²‡á8zn↠–#Ù{H®¼ÿzE=ÉBñ¤k•ðI«>d!·m%…“,»B'š-ˆ…˜6*ûÿÿûÞÛF/·îý8~dzbIS G¯{“ìfCm´ù¾…ÛË^v¯LS 7‘,{/û÷·Ò{å÷žø½³Z"jþdß>ݧÓ*h{I†mXÙúùÉ$ÿ8ñÄïyoìåøý'K³ƒ%iúRüÆ®™&Ûÿ@³Ÿ&¿‹ãŸ„eÙû7ŽÝÅôÙMÒ‹ÿ‡ /?nݱ·­Fñ h–_¿ÅîK07±B²>o$Ô ÅsQˆ•·ZÅÊ`-—ßU «Å’¶>út㧳µ˜»õ [Ènànü`eüZ²…ôÿóû•vj²‰ÿ{#¨rDO~¿ƒb)ö’Ÿù»ÙËþù1,Áî½2,Ãôaj£É7½r,¿.zž·`Brôc}ÙMüþd2ÙD°‡bèË,CsôäøÍñåÖ'ß!©­&¥Ø·>šl#ná +³‰`ém¡·uÓdëæn]M´8‚ýÁÿÇo\ —…X¨Õ,ÏÕÿC0ômÿB²—ÿ= Áv[ï¿ÞúÖüÄ-ôìá6–`éÛPô"nm8†mÍþ¿ß~b¹ÚÌï…æ÷_ØMôÛG­ Û!mZ³ê wÚÛÙ4Vi|<Ub]È:ŽÃœ>š‹Àk1\Å øf“DïE6qA4]„J„DC"ÍàkIaL‹%&:2©À«):a‹`ñ_ˆiõ°žË—Pté4¡,hQvDWÒ"2Ÿ#|Ø. $" …vpÖy¶ ^éS õ±9ªÄzÏ:Ž£ÇP|›à“J å„ÅÇxx00R—˜g›…™Fâ,u-K±¥ÓÚ”ñ¨,˺»†yåK8=UŸWw¦^ì [\Šô§—xŠf¢Ì‰(S¨åS$‘/¢] +BVBmÑ©´vðÉ—¸ÓjW=¡x}$Ì]—Çù…y~<1j…A%Ö§¨Á ¾‡âúrÕ%ôî‚bsóª{)õ Õ0ÙBðà WR¶é +›ÄÓ\Tl«bwZæñulEõx!=ƒ+*pЮæ‹$”Š9Šñle(¨(F¶;orUá–¬Š+}0®A·ò_Õ[½8††«+—zuºÚ 35SÓdý÷†âè4ШӒ0Ò»¢Ñj}u-‰–$²õ¬ÏÈ­¨3;„2k©QÙ€ LÁñŠ¼¤RJ"Æ×”Ê"³YM뾂+ãÑ‚´±H-P•ÕÞížAÒNƒD¦ÎãZµ‘¡ñÂó}d”ĵa–•©°:ò£¢À%oÍð6œzeYÛ÷³XÁqÍ  r2y ó"ÙÃrLàC‰i¾+êä–ËXkFR†È¼ÜN›]˜3zMɲZO˜”9ÝŠ5N蟪uŒòðS@=ÄMÑ]DZÃò¯0+g7ODõ«±±XZ¿«Q×oÒ+·Ú¸ºà¯ ·%4ææ, B &2®à„¦^÷ Þ“|z1fRDIûëž'–T>ó<ô<ÇÖ·sŒEcdUüýwÅt…G´ÀWdpO0C$ñŠ˜‰ÒrEvÆ&(,&T‡e½®2C˜†Ïu;ª# + C *Ë‘†$YòÌe +ZE7I‚âs¹ž€ÄÌèAÂ-ókª/ìÝàœë|åƒ~eÄ8ǺÔ—bÊ6ÊÁ|bEkˆÔ¡)ïw¯dKŠEÂÞ°‘×ñÚ6d1ÈÄQàÀ Ý«S¾5»‹Ê7—»Itt^ëÎ`ß@ÅYX^mÙ+K3²ËN›¼œ¡ˆŸµÂbÙh‡ñ±á¦ØãMì”$Wr.Q€Xø0cˆJ²²Ç/á¶ZršõҦ锖®ö™|b\,sú­w-ÝSÆ€%9í´×aipzk ]®¢n!ªË=x„²—•¡l—1i4§y“+ø\bKðI;°K·_4Â>§n©’]ç¨I†‚KDbõˆ Ío©NO—Ž$Ûi¹÷Ý"¨£’ÂIn´ó_šÕ…ô±'"yn¤-àžÀdz:2¾³d#jOˆÂj`¢˜ ™Mõ瓇Ì)N´•·¹€@2Pµ]ž©]V¢Ì‡%²ïNëÔ îÌ£ƒf ô }-H–™ä…ÇAôÜ#ZKí)Ð#<–KÔئے#–Ú22%Åž×Æâ¶ÌV‰‘”¦•çû´Þ7@ ¸†[vùcdhÉÎŒ;­T‘⢖yÒöâ&Y‚ŽFê(‚úܳ{Z´Ì50•×{]¯QrÁCE@é#8¡v2 ^†@÷“¨´ù'Q½l'à0sUVýcY "Ë vsr;ËpsÁå}>ïÆÞÏ0Z´AXê2˜ÜÊÚÌÛ’Ü_سD«í(Ïè~™”W¸a\9Жï‰ÿÌëÆ4¯™"‚ÄJïÀ„ÝU¢³ZÝv—Xm79تàŸ2h]ÙepºÉ²¢Ód&°fê»ÑÈ,UùÜ ÐaÈÀ­«4¬/³ädÐ*ÀxüWãÓ†ep¾«uO„ä•Ü—É^@ÒãÅC UÚi©•f–‘,f; TEÊe8‘n±–º£»à‚ÙëT¥[ýË8lVQFy ¥oÑŸîóx‰\ú#Æu%Å<¯w®È£ Øn4 ¹Ø!\0oí4%2Ü,¦Å ™Žp” +e +h-t²¶TÜó\ÜdÒ ÔjW!Â.q©Ç©M×=ÊiD„…ˆJ†\I‰ w9l •J×R(«læ3ù¬êNcïîYg ùâàîÈ0ââMÉb\MÀËàL„’W:×KŽgX”B›Õ§®,çÎêf´óÚ¬œ?ºîQÉ3à̯+¢äbÃnOª“yÅV‡rÁb é›zuM—•óÿâ,¾[@+h¸dh…‡0Õ$øXÑüÆËhô°F§ãf;A\»ˆÂ¬Ëi]šWÔO¯ îòÕ¢!bŽX\Oá@°fv§–܈´\œS” Y‡ÇU¨m)£’ÙrfA%·-'ÄÄ*îX¯ƒ.ܤ‚,²¡1²‰ß=^Z]?vÄ€ÛìÊÀA°%Ö[$8 +[“m-짹%…NS½©ƒå\øÀ‚¾ÁÅã) +«ê.*kú«ñ í;¡4íiuâ,[_®ÈuŽò7‚ûv@hÔR˜‰sec³'äôPžŸ§ +f±Ý +òÕN?Æ|ñ÷¤ _ÓD­+ nrˆ:×@'Nï +T¿Æ&}©¯O“7`Ýc\•’4tW­N䑽‰2 H»ÅM‰L¢LâcÛq ípÌÓ©ââ˜X –àN;í5˜böEøˆ N“ºŒ"Ú ×)¸ÕF + ÄŽl“™ÜïgH3j z¥fÄÔ y©¨0ú`¯±Bت•>D8Â69îôAÁvêOXƒ˜ˆb¸Ó Œ#µh&çáÚ>;õÓ +j2¹ßimÑ Bý0¸9g9úßÄ®tdÒŽ:¤g)Ú?å’PÒÉýKŒ8 +8Óï)^Aà<1Â’¾<3×ÛiøÃr0¾¦Tg6$‹é•'@Ü#–ª@ÊH#7/ Oú‰˜0»—Ûim2‹>š¸HŠ¤z£ÈA䤿‚ì†ZÎJ9ì!¨˜*ZAí4©þÈ“ÏŠË6•f-A½r@>Tç%äiÌb~ZƈÂT!ÏG•ÀŽÐ”qò˜¤NüÇÛP>yri‘êEt„`Fìí„++T%´–E©"~u$ZÖÐBlw©¼c!üNª=Ë0,K`TŠyȨ‚·h%—C,3Š2´šYˆF7Ò,R,Ö¾ÕËR¬]¬. âβX½I£RÜ?G*´GXÝþ ÕN»í€À £<¸ÕU u®M·h¢ZÖF‡•X[9ƒu‘ ‚àl$ ý—‹\L\™@ÂmõGãf}^®ÐjV_«Üf9]Nz¼ª +HR£×%£ÚZ:uÚÃ{N¤Èe˜JЗK”Ö„gB¥ïTœ)vd0#Eàå…6^©¤„b€k DÎRhY>jêy>ÝàA˜¥c{ÎÇ£ŒÎ‹.U6#AH¤é´OÉ'4£üÀfZÿLa ˜ˆ¡¸®úÍÙ…äFÉåtYE6  +[Ôxr=¤1Úué:Ÿx¤™©„3¬B²e‚-È * åL»šÕöx-ÏÛi®Íà{¿¯˜] +j¹—‰kiS\ÅbUÉ2+Ίš4b•UV5, FIzZ}Hh®â<ÚB•—f‚',S£–MUJ¬€²Â™Ô +Œ +”N“xŽuVÞ\"Ó|LIHeÚD\ÎTˆøÖºBòP—?àÚ. $@ãÀ,Ge$B\%€bÈ«Ág1{AgFÜÂPèLLÚâŽÊêT”·CIÐľÆNó0^Ǹx‰ÔVTôË›õ» 'HC(!lÇßx^T©˜šfGÁàpŠï€p?ÂÕò8wx³F +”˜‰E‚óúŒtÙ¡ÓdŸN9 ZÜpZÝ`˸0YîÑP\,ÒDpiü'Œ^•»‹=wÐuœÊ EŠC‚û¤R)çdÇqÃjˆ½”ÆFj, ¬^ ωòê´Œáç.ËLâp©`ž¨õòÀí2‹I¸V®ŠËXÁÆÙ“w#"ÿ/«j0ÞTJÔ@|*o b”£"ÈOt*ÖOš¼lM˜¸+•X•y(…œÑ'‰( ©ÓÈŠ6º«8‚$¬HÜt¢*^!Ïi±qoAþ0 …“'3öƒ§pz$Ù$g8à {mòZô7ÙÖÜŸÙ)ÓYµ_†U‘UŒ_|ø­¡%‰ûžzé´Öx–ìM*ì›tÝúîaN(Œ‘f™>öü©ëLNqD61N X”ˆ‰øn=-LÀøÕÛ¢Jå ë,—B +:•^,mY#J¬&Óv–!É«ä ½ÔNô uu{œÔ0ÒÒ²² •Î?]ÔAqÒ-îµ\P›¼RËÝX=£¦¨©6‘œƤ|2’%$à‰´P•*q 4øZ¨Ž D›ptšÈÁ¬ E%€b$Â5d >ñ‚Ða´ÌBÇ`š +”S.@¹Æ ÙALBcËn!ÆQ*1¥H"ùÝÛ{ZQàA‰Þ³—Ô½J +ö;TÊ`§yLqŧ2y¾‹> a=ZDÈ„nD&X°Ä TT€@Ô²É&BŽ +)`G-Ì™O*€ËÆxØ„ã±N!5:df#TtšÜ€‚ÔˆûBÇqåG%ƒ5îv¸”H/Q¼"+èºf3ªu~ °•ú˜–%"þiÕŽØoÕùj.He\u™ŸÊ^‘ØÔƒU@¡#EGÅ.Ð=ušê“9™B{T˜àõ´–V–·„›¨ÃÃ=T%,eB<11_y>b”`/Ó\VP혘>Êõ}D7‹õ ³Õ ?uï 0Ìq}6« ‹&ÉM§É>äEc¬³LƒFD2u¤Â¸ü‡À˜þdoOe_BŠ¼ˆ&†Œ=QÄM(ˆh‡EÓje¤-¹ 3›a6°T&©ˆT쾓™dÜi$Y@úΣ™r!¨„t=Œši['™ÐÞ›DÊ‚ µrèÂ_ûke«osªÇ6&iÍi‰PÁ©ò¡hŒõž£:ò°J¢Ò"äwæ\¥£…lh>“Nk4RÃd2¤ÉÀ.E¾ŽPD^”O ñ\©‡‹‚…‡'ÅÅl³‚@L6:ŸJÍx¼“×B Îæ"Ë.&,¢e¥$|%Ù‘7äì H‰”ŒpaæùF¡…ÿ`LNòpÀŸ/5¤6߈ª3jò÷J)Rƒ±Ž):Ü¡1VÎWBWÂûp,^B‘ÀH‘°#.Œ(‘^¬ÃËõ*ù9»ØÜ+tÚè”!†§ÜìGœPñJpµPTÇqߥ¼T<½aJûœA03FŠˆÀqAÄÄO‰ÍX3„}§ëy‰pŠ:¾q¸ÇçzÑ±Ý +~@«ÓÒÏÄe Bl…‰¬••'Eóœ“=òØÕà*g$UšÒIe/Õ)V3Ê(:MÏS‰£S&ÁZ›&Ÿ·Äó‰RêÀaF͉¶ÄÒ…b":íòópt{ÝEd³f‘:2ÎЂ¶O CªôA­žÀ|<©£û¼øz(Tç«|dGJ3¼0"p.–‚ºIE•†Ô¥fð9šÌ‰]dn‘aÅœF~wÚ(±Í›€)m.*ÂpÙŒÖné{U/HŸEýZ úE8 +´gÒ¨³GRJÉæë5Ê(¶€‰%-n¯® +,±oüÅëøv »c=kµcÑÊÿÍ°¦‘¼ö#iLVƒ ©"Å|P1é"M!”G¥ì¡™™e=KL7YNè³Dj­¨b­‰2vr¢Š¯t‚©G#I‰Õ$1?V"‡Tå|;€00œÇ£ÓÂÇqîΫ!ûʱ¡Ú˜`ÆÈOP‹M©û/¡£æ¬>e¬ëJNÁmh?íQÆû};hZÉ; •'ƒÀïPQLk§Íßfì Ø6ÔÁ#å±&NJ&± â‚Ú`Ìb¶ŸØ¢Z)‚uòÚR¢3ñ › )eg-‡j^йsAõ¤JB–¯Zd§eÁj ²ê`5 +*N¢´TÕ§TÔNˈ'NÝx²ÇxH`CeÀU.Ñ œ-D«'åX¡²ÕOÈ°â –ˆ"$¶g²z<-µsyÀ'Ùieùbß(Õfe¹ó ŸÄ:8©ž^˜¸¥ +Æ»Nûĺú¼‚Ïmh\<‹ÊíAœ7€ÒÈN¥Èj;ʈeÕò;°}¦By**Ó +ɱaºYÍ}V ®¶¸2ðDrاÁ1™/ìI›€$ªÜ2›DW¦Ó,x˜²…‚‚fQç$`Ô Ñ$­Øaa»$+A ÄwìlklN VâŒÐ³ñBg»áÊ´Vú„9̘f0&Ë2¯ê9 å!™md‘‹›Ò‘¨€vÚƒ£1Z3ΰ0¹pèêòbÉ OÌRVfqŽÞh¬ÓÜ͉„H¶üd]gF •ö&UMìÁµ½ÏMø'˜Š¶Ùëm­£‡QùN×)‰Iâ8svn¶Ó^i(Må°f»õ8³p¤M0:q€h7ÁÇ +ÃÊψ~ÞЂÛÐsîó% (bPæEjfÄZÞÖCZ;-íH€ÜˆiœvFv°M%LF[b)bå†Û.r¬8¨´¡O´7Š’4ÏÅ\¸öÁAç¿Ó†äàªnñ…¼ÿôŸbªæMg×Fí´n¢ZÔüÑÔ+]¯ª‘¿Ç(Ó@Ñ3Ð8Á4–*‘±Ub¥/¡2‚!á¿6’ŽX(*¯«‰[Ÿf +U¨¦÷L[›£ +¸J!3ËHÄ¥:MB)%ØFm°Ù~7@˦º;‘š´5~À ke{FµR*—W‰ ZäïàåÄòÑì8è¡ò*8:èm¥«êî8®ÅA‹÷è4bȉ{‡‡HDÀ >«œî4Š©r~d.Å4v ¯ÝÈË…xvÃzâXåsñ0¾›NÔV‚ sØÊzž’2÷®È™»c#(¹v¦TKd²£Æx±˜½âÀâB#$D¡Š© N]©ÄšßÇ ØE øe¿ààÅŒQ܈ŽoVæK{n$)ÁÏ„Š qaÏÊóáÞ’œ&uJ뉵vv[DŒhä1ô("»œÁN¡ …†ÜÀTîÄ€wwxZ:¥*#½„Øþ*3’ļR‰•uw—¹qÓ=±Yû½•¤Œ™@ë±2Q»ù#Ä ÀQ8B½-ML1¤ÐvöS—RÛi§©IÏÐÃcóÓÂ\;aªÊâ٠˜JÉéœ9wKSêÀªˆYøâžyž‡Y”Õ+`¾‚.¡Å+•X_wÇq¹"–a>¤#ŤÈÐÊ*M§^†˜–ƒRèÂOÅù‚Ñ—~X +"šÆrœ©$ÅcJ&Uc 0ä +Ú¨¨ ;…uÍË2óœ©ÐÒA7ÌE›ó:N™B-ZSÊó¥³à„% +±Òi&!À¯Tbål:ŽëNŠ´6=%Kî\kb¦òHìv].Ì(%³ƒÃ¨0ŒÀ,Bf"2¹¾ [ƒj ÂÓ m+–3{ZT›vrF™Bö©)¦Ñ¡î´ÐÄ ï„tVâ"ÓÁã9”Êd¬æ‚dst‹$¯^D<[,Ã)EP-YñÄUZÓFÜ®B²):MÀBhYtêoò?U‹¦::´^Wë2¤ÖCy£nLˆ/„Ä˺+È,sâªÄÚÀ;ŽË_$ZfŒ–‹…é& µùR¸­Fî.ŒÈ)/±TÌs;íPô)Y1RX%6¼—ÎĬùµw¥BZeîM8°Æ†ÎhRòº–nü"£‰ý`ùÏZp P :€•îúQ°q·´XÕ‚ÇšÐI•XY§ŽãÆÕd׶ò!Yj¡@ŠÉÉÕ©E–¥„HcÆ(5‹Õ…3]:©Šgç+¥Z3••–=3‹ëØ]Ëh‘¢ Ü"øÛWêQÅQM¡`+ž±pA TŸsAB’N›MàŠ1LXÓdxb›£lpcÈU‰5ƒw×+./> :°šŒe¸Þ E©“¿Œa†'Ñ—™]÷–h`à¡ZX ª†ÉIÇcÓ3úhOë95Üi¸P0´+j§\Š‚Ýñ22ƒ(ÉÊŒ½°‚ŽSܱ›èy0¾0a·j½'|:\î0O¬„SÇqPDã1µs#M{Ÿ¤äƒüÙu¤ –ðˆb«¹Ójô`G&¯[ELÈÛÃ¥‹;6¹‹:Œ×‹–r®?"4Œ +ñûÙñÆŸb(7&b^ì¼ù8¯"«‰Ú?'=ÓÀFø”¹L.cžX5ªŽã:Í‹¼â8‹¼¦ˆäì˜RîÊ.N~½ Äç|pfƒA¿¯ßµ`5,8¨ý2OVæ\ŒNýÎ!Ö%%Q[W$ƒ—¨<ùÓ4­#²™”jš©g’Ä3ºæzÚK…{}æZ‘Ñ|ïTyàWéSu‘'V‘«ã8EË—PwZg0‡¨ÈV7W8¶Î`ëCeN´êl0Ø\ð¡×O¸™Ù—•ŒÅ¦JÎÛ–€˜x;ä +U‘‚mCI“ɮΘPWÓT‚dª>'ï,í©ˆg´™ÐþžD§ºAÆùëÅÞ *9©n€ÀqcɘA#‡ƒjÀÑ h¡3“ + +jH§ g[sf#Î9+T8–aQ`.wœ€œ ‚Ãc¯· Õ’ŠÃ@–m|ˆ8 Lÿ~¬“5Òyüpßßa\6¡9ž6sék§}¢ø¹ƒ#|×›ª%ŽÅ[0 +Ô »æ%¦¤"^gƒq¤Äa, Âå8\)ù[T.›«L1! ct9’Ù'/(Ž "är ¯í*´@œ¹‹«×p§}ZÓªQ˜‰šæB¦â¿9®æ@ß³k>Á •1X‘œÂÃo‘ ãYâíøQ8IÀŸYzËn¨%%§ƒ[ム¡,ƒì¶j—7«&«‰è,L§qêäº( Îk+7"Ï?ÔûÆ8®W’Î\ ²eað©«1z[Hhâ °2.Þ¯,²xjz^…Jºó´ëóapä;¸r§£¶€° ¢8›jxV <ÜN+Dl\#8¯8"@ˆïiv&ÞU~™!ÿ€ —šÁ@Rè‹@6àdˆ!¸‘I+V‚%]Êè¨Z7¶ŒóÊz“35Ý<Š´]¶4c}®¬ÝiÈgXë2@ÂÐOæLrTÍâXlGTF µÌ ”Ée6˜å­.T9“^HìKú ÕTýRæA3‘¥O…_‚^’0`b\lŸ— Ê¡fŒÑi*ÖÊ„m@ûŠ#Kû<͛نsvPáTË<…¹vA›b\ x‹í,hÿ}ƒÈË'u§ý5“ûBCù°ØÙ%9GeˆiâQž‰ÞSöA†Ñx%ÎKalE{gØÄ°x§ú•¶„œ”Ùˆœp¬^°wMžÖÉít5)åø–d»i7Âýx 0Ì’l`A§û²­òàè;E[ßGêWÛiSi×jÜ*™ôdrK·ÑÝß;:Èdnsp Œ2 +Åw6O¤= Ø;vx<Oã2ZZ:åÍ +TqÄjÕuK™H,—ØêXâ×ìºÄ–¹bñÝiëhs‚;â³#9¸^ËjgøÍßƤ©ášÿåb˜Ë¼T`Tør÷•'ª×#·"CŸfƒž}–©#›Y˾œ[&`„ÏŒkÑÌ·ÓD”Jæx¸*2w’b•oî±vexpÊ2TX5i} (Q5â·ÁòØAªu +Œ u%íí`EwS åZDRÃzV2†…,=ÒŠ¥o …{E°owZjZeíFÈž k…»2…«φ?1@,¹3ötQ3IýH ûe‘…ZÍS?i1¯»BHå¼Aæ{¬,âÊNíÌœ'Àp†·UhRY§rpöµZ0*à¨^–éeá™Ãèˆé_bŸxKE'„¢|Þå®åç4Ñ­Vw(DˆÕ½æ"“—ñwH…0Ø: ˜Hn`Ü Ö²æÇ&A–2‡Í§#1ÛYå5cq +$ÈÏŽ³ZV™c¯¡‹PÚ+ +kµ0ÕíuùÉ ä –üx‰Ë¢âÔæÝÎØL§wIØÊ½Þ k‰k²¦HNÁ›M%rÎ"6XͨŒZð÷S m? ºK#çðzW;K‹¶ãÈ<ƒ’ݲ)q;ÍË Y)ƒ´4¬)‚Ž÷ðRÑå ZÈèÇp%æ ¸¤$·LÀ@î®j02U.‡öÔ!-Û°ít¬.‰…yX&VâAIÁV@¯”¶²220Ä ˆÚN³¤Ñm¼×‘[ƒìbÈv\i cC;ê8\ª\l3@1[L7µÔN‚•§ók-µ)¬Œ™üºdfØu‰ÉzI­QKÜ ¥Ó²“%z§AÔÈÙ^Â{*d’$¼«V&•3ÊF$”˜-d—dF4Dºc +Sy]Žñj#†Ûë*§DA•oUŠñ.¹bÁÂYŠqÜ–ÍghdTpU´ÒUª«?ö˜g:¨ž ’ð…)–f(ª]¦òÖ¬‡vûTïµàÍ:1‹I¦Þt*á°šX¬ÂÛÌ š•âÉíÙý¡`Õ²¡=7=Œh·˜ÇˆÚi¤DpÓ´ +/ä +fTíA«¸€Ô\²5T#ƒ÷U…m +u6t!ÎNyJ2s…QWA†“I—Œ©3<»ªŸÁ;o*|"1;õ´jQå¾äNózæTIbs2%”€Õ ܨk_2«ŽSŒTÙ¡šá:F­uƒQc¦ãËÙ=­¯½¨X,铦½d0‹…H\nÙnµ‰ÖÂ@ÌÆun§L—GøIÅ—Ú@#å{È,åÁrµ·hÎ% Ò¼|1õñ5>¹ !ù髇¡2ëÞª— [Œ•.Ð-VÒñ ãG}-rë½¢ ñÜ¿všÈ*îGŽ.Ê™WV˜bñ¾1êjO’Î÷XŒÃík;Îbá«f¸k°ñë„Ä+`̯+Ð=Y+\ëC“ÝwV/–Eë‚5‰"Åg–­‰I Ž+KÐiäÌ[é0}SºB9¯eµNR’BZZtØ6Fá¶;Ä!”£Õ¬ñ ÖЩ/Z¡5j­œ*h»ùЋåe‡~–;d³ˆYM‹‘~*°ìÐî·§ÓJÜÙÖ`¨AŸ–FM +œÐ¨’ ˜ç`• ßÕg£Ð¸~I. ¯¬“êD2’“át=ÖžT묀 Ý7)‡ä^Þs²™"N4{¨Ôt0B;mÕ§K*öóErBã”cµ ¤”·l +Ê-V ¬ó)Ø+F$u†ÒÉ9 Râ+µ'‚ã7gë7€”€>=)™,ûÇIøF(eÂIëÒi·Ó~S¢Ü+FîG«û:Žû :¿uѼØånl¤¶Ì!ÂÕã @•ŸºƒñL•åÁA(ªŠmÀ*û€–¨ ž]ÛÖ`¸]'Þ’³¶Ó5K•“¾–¼ðž„Èaú È4?lVÒ‰*o+NpLsOvdºƒçŸa5_Õ£U2w°Bˆ Ÿú¸-Öä !1CˆÒÔ\´Œ¨9=^ñH;MâÄnûÈQͦ…¡”™½ÝÒK-Wb£‰Ö¬¬%¥ k¡zµ¨®%ßRH—§›ÀÛY;¡«Z›š¾òÀÂ$ŒâC2t|ZÓ+ )#«Ój endstream endobj 25 0 obj <>stream +*`EØ‘Xú-dí÷OEÝäuœŠJ˜Š–JŠA%`?‘5éCî)½PJC‚µ"¦Æd§®¯•XEVÇq.¡âï–3Øò'Út ùW:“:)ïN»Qˆ,c¥çcéÌG]²$§ªl}î’TÙDÖă½½§u;‚Þ¶¡ ‹@d¿=̪S/ ë!!/ª‰•>Ù#aÞРZš»é½þÐæÓÖAÔi÷W%VŽÕqÜ„›5·B®2… ¦”ì!MzG3Lo“¸¶pTì×TEI8–€èb†ÌËÙÅ*,ÄýV×TKHKÀñr€ƒ*óñY|ŽT³Þi ŽÊÒt¾SdLÅBöàùGÍ2ÁÅ9K+¢ŒªÄšYuGj^Ì~pjÖ=ˆÈgÔdsÊÁ´¢õ’n»Æ8$J¾‚NI"{8”HäN«”$˾–Ó=®h ›7YG ÃÆ«ÀÔ1W˜Aà Ã%®å` 5ÒT¤ø¼Íã=ô×s–äÌ`”ªÄ +®:Ž#‹ úÖÁŠlDjdN46º0 ¶Ž fR"Sd: þaOg(%ù>„3DSw[\vâ¡H\{‡5A±9‚ÊÁÌ3ðC«±$ë,x09g&U°²®)D}DÉûR»ÖE:;í|FžT%V8ï8Ž5ÇTM£òRÑᥛîn6ø„ž0¬‡¼aÕáéüÀM€ÜD"ƒ D`aT¡³Ãòr4æ®&Nä:X/!f"4l–Z‘]: ^¤N±ø£ÛÁ‰iŒÇ$â@`3ÐmÙ`CF¼ÁjãôÆ£¹¨ë ë8NX¨6ªr‘Íê¦ðhôeŒ@ö ºý¯†7ãÅåxsf¬rò²ŒÓi¨¶¡Ê<2F½ˆàí|1{°Ÿ¡_›õÚ„€OQS0=L€á 5e„ù@lWK²à˜ýµÒĺN2Ï£J¬ŒXÇq‚È<³3™!£$×ðuéi]—xÐzȧ¦5,)]e<¨Ê=#FœÁbêG§q¾pý ­&ŸÃ‹¸\lׄ¢=¢þߥ%0`"ðŠc †ÇT*±w#©¬Ì¢*èN PÕqýŒ³45ùTØࢺ '–~,jFÂ_!ðn^žÊâ’ R9Óü%=ìMÚYt™—Ñï,;įZ¡;Ž«Á@ã5ÉMôÙ)®®ð~3‹uvZ€;[ŒÈõv\}œ¹lsRõ?Ü@Èb!%)ì_ë—%ÏÐ`zÇ[ +œ©.¹Ôò•R¯yZÖŠ¥³êÊjÔCpìÁ²P S„IL¨wZ£ç‰µ};ŽS//“êjÈU=<;leþ`»Š¹”’y‘È­Çš:Ø>s‹ ÌFZ¢ÕêÁµÙôã8¨+Ì¢˜Ø“]!ˆaÝœZºS½×ì4ÅjÖXWêým˜\iYî +´bF$ø~q—Q†$&ëHóÄÚ¾ǹJ¹þV‡Ç9jj…®$4Y½7^ɵ="3ÕŠ³ +X©†va-{BÃÎ^> jwKîË$b‚+9Ãô;]©CŒŽáU¾-˜`æ%Å +­ „wDyå|É°…l0Y°ð9r8ìÚ払0w72MX+j@µ–´UúIkÖZ;M¦vT·UywäE˜D–noÈ  q»æ]‡=uƒüï‡ÒZ9¤B€ÀAYq+0Е½„v¡h (,b2sÙoàÐT/˜Ø@>‹±«üÌvˆ $mœeÔQÓqÍ?,Ƈõ•;ÈËèÃzRÝ08S2{y-Š,tõ½W ×rŠp AȪƤrÝ.âbC$¶„â–F`^/Ûrƒí´é2`˜Óo9ë:[4G;Ž§%‰ˆÉyæ°G÷ q9cÊDxÇq¡Ðç´’ +qNÐË(¶fܶ'7ZR‰%™-ÐVk’BŠJ‡•Ì\ší´n§É‡R€bIa0&±``Ò•«ŽÉgN dÐO½de¹ )Š¡ð̆TÓ¬0™”G•VBz‹7Ç ‰8gË«!íni=M!8Må#=a -Ù¬0±ÓX‡’’4°€mbm8±@ñ)©c¿·@€(á—kS–i.h>«‘&Q»85`×±§ê¤ägU§£O,’N¥± Ðj*s— ÍBî‡zA”Ô +!»Ó p…b#'JzØËs"î"¿×8›=ô„±ª#°¤%€sT–“§“Ùˆ +=oLï´ˆ¨Äðñ9÷i5ôõPïLº8Ï×i¨Ð„:C‹]«¾¿>0øÁq^DxS®ßªŽ[&uæÓ`‰Œ©–\m˜Ø€Tg¡‡Á€ŽþSå ai:+²„þx#d¹9 òÌljŽÝi\@£rQÈ;¿°”O¡À:¡vÀåO>W§xTbÊ"?/þ@:²GYI§êñO²…ÕžÚ )&ϛ𡠚Ë&3ûDȨ€.›!Á ³vÐN##Ø¥œ9"å” õß%Êy9W¦C£*ئFôÚ¾ŽõºDÙ´  C¬Ã¦òì^YˆêºŠ†ç|¡È͵Š”9EÁGànAGãvÚŠmÞV\kk$,Ìzâ¬A¤2Äb ¹'lªŠ$˜:ª ”4i$VvëËbEÔ2K1¨ #÷UbJFuwˆ…DËZ±˜…Pì€OÔv-'i§êT4˜âOZ܃ôd&‘IÕH¹É«F°n¿«¾PÖDàQÓ©7¢½hÂg§Ú¹ëAÆ;œjÄD™OB‚Й"ÎÄ©ñºX4bg†B$‘LÚi¢‡ÇTæåbT¶#u5™¢²D/Øá@©a-D +’o1¨ûÆxˆNÄ¡00*0'¦á¬ ½¥ÔÝ)O@xqò?sL –©EØ)Ù Ó. +ßZF6HP7rÖ7+—¬¢"õåUÄȔΘ¶bCÞDãA—T…ÞôËÂÃŒ%‡Ìñtn§kûvw‰UJöì4Œ­a@è +&ëë0*ÊDÑäý ªhb#ÖnÇ#tŒeÈÒ!pbÄMIÉ8¨kÉò‘¬dƒáØ.x`Z·7ÖØJŠ|y4Ò ‰`OÖʬԔyPr@Ç-Z#éË–|©¼ñ©/©Äھǥ“ëRy`Þ´+Ú<`V°0é‹SÊ Pò«‚í7¤Óå¦$º;'=8fJ;í¿¦“%pP%Ö¡…BYš÷šEk¦¤¿ØÉy;ä;L(ÍÌ)6ge •ˆñpèxÆz–LCG2iŒ(tI%Ööí8ŽñÁ8Ìš?D‹IÚ1:€e:m‘²Ÿ¥ãÉÏôÓºq…ì~ñ¡\Zž@H1£Èè!e T‰uàÒØÃA!ÄÜ¡U†R«« ôÀnÚYØØ—L®2²JusЃånl2®J§‘ÆæT‰µ};ŽƒÑ“fµ>(Vq®è ndm(°¥–ñ ;—’b1¨å7‰È %“ž©Æ–#C T‰u2(yXòd?†æ«Ñw 2záerlV&d1€¥°ÎÀc*ñH™?9Œ³äLƒ +¨kûv‡ËL2Z4PѯB6Áoÿ^5j3ïKæ‘,·šT¸&€mdÀŒ2F0ärL¹aU T‰õne7ëypD-¿ðš•[s‹»?Ñ]£X¿©VkãõˆÖ' †nW2CË&óU6ù@•XÛ·ã8óZÕÜŒãëãM Ö×—¶9²_U>“úwn),°£Œ‚"ƒWõÐdžšU¡&5pP%ÖÉh”¨1Õ P؇m‡Nñ@UÎE™¸Öľ°Ô4/ÓGE2¦ÈÑ©fwj9U§EP%Ööí8Žpèù{-¨bçÐP.²IŠ¨ ?82–¸VÌG®NœCp’6]6³ÂŠsÞ—@®ªÄz¯†sV2Ô´/ÆÈ(HFåœtĉ Ý峌¾ƒo¼2ÍÈn¬•ˆQ[>î8â< +ªÄÚ¾Ç}1Š<¡?¹„ž @årjà.¢2˜­—DDP%¾Ïº0S:-à' —1ì>ˆ'`àªÄ*Ràª1CÙ-8q*BçalõÁœÏNõj‡ãǪõ؉¹}Ù¤éMß·•ÜÜ©J¬íÛq\¬x¨dˆGsŠ;-¾JO# +‰sO5 Ù§>†É †Z†íyÆó8DéÌÞã‚® +¯>+›ïªÄÚ枀ÛÕ‘ìLÌè’ ÇëÕ$V8-1@4ð@ñ‡z’'v§ùÂû1JO°Ðs7RUb-½Ç…Y=³­YàÊ­ØÄKM¡Ï,›ŽÐ-Úñ®m‘¼rMª  ²ñ^ÑÅô0ðW‚±aÄÆT ,êá»Nk=¥x& ±‚½E*˜ïxtŠžEI…Ít„PÀºeÔoÅÆ ÎAÏxÄtXUbeÉÇe\*—–UbTeýíɃ -ÿ’D Ù'Ìt»V·+&Eí4ÙBÒVØÚa¹)’ÏV‚‘å><ßYU¬lB!ÊØ}n„ƒˆ Ô#ïQ©]­5t2D&—˜Kd5ÐY)gUUÆža4¯*± +.Çy:˜ºÓXÆ©q^D É+O¶¢̪ȒY©þ‚"3½ìÊ,ê,•Å„Tˆ8 žH@*_!Ò_Œ‹ÃXG6²¾†Æ@±9Üè&ÃåCðׇ¨–Eœèì{wê–tôàf*åÊ@ò‚× +­}§T‰Õ“;ŽsÙŽÌzVÀe…©E0ÈŠ„µiì Èe"ʽf.‘±< ¯P¦£ýÌñ0ˆYìɬ¶ÇkÀÀßN!HpƒaWàÑg‘ʧó#,³txÝ{&A JRÓr†uN„5•–D‡µa¥J¬/ºã¸szÁ%Ye£R®˜Á"¦9ŒŒÖ ¤1ˆEZî´}šÅ5q¢â ŽÐ Â0™¿è¤Ê ˜ µF:÷àfì™&À®\0A°©¸­÷ŽåèdïpxÉÖ'ôçÁ™;Îæ|fR{§s-r©kÓq\§½¢ÔOF•U*Ѓ¶61è|tØ›õðFÚ7ˆ™#º(ÚÃÀ·Ð +̱èß6ðD8_ò¡$[XZ1©”Ôƒ +lfr ’@D*ª¸F/!n䘉©ÖG¨ÑdÄà ú;g—Úñxî¾}ÎT‰UîtWúV•+LãR€~”PD~Ù +­QNé€Wæi& P/ŠbŒÐtD’/õfÄZ 5™5¯~h; %Θó…‰ +xÏ8ä€úœ¯gø"V™g‘ÃÅÒÕ™§Œ’¡NµˆUÅÒ!\©#³ÍAžX —ŽãLÁ¥òÄÚi‹LÇq‹Wˆâ.RXG~!Xá, r¦=S +2ûðâZ*õ›¨¨V’eEÄ=€E¹€48lϳËN*ì#žÁA¶)Ô®b6•à¶à¦æ½XÞN;Ù¨NÑ.ˆ…‘lØÂÁé4Ýs,†ÜMòÄšðt'‰Ì.wóše·r‡(!e„/ùWÕ™lJ|XE.TX›8p,¢f’XB£—N±¼VÄX¨Xx¤bª÷½~v¸Ø™Aš#¹‘½~´¡´;ó²”"(EœªtîK*2É£eš'V©ã8EÜoè~ÖÙéÃÃÙ›ÞñˆµÓ>È#ÿðÉáSé&W»ä´!ÊËggßu^±˜Kdœeí\šÀéGCbäK4½Ž9e¤„Î-¼Ô¬P†º.ùt¬XË<(È_H²;Ó‘¹ÓêÆNc«Žãü‹DaaLzSåÀ°×û)J.žÈ9»ï80›¨…cxèVI39õal*ìw|±àëeäqeHj!~©Yè™÷à!¶Ì+Î>œYy2—~òJÚR!G“³÷)‰‹–æv€¸˜Ã;“}I(_¯+•Q°Í¢ +!Å\âeŠ¶“£:1‘5Þ Üp½¬4ÊC Ìg‹ˆ‘y”’(/»sY ä±`*rfL’°_ +ÿ¡à,ĵªTò¸]¦®C¯mWaáxnH”1éÿ: ^ŠNëÈ%Úx¹Ù&>¢Â ‚$é³™aüb<ž +rÉ:ŸIÌ9¨”h¢xÅiS¹¤Ý˜H3‹‘ª.}¨ŒUJ.¬·Qø š›µÑÁˆDk:í ê>6 +*Rtu!tž“Ì‚u…KšŽñ=“í9P‚îgÓºüjºØLÅ<^håsI\àПTŠÁUÌ×0i8¥ÙF7–XÜzN×™11eµÇýƒB2U9eL¡‘ k‘rSvÐþ@ê@Ýó¡¶+«Gv¨…R4ãwd5dà”€O?h`±ß²d¬ÍÚ\~œ²ÈÝQ<’ vØmªÐKÓnž,DÂNDm„¢±’P]ËæI‚ŒDÔÉ‹zCˆGñf€72–>_wé];¯è¶QzXìF£Z|ÆÛWõlÙ3':ËY‚«&‡1ô¥ ì[ávD›MB­Ó u?ºÇ1º®8æ<ø]xà‹kÞ)ðCpUƒÛ¨´ñßÒÉ;àFüÚ4+gDÆìD Zû(§8Kùþ/¨ŽÏ+²ÏÛBÿ¡Õöw¼f¾ÐA ;+µ úЕúX¬*ã¸Dí…Ó˳ŒÖbòc‚³ßûWl³_WÔ "Ü€¾kiï i`eU¥R:‚÷,X[胸&ÜÀÄ$ˆZ‰² \šÉáÕ6ÆÖh<…èYSÎLbtä ô¤úÚ +;˜Ä4C»)’>>"öŠºwµtå=¼“HkÂ×»@’ÇÂ;Ù`.H +lUÓÔÁG?DZußðéÿ;ˆ\`Àš²ñ‹]|YíÃ>óûôÇ?™x…?—°£ZTQi}®±Æc¬“Ô²[ø†·(‚禈Ò>uˆÈq‰{bä5‚ƒ.'| ì_~Su²þPôæK7“%4+G,7aY› àÙd^C²¯üMˆ&ÇL#úE¹—§ÚM삡IJ;\^n„Û™8 LHŽG¼²T£0Ý~×ÿ^ùùàÑÒ:­šÉdbØTA&«í«¬‚õ]]ÃÂÎ\–ƒîíeÁô²ˆ57B¹UF06á¢=hÄûœ‘6¹˜£¬ÐÄi2>ð4hk8 !1#Î&mJzù­T,"Ùˆî9O¬Ž5‚æÿf+>~ÄÉv—‰ìúƒs:«º”ï&vbõd(Éû„…èE¦z)-Žíyи?ì £>„óª+¿OY¼¤ÿTW­Õ†^ï(j³Dp€`3Å3 ÊA~Û'å×ÒœJ_JÑD~Qoô>mE€ì%A¶0@íX dk-ÛÃZ€È é„F®O¢ÙÏn¯{¤Ê’¬”kX?UWÀ2<£åsoÍP`zVáæ»·ó;´7u…è8¦ŽÏÆ{ªe©®éRŸÃ¥7ß‘›Â²®Ÿfa, +¬Õ§ÉKÐö¾ŠU{Ö‚zÝ›!#×Áœ¬-<; _o)¸8±ªHVK)é.9‘v.dÊv<4•ü½æów-o“Ö\y&ìñUþ†S Ñ-É¥jͨ£1ü•FÜk;®{Ú‹†•Â_Òò›¯ÅÒóTg> Ã÷pp1©öV€à ®›F§loÕÒÚ’S»è·¸j9y½#ƒˆ+7$\Í%nH%΄²pLí̯Ýç»T´|%gßÂk› ?þ_CQµž/UØ™›Í"µÊì<¶¡ÍdŸÉõÿ1¡SU–E^Èx„'Ò~¬]rûñI„ žKÓï¹kJ”¸±»t‹@ӨDz&¨Â¥X¤¸SHebbsµj ­xÉO#Êðsl×ZŠÖ4t"ø‘pAÃ{´®Ãà F¤}Ó uûÀûÆrµ¥ô i¢K{~-zcÃdƒüþçíEJ†ÛâÖ~Zˆí’Ͷñ$+—‘Y…NãÞWû(´/¯oý€ÏX&Óñ¸I¡ç•kýùx0aß¾›ÀÎPIïK‰šES…äg+ؼľ×;ñ¥*p{¥ÓPa5/H¾9¡S]„ï'Áó n úEà‡8Œà°Ís²œuº¹8€užUÑo²¢šò# +½_+ 0äm7¿úªî¼ï³å¿ç4qóW Ýkg`MŸÜç﯈Ó…Îç¹_ZÓX[inÈC…çBgZBhÚ/:ËcEDþdÄ­„R€~ã ÕºÆ*ܬèRô²‡ u[–ûÊN’jÇâF"H+‡;Exµ2æÊϱn +dÝ03‡&r?1,I¨Vbij9X¾e )¦Ü0]AúÛ4þ3ñ^ „›NIy¹‰»ßjXÌ¥ÁÒhh‘ŸºLÊ÷‰pÕôÃ:çaà™<^Ì•n=äêBu^ê17£$1;hŠFR)؈ B]åó)´ŒA:7–ÜDi3iþ\¶R™£ ?ßšŽÉ€CxT@áGmUЋ¿£êCÐ[›ê‹x0†d¢NV6'XˆÈY ¦J…Þ†AöëôÖ¹cJÊ–nu±Si±wÖ¢²_Ú‹#xÃA<×ãü¸r&î—i•[– Qå}k>þékKwc¥ÙTÓAÕbõêdª@,à m"tT¹6‡h&-%_ Ç×íœY9$UV™ð¥KTq_½¯MÎ÷…˜·Ì!g1ßY?%›®óœBÒ×ûœ§²Ç(T.ùb²òc© cý#]þ^„ÕžÅA¡¯×ÊÝVÇ$ÂÁßXs.ß+¥„‹÷|«bbl}ënTÁbØ8D J ÁL6”V‰m¸¸sA:›hÕd”h©‰/c3uâÊ!Õ÷FÑê5&¸Ù +ͲWÇ Ö–ùU¿´À¨$%nO Ý–Ð&{RXæ'l'ÙÕÖüõƒ^óØ?Qš;6°ʹ2ÍLÍ(Å{à´øP›$Õ–V©W¨„Ʋi^=1Í×}ÏSL=,¢0+rb³±ÙnrôZ–”™nQÆÞY^Ê}³²j2ÿƒïfy¹Oz±³|£™}Úxê…'ÝXÝcDé€Ýï±|7-Ã!<4w€´pûw¶î?«vs¦)[àÑ3¨p‰ÏUâÈO Ñw HÊO«ƒ•p’n”¬°Ô,ÙPrÔ@C78²hí¥:¶›;l]õ;h]9º§ÁËå„Í¡ƒ5c"9…›7f„ü‚•¥²Ö“—²AØ#kVÂðŸèæ ˜W­- +²—×ÁÄîúÈg!_µ0}l¹³4˜È  4›a¯ ×ýÊÚ$àôÉõ~—At¦¢LÌ­Mÿ[_r;y„{†´ãp`Cû”/`ýêVL\úÔuÄ|=¢K‡"O:¼¢šž_Æ’ð]Oæ\`44øP® õ_kaã/žyotù[o¿F­5”U•În çK½™²iË#¤Ë%!)¯…hJA[fD<7ŒÇ2 +*Í¢ÑÐý„ÚÖNÇ °¤Ò Š°h€¾¤ó’2$(þÿ|8©åÎÞ³õM~I=ùèx" bîŽö¤9XéBrLKší$½KÆÈmÉO­ôf©–‚U…yp:ø*q(=EÏ©d¢F"Qó”›úFÒNˆÆû{z*ŒIg0ã¤^(Øh ³ÍpäÁìb†•ªÂаµBmœi[.•]`àù#6)ÜÇô»€¸H·ˆ%’DG¤˜ÖXgHÚ}Òïm‘qˆð½»6#OEQË­ê³ Ùåš^¾ûEÊ[Bÿ˜ ;Y’»%ºïÌs J„IÎ^·2I(íŠ?ÔI-W‡Ë9\ßvГ8 L7wØ}´/V¸ŒÄI-± ãF–¢^AƒÞÜg¡(×Ã{ ô¡¤ +º6ÖùÏH¾Þè·ÚÄr6õJ{7-Ï—F3ô2*@½UøÁÚ|¼{,“Ùˆ!·è|½Ht=§±o2U¥¥B›ŽáÊì9GÚŠôPxs5rì(Ęñ[0°b4.y¿A~Ë)wl‚×)ß:ã‘U±j;Öy‰!6ú ‹8W—ùÆ_lX'æµìmv·(z0'Aþ»ë?kC?˜œ?væ$üÃø‰x9îs»ÔˆƒTÜ ©Oï胚ÇCÄùá²Ðo£ç€0{‚ð¬1.ê!v³p\÷€ãdˈ7žN5¡äçÿz…·‰_#H–síFW“'iI¶*ÆöӧУò£\¢„[›Ý&¼! +Úíùéq Ú”xeÜØ´â;?1R,ó†E{ÃBõ.é-m×öÚqÄ$cݘî_#†õó¥‡ÔÿЯ_‹œ,‚QÁ>ÄÆf¼Ûº@»äKê>]Ù;Ù ïâÜMìøÿƒ†{½þ¨—/N"-›M\˜K|±–T>Ùÿ*;{cCô2·eÊ .„Þ¿éýʆU)Í®i^àùnºKéíöæAI@Ðoõ#Wb:mÁ°¢¨nAp"Hãõb+ú¨<éœ M¯Y[‹çļAwæÕk\ñ á‹2‚¯ù˜ðšÕ¢mÃa÷H`TþãÀaJ= [U”釫ìBvcø3èM¡ÐítJõs©ý¾R÷^h­ëÃÆp74È“¿Ëå ¿üdC+ÈŒ)Ahå)¨D×j~dƒVÔj‡)Ú¾¯$'!(ê­Åk¸Ø·Ì<Û´öì«d.ù÷æ:N—ÚCÙ|:.êxq‚F_ÑZ¥¸)ºå'!²ü $©¯y5ò^z¹¼û¥IÖx (+&ˆÀB}°a”Î@’^³%j$¤;UJ©ãØ´Bé´Ï3qÛˆzU@DÂA±CÝа¥ölòÕüf§º:áèa%i~o$0ó(qg'YI%¶q×q¼ÍÇô¤›Ð„{¹iÕ`T+O«Í +¨U¿´ªÌ61w/îÐAÖ„Á &`œ°×5ø]5q”|Îô2‡Ê&­D™ãD°òïy¶H«Ú †s+¼…“¯[¹õGÌ=ž‹¤G¿ˆSD ‚#ÚqOõXþíC<ñúÅcÁ5]‰b5ÌjÃÆ2¯–ˆÓgÑ!ñKcdµõhÒ c\Dú5–@9¡knSëeù*FÌîòŠì¸T/3¢¯ÍXÜ¢& ‘É»SQæS㘢R'NùƒðÆ뢷â™&È5ðü°eä¬Ûüþ5“´sÉñC˜°ªR£ oóáÉ•ŒNd6¢“. ݾü´Ù<§;_ô÷$tŠv:¶ˆm%¶ðÊ擾./ +ÛžÃÓºp °”ᯋj¡<#Ý< ñíý¿Ê†º™ÎÏ£ò[ŸÏNONlfÕ,Y[äÛ‡€êv‚:+Ä»s™æöÑÁ| ÓÔEeX²ŸŽ? ôNÒ”/$JkÅ.Þ¾JWRÆ$"Åkž­ d»çãûhÁþ̹ÚARÈ÷鬻¥ªxQÝPKeUÃÕ=Ö™æ*3¬µ¡€&¡+m<ôJžGÙl=,Û9ÁvÜ?7çvR[%ˆ_} P¸u +P䩧ڿͯ‹Gûv‡! ÏýŸ¹ùzbC®‰â6f‡ ŽÈ®0Ÿ· â%a¢²¸ØÏ—~¼Ë2•æFǯ؊è„+Ó¤/Ö‘Ñ°œADiñº4uÅ1'V “—)h% øMÂÊÃwÐ/•‚C›˜Æk/‰@ÑK-½„¯H¬<“½=p/ â®yÜjÕíX°xÚI8cÉQª¸zG'ÇP¶ hQ¼'À¨È+—[uR"0® à 5—Ú¬â"±,ƒ $P£¿fÆ'rÉ/ TT¹¬]Êalî±…•4Û\Î\|ŸäÅOTÄDMX‘´-»Ó)ŸSÙ>- +˜ hèG/ZWNI0‡Dø n»>,^”h›÷ÉäÛ,ºØ»B%"KS‡¢±Àö¥!­•ÀÁäCér?É–ŠGÙ² LvÈàÝŠå´’O>ßEð~EjĶù³YUœæS#Dór%)²k”p,Þê #ÇÌ¢ý¿”e¹†t|  mO¯ªtÑ]µ ,@$6jâ¢Üik+!ãjþŠ>„ €"%ÕðknȆ7‘·'ä„_ö~ãkã¢è]*¡è!èˆÝAÕË=úÓah:ûœ +Tr5K¨µ4KäÛš$ ‘étÃs=F]Ø.A%LCh–­»LNÜdˆ˜c<Êüa4(׌Êy¨'z2¾5 ±Uë9)LË6vn³¯[LyÛTŽ¹9Coe“&nê–hHõü)¿BŒ´Ì+Yi~ð-ÉFL}˜îØöÁmöœbÙÉÉ|`Î o4{dC8Ò­£¹Ðÿ$ž-§Ø-5ª¢y}­b$MËØ7F.2¹ÖÊU »-$;¶Eù¦I6n=[¦mÓ2 KsSà€$ú"„éô +†º5gŠÏº›ŸQKôRÂÁ`¿®Ú1ä>™¸¹^ÈO=¤°Á!XnŸJÔdz+·Ö”{æjßÎ’\Iñ1o€Êcçlà„>Ezⵙ̒ÏFÌzlygƒéf9©‚OhfìƒÓƒ|[»}`SÉ::ö=««¨œwµ5@® C£w8Ô}£Ùƒ×¤L»â#ÍÕ¹[A2ö4_˜î*œƒ)`K&\,A°ÌŸE}™ßú£çð^AÖfõŸš6öÐIþ:ðˆ‚Ë6¯g¸UØ#ë+‘¤°ßG·Léò-y‹qøüö¦ðÛS 0ôƒÀA”0âùŠWâ J{÷ëôœ¨×Úcˆ6 J&WвËÌŽà»Õâ’ÿÜ¢Æ"QHK‰‘Ÿs„asß&¨ûXjt7‹s'D 4ü¬ÔP„põ‰êNÿM"WˆOü¬ƒÊcþNjÿ•á!½´…Q¢Ä®¬u× j’"apÁ£# ?¾ìóù•ýb„+Ž,im·Žåš´“T"47F×@.BEOéš»œL^#Î"»ˆ&sk÷H& ú’ðÀÉL.¿Eè›æ_)*ó…± ÃŠ0{5Cx¨eªýU{]2gƒL-BýŒRûEÅ8­z4<¨ÈV6ÏÁÎK·ï–Œmâ«Ø‹âòAù)r‹Å„[t;ª¤•íYh0>š–W ŸRÿJ}RÖPlR´ Pg|û‚*äVÛx +wr0:Ép<¿!XÂñxIÞjÜ‹h܇҃„a‘GÔê}8"„²͸¸Ï¥\¼¥‡2Ì05Üšüñ;a§"ä¹ùÇL§c@'à ÉùŸ¡lóu%éGYo3_1Àéæˆ{ßîpõ1¿Š[<"Ä<9©‘øƒw=”*²ˆ€Lõð-Ci/àžrg™5 FïW¨™ªoSæJ(,lSµ©XÏR/Û¹Óà4äé˜3x{WkÛÙXW€Lâ—˜ß ëBîM4Åq! Z0ÐJ¢&(³ý«ñá‚uH“ËÚâÕ¾ïIßQ7À'×gc Ô ^Šû+ÄÁlâ`ZÏÊ`}$H}N ÂC;Jú'.jv+ð&ñì)o’Ûc ?ÔUràó»xͱ ÀËÎæ`8mºS•)o½6à€˜À”uÒ®¤/€p#%¹Ò"âßÖ“=„<³¹°°±tPIî 3‰ƒ“^Ràm˜÷ “ª#_˜õ¯8.³p€pã¹–ÑyïVˆQ»þœ©à«¶å[x.)W‡² .¡©ö`$.K …œ-Á¨Ó6ocûªÔèIW[iB–GZo1m:ßxz¶#Lb¨ÀN|eš(Î$Ï€+\òÙÐázT6&@ò¨0 ä2<€Q|ÕÓõl@ ¹ïÓ6=x?×Ç6¨ ¥“{pWšRú+…X‡žhp˾’2а Ì¥”CþŒ‡tpt£Y‚Ë0!tÄá?ôëÈÅsÂT+~a +I‰×–/ã8c®iñ½èzõ9ø·E¢Û3›˜0ážë›Žl‰cÚ§"ôµ.Lj&çÌ,£ï‰»ž8º+*9!rû*¼œeÇîýô¿¿ŒM§ƒHclÊa;²ítr4B..=þÄz]Ɔ(߶4©é°qèI=_œó¶›:¯£½ò+š"nq&ìJ-#¾¨â…ë 5Þí’©ùš×Ÿ÷k SY¨w‡_MAÔ[ºòMiÍl&£*pÝÛú¥vˆ N²ùDÈìÇZÍëã8‹EÖuAêÞ]`í®S©©‚Þ.ár˜ïŒ$¬qñÌ+¥/¥ ÄŠ»Cm‹žøs+·&DHœ>gjßÌ/]ȧ˜š+3泬a’nl%õÆï"’ªI·Ì¯Z¯ÛYGŒí,@Ýê·hà¹wbŠ€šÓo ˜8þÕ2€ÆvGV¯þVýidÑ‹xùÚ\ñ ­F‘ž™‡™¶ B]šZj{ŒvZÓ‡Û"3gÀ ¿•Ø)¬P˜â¬Íùþä7‚¢…ïIw… ³ê\ˆö)G\K‘8™ì͸ ~—g¥Õ=¼¥,ç}hy=1ùá_Wó5Ú†^\À±Om{;F•“qÙæ5ðIém·>Qú«Ù¤ž¼Žnþ´¥%í"ýHdß·\±“‰Ù¦U®Q£âI:¬‹öÓ ^(äÈ Ð[üMÐñ õ†êlÈbÈ Û(±3Eõ…BúJáÁÍ IG6Ê(ù¬5¨„ôûw·¹}$õXª¤eµ‚é +j¹qì9ä.b¨~D'o3lE량öDÑ%‡v‰ÚUìoV‰„ìÂò•Á•FáöŠ—NêU'Ÿ¨( ÃìgMŒCýˆ(ÆÓKT´ž©ìJàÈš @Ö~…Bʈƒ+H%…cœ¾@ Ù3îÐR|—Ççx«œ*ÂÕ´*½ E Å´ò`„xféî÷ßãSQûiekÿg¥ê¸=Eh0+Y:KÀ‚³ÌÅ¢²NŒUŒâºX–>Ñ"ŒØ(h÷Ô:$:÷Ñ©ê:_Rµ£PyðHÁžE!5L¹5 +(Ú7tYÐã‚@lŸéuf«z~ÎäIò¹˜~ (jg*œ‚Á«¡ÚXf1[90….RþêMSr¿1 fÒýñ”‘ÐRPâTX‚—ë… ä؜ӳã]`Èá½þíoV(ìµ#VW“óα© = `B,¶ý×’Ü+³•ü#à }¦Š‚.&ÇPÜ„÷¡¾¼ +,NEB¶?xYlµ1!Ÿ îà'ý` ¯ÒµdÛÝéå4¥ê:Ÿ¦ ¤áÖ* +bÃg)¼wY™h¨ ǯò ½4Øßôɘ®ƒ®ŸHBæ¨báŸ-G K„]šZ•É–Ù°a ŽNjdACæk±¹Ã}.‰¬"‡€iã9…Ä$ÐÍrc‡wè­ÿ™À²+hÞ©nZšÍCéwúåt§Ù_¹4@tÔnðÏåv8ç£zrDÕ)õ׶ ùë¿ë«:ïy† `\¿¨ðbl´eÕ4þ‡Ê€xžÊ+ßnïì¸s"0OYV¤ðgѪ¯àèýø5¡ì’%RäuÇähßxÔìÚ×òd<1‰•Hƒï\wµ+€¢HƘ&úÿ6(¨vΙ۲à 8#p“dËr  344Z¼Îv8«ÿv"HM¤££zµô¬gr´ ÓDü\ø™.϶·z0©¸Ô§O„8ø×® ÚOšq˼ãT¦Ïq†³cYgpëà®W‡u…m +ÌàìKþQ~J­ ZY÷M¶Æ”ofÈoq¿'˜ ã½ô×¢í슈ح î:›Ç¯zw¬ïMä·5T@æJ;ä"cvøÜAZZÙ]ROÒñÆŸˆ°vQœU†ÉC(Gßæ·|GšÊUVÔ÷¨Aê-[BüzB©jÍÏÞj]èûÃÕ‘#©ân¨34¯¥Ûø˜b»²bBM ÐÑŒµ‚91}Â’¦@¥ðhD‰Œï¥,Sèrpý‹™›µï¦ºk?èöQ¾œ7˜7$L–ƒÂÙ9Žãp„"’~δ‰XË7±ØÆlòA$—ÿæMGB;G±Æ}€‹+d† —yq¨WaEp5´Q:섪íR[öE‰+¬v9fxÕT/™lr—Ê°×h4J!œøNÛ˜<|Šz–„¢z”ІÀšF™–·Ìw]iîcI±U XÛ@σK,qOÎäAbBµFÛˆ—A Á/¸)FÂæpùÐ`I?ÎïvÚEl¿€ÌjÙÊeç‰ý•è®/{ O™˜`ÍЙ¬N8Æ0Ê#ÄöÒhDmÿŽäð­Þ¯Í”ZýósÀÃÏhŒâl€z ×µQò„ÖýÎø¶ Ôi&‰q:‹¡hñÒ´d7Í–‚‚}jà­äYß›>çÙ@妼püÍRÞúü„î»Cv ´çIoF EÕ,ÞÖ×6¢¤ÿŸÝ÷ûJ͘*iLcºN‚ÄÎ à´L*€\P8ô€á-…;茄ìurqš'‰†?aH´DáMáÃ"í¸ìX>­’^ãA¿r á´DìsÛ¬Nö@‘%¬ÝB/!p?áìT•,æ?¼|žl2Ê.s ³¿iEÿX ç¿ì«!±Í°¨2%R÷0 MÉ6:Ð+õ'b‰ ÌkõdÀgv-a€§¶ÿKZÞ$H%lÜ»åÞ(o¡­¤ýôí«J¤¯^=µT\Fþ†ØÃ’=’g¾`%æë¶bûÞàü*ëímqz6Z òæ”üèc™K²Nl‚« \…ß7ÎE¯Ð yS~@P¥ T ¤ê6/ZBÔ%¹xB}Èk:¥ìxµxû Õnë 6[†ÜËOERtàóI™lp–#ÜQ©„6ëfù£UÇÿÔÔ +I‘Èbjh®7ÿÊCeøå¤)<.¿E¨ø‰OÜç°²ŒÃÚ 9lЂ¡d£á‹°|‚PБñ©¬$íŒäº0½ZÍpO ß©#–¤ö¨@º7fÔÐ_L€^ =JU@ -c2£`‘ÑÁûðB–·Dmºµß"dêS³,í"Xöñ+ÉÃLö×uñÂ)¼žÌ,ÜDîZ©7O+ÿB™xÛó—'Ž)5Ÿ5™Yÿ±cÖ˜÷ðvõ±/Z~+ÒË›ÜÕe„?Ú„QMœ<ºðèÊtC8_ÆZ4ÅÁ#Ç”ÊJDÖÉu\¥®W·fZÖ•¨ö'¢½Zàég%òqVdN\_fÔàšBV*ÐZfç ›B½îR®ÊÛO͵”JŽÄëËÂD.jAí´Ø°ß~Ъ}0 gx€¿ÏN›Ó²òàyÊS¿ƒ*?üz¿­à¶‚®=…±{j@Ö­Iåwäÿ+ì­‡Q°‡”3§UïÀ¡M‡ +½Ó)CשLT [O[BD!`9|}øb§A·¦2;àŒßY +‡vˆ¼›Ü/#Å¢$Âh1{N­èм­Žˆ4‰4®SŒí®j•À„:ó<øy (êÐòÚ˜Õ÷O\º +ÖÝj +"¨²ØÛÒ¬`~¼3 Å:‡oöOGóc9°h|-•t ÔýÖ`ÑÏ F‘Æ’ªò¨/îu¡±b;Y¡1Q«8Oót÷÷’OÑ߯dW‹X¾ Õ +t7‰$PØÁä˜ÀO_ k9_¸£<ÜW…_í§Ú!" €)ÒáW [wÃè߶8Üb_€º· ÇÖ´¸Hmçs²s…ÌÚšÈã0!@Q€½“ã›XZ7Þ÷β—Ï6³/ªãRи{xÉéæH‘BÿˆÅM&Z ›.è…x\;àÙͽåaÒÔÄ÷ø®%Çð1òñÒPØÔwã£|2xü;âDŠ{´á hD=ÞFP2rð®íMÐfÓÍåèƒ3½!•B¶\©'iU§üу*P[sqDõFâq_Ü/ ð] rùáœ~s3Ÿä§2··=dÃÉq]Û’Èâ|ðzF½ÌÃUEï³TýE³˜Úfô˜&¾Ü4åÄõé‘¥ëu•œuáPÉØç[¡¡D!Í–¿0ÙQÁ³dž2_fO,¸ƒñ˜ã¶î³ѺÈ@: pèϯXY6h´k8ŠÐoÄË“ÿ•÷u>K…»æy¥'/ŽÒ…5©(Î¥f~M©Îr#(€òÕ¶3 +PÓ€S!æa€6–Å3ØA_¹¾Øp꣡G¡V3)¾‚úlªTŒ×õ^Ñp“w´Ü‰yñ:JP2¼"…¦ŸôÙŒÇN”·± ¢ uÇÆž`˜3Å ²^+šì“zÑj©ÓQæ9mk wúêHcK0ݬÎú˾Vgy0qšç'q”'|’(ür׺À¸¡eI“îI"Î|B#ujØànÙu¨l³‘ø%XD‹§™P2cX!{«øF7‰îM™ï¶R•,Ñv³.Áü¯ +ÿÎOrcqÚ–Ð?*Ö!F¨ÉíªP qŠdS*¸„²Å¥Ä„`qè( YÕ­èÊ-w¨ +mc©I{O¼¦=v-ê!šß°ÜǬTêquûšK$õ2É䛘!™—X¡1ô£8:hq/>Q‹õ°xI(|NTœ!kÕ;z{^¶²yc¢‡ ˆŽ7*ÛßîÈ1ÌbsÌùÇ}†2âþ«:3‡F>/Õxî¬ÆV d1mm}0ØØ´Ä[ôÔD@ªI)dý~Ž¬Ùªýž¥ÑýÐ!^倌P —ê-†Œ’“…€š#¹Û5¿MÙZcìÛ䳬\ðâ]lÌ>R:µÐÍ]™Ðëf¯€08翳iD,mø"˜ QŠ½f»ªæ«  ¬…4ªh IÌR2Û:BtïV¦¡Zx@øTÇ~†ê>\òº{ªÕ?¶Ìk9NàŸ6,éÁmÝØ)iw²ÚœÔ~wÉV8Åü†7‘Ž+{-i<§‘_abP5Êé(¸Æm½Ý!˜){;§ûW¯Ò~1r¤T³M}M‘™+è÷\ôèrJ}’>Ø"¬mQg8‰Å›æ®üA¸ÕA±ÜœH'ï–ú *°v»ŠVÜçó $X47cjAÁÍ٥ż“Lå ôÚÐ ¨í° (@á©&~&¯7/6ú8ø7íS*P:†*Ç®œ»«UÒEd4` úØí×-l.¡Å¢â—lIiLŒ§æ$ —°÷P‡;á¢ï¥€Ó5R‰©@#„Í8M‘xSKpm¹ $î£Q,m6 Y¾›Òqm6åØ3úd°€coh˜ ÐW²/á½…‰L@ ¨Æ„EJ@ó¤èë«”¦ªh M„Ÿ±©|†ï-¤µqÉYÿ³X…ÁÂêåRÃ=®C]óêfÅ&'䚸+®Š7Õ»ƒnˆáÑD`@ض#7{Ã&o£N8`™%:Šåh‰é4Ï{”4ÈKTÚ‚{Ú¥$Êàšœ ûìÅ U€Ã†®‰Ô œVFÒÒõ¡¦#yôñϵXmå>ChúOò0:즖ehüÀF÷³`Ò8r 6\(æóÄ .ùäf'X…Qþ‚ÄéçK:nÓh~tTÑEDùìä)|+½hÏFcªÔÁ6Ôâz&ûN2¸ 5>Ø›Ã죃…H½Ùªö}+ÞN\ CjÝfŸ·’^Ks4Ž q¶zÕ“¡hD¹yÞSy Ü€i—"6=ïâ¨08¿VÅבÅx31“¢É‚B-õ1ˆçw7qüRìy³~"!Ð|Á’?Ð+zbL奴õŽ§æv kÁt"y@…È:[ovE ÈB +~Kaÿ, _K`×´žÚ9¨D×±ÀÛh!vP¬pE±¸Æ+ÂåÛ-åœ}/Ar˜áÅ&4 eKYÐvÅ#&W6¶F pékqZ"¸ À“ñ/À%òêÀÒë]?r ŸN¯˜™V­7RVªù‡ïÅÇÙجþâ/gõ°J-Å¥ ø‘ž—Nq%cxªØé ÉËUô~¢•ã×Ú““IVíÓÖSãý`k4dƒ:rõB(ßéJmÆs·¥¿æà®E«ÈzV° ]Wþ]Žq«b5aöäâp¦ÛdH5U;ߤvÙ–ÆÝ Êž©9N«ìî…ªúBýðY¿ þÃu3E}.ÈXõÞ€Ö©>Ögˆßµ:–ƒŽg%QWn…'*ê1œY¨/_¨ /L_Mg‡ú×ÙLyP×=¦;YÑ60ºœþP‡1:ßfO¬8©mÚÕ±P…9)‡½Ï ‹Ï‘%£ºJŽk”öñ6ÙıÐf„/µXq@´(ÿsgV¤îÊ7Ã~ºŒYîé– º}vôGö¬Ñ,¡'³yVŠ2±â[á2¡.¨°›Üû8g¯Œºˆ\ /_%d³b½ˆ¿.ê‚SŠìM×I™4gjÉŠršŸ9Ô½4¬²¢œÝ“¡D{9è°QNmÑ*+üÊð@­Ô„| ‘;™ï¨W-š?ÚÀu –T^)kX³ä­UÃsP±+ó'êHjz=´" wagE½a­('õÔŠóÒªƒiEÖ!u¦ vR†Êj,Tàw£tã¡ +5ó/ÐJb1Î*ü&‘ú’Ò>;È Ul^Ç‹x.@hõÖYÔ¤ _¯.ꎙ&O]tRµâOïdûî,´âT0{rT}Õž÷Icu!©ãºÐ–ØÿZ÷|`ŸYø]¸Åu­ÐJIÌòeh‘WY{?ܲ⧜^ ö€æ0ë4ëÝz"µÂxgš\š“ź=Ô2ämc Ç/ñƒxÇE¦Äq *Ç4»¨º’;ñʼ…˜~™ãm̦äܤõÁ.Ž¦Ú̬ýI&dŒé*øtyå«hp¨ót38`ç0çÈ£Èj/;gí¨"ú|Eï¤ù¼†bÝIÔ%à(›u,X§a'…K©eF™9²fLjg"~ö-tç{±|è•(TõFY,%!7$¦]Àf4u딸CB>Íœ|—elŸ8A›zí;¯Ÿ)s¢ +¤¨Wgr$Ή{…¬®Z:ÞJƒ¢—ªƒßKuÉÏí¿ôBaCê×Jù„v Ù[‘Žùf®ùÎ…n¾í0ßÍ17ß©Qšo[î0àÓIù&uo¾¥„Çé@ÜÞ^n{;¹±|OT[È”o7ƒ8˜ò ëÈžk²C¬üÊ·E¸ÐPìYvúL*Ü­|·OùnŸØBñ×DC뤞-ßm³MrÚôŸù.ÚÖ|ÏÚi÷þ#æÛOÇ‚®W3Iì|[8ìСb¾.|ôÝNTôþ½Ò}›P¹iEßÉÆñátßïF²Ô" Uu+”ï‘æè!ËwÃ`Ä÷½˜º®üuCŠ`"ñ7@ÁV +ö!]cÓè Ìwc W­ÄÈ”’Ÿ'&ævr‡|ËíÚ45‚yï ™Øt éÀHLÀ+B2ŽÉÎóAõý+ƒ˜Kàwµ²*kªÆËôÏê(µHÚÞöx‚  nµÿÇÙóÙ“*OU 4²6øž~Ù#.SÊÐüógì3Ô½À÷hm[¨9xØó5eFƒés]Ó»¸n‘*´ÀOû¥£'¼õ¾&À¦˜Â_ÇFÔþJR½è1{€gãE»™]-+ [cœ½iã·iDéúÚdP9¸Á’ +ò ø4U@ƒ.e­,„Ám‹„_ ÔP­léFÂYa¤‡¯ˆ/HÉ׋CÀ%+#„Eâ}QÇ–!¡aGæÇÙÎ,¶cCëš  ™¦_Mì‹d‘ã7à+Ãý]´3×[ŠŒõƒñIàöæÌ–˜,Ýï} "/×}$¹KÅ#÷âeÈVŒìÁ4 S·<¼Û™U ¬•åYôð õU:uϘj]Ì…79ƒgým#Ƚ 0;‰$å·²÷ +ɯ#SpÑÆmpÛ¶¨í…vb§º|j æk„ålºN_»Ê>š;NûùÒ4Kë\¢ú•‡ÑÀcîÙL~îHiÊøkÓ å×rT”zÖ<Hq¦Ù’ h„˜è¬ +þ A]9ä¼2GÐ,ÖA`~…¼ñ£ú©ÆP¡¶Æ5UÌ»¨q¢éd¨Ó™.¡† Í(³Å‹Ð1EÀ1ï2iË´ˆ€(ùO|†þÌK4\QÖ‡Íϑ‚n+ŽÄ k'ÏÁ# 눖XžÔ=³ôº d«tL_T#î»m~:ó[ôNÒqT>™ÈÛ"ñbx¾â+AÊÇx§Û"K” +¹æÚ>¯a9gX&F2äTóØV²D’¶¬•¦mÀ̺\Gê0_ÌäR[PZÊÛí+`"@ÎŽ#Y¯´û—n&„™D@s®"$¯F¡8»IdósÌ5AeG[¦FÇìYeº%VÅQÖ×9«NR ÔvÖÕÔ!ÎM¹uÙ†Áp™N9J÷{^¯:nc•c;ÇÔ[$‡2ÇÁ]-Z=€ùAå_ÀCr—ök†Ow®K3ïëíÿµˆ"¾ÜÒÓèÖ‚éµ@©÷Xƒ‹àÓ}¶Åjäœa 4 Uë’õr_G.ùú;µ@nµ!º’jÖŽ×D 'ÔwÎYŠªÚ@· ÍBñ7F««¬¾®ªÉ1 ?»ÝÑ $Õ}ÒS(‡0=Av{´KÉV’JrÇËÖàP߀D¦Þ"(*–Ù“)r€þß>º$ñ-3gãóÔ–WµŒ+®ÓoÈ΋$ÞR~­(âå‹|¶ñ%Ô» º‚©ˆ¸ÊƹO ›:ãc•‹Kvö¤«ã~¢B5bš¸¥ã(Vpû˜øIxÎ&KN¾J/í+½ Ù–‹ëòú”C%x˜Ç°LÌø³«ÉÑ<­M;”ã–ÂÔkxV§mŠàCÜ-¬/)Œ$þNtèes_iB&M%»OP¹`pŒí¸™Ö¹Ë 7}ÍN íŽÆqýÂƃ÷½ìs.Ñ'{GB+U˜Á5‹&¯ïýã$—œ¡Y€B¦] +û°ˆÝý”•·üÃÈ$ö'l¢ŒfŠÕ„ÛJ8@øs)±8ïl ém@¯Ò/†xæíóNŸü)K»3®Ä¾ÓìžæG«Wë²ìG>èÀU9|ɈjªíÛs«;®(¤úUsü¢‡§¬a‰T;4²Uâe¥—Ê×€ŠmyK ·ÛžlA +,ÌW|ÛÆ÷h2UÃ~œ³s7᳇ž,çv‰Ä,‰¤jX­:µ*‰­Š$rÈì¸3Üwâ²ÖG"º,Ú@{ö1Û‘»Z ¯wL +k€c/¶Å2¡y’0*0_F—oÑ‚=„±ø×T +¶åa¼7˜ÂIî0|¢—±Už®ÃRÁš >]Å`­'”Y¦¨ ?)+Ž•Q!î×SÈ^´SȯÈû¨‘ËÀ“Û&W-©fæ€_ÂmT½S0dG¢ÚÊ;a)Í„:m¦cûzØIfíu)ÞéY#g›ˆ$ŽnwîÌÛikg¾ÍIwrä¿_¦îFƒÝ *Ó¶ §(?…´“«;ûþUÓBÏ%Ì $ Z1]c¯Èkž«è6ñ¶»’ [ît3fTîÄ´íÙÉ»¸“Œ°]|åN†Š±wú§;žÜéßb/†§¬‹b XlYB5¾;ám†oîŒ$ã­\êƒ;7«! VŠûåÈÄÕzîÂm³$ÌѬ\CØkÝkLÊ4^àmãn…‡ŸÜ‰¥¤Q¾;^\ùž¥µ‚ÝIŽÜ>æKãûâ!ú’õuô€Isš-…%–Å«yKÞÙI‰U[0@Uظ¥¯(–xaÛ–=|ØKðNÁ¶m-Œw¦¸OGïâ NÆï'NÍDºœ¥ß9Ó,'å²\"|§ˆ%OgÃïL‘3ì?“/1SÂE +³ðä»)ÆIåôÍeÝy3ñìÎÙ4œ) wbX±…”d;o™[îì0SÝÕ U¸3èL¤:zm_ +kSî¤ÑM‹jÝöP‰‰/îLDòEèRÖ¤z²Q›´h!‹»Ýé3\îv'Ž+vŸfgídS2MÞclw6´ó÷5GKmCÇvÅzžëÎ3Y2‡Ù`YûîÅNXX¸®ÎÎ̳J§q`5„ ¬ÐX*ñåtø€ÒUÏ7…¢Z NO.5G¡ðW+©)k,ý©¢*ŽªQdÉÑ4ÁöÃ|D`œy$ä€xBâ…rÑêZ¸"Þx_zÙñ¼HRŠkN.3¢Hª=ZÆ“„ôÖ°IŸ1SudÇ„7P“yÞ€€.|­ê;àZÓ¤¬ó'Ð5TÁ!ÑòÁå4Œ§jÈ/žÎ£çͳy^Ãb ¹Rbš·m§ÿ×&ÂŽØÏ_âûh8ó†¶y+]ó}h[©%ÅÜzöÃ6 ˆŽ,Þ]™9bîW´pó´ñÆ5;e7WÅž _{vHÑK£u»ceªLéÙËnXšwc¢q¿¨òrQ©[pÒxp†Ì…9ÅX¶þŒÏâ÷–Æ*èÌ«èüJ–:IaÇ9÷qFOVA´†j÷ «ÙÂX جcR +s¢ÅÏíe^ûY©rµŒ{¶ Ïþƒ<3¯¬ÐTEþ1¬›,^ZóaZ•]߉Æiì*½`µaîÍÁ ˜+ÿÆöMÞÐäZž&A …s·˜E´¢ÿ1%5ÎYÃ.e8©í¶o4)öþítk,ZKŽ©5Ì,²>²r ZÓn`Í‚ ™nkˆÈyÒ.¢I…ó2ÑŽ¼ÕY¨Ó oòZKèÞD‘sê°ÿá t‰Õ$¢0îìIŠéFY^õ¾á-ÂÎÁ4è…¥á—®Ñ ¨¼¤XàÚ˜QOOàD”e^ÆS€¬Ât2­ÝÙIJŒÆ€ŒÚ¡²kô+×ÓÕ.Æ߃²ÈL@E ³dï|ib³€PqŒ~›FOs‹¶”‹Ù1}².Šán­ášý~6L=­'¸ìn®$¤GƒáOÞøæHõ‡µ/VÁÆËJ‘Ò üº¶Âï?{rJ +lvU R A:o-ÊyO>kY]óŸ3¼òoÞõÿ°œ^IšqÔÅZWA¸Ð¥í,4Mm$P…E‰ŠQÂ>‡Ks.÷ÎÑZ¾ .ÿ±ô½O³ô­;{‹¤æ¢C5ÞË•îX"x(*2RõIâ¢ÿ¯XÏ£ÐlÓ‚R…-¶ßÀ×#ŠËüï%Ö¨Sùç*ù¬}' ­1*sa`l%<ò£ÏÉò|ašRõ"¾çQÅ¡?G\sÌK v#êô›ª„½‘v¨J{ £ݨ•øñTAiä`¸Áš¤tþö}ÓUtŸÏC| çà¾øáÅ j²…ÒJ@cÇUö“˜…‰ñAb˜«ëAqN]85Ÿþ˜#ª,áÕmf½S\^•~qIŽdÁ( B„8¨ö´¶ŠP£Ö¤6$$ñÌÉÒDh ãÓI,άH2^{;Á¯©Ší!±»˜ —cP5…DÈO«nˆõ¤_XÓn(= ?å¨pÅË,˜!MŒ ín'øÔÚè®Öž_ˆc!„®#n…É7ú´‚êê2ep“eÁåÿ…Ü_ĽE\Á!â%©±öç|]͸ ã^‡žK}yç²±ˆBnÅ$»-±ªþÅm‰*Ü…U‰š K\Nþ3~‘‹õüá.û¾Ûf(®@ëß™—F`æŽZªv“-Û—ˆ~[ÙØ'eXzÅë÷ß ö´aï_I>œ)eÒúÉ‚µ‹†Àæ:¼–߬ó,E¯a’•€TfaëÁ¼‰¨½ÎºT’æáÌ*²:@Âý{§¾³JÌø"Àqm§îÖ=aE ­'¨NbÄÀ‘n„)Q)‚w§ +B|~(1f"ŒY0xœnÈ”g³ôäh(céÃNè 5¯ß¨ ¨‡îIŸŒpóu!ò1 hgXÖ‚©~UUêô)½®}<ót{dx„KË +‘Ý6?J_p÷£Ðë›sø ©Ð& ü¦kU¨ë¥Ê”³™Éÿ–)«>M°cuâ€rUž¶=øï`,¶3v†Õ˜õ½÷Q\§g.ãÑ”zldïAݲ4p¹’ý“^íQ¡O§¿ÍÓ.XH²À^†" ä€ÿc¸—ÆcS¿—ѯk7UûªwðBœ+8N,ë^ˆúAafyè,„/˜C’©}M€m–&­¨0d€Ä~ }šIÔ*ûªáÖy Âÿˆ\…ýöÊ~߆$àFÖL8ReQî~YÓ +ãÖû1]ÇÁ¡j©¤Yq÷UÈ +xÕÜ··6*Š©éáÚ„]êjïiýêôØGLQÃñ'¯Ó(*/ åÚ5‰ÏúˆóãM®búðºPš>öUn1ÞD”˜ýãò£Ý4óYEõáÔ\•²³„yïŠS„½$O_‚ðm +#ªð œÜú•²ˆ@C×€¢;¯ãÎ-QInШð +ÕVȆߣfr5ßó¢£!.ù&RÁªo’ž];ï&E|Ó$•Wn¬á¥ŠË¶Ã0°×ñ !Ú'}-ïztkypîrÄ¶Ì‡Ó bKJìѤÖ"É\)§Ôbªwœ¨ˆE®±µöŒµCÔ^ìÉ mÔl<ÁCý5M½)Öl™J Κýœnõh¢ÝEoFçB”˜¤/YY-œÀ‚Û<~R5ž¨°/Kôô÷2¥¸R Ñ\Ë6§×ô†é¬£„0RÏ™ÒBJ3íÇÔ…GéîÊžˆúcRsT:ìw«M‰PP¯}©tºòŠN+sÖ˜r%Þ /4ôÆ.ï^yô§·ÔlÝpjQQ.µ ë؈žêÜ:‡?Z¬úQºƒ’¾ÝW÷Ö‚óf<%$sØD1²¯Ôm©.¾€~H-Ï›\§HÍr Õ,ö<—nã $%Ø]bÌ -z*]••Ž­çvöǤáò„Hr¢ÆÛêúµ~»¤ì°˜â~ÿ|©a¤Býç-V¦T÷é°¬uè=¬ÒÍ…¹%ð«µÕ<‚Ã6ŒôòM`…/BRÄBöqKɪù_ ¢°‡nÑ6ª»Ì÷ü59ã`ü¦/}HbÍø½…$¬÷=EmþѵÙ”ø‚€æ//IsáÒü¤BµªbH0/¶éAhßÑMéÚû]a]ÌŸ¯~!2ykÒÚ†d@_Ú1 f¾$a'[)a¹…²|¥y•+Ì$nÊÝÕA/ù³?,þ0JÛMwD}.¸¹O4la˜‚1b­ X™Gªý –ñ>èOx%Úº1úñZxNXŠSØZ·±¡ŠÆ9—£¿‘Ó Ôíø»¤Ôs@d€Uó$-À~Ü^^…ͤ­~ž‘õÍÔod>ðƒÌk%s1RY¦å.Ùynè¥ãÄvvFªü‰u6ñ/‚Fˆ™&†Ñc$ƒEªêuøà9jùÈ¥° Ž5HŸk¿n¶…qƒ²º®_ ü.F7S„UÊe:.´Â"šú»ùúۆ缽¥y¦œ»ƒ…ÑWÓ7ÿ¡ÕŠ´tµ( ãBCÎd.Å°-i Ì™QTwBäuwG?ÛúÕßú99Šûbó(ºÌN„ÇÄTuqhzùÅÝÓ]“©ôíÂj¾f ²h|™:I‹Y¥0¬Á1Û„âK”ˆ'£¾Ju>\ðAúíÝ£1ñ䩹d¢Ý/Y +ðý”½NóV–rñöq‰4€Òke’¡>¹Z‡r©+¡€L+ºqØÉbÆêßÕ„î%0ðŒ,³ôÑYc¼\i0œ¹œ’¢¸½ÕzÄÏm§I ¸BÆß?ìéÌŒªmlwŸtˆèÿõDžÏº.íôZ|š>¡ÿ^ïÂåT7äðÿ* ë0§ƒŸÝ,‹ÀJ§xL:³8 “]§AÝ9³Ô§¤ Ž+IøÓ-ý™-‚ˆ‘1@% ;ÒÂѦð1hM k¹oY5VÊÛ>Yz•Hæz•w…m´VËàðˆ#`¦ÑÁêB¿¨Ù$7Üøâ)Ž4½HÒ#ÚfM«vìã„.#ªv–K£ÚC‹§-ÝѦõñ¡Ö6ùmë¶Á r‹vϦüòQFÿP[Ë’G/ Ÿž‰0þ‹Êç9bc’WÁT…Ì-›¯ò³šÑi­?Úé 覎ßñt"© ­ +4x$'J&‘' h`?F$©µ©õ¡y˜ÿäÂ…^­€Ã™ È’ÅS˜YÝ&ÏÙä$¿ÅR[ë£è‘„x}6UÐ +ê²^%5¾}’¶çÊ )»Ê¸'6ÙÜ|Ÿó ø§ +m­ ¸&/Œ âÂÁ$8Ü¡Vq2XÜ5Ú´WQÍd°G^²2Œ3çhŒª–¾qK`ê¸8æ5ÖˆŠé«8<Ì'/)é,^Ñ]®Ÿ{ÇA¾>é¶$ª8½®ÚºÒ‡õ€9¹7D ¶ )ç‚1õ¯©ŽG&&w%ñÙ©Éso"ê‡B.vVôX§lî—§,ƒ7é i[&ÝÇü1ì¡ÔÔCÉÙG@”fÉeá5éèxÌ,b2e&EG–mªÓbÕfM:¡ï+Ë<ÈTaÄ(Uì5ñ˜H²°{¤[ÕÂWíøuÑ6ì0@y d:«•­AWóUrÀS…—‚:ÞBÁŽs†ÖçòÛË•½«ÝÊä.¶Eºb6ËëfhY‘Jìž%8úßVÉtn˜â'À”¼ÙÒMp: õþI±üø8eë")„% –W¹M‡‚í4CÁ–$‹à›ÞúNoI‚.iŸŽ®?ä29Æ%ÿrÔˆ¦èðd*ôÞB#\UVmPëÃý½Ûõ߃µÏ¡Î¨"C)ù§7Žò‚ضX¼Õôñ€+þ³¡¸ê)-1izù­YèžËcA¥ø¯Z,¥å †ãîµ+; V¯vÎcÄwá'β<½-Zð¹ç21¶Q5«W±dyÓvï݇¡#f)àY«ø4ÜŠa|«nÃØXŒöOteF ¹ˆ\^³äøf„Þês‘A1™Cé( ÜŽxU¥ŸÿT£ŠSÐWlS10‰%é•@*X'ÑXd¤ä¡(I‰mZ"Töè¼\ KH³T5”¯Ã÷õ¦›6!€Öƒ)(dG\4G„7ó™Ômæ*PÜå„M#˜¡ÉÇP(Øe.‘/G†ç&Ò]¦ÝDTãR$âîù)whýÓ›Ûçk+q(Fål{_N¬E#ÌÒËäeåh®à µKÀ­2FJ™Šˆ,^åÃCÑžêMN’òV +#Í’%]l->èk\#µ‹ÊS}zpl ‹–®¥¼gK†˜õ8nà7;—š\™gàZ!A`ìï¡Æî¢Tw­¸‰+“‚_©À; ®qõy HÙ]ŸÚd¶b g{„­ÑŒI4Ê +ѤU…´‰ut5Âdóè™úsâ͵j»Ý]Ãçt€®yL.CØ-c²A:k(œ ò|S@ èÜÂ7qÛÖU>`·Å¨h¦A&¯ÑUJOô‹ZE®ÐÁÆÁ€ÏèôI@¼%PRRÔr^u¨P’Ô@¤2”]$;•|VdßOnIžß³˜]ïVØê˜8BÁx‹†¹'âW™šÁÔo¯ò1¢Æ™èÁ0”š±ûŠ „¨–››òÜDCÜI )B%Å¿6úNMp%‹ŽJ½°{{Dz|Fêe”UKÀ@dGÇð1"+*щ.Ãns2‚ÆÃêA¿–sòc¥ +|ä†2è@Ý•÷f±7Åp¡‹E_”J„TxÌ,n.‹Dþæï:×Çi¸’Ú:úáÏ*¥š +¢´ÔÌ„¥$¾6îmŽÝ˜×&‹ËuüÄB’—Y%6räÇPÌ8«#¸»E¶P¸H_aö·NXÎ@a[]‰ÿ“_Æ N.h—à.ÿ@é ½úÖP%"`¿j”b6š"ùÙ'â%gíè·:¬4±šàäî-¸ˆÏŒØ*aeø,Šo3T&ëËø*‰ñUü_fwžþSµ"Ä$?9%­5€ªEÁü¤IeЭÛeXÙkëI1QUJ8'2)%¿}óü•vŸœÜs²g9F§ÌË{âÊTÂk³5чwºwYßP:”ÿzùY%éYev,C×ñÓÀ“˜¥ËÅY‘NKY]ÖQ/žÔÄuuœúóšj–TXè Ç+ À{¼©/z ÚO\ý•T±Ûã:¯2âˆd›>˜½šäeØ.fÌ´V2]°-.Te é K3ÍÅP2oæBÀ,Æ +þò¥S €=À{t¯¬èº®ëºî/hÕë|Àëðz49TšS‰SuS"Fv8àªÄ|T/~‚³£ЯêêÐ)8D÷c +(P\#b’J jhR·ã”{îAˆÜ˜4“ª]H„פyAH=ÒLE rçOc±ø}7ÝÇí\dM¨1yñDG w Ê` ç{´Y›WICתµ{ÉèP=íS +¦‚a/‹9N‡g Ø r†Ò±ô¯—å¬âw5•<öØðJšO—"šõ¤JåâDd1(8512ijy½¢(Þ‘.íR ±©€0iZ•\<­†SLÃv;´Ò+ÊñpQ€Çx0ÃF=$Šs%³toÔJáþ[¾4”R´˜ÅA± +–6œ m —¥R [@7vÙU +£‰@š×ÑÐà¼úÊ`%s›Õ`¸”Óèz<8UŽzA ¡ê9šötàé&˜‡ÅÑàZ¾³;ľV‘ÌB*”Á伬Äi„ýÅU"±Öô±(àxñždŒ¬| 1nˆ†â†6AÈ K¢±ž½…[j¡Mdd¿Ì>Ι`$bÍ9–uáÌ¢R‚*0®é(/+î2' °( ÂϘƔlG4ßa@ô”qPà‡Ï@m.îSŒ_?þp&«=îOg®–Ë¿&ÿjbÅ¢ìƒOæL¨Ÿ4©}ä!Fc~3ˉzÌ{:ùJc +õçí?·¢ØIœ×4h8žw( !¦ž¸òܸ•WÓ‘PP°ñq xÅ®ÀUzŽv™!‘“A0íe¡0Ü¿pb¼™lUsé–'é•1´ñdö»AN ¶3í›BnHPy Ø*jüèXXoŠ``)vºDêŸn»¹íôê,!±ÚeÅyd­FãŸÁ”Qüô2=–špMI¾f†*J!;Æ4¡ ^N ÚbüÚ8öí¼ƒÓé7B’P/¦Óæl˜ ÈÒ¼ ±9Ê°ò²a¨jË%"a¬×ëóX¯_œæ!ühšÄI×ÅV(+ èaa µhCt>LôõiŽ°5 +†>ÿ!xÚÌJ¡zY$D4“N¯ ¼$K4—˜ÑÂt£BD9,Qâ“`pDˆBT1 7®Ä¥¬çEâÑÑàTÝÁ 2:âga;5e,Wëy/+’a©­ÄBͨ¼‡€ˆ¾êÛ…Ûçìß”ÖzTuñOž +‚~MÝtÏ ‚(ƒÛÕéàâ¸F) HŽÏ˜y”<íE4­ ‰J/Œ’Ë)¶›ËMº$8—2ÀÖ!``Þ/R$q×=5mŒ²ªÂœBAðI &䮶o§¡¶¢ #Î]Õ{xüJ»X¦§2 ê›&pB|š1š=ø¿pY…z™n_êè1 +¾L ¥£õ(%•i'yš#È· §§DÙÀü5Z¥=Z5´C:ï@…®äVÐÅʱ–ÇÉ‹<…¢´Œø%°¼,ì£EØÇæšGQÛÆSªËÔ 7”Á‰ <:EÅÃÀÀd4,x¥¹"˜ôo«ãöÌHÕØj¥Ó¹Œ ’£íh˜kº'`Ù†8Ö ><`¢÷SxY"b`+x‡©##ˆÐ‚ù›tª4"~’rƒgtx£S!´mˆÒXd +ã$σU}ï9÷¢ÛÊ4£DÑåÐtT0ò4ÇNd†â4>bÕcPgŒ^Ö=BåoPìËê‰bo·ÞÄ!Îpè+Ü Ár‹±Ü@È•u„%ðà^>Q•K­0ÚŒïà”&ÙÄCn«Y9#=±xßn——âȾ¬itH#¹(Ì+-(܈Mf.V ¼Š”PšW Œ:`ì‹g™òÓÇ’ïêjÔwçëq… X×pȨ ÐäkÅ„ª¸ü¬Ù£ Ò}àea&™Ý¦H#Ì ”¬Üð?p¡_}s§„ñ®«Æ‰m¹yCè«]3f°Á:nLÕ0iã* î¸fVwMCÄB~ôIª N Ï?Ú~šÂÝlÇräÀeYºŠ<= J5)W)Q}• ”+Ÿº×û [ÒŠ27k(UØÞ94¬ Œé6eªÁѾ!hJ ¨ˆL†‡©hAqKY†§ÄÍËêÏ¿TÙTV‹ªªt’êÙFèÝ?0@P¤¦ì¿¿ή¤ÚÏÐ!ÆŠ>¢[ÌŽ„„¥{å‡CQ$i `DÁõÐà•Âÿb+’|]€Ýð6Á8‚óârÁ¹ FŠÄOR¢:NUB™ ‡dÝAi—˜AŠ'^_Zôš“ú”+8S~§GÅúìk¸¬‘„Ûq á××Pà.´¨Ku&åÛÇ¢Íh˜1ádÚM_Ž'U#0¸-ÐQvtšÍ™—Ø–’Çyج>|Y=¸ÌXVÕ_竾v”oØ\ +ØÞìJx)•n‹y’)%Ug5‡Æºèåу8¥iz° :ˆx_Ö˜-iðZÇÔou”ÀRá2¤‹'sÇPÃQgÖPV£+l<êõ0¥¨ƒ«1 îTKïó  ®DH—„ø¡wos=3¹qY›ýà†Ÿ}¸üÜÄ®Kf€jôW®U6ž© Ø°fŠ„)|Õ JŠ„ Y˜8ð›o%”ÁyϘ àÓ'#ú!/+¤¥Ø!áØHrY£‚Æ.Îê¡Ö úN0…âç=JL|ÑÖQðëÅûIÇolsés¹ˆ"…øk¿wÙ:Ž !ÂÔÕ+F±_š¦˜‚¾ YLYì4ŒÜ0…HصÁâønm”ì@=D^¦tòĆ|;Ì=AIŪEõ<.‹ArhbŠäÀ¸-b|°\ò}ÑdàÔä&7‡LÈ÷§Úã]=ý&Pn¼RÆSÔÅÍ@ÄBäQ­,7t4 +‹Ëä²Ë¨ù«îe}8S†^'w ûés á‚’?2å‚oXÛ¤ÂUónò l‹¨LpEŘU*¨MaÖ{S£ Rš/káYVñƼ쥄ô\v*®Æ ]]˜pÎ\RÑt¿ÿÃöàu·@fXµ)œ ˆ&!ÒP¤³LátT@¥‡="šã²ÐдÐï Â7¡ ^ŸªEFH ¾7œºSÚùócªé k\Ym‡H¼ž¤ÓÂeˆÖú¸`^Iá? +#éxÿÅòn2'õÎ<¾Í—¼—%ÂdçŠí}pRÁÝ|怇wB‚ÚHßé8P½‚ŒCoSÆènàéîy¿εa“pH"¼œ'ÅÀ—¥™öòù1DH&ex½Íj°ÏŽ‡‘p1LžœlÝ7s6Lû +›@ •'”Á¬\šj‹-6«rclWê„}o.^dŒX4é4õ¾Õฬ`þbÍ0–è)#Êi`éÌ– ƒ¦6Qaw„|_öŽV¹0¤‹ƒ,Zâ‰)ùô—Ø{M†M7”Ázgçeõîzà% 9'Zc~%÷UÄ”LÉ +bYØ_‰Ã ¢¯™úx^{¥ /Q¿öD„Î&^CGT¹Ì1/Ñ~!jÖÇË#QìÆYðt4_è:Äù^@D +>gt¾µú™cÃ&¸'É*yåž¾IŽ%hÕËÚX­5Ä´*ÁUš+NÀ5µ½¾Ó½K•G9._þI%Ûx“ 󦊬 Òl¾•AF`” _¯Êøñ’ €‚„¬0£ô£b¯— R¨Vž \¿´‰(¼¯ªT"o³ €Š#‘&|m[RÑ +ø¶B YJÈÐöý¼=M=LHwF¸¦ÅkZ=st8d›5Ô°¼†›f\Ö~.6]=‰Z§R“j ë JER»Û'lç ÊàÓÁUÈçy·+¸TŒF(;ÂçâÚ@άÚ–pg1‘åLùü#mûƒjÅM…Ã{Y`@¯ßÓbdAYÌ!ý ºž‰s¦‘»ð… ”ߎPÚfÒá§.éͶ„3’ƒ÷ð¶a(”ÁÙ±'HT[Ycø7añTLŽ}cº®$Q¹—•@Pd ó€!ºhЮŠ·tDÇP;]pI ÄÌyU€wD© AsÅšr6^5as¾ºh,< Êì2 xwƈÆlL¹Îj‰{uð^h·®ÜØG +/ç¼£D3»}ª„VÚtN]•¶4a]GñÝäŒi*õõƒ¼UQÊhyˆ ++oW) Í¿¢3Ë®­¤£Ž]/«NEwCŽÿü}+¯½Ý]ÒM{3ò#•Jß}!À$g P†@š·—\0‘J…œÇ !›ðª¢nÄës;gáôàÏܨ¬)”6/Kt‰¼¼ž8Ãí¿Ï™åJU”{Õ濦à˜°Aú{'½½¤,—ë@¦ó ­¢C/øMdVÖˆxJ%ëÕ{ÏG¶“›<ŠË2 ÌOý$£}íPï¨`Ê…!F% T*Ë©#‡FD÷ø~ê…†µdP’¤—,¯ìJ¾’jqkˆãó˜!*ýqPC¦Ó¨†Ë+)ŸÎêyY‰·5Áø(”Á_åH¨^@,Nš]¬F-ü%‡§6Ê=™‰Ç‰a”ÉJ>ÛZDèÒĆÂ6 Q€jí@lj]€q»œ÷Š3h§ n´—ÚÍtlVÞÃ$lvÔp©JƒzV4'3a7ãœXUÙÐ̧@™0J„<%'•Êv[ÒŽwKè2ê;ã[¥¡‘¹Èxfù„`XÝËòô”…9E–Õù]2)½€¤ÂÍý«I­>m*¨T[ñyå‡Y7uØ/Uªø~ØÅÆÏ#›(‘&çÙ=!(ü™»ˆW¾,Š Êwj£æEbR2œ¤¥‚u˜H¥TϼÔqQ¶ê*Ê`²€_P”ú‘a É7šÀ¾gãäÁa eAÓÓ6ÌÖó_à Nm¢PÈ˲5¥g!sÎŒW.GáY-Pò1âÝëC ²ÉOÀZº/LúËRõÌ€÷¸¶Åò¾Q'5a{“fW@Ð~ÀNj»¬x¡geY,2KþY9;9Ya3®^_Í!ÑQ{Í[З)”Á2@?®žÄ +øØÁ”v¬Ià89Ãã ºT>ð~ÊÇ—ob°œ:_`F/‹Ï°*¼Pij“bÈ­ãRkÄ©¤£Iˆr$ËäV5~I¢¶«„é&@§ª½1yN–`1#“D=œ‡¬/…WÏz(ƒíU¡DMRjô4Z/+oÜàY*dˆïÁÖ“Gä(c:‹O»7T΄#íŒÑO”B? hËA¸Ù ÷-»J“¨úßoÇ`Š<äM)€E¾òPJìeÉv +\ù¤X~a³úéAeèÑ ¯UP» Yùzý±‘tHl¹øÏ’AÄíRÞßä&ÅÜý©_·#TÙ9dù²JéÙw•\C–êiçó’-"n°ŒˆÚqÑ™š­gÁMB¥ohÐB±Q¯\¯‚ + +v¸•ÍÌuO‡$-Ö˜íW2¸I#V]Ø=¼$9^DìÔqxîÌÁ™DØàÏ+ÓW °¸ˆHæü­²÷€ÂþNÐßµ™š`Rî)>Æ´²‘±7O¨ªvÂY +cÂÕdW5ŠÙÛ‚ +ep ›oK9Méá²j²åÏ‹¤emåõàfio^Ö„€xiH”VgVQ³Fð¦DŠc•¶2}†ù@χƒ²†2›˜Ø兜Ήª—Z€ä9 Ä HTqzYh&H¹âúÉÌ–z(!e|ìC,1Á¨:)õœC®ÂhÚQleŠ¢à¢ce«#:{>æ¼t>UÕhB&YU¥JÀÒ™Ô²(;ª2}"X’P/ ÑRÔP¸œ•lJ#šìš>z™ñA1å#Gôå¼ë‡Ò8 ¥îHd>?!NÒð†Ý9*)„ZÕQ0íwcò9^å ¢ÎŒËaR«—•±xæÑÅÛNs*šõGÿ׸Cð}é +•À11 ÚÕ“_+øD3ÓÆì@µ"+£C*:°|ûö#èûã(±• ÿì•KútïâÍ—u1¬†]%‘üM{<ŸFˆí#(q£"Œ´!'M*O ! ‡)Áúe3kK2©ø">py§óFØ$Àd$Æ‘RäŠJþüÖÇ+©Ó–é«âe]0 A.=}owbª2z,cŸ^ß| ÷¤ôë ,…OìÛÖÿè! |1ùRZ ½öuP¸Æ«q±Âv˜üè°Ù%t%MpY3Æ*ÇÓ`i·(z(Aí— ¼o-dæÑJ#nFj– é8vp§Jˆ©V¥5CÁ);&4÷’ˆ„lEn=ጹõÖxù¿¨)M ª—Ec'—í _gÄ°ÌKw ÞŸ'¥‡íЮ é Âx9Eø¨ËUòd“(>E†…¥8T¦CurJ€ †p¶Ø–9q4Ê{û•]¼*ñà¿ ÜË€"eš60S¹ˆAj*3ÈI0Ü+P$”3’Ú¸åÀŸÉ6¡&ê3ÀFÇæ⛫â‘&|äè<"WzG€N¥÷Ò“{öT¿ +qYŽ!º=¢Äd6¸9æm>Ž@Ž/vÐL.=øÖØNúⲩ\ B+º!üŒ½’:r‡nÁ +îŽA"‘ÿbHf“@P_–i˼¤ýã"‡‹c$ò­¤æG7î="è{ª9ÏÓ”>Ï@RŽ·€Z`[•ç/kWà]É€P¡ ¾-Àº&á Ð˜ðöC’T²ÓðèÍ'öŽiªÿ¸°Æn ãÛTgâÃé6²íþyWîËÓËBlÒô0ÆxvŸFÛG¯‹Fô°ËÔÜŸ¶9Q ‹ $d§p@)eVÌiðhƒpIà8¿',QM›íøJMMóÝÕá7á—¥r6&2¿à¤¥ÆT7r$Åd‘›ÔÏ`¦¬Öz†à§b¥©ux¯3öZ¾*à±?}l(~2ƒ¾2igÎf>Ò\—%C8…Xý$j­•HƒDÂϱagv&KI›&DȔۀÐo†%0ŽX9G‘Önš»¸¸Îxªƒ0ã!û?5_VžyöÑ5µü@œ%2_JŽÑ•Q@qA$;âGªw »ƒáäOÏàøVDÏ‘ÈŠU*YNý€*_%lœïÉr)²ÊãàD¾ãˆ!1.šú‘"©ñ²i´O pε|—mX3`Ö¶bŸk„UJ²"Æ­ÃỦ81Ì ]rm{àe½,Ÿ«––”ä\ì”L‹á¯@WÝÓ,¸ >köÐ d©È³JpÑ5B_ÇÔ1ͧ,JÔ‰a’°‡àÒ …êÂÕ±àÔË‚¢Ó%³(ƒ>4JRÊ»“Q"‘4ŠùShƒCtÀw Ñ“«K­@ß æUU˜+Ð4¥K] Œh”sl ’HtaÛ§GOø_ ‹õeq¨ž3ÓÄàDzáø×5(óf|Ó"óß%dƬÃtmÈ›S«ÔLˆ¢Nqyt~½!Ði)¸_H‡òÑž| _”õ½ü +Lè\žÀeu`[º¨qðE߶o×b¬¸:Òíäi‡ÊKî•Yìw =¡L„ê¦ÜMÊnr¾zÀŸé@æ6h>Œ”«ÐJMP^ +U*¼¨¡/+¤·k¼"§ÅÛ!9bíòŽºùx +)žÀèG/ O¤L´þÖ|ËMýÉ ’ñq ¼üž«×ËðéÑ8G +ñ~+t(3-|Y¥Ô‡€mcP̓ÿžT'ÊÓcA…Ðûÿ÷¡s,©ªwÜL v3X±VöŠÆíïuàN&ÝÔýÌ3‚ã¶[,ž‚ÛHÞã² êg…Çlƒif€rŽù¢†Ã<ärFˆÿU1 .Ê’•‚¤`œÄˆl§¹$‹††MÁLYY;î¤46\ "tŒ”Š‚”›õ÷ýs½¬ +ÀlÑæbŒG%üÚ˱(êŽ?À@ÕŒ¤ÿÈ8–¸ð?Js>UœLqß™U/a³ÏòŠÎ€)Jp³ƒ™‘²n1AÐ e Hâ4Ê—¢7ãyúxhrÞU\æ°U§Ie ªPÇ{š¡qæ:hÌ®œË§¨3j¸IÌáÙ]¦É²:ÀÍžêf‡\aAQBÍÉÏK(r-ˆ„&ìS¦`˜FQ`ÙE¼îþ'蟱ìÄA'pÎÍ7SgIv÷`¾®‹ODR±áÀQ.e³Ùb4¡ýDL`q+a[”ù¨/«ð¨)¡ W¤‰ö€DìªhXú4[ÌOëm~‹E#Í«kú_}Á4Š–9_½åÐ^_–£¼e*k\lŒ…€§¡Ñ§~ŠÊÜ“™Ê¥E€–¤‹è‚#–lý%*c&ÇúZ´ƒ¤Þh^M¥IÀÝ…”œ–/¶t{YĦ—•8E¯´±ÿm/bQEþµ/sóµ X㎶•²âX5Ç%c^ðRS#€¨®ÆÑ«©ÁHW‹ùŽ0Á€ÐB@ +ö²¤ïæ•ÚÅeÁ•Æg5AJâ["lÉipëVù¯œÁR.RÃ*ˆehòZQ奴ÛQn†Oa½ƒjl# ®JMÆ6:vpéXÔÓ*@¯ÝÂÒ±—ÕM6ûŒˆ2ø +Hë Fê–°™•îóÔbçO‡C°ˆÔ´>h¦äkܬ»BCi÷A/ï5ÊW«op|n‚t)îGSZÕ~ R¶“GÜË" ¥2å‰BÆ%¼Õ>„ê¤yÅF+D;PyRbʨt Ê`Ód ¡ ÑG%:úá¥$q$–A)Ĺ[öálf”´cF=çNZ'Í )×õ)R ¶ß7䃂îr»ÒÃÕš“‚b|Æ9Œ +Oq97§¡«òNΚÆnŽ¾‡€OàË.µT§5£3œx –öÏS_@áøR(Ò£KÊVa«ü” š÷Äh'.2HŒ]¥…ùÑð”ÒšfróžC‡#ë4+ÉLÕK°Ñ =Šb%‘¢ïj6Ô—A´;ÊÓ8/kòÒ¨ÚÒu«Ý¹ñH¼CL¥Á‡µ€ºð8ñkJM¾F3SŒVaÈ¢àd@5í¢7 [äGb´ÉŒQŽ8) ®)UƒZ½æv—à9Œ*¼òD¯÷ç#‘,×3m‚Ò[2|kr{ˆºßõy7 o¸ÅK”Á…ËLp/“SoE'·¶úo#TÑ ÝXÍGÛnƒ¥ƒR@Ûœ~,˜¡½¬¸HÉnYQSû#R;Y§ËF +T§>è{5¡ÉJÑd|LÛØ¢b¶¼Q·Ì`»P观½„Ic°"À¿M(ƒI¢¥Â4Be˜Ü]Î^–æªÊ/mà„BZE + +ÝHvžÒ¥°¦\è=! hžä +…šå²d•é+ÈL¡¸Ý˜®DJ€tÌÄG®öKø9Ò3 +¦”BDT*eH'ïe¡$Ÿ{=øo>ŠŒÞÁ&˜7œ€L¿ +CÒP¸âbJBvH>6¾6®’6Ä*·5/1ÐQôFÊI¯Ëc<#(_9Rûpà\ †•Ì ¨î´—µ xq!üä&ãÕ¶+ïvß9ûµ¯Q‰hL6ì°sHucñTÁë§GÈ+Í”W)øŠ1‘ vT—tò¢&ªŠD\weĉ˜ „JÁ^Ǹª•zY8É`X ÝõLû¢j +Pj S“àfè´Ò³0 + +Q  ù&ôœ/·tãŒ!fOD€>íC=ðHO8ïbxçŸÝ„@+øR ÕyB´ìD"®šf¨ûp*SR÷²šLïŠ'•WŠ)ƹ¾ èËâ䯷 UM Pp(B9¨Î -q0"쨻PA#7FèùIBf +Äkcºj4æ J}KT-æ¨"C a/k’:3ë"pkcõ^§t D窊¤—µÓá´©D˜ÊU¹K…óú°ž‘"v¼É ¿žò®nHÔiÏ0É ÍùcÁÂ5›Úš„o~*ýÃy^pö±¹C^ƒ@ˆ‡ñæoTEv>NÎÈ¿Çì+£V¢„T@#€gŽoY*pàkNÎÌ‚wÂoþ +:4ÂM{爃au!§_? +1ØÁýD·˜éóqÛ¸þHÞŠCIô•~+„¼Sšç—"°uZHì:¤¯ + A5MŒg;‚W8^€‰âÃà | oYyïà*)ñ²“+Ü(B÷&"ØšƒÁåékïó4l…s”0`D$ï¹ÏC;ðúƒrh¤ú8Ê`¶K=qXb¤Vü][Aâáìp»Ø×ËRè*X³çKÆ{<ïb½¨ +ï" '½œï•9»ñ†a×Ï\ÀK¦&{kJBéä¤ —,øøÄ i)Fÿiý™Ð<Þ‹@D.«Ÿ€28åár¹Ëpf´R`¢òõ$|X cGÑ5Õÿ˜ý‹C̳­Aß×}Ĥ"G³ ëŠý*†eÝ/B$of”öT¸¬E»éý/3SÙ +ÖñJAÅŸh9€¥uüíïñK²Õ8\¡a°Ñ•È´9;ès²ã%d3´ÉàRQœ}1î}Y’Tš©Ê(Fۈ푛 Ã_b{zuÝ¢…›G7(òÐAƒ$fÏ—o±¢ È';(ŒÂ•n#Š}Y‘a?(‹Úe ¾)(ï”M¥ŽöÀN |+¹¼¬êÍÚ¤h®@Àc¾;l ~CoÄ°”"¼Olç`…``ÂÆKEn1¡ÃxY¤4ûä%áÜSˆ|" w§\âÈïîSh¸m‡t˜•ýá¡Gá +6Éùxt~;/qJ¡6β©1"º×óÌøKsfö²Þïbvnà‚ƧÁ¢l2š“ÔÔ_;s­ŽbžeôùpÞ<Ÿ €‰j,—vÂ\pVÓUÔƒ„QêÀq1æšL'P9õcóx} +D¿¬NDôRQ­'FÆHkžºAqÐQ+ÍHñL‘c¢p󇨤Ñ Pa°!ÅÖ!hÏžøiëŒ)ñï~¶…œ˜Žk|vpY%_ƒé1pœ%J¾š‘†Ö »ª*¯Ñâ!¥³«KŠô?C• … ;m¼Mâ1«àäd6’I3µ©{©˜õ¾øôÓ œe0~Yª!Mló:_7µa”A K‚–Kê¦à‰LõŒJ;$ZÄ© ä5hÿ7õ: ¤Ø°.dTŸž!„z£ànd1ÒõÔ|Y]IБQ ±  FëƒÔ5ƒ‡o\µ °°ç&ƒMÌ +``wÚòC*Ì(g—à'Ié_йÁ.*ÂÞûFôàà8ÄÙ¤ÏÅËŠ·LT{Õ‹'ꫪëê>_%ĬjME&›À‹ˆìâ¶9¬$ZCUNî¡õRÀ¿iêÖpvÜp4€ÌÊÀÏØcœU=j Z{YB;0¸ƒOQ×¢;k?ÃÈã­"õ¦6lØ…%_T0–Ö/cC6'{ÂZHýI+ɒݨƒÂö.ý^1^ßʉŠªï]±R¿ù 9Ú#º;¥Š©òÃÓèÐki¿\„ÃsÅ8‹\–KŒ³ò•(ÙRÌ’ðKÜ.N3¼,† gåLƒAE×n¨&Ða0“¤›ç«ØÌ1û»^ç!ù5 yõñðoE'ôßÊóÝ2¥í/8‚(Ì“—ióÇgâWzbW2þ˜{Y…Â0à!#_6l'P»êQGÂnÙ&Pêö$X>á© ÉY t²–Ž+µ–DþYL…Êe1/@¬Ç*Œ¾5Œ9]5ŸÕ;݃FÁüöù²N_­·ß˜“J…AcB¨R^®ðîQcý¢‚ €ÐZ¤eÕÖï‰ÄBÍ1 ¾©(ã!Å„<Êt©IèÀÍçÒ¯Ö >Ä›â!Z64éÜ• ̾p.òQØŒj&äsElŽócZÝ:Èe©â/À…†ä1Õ׆q×®xVZ<‰5OD P\P)óËÒ½Iiô²^äVR—”^.N´M™6Á»p¼˜ ÉÅ8W`c²åÒD‚Íäþɵ*+rHíÊ=O"ä6­ÂŒ(÷²ý(ƒoN?6'IN} ír9OöÂ`yÑúÑz¾.Þ‚ ºÐ{Àmø“oÈ6éuå²°´ßzß-M>È»–n.:¡6ñNõ@…>Y‚ +ËJpûkjZFÎÿMðCóê)—Í'a”EÖ+(Ǩ¬ÞBÖ'`¾~A^X‘üoéÊ0‡X`HÔ'ð_úHxÑènDq}ð_Šd¶Àœx[]ƒ§Ë5[>¹0IË>¿è˜‡<Ï3|#¨Ö¼2T:)*°|fèÜA¹SÄ8ëXÎ+UC†XtNr´™‹æ +âËêÌ„ÔJ\Êr‘‡º87ÅêMF®i­ñ‚ /?Þ›ò(d‚`¿œ•Ÿ*ÉQ·Ê t0Cóšß@’#±È4d6ì\H\ÓêCµ–«hÚü²zÊå†" åd9ôÛ/€±:ÐØÁºúÕâÏk-”¾ô(þÔBâcOì >Pl€²×0î„DÃÊ(-ºKUq¶¨ÒËVN$šc¤ ÇYgc/˵ˆ4dfhkÚ£Ò(2€såÌQ´3 ¨'ÔL ‰%t<ÛLo™•Ä…·á¦ÊSM£X‡ ɼp(±|3z]¦¨$ÜwY³M[†’˜)ä>ãÑníæ3ŸY×ÇŸ?Äa-‘Rµ£ÌE‡+c€Ä•dQÕ +|”Æ`·EYAÒV@Ž@|8h íƒa§­¸‹X|Y +Ûéætq^T Éív) Çí°¯ä{=ŽÐ1Rw+>çC¹çrS ¬t+þ”C¤E§Õ, ÷uÔyãíI¢5 ØA¾zå^–jš%¬œØR"­_·…ˆl呵9¹].»kúø÷V +e0­XÕk,ñd†4ƒ^^eÅ­væ+#sß&ÈÔï8¡q†ƒï~¤P¼¤ÀæËò=Å»8e€Vû!Ø‘ÊViäW:w×$`‘mš zÆÙ#ª‘ +àC§ý÷±ÝN½E/–ºß"UcæO?Ô¡d¥Æ’ÔÍ/‹ä\ŽZƒe•K!{ÐIéåx;iE¸=Fí ´èá¸RR$ŒŠ¥<&[Ôí¹šk¥b(Ý~ê ÉH‚ª®ïó»ë易JÒËšhŒqçƒJNáÊ•^ƒÐ’BÜ+ŠÏ²ZUÀª£sL®• @»vÕQÅ%Þ¨’ôf¿  ö;Ÿ:…t#—¹WRŠòÈg!°J\,ΗUûîÜf6‡Oîµ6WH£N ŽcqT5ä‡PkæC¡ ¢ þð  ŽíG†ø˜“%¥_R•‘Côž5“Y7–l(5}Ú*úd:ñe] I­©ZÇ4å•é+x|„ zÆ«ALªNÙ=ë?Xí-"lI^L«õrу×q‘Fð*P“ë…Ò\”®tÆ)”Á±'ÀÛP½fÄæH¨íeÎKض^F~œlcľÆ…á±fm¨4ÈŠz÷ÀÂ¥ö¶IPddꯕé+p$&›+/ôÑz›P“âÔ¨hZìÞPªå#l&@Ç´hãòIÓòÆÄ—õÀÄ +Ky8t4 l¡]µ‡2xƒç»J:9HˆNÛ"nå!ÙÓà.€%¬m.PЂY›´sâ“š ©¼/wáh+ï¢>O ±p˜ÐCʶùeÝ.¸{ØA³¥W„Òõˆ1ëE€–ô¦ö%$‘¸n2 hÇQå(Ý_²<âê!ÆjbA›™Ô ½þ}òH5ÈB"<Üx7@ƒVǬ—ó²:9I²— }Å#£î5'_—ù^-)m’Š°(«»+“òÒöQIOÜÚ(•¨;Á¶£t"݆÷‚ÍMÆžh3óÑp)õ'ž]TgE˜#~ +Ë.+Ñ‹«Ša•{>1A9%êô'Ihšàrðv$ÁUôZ…òštÈT8–¹Ox8EÒÇ0QCŠAï{w.ÆVAx¢‰7Î9Ad¾¦Ê—u"Hœyñb z®ùQ0íÔÑ Ý>’_½Ë™_¨ÉuA‰ï—”|ˆÛ#¬~JyýrDêJ4Êš.hûÅp°'ÏqMZиã&0õ(ÓzY<óiÕX7-:¾1¯à¹0Ûš_à¨yÈouÄYyØÍÞúôÝëTFl¬@„ò™À¸®É_YÁ" hj³8¨BYOÈ(–ÆŽdõ°£DutÄð½¬TiTÄI:@ØiÒR= ׄ!T^¶ÜêǪ£SÀŒ‚‰iX͉¾˜‚4jåmÎzrèf¯U§î°F@§½ôø«\Rzæƒ}$Nü²ÀÙ“hª0 ƒ-Æu +I#ßò2¯SÐN„Ë+`‰£‹?²×›Ð>Édêñ^e–8…Ðã@`¨зñ”?> …ùøœ“1æl„4§2˜‹æei!¿CD ÌŽì¡ ÙýU_Ê ÉdS, +¬^Ù¼ú`PiÝÙEÐÂYàlß<F,ïÕó¬GœÛž +80Š?å`ÉÛÅúàé¤@Òªƒ'F]<¸,Õ¤"¡ô`Ï+<ÄøB"CŒ’ß–Ü”{ɧ­‚Üò!Ì™€ó^ÛŸR­Ç¬Ð\CAu^Nt­©ì§BÁôn~ˆ©\S ˆÿÒlò6x©¢–M˜~–:ÔœÁ¡ÿÖ‚-@ŠZdÀuñCeZô¥WáYKþ²(• oII)U!P¾íAB ð¥‡Vÿ(J#«‚œ·–Ä£ÎapRë*lœ}Ú]é®ògøLT6àô–ää·Iå̪ª™—e0AXM¨VkŸàáî!ßãÍ&I1P(2ôÙ‡×çALœ„Úæô«:TB À#H¡ÝáO©ƒÒÐ5ôÂÖCØ<´Â)¡ †‡Äߟ.p^Ö£ïc÷<$‘ûwÙfÎôÀ†UurH˜"W} \a3Œ ‹vvbÀ å§ÐCj"Ê=ùØþÏB©É1ÝlAF¡gõeRP¶IÕ£Äi™Â¥^‰:$[ã˜b5Ä-À|e +mi"Bãx`:cÔ¤]SЛöÿòš¦ë U:¾7'C£ù춹ž°Z“¤JäeATôÂWäBT BO£]Ý-6”X6.N¡ðùRªdGc?ìA‡Öå7ú ÈóûÀ¡f²¬Ïô{Ç+‡9!ÁqÔš€(…/ë©H…XØ›¶ðˆÞ€§´¸ Œ‹^ÆW‚Tb'ÐIîtžÞ–3åž~e¨FÅÖ÷ïÂÛmv„ÇxݶŸÂ®·sB |ADü²8º£ø£I= +“/@¡ƒ‚m2<˜Xÿ! q‹¸w½°¥5r}ýÀƒÀÓñûXHq)Mt«ó–ó1«{.ÇÃâëȈ‚Ëâ!ÿ&EdcÍT¾+dÿÐZÐúFx¢$˜G.IºÆ¤…Z²¢GcL!QR.\Àù©‰Îlˆ‘´s8 +k\ª’Ä⇾"_zÕyY~ÈD0öê+Å×›*шºMdeG©¥¤J•KJÅ‘óɪ]_0w*˜M¿O‡6n +n@çîåÙ-ª +Ïši¨‰£“Ê—õÙln— +M˜5vs$£žqŸP„ƒ’Š‘™Â_\ :”üP p,yMqû~^™.…°žä aöÐÄ…¢`·G;cš®µ/kŠ(­Zе ˆ»gʵŽ½Þ¾l3múùòÀ:»éLx ƒqP¹Ž^9Ú`ˆÌ£ˆ@Î՗ࣉÉËt¾,CË¥ÇIfÅ.BÁᢠxa¡/ЩԀ‹Aí ÓÙ[ÑÅh%lU `›÷Î,ècÐ^T§ÓdõîhÃå:¼."r¼£¼D_–­ÜUÒÞ™jDê$r½uûÄ¢ö‘¶°Â¾2]ÓfF¢mGÔNÍ_­y„R,ç*R²#bEõ ÓÃl½±„ZzÅPÙ®¨a ¾6yÊh5Á-0ªX¶qe˜äãÓˆêÔCÀê•ñt…L6F%Ù©gŸG™e0$“uªØÿ:€dÑÔ¦ Ô!V<©@Õ#I2B/«¥ @uAvøÁÜç‚‘îui\¸m’)c«|ÃÕd›¬/œvPݦ: ªU‘à¾#ÀøDaWÌUlj‹GõoÑD­˜¨fÁïNþ¥á”d$ßówoŒAÁ$*‚£ÈÌj–&èŒ+qæ¡_Û —°Z߽ȪtàJ ÖÁžÚ` .œ+M½Ÿd.¼,AÆ~اìBB笔ƒò§€£œ«³½‘ÇÌÁCPÕò!Ö»A9ŸØ¿f  ½Œcù@Oò/dfH•P\²ˆ!ú߬ÀSW^LRB©§GÆóÆÿ¸±ûé8’Žð8e!#aB»Nqim¬âm³;X•‚eŠÃeM®Fj{Ó‰_P†VÓEŠÑTCC¢ ŠÁž&˜|YZ,ß/w*­ç¿Rx» +õ{î"+gcÆ`D¢äÉ…dj5•„Í¥'L•$‚Þšênc‹á#Ÿ*œk³Â—b G;#4Ô„%¬¶Ð¾,l Êà³tì ºßÌ„äÏ¡°Ž~s7)ÌüË`¬Â€‚4p?.%Rå'&“~ŽIcÿá +0‹mUOÜÓé<+—ô²6T)SÝê3OR»‹‚÷^·t$#Ó¡®œƒÚöØ E+×ш2ÀóÁu=v§Õ5ÝØèóu%£ Á«5™šeçv/i¯BLŒ 1@÷²8…‘zµî)_>÷$"KƒâNr ÎÆ×f$tŠ‰Ëa[¯oÌàMŽ›Gô‘7 L‡|‘²%Zº6&J®©êOõ­3FË:ôrÉE`#îöé:“v&£”ËH˜<ŽÈ+-W0„·ƒ18'÷†8Ÿ=pMÀ?®xO¦,¤q´ ?„\ñ®º¬31(¿˜Mß,ìËêÌ:¯ &™Xn¬ëAuqà/!“9Å| ‹Žƒ¥ßyïV9¥i¢*øhòw·å_&$â@ÝK–—Ð"#û›D`Ìl(±P°¨÷²4A€ÇLæCl÷ –Nƒ‘ƒ}v€ §ƒEz¿Ð%¢ Nè $iÌábà°°ï9qîmò G}Û*<à}Nk°Lk†€i2ø¨í ë.õeý òg• +뮤#® „uX‡Ò@`;Ëþ5q~Úò¿^lçh¶‚/‡‚5”.ËNBEáý¤«4Õ^|2âÀ°ÝøÍ´·zYÉ$}Œ|ÈÆ«TÓw‘YVÒe³Ç§HìhíWñ8ò0aÑXL® +f½VàÐDÙæaè„ÑþÕŸhÎådÁê2…LR€O¦ˆµi½¬Ì”’(LÑ÷¥Àò¦þ…È^‘l# *6ä9õ¸Ëx‰œxVäj±^p?¤ñÇŠW„ÂCFu?4‚ÑÚ/#Lù@ŽÀG“"´sûùëV‚½Ëªø¤¡-ÝîŽW„Œ©ªö²y>8¿"Ld‹,‡¬¤r€õÂiÔö¨¿™Cš;Y?c9É™Û tÒÞ©sƒ ïÁµÌ +®1°ËÚôÙ°Þ€`±/kD`2žh¨ì¥œðöÙ”„¨jÇuÄäSÞŒ<•ŒXÚx³Md”ÏŒÉ"¶5H玉g‡Ê©X=DhSv ÝöØW¥¬p們°:×îeá"Ç +C°‚6Ω=¤Ó’•¨åE‘¼ýFן%Â#ÍJ£•¨¤”ûª•7`‚p@.Þ“¼+F²uã·»JÐ¥»=JTDn«" +n›ÿ ú²®¤jõ›ƒià Ÿ‚<0XíäX P*ÇÌRë‹w¥ryö5œ›+7&7µ¬ uCÉH\vò˜¸G°žž§úïuUœ{°íÂ@ÝÀ§2®—ejô¨ÅæLõ·1¹7unE º1Øæ S: ”ä¸*ÚL­ïŠ ËÈ*‘S‡ÐmÈãIœü š¦ŽHoÝ8+yç 2£H+8YU H¬ï¢+ée¡Üª0¡"û¾Ú"ô¢“^ì™Ê\k0õÏ\^cA-㘳õ„1,ô2¯½´ò—*fo#|` AµHÂ)ŒŠ "'¸®‡L[Hþµ^.Zí²±E²~›ee L•Œ¸Ñпº£” îÖcéMüLÍp¾Þ` x†@m¤' cÇ ¿RœDj%중^}nc¥d"kãÂÇuå£kRpq©n<Ù—µëÝj Ê@]WÏ)Š‚’³íþÞ“)®£uÐȆµÖ‘ÃoŽ©Øòkéñ³#¬äp)O<**ð±éÐt-< äü)ˆc +xñÛ(AnyØ˺K, îÇù½(he땆‚W‹S¬výC²šÙç³&ÂqÛ-Iµ|IL /ŠÄ|7·âiœÜ/?¶ÀœhMŒõ¸¦9 bV³œH¸»~ŠãËšÇgýt(릫¨ÒÛ®äZþ›·ïˆ` p•#µ‹L¥h)1иl.´-‘3Ëàðq-}PÖÄ”›U¯°Wu;òT*À÷TlÒ§x rµ^–Ž<›6°.éòüªA]IÈõQBÚŒƒ«¤ÑÊšïBhiOG¢«M¯CÊSç²+ ª“M|=0äJú"@m7U"²¡èÜa:‹ +·OWPdõüzY¡ÍS‘t WíŽùñZ‚%{ðes•+ÀÿøoBfpø ¨\ŽÚä|žHP¢/¡Œ äQ®‘1±?9ËEFDég¦~K(÷‰Ö¸¬ƒªÅë$6}ê˜FŸ¢4)¼âfÜ.a8V¬4ÊÙ‹^3r9ïSïÔ¨Ao ¤ ~èà5Ô$ Zƒé9—² [¤(Y[b^-O;󦉞'Ù—¥¨ U +Âz|W8IJè;¦¦1ͱ͸c€ ¥¼ÖDôåûüÝñ`U,Ó¡Ìo ŠØþ,Ò´ñiÐciè$¥s:Mq·ªw&‚U{YŠ—Õ/txjW§³Å‘}™Ëä¡hê<¾m,A0Cz¹GNÆÀÚarÂ'æ¬a]ðR0( àŒ„6]„Ý{'4’Uáêé-„—5m0íe ül¡RH—ßU”*änƒ”MC¿[ëM”º +m#AJZÀt3"u°%¨‘µÔ‚dÚ·E\dËÊ}«»yÑP5 U³v6⣔*@ú7º{Ype]¯ï®d-).]Ö7ı‘{²Y‚£Uü ©]ÙÓ³«Ã^|©ãì@ÞÍ0T•¤…ÎÒ"¥ÒˆLB ðá£hGÒÍ°7óVð„¿¬}Uér‚¥ì i>4'ôÄ=‘°7YM$ £@ÝyKŠÌ¦É#tgEã3ïÛù‘$4 Îi8Ná Š?>ØÒ‹Äëˆ<½Qô²T•˜Øå 2ÄB›ÒËÍ.ÑŸ´GC‡ìúÝ,&\>®‘P‰,#²(E‰c<”C>Š Ä{ŒáoRË—Õ`,* Uaß!žQ¤’=öÇÙK£˜8¶@>x£*ß 6¼„@+ξN˜¢ Ø ¶ýPO².pKÙ/ó_ Éue®ØA@S$ç“(—Õ…lˆ£ðUèà¸×郺«fïUÈã¦~«IÌ¥F:#„ǽœ+È @Ô$%¬Ü N¡¡š#¹mM¼›ACP¤Bk/•5»,Ü‚o _&¦Ø!Æ¿‰FLF1 a%2l(áR©lbÖ)ù@k<Ö ²\AH(Žs}l¦'pÈ¥ÓD*¦q„ ¢¨s«ª—¥âAéÚ·kâ @H©"—ôRJoDýÈN#(21¤ÂôLqø÷bÒ%0š°èK°h¥~p rDE™p#ê]§Ê°Ÿš+¿,Õ)ÐäqDdžc égùMô¸—¨Æu`Zôµ ðjä+ ¬£cŸ ÷NÈ{Œ-ï„àè _еÉ}¹Óp>ÆT•à9Nf„wVŽˆ^ÖÕ2bsäÖ¸ ÍÊ*•A4©t~Û‚F= +xêÅWw}Rºl‹B&&§¶{Ô'üöX¹,éÏtàS‘ 4´žäyÆßæËÚ¨ ŽNt¶~¬î\Þlýs¯ç(£ ¤øEm΂ؕêàÞ¸od¬Ï"•¡q8ůGœ}Ìbר>{¢Aç1Ú?r+¨Ë:UÜ|Pû [_@•·CºB~#¡õS‰cßL9¹hìžE}®ó(µ6Ø{Û=¿î~° «Î¡GJ—|¢ÉiÌBÒZ˜àpY +•_é‘ØÀ·$BµP]„·…Ù¢LûM‚Ÿ†CV¯-@T'vnD,,û¡å»}¯ ì +¢Óœ® h¼ªÓç+ç’ €žèÁA4 Ð`½,GÒÎx%©Lèã@Ž=Qê°ÚÅ.Êð™Ô¿GrM= ¾#Øý¡¤a¤á‰p! ¤ÖG<¶Žô’Ör×4Ð +’„}Y±„?å ÕIŒñcqK:JϬæPWŠAÞ~6ï©3þÚ™¯Jwæb7Õ…Cáº1;Jcd¦blž¢ àŽPw4|¿^à‡êÈ5)x^Ö…dz …Oô‘EÀé$Š C. ÁŒju[U¥*õë‘¡Ä£âï4ÆHÛ»M²˜S.dN„ÜۤؠVUÆðí .â‰ýPmüù² +.‚…bïÝŠEè3_›:7N:ÁÃ2rÓº›ÏMÎ:Z@¤5ˆ?¥IÁŒ'­„3 §Ç °ö˜˜$úç¬àðU¿]r&j?b§ù€òËS-Ç"²Ár<`fWl} P¹%«‘ée? Í$Ò½ÚXPo㟀rêæøš€Ý…t:¤l—Ë”ý¼SŒEuS§©\–(ô@à÷Š¢p!Û£T`Ö„_ìo7KØ-}F×Ož\ÓöÄ-ßÍsšJá£GîY«°Ð÷_ð„ã`¸¦p &%MWGe½¬ÐÈÑîTû +2ƒdõ){ …^èdøc’Ø Â‹5D„F¾iÁéFy›„ªt”>;NÆœ›´wžE1½Ó Á(ƒ/Kd]]¦x •0“/Y¿Wýy´t<;Å9“3g{"Mw}D¤7ÞcgÊqB”Á<ÍƲãÂí†ô#ºÈœ½ŽÈ+†I7XŽÐ˜/‹M ³È3HSL½ h…™ +è?DYÍjŒÁê a”Á2}¥XeÎê``ÛH\®8wdÑÎ0ÓHë©€®Ý—‹c´½®î ½{Y‘´N1˜ߺAÕ2æO[žÚ<ˆEm­§ä’.Ñ›c7bý:bߊìrÆ8‚Ï\࿉‡¦bD§ðaùšàÿÊÆË2cYEðDV=¼=ΗåXTÔ—–@fÝ d´¡G7Ž0.,B¥¯B†ˆ™W$‘é8Ì°Q̶ÒþÞÉ&“ŠÍ^Öã!÷ofe5rÈ·,Â0Xì‡V­š­ƒÓ^#²+V%&‹Ö4g: +Jaˆé¹®(ãOŒ¦§“>z(­40~‹Ý¨{HŒí(™É]j'¤Ø‰ÈhüîÛe™Bè䙦¡§ê@5dûR/wp¨@ +<›¥›'¹fàaÇ¢ˆ¼ŽÜèhÕ§Så–Š¬œM“…ê±ÐÔÂÍa·rc3¢^ +¢è~YFÏÝ‹è®ÙÔeâûå‘ÑòßýXÆ]Zc];õ›*Ú®£Æ=³H3D¼JZrBÕ5u¦h7íZÝ–âhÐÂC³Zœé䀔”㽬†lšâÍò°=Mïq¸òå·óî 5²Ï^»]EíˆNtÌÂ+¸„m_6gåù„˜scò9LÂíÉ–'wÌ@:¾¸<Êñ¾ÎmÈñ(c””^VÜaäí¶µ9= -ºé­-`nÑ|)¥LÚ“ÐßýM%`ng<;ñ]Ð\2a F™/•ÔE ³'µ!‹D]±éÊǵeE¥ÊYÖò5£l8w×ö[hÓ›.¡º~žD¡Øée©)Ià å yAÓ²‹˜5T +žˆ•=d ­:À‹MÚpý…jk8’[@©*ãGSw½Ù{ŠjðW\Pi c3ƒ‰-&‘²(„YÍËòUUcÛ+gÜó0äDçÖ­oEšFS¾w€6 µµLòâ˜h«Âµ`7 ¯è¡Tô m[‹$ ++Êl‡ yñ{¡¸ø‰é·UÁ{|Y Jã­¼SörF³ù~ +’Aø)¤vµÂoé NÔçØ‹ [\fÎp`]Û—]ܺ!†@¡±n ‚ß½4ìž#‰+p„6mÃþa}æœö²øqþ°†m[×íÈ;­V#Xó®qr°c¦¤­ic-±(—¼ÈÁÑþ+f¬ð7â*ö¾®º$?? VjGÞÉ ¾oç™çqÄh-%ŠG Ŭ.ÅÐY6¾Ä²ƒ¢t¬HT²#^nYÜáe˜SÕm´…½ßl0­‹®*èg{FCÍtt£hœ$ZËP"q€I5w›•rFoò;Ã}*ðêõû²V΢9ÙBI_Ê[Ò}žÜh’ ih\*1È磥ÄT;zS€å›XëŒ]â~ðl¹ª2ôh€E᪩dTXÐ÷~ó +Wðk°´ÓÑMù§¸ºéþ¼,’w2ùÀç^1ò@õ´-ôÊ¡r£b¼xZã5léIÊ^  Q¢SÍ +´`}¡y²” Š­ëðóÛ”$ Š;A‹ÚKRñu‹hótçäS…rˆ—EȬ«ËÃâ|r¨×)˜4¢É´ÊÇÀÆì!ºÇl¦fc‘Ë€>«„‹’DhXepÁïÇX”µˆ"A̘€>hÁ=ˆÔ¬ãÀñŸÀëQh}Sð*È—µÛ5gòræ í`>ÿ"N¶CãÆÜÖ Rùz^ÐF }Ýj…æíý]ÿꤤWQ dðòUä)qcL:AðÆ—Cóž +W¥»©È}É'W0C{Y¨ixÛÊË)ƒ mVS„#¬) €mM ¿UL˘VAR¢0åÜúÃe+IW꬜ Ü,KøÌâh‡v+èÖ“êÁ ßûšY ;ƒN]ýäõ—50„µ°=èÈ`J7”a•K—â oÜ;n¯aó×tüŸækÅ ®²ó4²™jGõ€ÅxõpDpºAøÕ9¿• %!‹Õ÷¾2D>hlö^ù²F@âŠÉÿ.¡ÔìØÎÆ ÐÉ;jÂÎ5˜¤jþ pMÙŒ±­ Ø‘U¨„{¡ÞØB”+´Ûv/e.,F ûÏ”³Ëâ ¶¿¬ŽHÞ´`£ñOr¿&ü Hà˜(a)#¡ýбš(¥êî™â…ÂÅRÚ\æj'•¤T~Él$ÿƒŒPo6cŽu±–$ˆ•üSJÆJm»,ÑwvìË%_áU ‹Hzšõκå°ÇN@Ë¥ ¢k‚c Äsj_)1Aæ–?Ô£dÒ­v1Ï~%Q©óÞ wë„÷Ö£—mdF^ÂcÀ{YyHÉÌ€HV©ñ’'¸Öó;,¡öR¿VÈÀ÷Îh›Ã%Œ +ÂÂÆ:ݫżýD䦂€"P!º¶S4P'ç¢(k&^\£X<uêËâ¤ôLKÉNW¹OOÞ¶Œ›•pþÛh tï6û +ùTd2Pš"ɵŸ(U5šé:x„2:~AKû .Úµ/8‰úW†§¡òÒRhãE!VEOöƒ—¥øQ>RvÔ/EEÐê,Ö%hk,!!lÖ¢F댳1=Ÿ#¡¡Ðp;Ê45ŒðÉÅb¹ç9à´29Y'µÓ0GÓ+XYºžkÑd·ã(È—K*Nµëš’âžÙÂe$ŒÅ$ÝŒR½×­åÃ(ýSÑ ý¼'&W£Î¦Sí›Ã æ¬h2ƒá·2kéaÜPÔbØÕžOËú uÖ8¿,»SÑOC83ßà=€a§îu··UewÔ±XÀ 8N¤µæ£!ÅŒ¢aŒ>“3¥Ež¼o8z›Ší‚]Ìg[¼Iª‚Tñ{Æaa™ÔPd—ŨL0º?e üSà†ÂpE´{ôP~X°‰ø#àô %šñ ¥'¨¯`xÌâûÄ›Á'qe=Å‘oþ5½) µ]¤Õ©&DF’7×ÇãaªMx!QªßËŠ+žyTðÌ^›lþ–p±¢•” +YÝÉ‹rDVXaDHh½ë|\?m +Ú$áÜßÇ&\ȃyP +ÿE_@hKKgðøl¬rØnÛ™l’ûf\9_Öõ‡²;IQž5 v;’™­ç(jP äW'²#DÉ2ªšKÍ缆Ë\î‹€T±â&ðPsÉ…Y×kåÃÊ– CaÚ4r\ôá*ŸÇe™)©Þå# `Dž°yIèŸ;#Ë–>vH@¾œÐ9‡“&•DPΚG<ÌF…€j>•úoŠÂKTwºpÈ¡0ë áj52¶ÐE(•_–Iù(¯5rna´3ï¶w׊-ê.q‰røoüÂÝCôû–Äs(‰G`Ò|V X¼ÞO\ +P¥øæ9Çà”V3ÃÃyg¨7M¾¬’€ jþr3eèÓ—¸[È6‚ä\Q;zuÊ„‚åô¬dÍr˜1-a?âœ÷òÁÖƒf$»`K k“ßä‰3Í™@P#’sN!‰æcA¾,ùòmrº ``Bú™¸ô.¸ôœ{¼ó ý@ŠØ–[a‰#í>00ñÁm·}Èœo>\Ž]ò4ø³¡x†¾™=k}I +kÅ[9RT9Àê½,°I…Úb.à ÕtÁ–ÖFUÈàÁЛfzW• “.sò¥ˆ5‰ i~Ps7}qÙr5R®f¼\‡ÃwÓi4fŸøÕßæ`%↧jÙ/kž5Æ»:div¹êŒæAÞßZòžãîŠmKk¹K ƒy>8Þg2–N4ªHk»@°·ë¦./2˜BsIƒ`Z”0q¨ w©cÉc=¤p\«¸‚Ш G©7iêõT§ È—rWU ó´!q‰J‹CccУT +½ +@Ó"Fœ³øù÷»Sš #¥­XhŽ‰0ë˜NyaI‚ª´;%²Ð_Ö¯•ÐôJF,â¹ç¤nͽâZ®þ:‚ãá…ÚP(â[µÑ|EêE^ºä=sĒи9¤©Ï$ÕBë=7A.d67+&‹Á¯¤y¾¬D¦è $ß +Ô|¯Ø'L ´{ò6JJA|OÔ|­.r8öø(Ã1~êðîRÉÉ|!?ªÍKw(ÞfEìŽ^€­˜<uªÖìø²Øi¿]±ÃÄ‚Ó“•K»(JÇ(\)Õ¬°·Tœ®dìSm®-"¤—y>C¶¢óq¸MH8W~Ϊ¶à+(µÛ"2Š¦40iQ¦èðî3‚B*ÄŸÔȃހ„.Æ4¾ñͧSª8^úý$…‚r—¯lŸ­â嬱Ã.ïlºQ'W0nV Jgú²F<¸qQK*°AHRKë㵸Švz0 ùØ:7M¯âL)TÄvq0­ç€¡@iY¤wÖSœêøÑ=äÚó~Rë% tt<%È^h¥iÃT\Ögasob|ìâ·bf~0è‹ÀÿªpX©kïùé#ÂÖ¶&2sòá0™ÞIs{)´êUhÙª6™öñø\p`bãù7Q]Ô7 F8>PýeVlÆp'Lž )¬½Gb2À˜MVÏ(TðâÁŠ€K„x·?Œ;ÆCœ“`QÕŽ AöаÁGF¯Å½_^Ägºãؾœ›Ä)àËÚF_ùsÜ0…„ß/líü²wP³BàH:Šà-ª“çë/dñ*‹}uͺOý†Vé\!3ªÂÃK—.0D§™¢ cÈ0Lश뎉_ÝËúƈ!FCBvƒÙ=Âpi?ŽÜÙ€(ÝV¸Á†55VÅ6‡ ;6ß³ám¤’¿ƒøH3d—Å¢U(@oŸÊEkHø ì6E3BšbÀ0 |ãe_VÞ·“F°KÎ+Ø" ¤GñàEã ï¼}«ÄŸQ%ïø~1’öãPwCàÂ|E«”*ø_Öi ¿7ø24Å©2çw¸¶KÈ,a1“иû…æo*È1ŽÀIm¨‚4ùÃœU|8ÁD+É“áély*ݬ—(0t6zsêCYÜŒ¦o—je=ž\XA»z>ïññ6L¶|°ÉÚ’rªÖX<‘;\VUé‹Ö^3Κz¤9cFÂU/ßS@DÓ3ÁÖqŸ…$‚«‡¢(é&\ÓÌ_¦O"phn†6$ÕhµŸPçî•AFÇ€ ó{¯‡l©M}yP”ˆ»ùG~øÊ1àÓ%˜LÞ^rÛ!⢠jÐ+ˆþšòÄþì÷²l?uÌÝc ¤HxÑIGU“#]‚²Ä $`ü#=qÝû²‹)’þX”L¾r `7Q¸ÌØ#qŽ%Uéû]îzA é5¯Á_¬µ8˜ØëeÙÿ뻌ñjÜšÉq¸9¤ÅâÞ† +/}Õd˜Ÿ7T‰$q£¢Ë ß³H߉IÉsƒò—zwÊ^Rïh´ú)Ib’€¼ZO(ëŽl«xÜ/caaáËòRŽ @JœwŬ´ÉP0Õwõ+v8},fÞ U°ô•Td†<²`:÷m™Ï7ß; ™[ÙŠ…YÀ…òy±N´Y`Äíô‚ Ì[Š´yÊ÷²PžòÎ|¨•E#”ÿB|óz«¢ÓsðèåOùøÒþêÁy@àÛ¹uw×á)l;&¹¦•Ð¥‡eÆÌfP‰VYv!\H=kørå²Æ‘qûSèÓÆ•Å1:‘:,Øp–m?áüz¬‡ Ä·>4m®¹Èvç¡Ê/lCþ÷Šø–ËÈcÂÂÏå*–ðž<8F8·AËÐr}¿¬þ9[m!R°«îÉDÞª’wj²®@<_ÙFï}9ÔpÆEÔ®I£ˆte€©Í·6«Áp8p®gcѸ°èf…ïýÖÌŒ¹Ø~Ôù!nŠ x½,•Š6Å+•Õp+¸†K¢«S’Ù[íÒ87Ù=­!CØì‰sgô÷Npº +“Zðìk²ƒºxCॠnG&0 =C¦ÁT{ùlêѦ—eËrÖ>T*ÄíAY‘0›g ]p]®ä}JëEGÄ£Aâv/æ]F¥ÈxR +kÏ£iì@3JÕ=ýg¡ q©\{hô£Rž˜ÕN˜“}Yå1 ß +½PÁùï91Z{¥ºœó¼Ä½_QH±´&â•¢~¨OßÕZ ÞĩwLÀ/³³f”z)ŠÐURÃ{©F«×‡ITœþé^–€bº`è³½„‰ÁÓHÊ|¬›ý1úc‹’¼*èàw&ÕF›·V‘(•Ráð/ +Q=Ü @\H)^!v=° i»[ó×E#¸†‹*¶žR.kÅù“š-® }Á.ÏÀ’·ÌA]+¨„%ñ1†Û{Vÿú9ºÐ[2M2.:GêaÜr~ tRr—™t­P`ͬQm¶.àS +ìo„/Vg1R¿¬ò§ÉÔ]'!•6µ ÈG½vîÙ”TóÅ +É]à†a×ÑU½‚e6¿Ý “t- +ƒ’ì» F ¬_“ÑýRŠ€Å1LU‘! åÑVL/káïŠ üwÑÜñcÐ?ö¿5Ú¬ªV8nå¡IO§I±º³ ên£²3€z‚ȱ¿$T«ÊŠ%tAØDbº ðà!¾-z§ô¢Ö°ãe¥Ò¥Ûc«6«Flþz"yy€ úʆ¸¤¢Ë®îû—êþF$h]Ó¦uršf¥ØÆ) š=ëaT5JeÕB®­ ¤¬rߌ0W=žÝ—‚K©É¼)ÉaìðÆ]Í;¤æÔ™ì+ ðX¥‚áLoN´ØŸ‘ù²htJ8bìÊ ºªa²ÇaÚ¯ø„*Î{ŒÑT˜GbàY_߯¥X+¹ÜL†¬ª LÛF$ÐîÓ†hU-ñÀU춉\ÕzL¨ÅF(4D.‹&xT7‰t j¨i|Šå‚ñâP,1³õó$jÁ£åc$%6”ÍO›ŸšÅQÁã˜Ü¤²§K)·¥©ÐŒhDmr ƒ[ó›ößLh¼„ží²óh¾M ;”7Á‚! ô»­@…3'sÖ"À¦$GÎÉŠ¥1\oP³£Ud÷¦ÀŠþ’È™¬×ˆ-Ä#ÉÈ“H+§Qþù´;BîÇ1JUFýÀòe•òÈh~f?Q¯J`ö,+P{Jø¹Cq€ðjJ&›Š øß/>¸¡:Þ¸¡Êlw¢Ã`ñ €d·¾k0èUZ³Î —Vˆ‘‚š¡{òþD"•D<$s&¿œùæ +=qGƒ‚hÄ" J" ý¦–Ÿq‡,6}Y(ßÙ",KNÒÚô¡ÐÕË}½šRùân{gøx⓸"-qâöeäUjÞ)¿p™Ñ3 ¨G#Ä'q_§´n»ËÏ T!ß! íÈCüvš/ËØsà õˆoTܵMu9É 0[úöQª}­xN£Î¾/qÌÆ·M)¼³úO¾ý±Áñ!s£ÁMÝ wj"Ž1ˆ…$A”0ºÏF´|YW¤c{Ò€`?lÖž!oNQý,!ÀóAÎÓª†ÅA`@¿ ¸Fü[ûÛÑ8 *¼Ÿ–ÇGˆ`f}…v6&ШU)ÑHÀ».T1‚ôü÷Z|`~YëÈè嶰òÑióÊ0wÀ’÷‚Iˆ@ ž# è]u#,=ØÞ>‘èÎqœ¸õ³§;~«ÔËf }Uf‰Î§H—V|\-ÓK>óBøn(¥Ëße‰8yªv\çn‡Ôä$4ÿ¨”˜ |0;ÀW¹ë¥mû)HsŽfÖ•\F·ó¹|&…²¨ÍŽNh;Û„ÛÒ%¼W$ýb5Ç^õ”sÉ¿)ÖËâaW¯Eº3¼Ø“S0± $H|a”¶„’½ fä‘U4îÉ%ª“ä mÏ¿¶§¨ø&û‘S]'ŽäÃÀ= õÙb2“˜Ì 6Q`œb”/ËÀFö}éºøv6ö¼†è(ŸÇ¡ ˆ–½a_"¬÷%Q;$çK Ù€‰M°Ç¡C¹¨ôŒy óÆ sŽó!û`§«S²³%Ý0á‹<‘©Äòe´{S‡2 Þ=Zæ+éåŒAWŽ€Œ"!s¼Ü %¨HH¢©ÜˆfÞÐgö§ßöÑõ!Í”îø„tî™±Vl¼ Ä&‘ض¸ÒÉ’nÊ—u@”£ÆFc»óÅÍسî§)Zøz¢ZQÊQr +ìt¶—à6†Þ*~-Q”Øwð)xÆT%Åèb Ìò¡¶c¿9Ƽ9iJ¬àäY\“fêËÂ|<"¹”åxÞÆ݆Wî{U·)©]ö×þ“þisüš(@'|ƒ/‹ÊeÑô¬/5éƒÿ”€ÏüØdƒ$à ‚Æîa–ÍäŽiúÞH(7x—5ÂdòÙTúx·œ“qá‰êÿ!r.d@¸Ý‚ií6 µ§KÂ=³ À‘¯½­é6ü”n³ÅQZÔŒˆ…´îéÏßx6þOeSLƒí).ÌzY~p /—ß™Y-©*Q€P8 ‡ÈBkÂ_㺠+>a\„þKNî xŽuŠ â;†Sè äPN‘ˆ‹ä€û¿yu ™büíM¾,vÌœjÕFò¾G$ã¬ÐsnÓ8ÕÎ÷ä‘â‚óø¡Q©5,%’²é·Â,a}33d žSzÉÃÀO¼s´„DLK°ÊožÎ_V&tݲhí½âÉÕ]EŠÄs„À±ccñl`*rÅ÷æÍGö>J½ïÅTk ÅWª”e‹nº¯6ÅÙ©Š©˜†(ÿMÂ/!ÜÌÑhVñeÁÏ°pwÒ'·ßäQòŸ›ZÞ¡¡•c$\%×ÑÂÁ jg´Ûi>„Û†€©ÑFk©ëxì­óÈ6öA.± PFé!rý¶$_cæ€Iè؉‹™9Ke5}‚Ÿh<ò#uÍCqÁ™‘€|i°æÊ…_^6ËF 6ü©>o|Ê +ý¬ª!Ø“ºHx9‘ó4×~–wÜ<ÕvYjç]àz?’VêóŠ"ÿŽî^T j-ø"{fQèZD }KÀˆLKD»àfqR~—‰ñ¼C]ëÑš¯’Ëî +/ZRœ?LÚkÀó©ƒ@ö²ÕA&QL¥1I¼âŸhìG5×"gÌÊy’Äå½N˜ùþQ‡TÏ–ç†à´Ÿ`Óg%SåÝëœ!™)Šž"„˜¦|–’ýM,UÂô^Ö£1±é{¥!0(-$ó² ‹Š’)–©æˆ<Ňy@¦«7Gàxeù²ˆ» 0ÑäÊ€9!?½»%ëœô !ÂŒ^Yyq¾½ö²ÜŒ$“îoîÝÇÀæê‡a¨mK8_—‹B“Áï‚t˜5ŸCƒÁ§† ž¸s\Ó˜6<ÝÄŠ€;år‹‘•Ÿ#3GÂpõç¢ôí#"Ò}[0óˆ/ë¦9‡R\°~»ÙŒ©ñ¦ä“>]C…¦;^¦Å:ÆKJh+:’ §g«k“yºMËäòÀ±v73Ž <3c±Ë.ÌW0¢üÑ0žóƒ1;|Y¢ÞfÌ%iS@@‚÷àAƒ£–J<:ƪ/á‘pÀp8‰‚ÀžF­aL‹B2ðs`ÓáèÀXÕºœ Ä_º¨‹CÝ¥G@¨7¾"IÌ*sY†“‚ mÙ¾F(ĈQ•@¬øÓÁËaÄE1L0þ£‹k¸õŠç’x²Êo×ÏEƤÆËM9 îm=ЉSýÏy#Ô@„)^P6Ž¯ÃOb/«'¯ÂŽbDD°•½ïo„.eÏïÅu†ø ?:l¯ÿà"õ#\)¾Ì—¦î˜‘&ú˜m&´ Vcºe tOµ ÞÐí'¹RŠÛ‘(„y¶—¥aƒ]@¶ÎöSצÞ}sqÓY-\Lbì'ß_GAà·ƒB‹„ô6áiŒ¼[•—(„DZaµÉønBíˆÉõsC·wgŒ¹åE¦—¥_BÍÍ'5V»¤ÜVU:! [f#V–ß"@P(N5û£¨Ã[ë܇¶ý\0&ÌUí’jϼµË ÁŸ›²¹& Ê·Ðè<ÆÔ¦öÉä/küðŸÍ ÔßEö©eeSù¼„håB§K_…'(ÎÛmˆb†ÊA†Ûu\·ëUX‘{\ÌK—àDÉ„»óq»¯ÉÓ'äNÀˆˆ7x/ EKp®WõŸ#¯âêMK{O™\ÙÅJ +¦mD?þ!"t˜Q1 ¬Ä¿oB’ÄÜŽrÕ‡Jн™W}cÅèHÝäó»ì¾(È–”§²\V&ð>n! oÞ÷"Átù=½88BßTœâßÔÇäÞ¯ðq2p_ ^Ð×_‘3Æï„x¶áØ[…OAavUN7NÝkVº½¬‹¸ÀÖÏÅC½\ì^ØõÚ1ø§vBoÎz,‰ ]ÐÕæóFÙ6"¨S¦E‰Û—y–ØE ä¬r¸š ëè-*ÿ Hݤ^Öä´ø3&_¥{©‘ìæðÅ­ ^bo¼”ÛÑæˆÏ ÊT\÷Ã`=š;„Lû—ƒ ºžææ˜ê‘É{CDýofÐßJ ÷ûﶃq-¶ÅÃá¬ï£³RÆÍ73#Ê%ø¶Y÷Bò—ï}%SÁ +0{u\‹*F€®MP³˜¯ %ƒ­*AHÕ*ÎJ1‡…ÐÊ–Îk€g¸¦1ùRldí#œOW)ÐGÛ…·×>˜€ÇÆ´j/«ºÙ!`Ü´ÖÀ²-} +½3Î&ÛÎð©Ö„5¯¡VüJ+üÐ4è„Þu7Rꄸ{‘2p² –Qwú8‚=.z²ÁmÃS e½`)—%ןÊÂx¬˜w†m‰b +üž8ŠÉKîã5µKÒWó›}¨D;J&Ÿ–Óo÷<ÄÉÎvpE[®>õ{o¶Ìæ¢RÕ¬ãâÞò O ÄIýÞKœ9¸—Ui¨saŠj÷A*ˆíª‘?ô†Uí~2EXùV5*g6Y[€d`GÚ¤‰p[dÎ\jÌfêíÜqPÝ#ˆFRwÅû'ãä˜AŠ[P#ÄÐt—\–*s»û(Y÷ú/·…Xâ=ã|2îŒljžôyf‡àãQ²Š©#~Ò`g< ˜§£$•lR<ÉEôQê|(ŽÏéXá¬Þó¤ªA3wY*ÏêÃ#¢£!Ž]ý6’…ï  ªÎh˜ƒ,-Ä”Dm|¤;fI%˽!ÁÅñþa\zJv"ìƒw6-æX£Dþ‹ŽúÜ¡ùA  œ‡†È 3=êËBK‚ˆÒœ“W1"“X™*übzh°#‚SÊå}„‚ÿ )êåü(%©èQðl˜³AZB ;´–nPvoÚ‹.X]`ÓW³™«kû²hL‘‚$EÊ0À=  +Œñ‡à)`‰D`Ú3Š·ô*Q³€ÙTNëc#ûÎ /R‘½¯0æXÆç9ºGN0d¸†/ç7ª“PïkT8äV#yY9Ÿ#(R{Â#ümÙÇA`|<¥zAžO";Àí;"îÅ”r¯Ijÿ—ЯF°ó>P NrRwEVïPØBKæÙU¹ß…/h&D×øùq/‹LŒçôýÓ,-ZX™Ÿçúuæt”X–O2ã‘6ÌUIÙPÈoÙ Ê`(­& _`ÈÐö[=Ñ‘vU¢¹Aâ°ÈP$ÿQÈé†xNQë+ä/«Sõ‚}U„UäWL…I@¼CeŸ%km˜7ïrÍjª8»@•²{²×ö78 ˜”Dÿ„ì†__hbâ—°É«Œ>¾)õ½ë’3‰!%$þ˦]V ¹šõÏÈL¡@ ‹Oœ ò5ÐVêOÑC!ñ¼Šr5÷(]akv %$Ä™ÊMMf¾ˆqÎ7š&›RîØh\ð¾5 Éê ²'%Ç~O £(ÄB—¤Y aÿ®"Z-(±¡ƒ5—KªÂL2þXÄ5ÞÕ?$™Í™ìc%x\‚ê¾¹6»Ò?'È|Y\†©àIãè<ý’¹=ÜbK§­áð4šy€ *ÍþÊ쨇Ø62ÆQe^0ÃsJD€Ya±¡z²¶™à0àz؈ѠÊÍÛ|=/‹´¼}Ü>i½ª*nÅx²áltÇš½à¼úYùŠŽKHüÉ^ÒcC9ÁñWê qï­žáqÿ·l¹/wa“0BUè!ÀMëDêr%Ñ×|çì] Þ—u:”2rŸZ dfóH„ûgT«@ ¬Gò§z,Hg ‰ûÎeöLÿRòÙO”Ê-LÁ|8¯ß渂¨ÐXÉ4(Ï€óDÅjFæÊïD.¨/kÕá\Hˆ#TëØ¢õíÉWùÜĤûÄà}xªo=˜7ˉ²>¥}Ø =]É„ ¦&Ëã‘?0“Ž¾±µO¹…ïñ›¦#×"CÌŒÝE.ëÄD°ÅZµËÆh×ˬqàŠ0^åÂ?…¡ ÊNPj'~¥"H(r—À…¨ž ÊžY€áHwçÀ¦3¯0Ãeˆ‰MìilÑÉqaÕ(d‚—ç NŽ@œ ð€oTŠÌðä1Ä(xg•€s‰ˆÜ&ð‡Fê&hÍâŽómä ÍXv|"À¬ÜÆÄKL¤ï.ü¸RF¹†ÄiCà0pr/ËCö +\¥•Hó 4¹“L&fü-ïN@µþXç juO£ZÛsp¨†D†ö;jÉYKÆÁÌ??’’Ô +5ÊüCdØ +Ûç1wùœ°;OgúhùÄëËj?*W ¹Ç| >÷7Øú^£h 1‰:æu“GƒØiÜÉsªÐ™S+1TFŸ™>ÓáfP0¡0"8vD7ÀE +ì[ŠX.À}ŠÍ4ìËZÜö¨¦x•ú¶‘Á3â{Úa4R¿Á©Í‘™¸!› á, <óŠ“|¤ºf¬ +ëV'ŒOíqï *o +E.)2S~"‡æÛG…¾,Qˆ1ˆ„Éãpƒ±·}Æy²=Vó•“îx, +à6ÿ›<{¯1ˆ1€ 4Ø2ûâÌ«Aê";ØÍk sŠ³'Ü@s=_±àâ–ךԶĎ æÑ/‹b76û•¾NY¦¸bÌÐVÑÀA^]ò]ƒ ÂP±ô)õ-¹î‘¼"ÉÖB¹aˆæÆÜMóàßILÃ" Vi…¥ Œn‡wÏd—õ†ˆ ú¿éÎùñT~Ü =/v;†ìQ”;ä¢êÄýÉHð å0'N4æµwÅ­aÑR— ŠønÇE‰¾/iµq´Ÿ•su Ÿ ÞËêHù­</OøË·ž’¶6r ™—ÒýV6¥ÑIÕŒ{MÍt‹HWêÈt=Ïä©Èìòð¨vR™Y-³JxQåpÇ™Åp¡#^yz|ä°Í—µ"ì+\‚9„Æ­]h®z{¹˜ÙÚo!˜\¦PNBñ#~ø¼Qö6¿]$…•/ÂÊ`ƒåî ÀûE‡ÂWàЛ‚PIÚx‰¿tœ¾¬k@¿÷Vî +‰dD‘Bè·ÄL™Iì2†œÍ•c·/‹ S þ§wÔ¦ÌÝÈ{át”Øt:ð TªÐ5¸Áƃk‡´ß@„}"4­£/ˋШÉCz¤GH{wSžT‚?Ru_Î0΀3+z4dîô*)zƒ¼+‡,ùãĘ·Qã }YÉ£š$ŽÀíŠå{Y‚.¡ÙpÿàQ~ëÎ IV‘}·srá@?X£X§7Ô³"cU ¯™?u(ïÊ4ͧ»Q%hÎíßËA8¤9áêjÍ~ æÝا'‚>»÷WƒÒsîÅp,2CŠÝË)¤‡{ +pšó²Ý´[éÜ-‚iµÊRi´xHgè¶irí6-ŒXÎS”.uJ#—åòM½xÀF†›h¸K_‘Q4s#Áö|Ø +µÉ‹ØØ•µÐ⎗Îdƒžœª Œ Ϥ7ÐÖE®z&€LTh XrZès ÷²$èƈCK.G …²²“¡gŠÏ˜g+\c †á„¼¾4„'¾{Þ\`Å/à³ð(Ìbƒ)“;·­t’µI¬9¡È„”ù(ÆÝÊ` endstream endobj 26 0 obj <>stream +bU/‹Å<î1.ð9;¶zWXqÏBüÊ+Îx=â+¶{[2¬A‰>‡t<ùÁa=(êH4#°bÓ É;'ý>« ÄÝŒ—è½UÒÂñe¥äôc·]³ÁÜ'ÈÁ5ÂÓ[¢|nÿ‘oÌÆ‹{ëºK`tŲ;âeg‰œÃ1êÃI³8kÿky³€Ü97Œš³Œ‚^4À)r@0ÒØ ÇÅÝ\–àÌ®xõƒ1ƒÈ¿Yóyã›Ôõ?&)VGr¿½Ÿœø°”mí^Ö8ÔüµME…îL* 8ñ²i—á?oœÝÿoT9¢"“PC»œ1~º+—EHàÊîÛ\dplïôjÁîÔ/U^9Aê ÷š7’¯æ›˜ºjAcÔËJ íUÀ,’á81Î?JÚ›šbBeá±;Lè_æ›û(^^A{ÒQL×þ–£UÉèvŒäòÕ»Z׉8ë¢BãM< +ÈE_I"ÆžïxÒˆ&—å‹J*az™n ¸ñÁUýE]0ÚÍ‘ùÄš-íû²î@oQ”C¾‰ïë8 >¹76²KÞþã91oºHÁìGoXIK:pá5YŠÏÊ{Y†<Û& +ðʽ†y= žÁß—JÚT¹y1DÛ#½ë{&%Uc]æÉ"Ôòi4@„êmáü2ËZ‡³Ž»ôz +i¢>5)oUÿ1b(²ûöÉ\Va£%`7}¸Dq]¢Ö X‚ò¸$ÿ„ÒW| 8v ¦œ\¾ó10à?6æ¹)'+Ð@… J‡PÍ…û7|¸µ^½ñÃT-Ü£N +ø²D³~c#ÚöžpŽøú¨ô˜ÀùšÁÀŒI¢±´‘¬é™þí7uP !ÖWÂÆý-J9;˜K-®yˆh­Ë¬`þ$A­"³p”“-pÄ—Å)-ôÖs[ˆ!*M.Ì‘D6˜ã­c0*î‰Âùðœ -±¨Äåh5 +RŸfÞGÊ…uˆ*~¦‘ó›¯g4”ew‹b>éÑ™øhov1˜AGcîS^V ^Z,’òýü,-«nC›ˆ”+C±Ê(UCXŬ2}ª¤E7<¼œÉIÖ“CÊâX+ºç‚§žÏ|O¶š¤[lÅÄ}å“ üƒ¿W¬ŒÒåÉeµ´fâX“În ]•co¥'àÞ‘qßKij+Âgª=HàŠrÍQ˜ˆ V]ÇÚ(¨?ÍyS)˜¡ŸÅ°s )}oT§Räû‹"xÁâ‘’M´{Y¦@C°SéÔú”U©í/^Ÿ¿î +µ3Ba÷P@0§[‚vÆDjìð§a@^•Ö9gu„qL\3±®hÝ õÍÊ~íЄ¦–„ +?6çZ)–Ë"µ2nÿ#ÂCšFGÂOšÉ{aHj\yüaÉ/¿+xT®À(ˆ+R¥®ûø5æüY=2=ªÑ¸æ"Ìi’„aw:Ì#iO§À{À³T\J~ fa…/ëƒB©§VH^1Jà/ãóvÃsënÅñ(Äãªb¹üÙáLÇ$žI¼,%V#D+ãÍÜÝšïü®>ÅeO&ú¦?j{yÑJt_“ƒ@¹—ÕA +›ûY¼¢ü@Ü€¤KÈ¢q#jRµ7xP‹äeHL¸{4҅(}2¢È¤ÐvHU;MKúÙH‹O2=ú§¹nŒ3b]¿î²Ô—ä¢pâ©"DÒ(¿Å¦WÝsÅ-°‡`ºàR0*èík§”¸‹‡ÁxØ(†MOcí±·W{bš3ãã%¶rßÇTf°E[­ )¢“!Éqß_&ð!U™/-–‡&åèåÖfCj´Ñ’һʶԺÙËCp ´N2åÑ7pˆóëÃLÙ¿x>’ÌyŠ¯„zè¶H^O-¨/öUPÒH\"–MÄÞraænBL“<æ׎WÐ}wöÉ%å)7Šl7zÀ¡Œ¾|(Våe¡F×£²³‰Ñlï&Š«Ÿ_Ÿ1©hÌE?Óô–÷ö)(–EÝ35©ž?y Þ@ ˜sJÊ x«t<–¦º"Õœ‹w¸¬2éáæ»HÑhÀÆàËjW¢·Îœ«s 9óÖt—7âçŒd'Kä]œ¤‚9º_SåRÉþw“'p€N©À’ÔÒfØ­aø¯IPsÏ`"‰½B2>ÜQ…'Œ½š\ÖïQmj€UЊ?1-¸ PâÍ©ŽÏ—ì5Íšv" ¹; M¼AÞ+¨A³Ë D/;è‹&ŸHdþ¤Ò½²Äã$ä+æíäÁ&ëµË²8JLÀˆêÎO08 S*÷ä_ênah QïV@ýƒÏY[2ëÍäïvU/²p¤R‘ysÁÛ ¹D‰ù”88GðZВȇf ø†.£îËÂÔ©% ¶U$³°:O‘tâK•­SÑÄ'­ ÂÉÀ~ˆõ>s*?Ãa94tÞ†kA…ROŠÀ"¸Õôh Ekz%…Àó}Ðö§Lóeý]"“É HdžÅõͪ5®UÿtyRðÐpÊÞQNSŸ’Íü ö¦ßW1?‹ +²)âxlœZG¨¶„ÐÅZÊa`%ÉùØ2o.2_Ù5 táË¢ã‘ÓF£RÉ꼎1‚iÇ’wÃFÙA¸H¨RžÞ\P´¥ëË*Þ”ÂÝh•²ÝµÛЙeß¿$*u<Àþæ"„wá¶ÇJìËâ̈!'¨â“e4c%]˜ÜšN ¼¨*4Àxp4!Ë@°Ý¯ù +[Á„Y7à’ê“ä›<çáVPê$ŽÎÊ#NvàÈ÷±˜Í3sª/KÔèl)Á¥¨jÈ¿: WO…Ún6»püw<ÖaîÞ2â¡,+…  Ùø\tÄÅ7HïA ão¾$ü3h3Æ +÷Uü³ŸÄ/k4™»ý¨"u]²Zub‘¡É8SX‚çTeâ™S~î A\;I­±;•u¾7øþœ™üžPêøž·GŸ‡T%+…$&lY&µùuòâ²:õˆB³wCœ÷ Àü+¦‰=c*6ÎSì08Žö±á½@¥ì÷£Ä”|“àXšÝÜCÇ9d‚ õdªù0äd0i°³ìžî†GþåËJ… u)BU^ I­ð +JB“ä—ªðÃû0 §”ÕŠZÂ#xÊfÝ($î~ÍdøšA³üi¹Èœ`tSa@ö_‚ïÄÁ©ƒ µH_ÖÝYµWq_С[û²³½{èÐv¹4`þ¨»,ܤÂ\ôЃ]]DWË‚bjc´’úÔò€2´¢"7nó×¹$>/¸[Í#)ªDú ¼š/kþlkM¥TK§¸’Wá@ÜÞÉÚ @ḒE“7Ù¾]‡î`dçS·]vdµÙÖФTµD«îêÜAÚM°©Hl³¢ô˜”O ôeY6>͘A Hnl(ˆKVˆš Q‚Ž˜#doõJcöÞ×ïâ2ˆ8pÑH’øxg¥4”yyßp24å#®5ÀûÀ„–ŽÌœ@‡ÂBèn2˜A}Y‚A9ÒMúOéû?ÒmÆ‚ÎôkVù2½,èÄa› dÃHy'7#X Xƒy­@uPÓž‰¼g E>qªÞ=´ÎP<ɘ‘[ QÔ1—‰KÜe ð7"gã/˜o!확0(#÷’hXL5ú¡ôû‡  î}VîRø3f&r·²cãë´|±@bÏ{Ùd³‘Á Z¢S%M%_–®QDêF>aí µ_tݯ< +Á$pLfìð¨^:h_³çEgXzÜbö`Èté%°ÈoÌïUþÚ|W\?ú’o˜tý¶¨ø$f$DÍ,k¯|Y™É%Y‘~‰‰@¿¼#ð:8ß‘Dt-Ô@4ìk4nnrâÝÓQQ–„€|9›i(ì( Û‹}êÈ÷‘ºy ¡c܆Ü!†/ë%™Xù4í|…´‘V½ lgíä6ÕbÐ8ží#‚íCɯ ©`óѪ¸ ®¯<Ë*ÛP“¢‹‚4àÄ{_¢M0cbŠX 1¸È’12è;òeu¤µ_ì™.Úݧ <:¾˜+*“.:(¢DäÄ ³!0l€!þê!™Ù‘FRî“áÀ+ÍrQHkA €É&± +`ßFéTWçÊ—•3èVe©_@ÂÇ,á,rŸ +0îeeTºÌW™]yôîWDâ¸Ã…(tÉ¢†Æ ¥PÈœp¥²aÒÇ[ÉŠóSŒn‘“h{Ñö"H;—P"ñ¾· +‘ÂFç˜òâ¤+h™¤£Tp–72Gñ9uHÊÜg“Y1ÂDn ÀÞÀ⑇†*«Ôu0'O¬¦#A&Å™‘¤Kê.›2·J¾,¹Î=9ŸîìfÄÙD’Q„šéÖCrëåox<,£$6‡vi|sÝÊŸ2‚’_GAœEXíÅZ:šóÍæÒä‚Š|¨e8M'×—¥†!o0=—ÈeDC¾D:ç Í%’=QÛÌAƒ7ß‘Ìé>FS@¾ÉÕèAF¢íf£žTÒ›PiÌž‘|ê++Û×PGä@!À ýú‚¶Z°—5T6˜`Ê#Ës}2ø]XN¬šÃLi}E;è9ŸÜö ø„ˆG9¿uqq_ã¦C$>±Ê@Þës¶0L…|Y˜ûe;ó˜FówØâûBð_ÚSë8­ÓØáÖ7²ÔnIFùñÖ®oÉÁ}„ÍCyä÷ê0˜ðôU²}H3ÀCó$‘£ðFÌ󤃺H8/ËLj×KeT€ÃB´ï|Ĺæð`4ŸÉ…1ÚÜÔÂë<FÅT{üö: ²Õ1» Q(r/ÎÊ—›É-`;ëJ°ßœN H'®è^Ìeyijá +WO錹FÑ€"ÓáŒ×€§->Vé©Ø+—c…xF„lÍä«®bê&)GÄìt#³:ºHvû6•ØŠä%q(Vô¨sÔὬŽø›ðǧž/ÅÞ-.«!_>Ä)ȹ…±Û†ª…¥¥†v]¸3š²$DEE™à÷tQLêÌ@4«ÇQxÁ/„qȇœïRè²q +,ÝÏÂe.ëTt·qµ“öT¾5êÅLØ,¬&X,ØWÀõ£á`,K³m;ñ>}'²ð \ƒ¦ä/è‚‚®l.¹›h!Æ}jÎ(<ÂÇóòõ"_V`PÏhŽQÅ/<£=(Ð%[°ð-y†ºþY§EŒ·rR‘wƒñÁQØì>`q‹¨à:ÌN ‡½Eï&¼çô:0ïi'oV’$d +y[$7fÛßËHAnvÛ‚J^Èûãó§ûBôF¶£<”Ë v#¡$± ø~O[®Ðˆ0ÞÈ2ï¤)lÚš.ÀÚå².ë².ë².˺¬Ëº¬Ëº¬Ëº¬Ëº¬Ëº¬Ëº¬Ëº¬Ëº¬Ëº¬Ë +hÇ/ßݧðp„b°_¸\I®U∯Žj¿Z©5OÍjਬ_’?A<Ô7Ü¿n4z’cW0j[…it!§©Ž+›DÚBX=Ô} WCúFÀ`¾'‰)õ¯rÎù×e90[(cê”»–lê£M7Aþjĵ®ZÄÊãC¡ ] +UÕ/)( ¢ŒYpúLRN‰´’O>¾H/¢Š#¡ÙL9Ÿ|2ø²T\}°˜-㘉¹t®ÐÈ€‰Bš’Êõ3u@[\¹9óéP™˜hÇ¿‹÷{¼7”Á <323‹(*‘êú²¶S3×z× òU¢5S¢<,ºN"±E|šÆ|D¡ †èž2®ºF@tñ`ʼnNØ™o2aèm“²‘ª-çÉ_R`\úª:Kc$°?:LF¡ú|ráT¨7ljQtQá®ã@^?ÃU F:v“8Ê`ŒGP)!”+Ø GInxY„€åDH=®ç´òª(œ—ü\§,JÀÉ0tÅÁ‡ %ï B« ^ì¹]ëdõÑÔ“Ǽ]LTÕf/‹Ê`ø¿ +ér6ÈUèòé¡ÖôS>.‹ÚÂ)öw›øh"nîqòÆ)”Ám#´•6ô\–¦Õc·I™XIfàÑ8wwÝÔ±ö,;¦þÂUC¨ö3 3eÐvæÈ‹"1²u’Â$‡áßÑ–9*…£VšlÞNFÂÔËÊ +õå°øíåµC¼È8gúš@:*àž0iºÔ!½ÍÜ L— =S ÈX PþÛi Š)”Á¡L¦²}]Ä—uR¸’óSB0Ž7ânЉ̼T|Š”ùĪ’´—ãÙ;0íЮE|NVÓ‘‘:K"_º^º,ªÙ0•ýÉî‘6¯11.+SÂ2J!ç¡ Î ÒÌŒ3W O$½Yꓼ?¼ŒHý\žý$Œ’¹DR 6 qÎRˆî‹Èã1!™ÒºUÌ%Y‚/+ׂësÒjÍ¿ bJhœzP½´åÉ@)ùP%ÂL{ ˜Ò…¸^ÿSZñ¶zƒ¾@6Æ<ËDþCe°¶'“>Ä^è ^I/ëá¦|þ‚E×´Âh\¹pUc±Wï;ÐSÝGT²]ð(&0GndRÕåp±Äˆ&®à²,w²²ÛྋŠ&ÛŒ òš`OSËUÐb=¿zY•x»Ÿ6ÄlþÀ‘X°Š#SPXê’…økò9GÂ#¢¶£ +ìõ²@ú­ac®'9T…2XÕÙSª¿PA*¨­Ú¿®ªe?§¡Q×F ‹M:)J†`u¨°ëÆðAÇ>}•DowülßËJì15È !ÄǦ[è@U]Ol)«¶ɳ3Ÿ$¸ÇX;ÚVƒP+üó>EÌå +¸H ó¢õ8Q.Ùk |_U‹)%€ï²$ýhä^%Fšøv1ýU•j÷ŸÝKÆÀ7 7M˜ÀC'ãôOúЀ?‹®#ºJé:Jï\Í/Ê`Õ!lÉËzè* [.S]3 ‘a›Qö¿"¢2åûˆGœâåú1sW>æ•¡>HœaNѸxÂËi‡²at!ÉûÇyYh§·ç;ßÁ¾Çw°ïñì{|ûßÁ¾Çw°ïñì{|ûßÁ¾Çw°ïñì{|ûßÁ¾Çw°ïñì{‚ `éÐÅû{Ú„8Úp·åÜÌ@÷b )À†v‘Œ{¦#8öÊ# ¢T™ˆËZ¨Â3ùª!€òmdÒp›µ³$¤>qݬ_¸(iPΪoð­8F§úÀ…@©ÎˆO…P€*C*(È» œjÔu1±°Â`W ¶´¸QŸtYˆÀÂ3 +Èn4ú žh#3ÊAôH}ÒŽGåqx¶À£áŽ«@­ +hAø{Ô6tçÊÿàÆlØ踠 ŠHÅrè.ÊûŸVI‚rÆ‘Cfð—Eï‡H¾™&…ÛB¢AóWáx8óæ…ö±B8$wçÛI'$®«ØAe`–(lÇ/ >‚ `éÐÅû{Ú„8Úp·åÜÌ@÷b )À†vYɸg:‚ƒ`¯<’ J•i€X¨Â3ùª!€òmdÒp›µ³$¤>qݬ_¸(iPΪoð­8F§úÀ…@§Þ S?#@Ù¨ëb2%[º¬îƒh¤Š‰85ãíÚZA•‡È(k[SN´ |I+ðh`=¤3`Fg`%Êbº‘ÊE›”ºô “R6ø.rÆG¢èýÔ_Úu„ƒ×/KmÜc¾PC8À½elP¥uëHÃѯàÉŽÌãƒØƒpÉ=^˾°´´f^YÒÔÉÖ­€ÒßSmtcVg>Te*'¤çÉÑq Ä–耗qÀóÃ]7 ‡/ >ÃÅ„0H¢H¹¨ ~‚7wMbm¸Ó`P‚KñZIÓlØ=ÃOC cÄ(ß<´i#ôOÐ~d|ÐXq‚‚†.KP@‚"À«Aÿ@À:šlj @;þ@vüíøcyÀ‡ ‚H<.#¼±œPÙ†vYsEaíE/%œýNÑ©ä°Šc6¯¡€Wõ²á•Ú¡¼l〄#ΛI# $™gX=¼÷d¥Mä· œúÞiÍFAŠ»@ŒÁØ„{YBÁóÉyHÿý483ÂP‘PàD*0r %CÛx2ì1¢v¤ð?Ý qÄ‚‘ãgUÏh1Bm7ÞP-t*‚ÇŸñˆàË#‡\A‡‡`¥¶0Ä—•ÛnÛz¼µ™ºE£¹¥À¦©lR¬N›S\ËbH¥S¡C#@JÈ£8£½ P€Dx®W*ªÙR(MS •ø ûõ +¢?ªK *Ùr©DiG‚jŒËZCì"¯(P‰n +Æù(qj\éâH;ù»c/Q iÌ銻5jÃH[&|~#½¼›wßé7¯¬JžÍàm¬iG™ßýp) G0 äIžòëeÅ#¯ 6½FþX,ƒTpv ‰„ÓϦŒ˜gE$3ø•bÒ§¸“£yøq¹É±‰}ì©k<°N~–ä‘öq)ƒ° pîÍ#A šõè~=Ôe¢/kcc2£cmMŽŠÏkU½VdÇÊ!ûDó•»’´±ú¶B©4£«E³eÊDäÁê Û‰c`|–Ä`ßœ¯ÁŽF€QF…©“š]–¨ó‚¯yƒ-LÁdŒ.¡I.nÂSß°£cc·¥ñ¹ðçÄóÈ¥|ìm5 §Á/Ï›˜ÛyÏJàóvƒ¶ÛF:âxm€ÊûÆ‘«0Œ]Ç÷Éâ½,Eïb_ò7ÜHVø]‘¤Í„×fõ€ÁM…~+ï˜5LÍ‹w$pÝÂ10_MÜ#"ZL–Àc}Ú~¥Âþ(ý‹ußX¾ßx4Ú (Ý÷€/«Èœ.˜ºlÛ¦Ó”ÞÔdîZ¤Î·Ã…¼×À‚`! +mtWO¾=€‹XE*„˜9t,°êCQw´Ø^(±0åv-l'ÆÁ®½¼ ömÍý‚qY’’Á?gÒɨX=NÌzWX¼q]5Æ„Ä·Ÿž”J¶m§Ä¦WÝ®æ‡l£@9.ü†¾ šç MãróLCgîÞ¸ˆˆÈX#íeÍhÄQ÷‡#쪸Bv=}cAÂÐÈWp+Z‰»0-_í‚34‰;Û´2ë$¹‰+ OE…ßÄaSRìÑ¢Óõìï‘òe¥˜ –(c8Fڲ˗--‰¦Aè0¾ÚÊÉþ +±®ša!'{eŸ” К¥À]‚²0É9©" Ä iÊY²ã›¸8 a:©1s)Ò¼ð"ÍIì²Ò´?¹?WàÀ…0÷8ÄGÙÛF‚ÖâSi‹M ZÙþVí1LjÙDZ1Vÿ⚲ɀ|¡¼EăýQÐZÌn]4r8À˜u 'Vlåhyn€/Ë“¥£¯ ÅÐ`ÁMr"L«VêÃO¨^Ògû°Ì½À·Z×—ß .£'›‹A)"&|¾é7eí‡2­.Ië@7¯ÊXDô·€UDÞ£Â_–ŽQµ×lTVÙ×—‚—Cá.ˆ]Qþªp¹øqí¨c ˜éÚجEv5dQs^Kæoã]Óò€æç=m×¥l°—‚J(÷Ó–C}xqY‡Á¹œ´T©Ø3í†Ç¶7eƒI1YÖ U ‡ƒÓ®'£”=ÿ…KŸÎZ—òNâ!¹¯ÔªFy AN»üp¢YJ Œ£+µ;"º—¥ZDU»Ûpç’Ú¬>ù8Î^½-êñ¦›€Ó,`f¿¡.bžm´AÄœg¯ªq¢¥%ìœÊÅ6bÄßd°¯d“Í¡ÊvñL œ©J¤Dƒ¾¬«M`L©5X.³€7˜$».£&õF%®­|9Ä<äø,u‘´Þ€ Η`üwÙì#4ƒ wq5‚Œˆ€6> +C,ãö +ô”hļ,Ɉ5¼’‰N‚ ±â£i<¬õAi/"åÀ»ÐàbU;'SWÊšwœÊS$O8ÜCÎ&Så ;í ³÷ƒõ)ÉÃMI ¯63Ùyg©² +_–Ø0>È‚!Q(; + KÌó@úr AÌqÌé!âòí¨Ò»ðd-qƒî¶"ì_W›' -¡µ¯/ZStEõ#ù/Õ³R%xdÐËRûÎåÅóA8äÅ…U‰›9CiGš>„ö— \ãøˆÀ½® ÙöZÊ3-Ë©›BØȨëPT Ó¼д»ʨÑÞìÃp¡ÛhIóz—å> z€XË!#?VT^ÅŽmRý&/û+d¨‹r%üÅ\9E¾8`bÃÃv'Á´jżäÖ^ØþzöiàiÙ®"1…•*+¸* èe¹(ßGàEÂ…RSh1§WÑHÓñ®üõ¢j`Döæ¸'ëQY½¬T|h·.˜ê¾Y˜"Js¬ˆÊ5méÆXŽÚl°§[eÔç›»”8vïeùº–J˜Ò—é;¦›a!¥9Á;Ž¼Jß®::~¦ÆœÎ›†z\Û‰«k¢=³€¹ãB—„âé‚ýŽ"%¢¤Tvô*W´^§X@Ì%ó²®°ãºÝÀ»ud¤ÖÑæ˜4àœàÈ­D}4DY.๨±:UÊF:ï<I2)Ø:³{= +:>Ì’P@ÜÅê¬Ài`¦l’×X…âËÚdņ—4Ín8¾4à1Šú,±)¥ “£Ë´¬á&Õ¦"+ ùÞ +ÈÉw“O¼ ÃbøÓ+rðÁÁì ud‰ÑËq÷é‚ó²^Ÿy'Û~XìçwA9å}CG²52“Â.ß½œÐ"EÁÌ*»)=îD‘Ð58ú “¶RÉ4Ð 51I›¾8k8d\ÁÎÜÉæD¸ßê²$.kõ_ö°T¬h´B B¨Œ­’Z×û•y6¢(7GRê¢HV€@¡}ÑžjýÍ +² °Ûm1h鱞U`èŸ_O)¢žvÂ&ê¥k  +F¢—^–š˜EèûI]4³L·ä¤NX[>îÊaŸÖ%t¦Óoh+$P'åQÇQ‚O|U•.£ÓÐQ˜J5==¢Ñ³†°-+n\bH ~CÍuë^–@o´)d –Œú]-¦öU浕Qù>kä†#ä¬ú )H_QT„ÀÚPû.ÆÓ0ï—xjÃSeø¬îH1i“D +$8ê*[ n¸ŒúA›—ErC”Å8)@xç³ i +S›M,qÜ¥pÎNEŒÚ›"¼¬h|¼o•È’SÒÐý™óÎy¼€%’‹)ºG¡vU‚¹⨀H`Ôqr2‘ ³ŒÉöˆ/++}@ +Š?²©¹èF± ç*7õ“®åò¤rœ Œ”0s>›ÁåŸsQ9€ ‡ª‹çF¤[<£ö§ú(‰‚ü/éíŒÚymÊËbÙº‡Iž(¥¢%Q>õRÈô’Ñ6}bm†¾djÿ +ÆÂC¨ªÌorß\u€"I®Ïx‘©Ýï·'§ÇPQ”*€sQ+w ÞvŠÅÈUè%ž»,Œ ôY) §E ‘@†é„ìD¬H#äB œz~®Ö‰ WWwŒÈפÜK’á³ÏÄŸ[ÍV»öê:ÍÊke\—ÈjP *`ØU@¨h4x¨—eZE=ÁªÈ|Gš -*ùzéá´ÖŠPçpè6÷ô)• ú?5ÒÕI½¤°£"k8Ï ŸéÎKêY_îÌ×#fÚC³ÃØŠîe]>ÇFM]*èÁŒïÁ®²ž8Ž²9‡V ˜N*Û‹8Hë¦B3ùªNlÀŒ…ó2äÔ[a4$ájjË9òŸÄ6J ŠÇþ ¸PfÇZ^– :;ê r +ÅCã°µÜÞif’iñ¥¹X_/ꚣ´|™ˆ‹ÑÉT9?IšG˜4ŽV†X„a}7úLŠ{®.¤ m{0³!†l®Ù¶³¦_åPÂ;÷¡¸¤qëdÎ$ü±i˜¡ba’‚ªä%6îô'3oò†¤å#w”¸¤Ð=ª9Xfå¾Û+DZcqÒTÚY*xâƘÈ9x‡ò²>Küˆ©Bõà '‚,å #8îꎤ½ˆtÐ;²ö.âÙêý¹Äï'-¦rd8ÁxQujš¥¾ ß¿rˆ{sËÔå†ie¿?7Úey¦ Á¢ÞÎì^B‡tqáÂC +Ò‰H{á´¸êÜg¶¨{Äî ¦W™Edü`ÖÄnŒÓÌ-y™«B]’îd\+–Qr– Ø7Í?T´òßzY ÿ›Ü£)?þ§ã%/¹‘æ÷#u¯rû´G :±•‚ŠÀŠ$dá‡F ÕÃË•³=Â(ÚœœSå§@ªïÚ‘}‰ÉLdS¶L²Ytt,îeiRD‚EÄL9¹–Mâtw PŽ="fÒDÀÎËáÏ”¾_N8eé{¾ú¼ÿ)=@{šÆLÓ”¾O+¨CnºéhQ >úú(¬ §|{¼,݃WRÒeÚGMáN°•= ²¸}R Z*l–q¢œì0ÔuÂöD´W08s«ºó% ?Èõwœuê I ŠÐÝÁQàSÙˆ=áBhOhö=#‡å†³t ( 0ÛÿDDzß;ôÌ@Ž¸/!þCq?Täeá´ã—a£â~Ó¡k%d +óaŽGã³æ`„¹¶~«½P^vN‚Iq’@Èæí©‘d|D™ñû!“wû;Ó%êŒþ~{‡¨/ëî\K'2î-% Iâô1×µ¤ÛðPpâɨÊÓÒBÚÁ[¡|©%‰Y" ´êáÆan =â€Hx}îØî›×WÏöÜÖÖJ%%%ÐfÍØËú ¾‡ìD[ ¡lLª7À+-„…Oq—tQbOµÇÓ%­‡÷x-Š>p¯Ž*šr¹ÕÀ¥4xkæ#:e:ðC–4õv ™z0ø“× ª\‚„xY?bi-¥J»ì+Êæ ÎF]{­ñ]"0œvwbD%z—)}29Î40/Ñß»‹Œ æ2Þ÷ pë)jt˳tX)êç”䩼¦—)tþ«l^Vî© )%»Sd÷ª«*؈RæÈÄD§rΊ+(!ž\ǾÁÙ*K›Z²žëÁúD¾CÚ§ãQ7 U)^¶ ¢žHpKB£àuÄ+ýMú@¿,Q„5î“=˜VUà Åo'ä(ãžžÐƒÍ EŒ†‡Ë–/¸(Òìd@QQräw¸”]ÓlM©ì_TàŽ¼¿ÙžÞOQà¦ÆXhpÞ -/+‚›òɽ¦xÐðë^Øèù‚°ËÞà;¥Ælp€›ÂÐ=¶’š0`Dç¡(_ : T«¥‚$Ìü¯3¦’Ó†­°rdFó¤ƒ:r@_Ö{ÆòØÔË»á#› 6‰  +±'W Š¢ò™š +t+£‰7É ‹¢ (ÓräTõnTŠÅ#s¼ŒöB“<²Xõì P†.ŠÃø(V§‚b:"Å^V}ù\bMï%%boVo+1ë,(mBt¢’¨•Îj¢'"wdV Õ?§f4+õÒ~ë1\ºák¥õ¤#º©–úÔwî Fƒ„”tac&Øî°dxY‹Ö]™/Ê="Äk¿YæØÑ— _’»¶9H©NrNB·üdGç[ÐàÂJ¥E¦ÄJ½#‰ \ y>Jˆä’0Ùöeá âdʘt~N·æþàæªÅÝ=C𘋘õœïVžP´ðý2t6xØèÇðˆ +Ô"òÚ%n0 ìÑð€fêîd¤ qDð…äŒÇÐY=Oƒc—5¡û–ÆOºÒü$N[Q¶{s4%‰ ý 7n±TYŒªÛšU!Ì!Ä cB‰2¡e ++ø@ZýÛ€âßpb£³q8 h<4Ób¢ +o@ÈŒXq +¾ /ÚjFù’Ù†ÉÒŽÂÅjˆ›ÝÀâo$2ÚU‰9c"Óê½.—¥ÉyP¾Q£BƒOø•¹Eþ}}¢ áNæ/­ƒúzhËA¶{½þa!3òjÀ+{HŒem-ñøx(üÂ\¦0Uµ78Éu™0.Ù•Á½¬ Âg¥ÓKŠ3ÓÈ¢±FLp0ÛËڤ蔃fó ö)L=þ™éĶšÛQ#»à-™ Ú#›œñc=îûÙ¼ˆ¨‘Ek»©ì¯ÀІ̗ÅÐA t1-0—°´GšgS!å™Á² ÔwV%—nvƬødUj i"<<³éôs·ð…Æ'*mèB³¥éžy±ÑÀä5˜•k[©KqY÷ɨ½òT ‘Ù6½bš'-AW +—6*×ò›‹ŽÐÚ~œ“©…Ö#îÜrMLf•Bæm|Y™gÓúå8åä«Äk´95Ü, •(ö+‚€›à¾Æ!…ò‚]•-]¥Žâ‹‹˜Pl_j6dPϘÇ`eËB—ßO BbŒ^–—ŸL±JàmVLÍZKÙ|ò|™6¨€D1xó%¸Èò`F˜˾?JBb¾à´C*T¢³Ÿ…Ò‡1€ Ó´›·¾'þLmK˜×åÒr¦»$_–’Yàøv°J>Ñk.8”W’yäÖS(7CŽ/ª¡êâ²èK€sšFÉ]íS!ô¸¥Ý–ûævš|?CtbEi~G¾ÐÁSò>p’vÿºãH8H×äÚ$³oÛGGÔðñˆèRDž‹ˆvòB&<­J3°—õX¿Z2/¦xˆ‡;˜àfKÈ ‚x‡åCé½øóñŽ&&yÅÌIž}âbJ3¨Úw†P˜†K_<¶;Zµ=¡FF™.ëÈfÂ@âBïe}yWoÓ”pÜ©ÑüÎ…†²+!Zø½á Šg:éïÔ5Tpú*zöÁK[ô×°yoZÇ ¿HDP l p«Ó3‘ ÂkŽ5ƒ1TùÉ zY½ Àc§¶8Á“bœùSÄzãóÔ…Q¸`$ˆÕ.➺Ëò˜IÁÿÌH‘æ(Š”ª6Á.‹'Fì±%º1r7N`# ƒ‹m®Ð~£@%_Ö©›9ŒÍà®RZÒ5é=¥›©.©EÚµ©†OB»{CSÎJG +ᾄՎ1.â*(…Ë*<(*K>«ü3¡ÁZó¿³S¿<ée¹ë„4k£Ao¼ž½“°aÃ)ÝàLÎÊmqF‚·^±b𖃀=KœD|†ù8ºœËWWh,ÇfÉ⪣Š²§ÿþàÜĦëgco4¯GÞpÅeµå  i¬ýnFÇ`"Ù¬©“¾]AÒŽ‰¢jT†í5P&—#yä—£‘Ü«Ot'¡½‚{j%Ó`K‹o…?:¬'íÝ¥.#Ö©hV• ´—•ÙA'UÚUžÐØ«¡/,c +^NZº•)ŠLj jAêDzTƈb £®b¥œçgó¯åÇßÔÁÊ“¸»¦pÁÑC· Òàz8¹—u¡id}¼§÷ß„©Å@õrM¹ Yû@+Jh”öUAÙœìí.AƒfÛ)?ïÂ@×p—|°­žÜLŸn©ª–8˜ŠÇ…{o&âÔ,½,4AÚÛÀ5”?ß ãƒQU‰²®¦ùqÁaôº…•jZóõ÷ÕxI—’g↼}€Œ{«2™Qtr¬æ™f1Â0!hÚ&´ðenäË\B{uŒRÚ"¹á7¸XPË/»ÃŒiŠ™Ë•‰ÖôV¨!ÃÉ鶨·Æ:ñ:Rk°—ž’eÉøÁ1C¥×šÊçÖ4ë¥.@hèe©²ÏÓ†O¶ÕšŽ†=J ±¡ùl¢E!îÒÑÈË`p±.:MÔ%Ü ‰2ímL&SJ&Mi:•s¥gÙ&¶xU÷‰k«PXßVŒî²x„N³‹øº`-5PúÁ«! / £ªäNÆ +=˜ðf"Ú´}¤´ž=y= .•]x!Ô†tpåj.j°(°ÔZy4Rwäl21*B1ÚË*èO ]¸ÑEØît†‰ë¬½{¤ƒ]•D‡ãƒ.Ú‚Åm´º™êhI”¯ˆ*Áž Ûœx¢B5ne$.«[®T!T»«¡ ¡ $Êd÷²`BÂÎ~i±¸À ‰M-Ö•ºÑj.ò`i¯_8rÓÏš¥ÔÒÜMÕD¢œN”j­åË­-o‰Hõ,æ€!;„Ô\ÕȪž– ;"’EˆÕLãÅO켬ˆÃ¤Y+újaþ+›ñ¦“FÀøØž\ð’á”ùʈlSÿRÁ.TÕÁ=Œ kÜWC d+²ä^ô•$¤ vDw^1‘à”s¬Á{;¿(_VIYm ÔB„¨HÄ£=¿x¬ î?7’Á¦Ô®è # ¾„¨é¶±òƒ xÉŽM?ˆqÏ$¢éœEƒÝÈ +U]Cá}qjˆ*óŠŠŒ*ˆ8/ ƒE5þ¹€,ê.ŒHŒâÏl‘ÿBZb)„ZÌ–â+aa$äù»Ö£ãǃÇ}dJ­F +Ë,—zÎCúƒ4AiÊ›Up‡KiˆA~çƒ ¯]V t°[‹›0‘ .5¨»ïÇðêfrˆó9E3-¯ñÊ°Ÿû”uÆÀšïÐüŠã¹xG¯æà„ s3!k +pX¹•Ocó¼3eŒ¸{Yrf­ €$=7}¥seöâÎe——ܳx#ík†IÑžl‚ÀõÄ*Î`O©“Zˆ+¶tÕ3`~´> +¬wÙ¬íiÓxçhÅ°{Ùb‹{YrÒ·ìb•‚ݲ±bsZQvꤣÕ~ßXõõw5½tD!ôW#+´‡/…=çŽy¬ZXÅÏZ„ Zù¶+À`bØŸ|±ý÷SP20”—õV­J§Dò,‰ÏÕ}W$YÞ³KÛSâ|¹T³¯†C‰N€ÈÜP_MÀœUƒµt¢Â4? 5$ ê/Ò+££ É$D§MICiŽ/+„ŒÆ …Âl+ïm4sAûàÏS <'hà ÕB××y…ÈRæ¯î“‹\ÊB¢i %•Ûg ß$hÉÔ kV C‘Ö$Ÿz§zŸU!ÅÛ¼¬¶³c5f[u®ÏXÊ"ÝÖv…E(Ú? ‹å¸ÁÌ»R›óMòMV®ˆ¦¢Ï"çÇ©\è\:j®Ÿ§ý{í/U‰½ÿ·ôc“îËâdª°ðPf˜ñŒŠ=Ô1Õt`ž áÎz'„se±[ñˆÔ·ÀìŒacMùgÕì¢B–CïÅÅ ñCP+À¥lß’óŒ¾"@H/™½—U(é©yØ>±y!}#×À®>¯ GudisÊÝSÃàñtº´Mq-WÄU„V#êÉ(¥íEˆÂ#ˆÆXøa/‡Û^+* €y«Žë+¸ }Ž$h¼,¯Bˆ¸ ·ÔAÎÙb1Èí5VéKP¹–›àTrèÌä–TŠKê ,¦  ²œd8ªšxø\§S ;8–=(" +ôë4«*U„x£xY¡xäÓú¢®¨ü±% m˜³h`óO%œ:>iJ%)Uh´Û籤µ5¤ƒê…N»!ñlÆuäŠì9ê¡~Ž?.4¡YŠLrÌ¥šÒùIuNñ²ÔÛŸè¢Õϱ a0GîçQ>åíH”·Np@±ë(=°½ÍPA ›‰½6T˜|ƒ~ñ6¡‡*‡cR¨ ñàtq£^Šjßq³]kT ˆlàÍËò0yP‹¦NèŒy8†ìbÎûÃŽH<ŠèÇJ”ŸÊ¢ s_JPé“ý8ï"?p{%žÿâ+y¨3óà¤Ðþ¡3!*Íð@û²ÔŸÜ'†Wª°ÀqøPE-e„NG•Â«pÆcr5&e‹JÊôÙ…‚RöìC¦ ¹#äÔ²)S=d¯t¤†%9ç÷…ìqcèK ¢ç~/«d ™#B¡ef¡ÓQ߇Ä·•#Ž’$|5 Ú½ñŠ} K¾[Û¸Sªu¬$$º‹ˆL;Ø&C7•[1>Aè†S“åÕm> ª›Â\ø4âe–‚…y‹0ækÙÑ#ÓB*¦ÅsyÉA=¸ ‚Åü¡i{yÊõ£ŠÚ÷º H>")á§Nm‡ÆS­Ë„ão#,ãRÇ€ Éä¼6$xÊqY‚M¦% êM¹AOC’d2³F}Ÿ«F %†E…ÜÚ +¤t†NÏî+Q›iözÍ·Êó8"ݺç šš*˜ŒK¤ºØ°œ™}Ç[Y©Ó’Åñ²hœó†'‘OÍáyÌ2láÙ8ñdqîzúã@ÐsÉ`b‡!Óð²•këø=ÁòZxQÇŠo4î^ fjGI‹.âo‚aÎfdX¸räËZ‘‚ÌÎNÁn +í9}%8ÁÆå±èíBIöb[ÂQZ৆‚ƒKý*€°T“[:szƾ L×jö\ŒÊ‹ØTžé‘ý5æåoéRj—µa >öÕg>¢2‰oŽ”ý^èS0|p.5#WC¸>>¹Á“î`¢!rÜ(ÌÍiÝ„…ž5á"¾T¿Sõ J· ‘ 칺—ð鱞ȖÆà¤7ù{éVV͘¸#wt\ãð諹:£ß?.àƒ9ÝRÇH³0g4j§=l6<ÝärvÍá¨k!à ¾ O`à—õYí +•zÆíØ?w%‹«º7‚ä¬H‰öbÚ ßDv}ò®ŽJA5X³pîÏÌÉRQÒy±ÉÑÕžœNG _Žà"kÏŠ »U—]?‘è¿‚çêKIx:Æÿønö¬ho¡½$´9HƒiSQ~öд\½¦”vç +D% -èJ9kT»s€$?íövPS;’=‰)Aá­Ä¯”—uF…ÈáNúhÉÇNH³’¦I{3 ‹ù‚yjQbÇ?²Š;¦á¡˜¬D&¬®_þxÕ€)zj^aÕ¬˜ñòVôf"aŒ§©X6rø²Lîõà –•p¤I匄Xc=q±áQÏÚ`VD ÜL+OSÖ´~mjzk2là€@í ï?¦ÿÛ«”@¨X©c7h›„W¤ÿr\V€hiE͹° ¶G£ßs= *©·öœXÏÃ’Ø%\â·£ê&\jÂAò&“…wtQ +† ‚jô¬2.dÎÂÊé7ëS«.K›|sohÜ’콬Ógò4ÎB¶e%óÜ,"2±Íx…¶Qú9ÜS¦¨nFa1Z­‘¢´ÃÉFñv«Ø€5:ß'©J¦%ôµ„C6ûÜhp.KÁtL†ˆÞêË‚M‡pU¡ö†<¦Ñæ.bC}p§v!T|±WšV%–-Ãéâäi=lZW‘QåAí ªH€hÇ!`†öÇò”p¢È䯖øM©>w²;ˆËŠ°ÀÌ`ÏŠˆynÀàrz„ LÒmFi7;ÛS¦2'>²—S•ôõXÌEMŠO,]Qäl4)X  #e>F>èŠøÁi6à`…]/þÚu¨®ó²>ã8!s|Û%ˆ¤ÈWˆ$ ºÁ !x ÑMx1òÁ¢}ºÃ¢MòäÍÜ*9}!“œˆ%¢OMƒ,u¬=»§>r®O +_Ö…`YÁ}?lè|EàKAS‰)³£ã©„k0Z,TËv'èÞ1‡ÌÄ4C†ÜúNz –ï%æÏŒ‡¹:êR;Å¥A4‚? + qYÜ¥RâËzq)âc$‡C@îÓÞžÔ²·˜ÀNo¡6l%žiñuÔWc8œ¼É¹UbÕ”Ò¯¼ZP× ¥ÌñoôuuìdrJÖ^Šä½¬øE쨻øÿ%%7>ãPÏM‚ …›.@FÆOWY•1 íjVÆáCÌú¿Ú( PwUNÄÔ(w' †JKà Nì²½Ùz€EcDÍòe1^ÈxììøÝc$ +âÊ0ñâ FTÿ¬A¡7sß*6Ú™NœúÌ)vThKd£ÑeÃ,×~"Ï õAiçúõ˜RöïHRóð Ú áèÇ€FÔËÒ:OLðÕ«ÕU”HûÌl/3tH%ô™n¡nö{ÖR†j2­°ãàaÚ° ·ó°pN•ÉZ`’´Qcà šL/_"žG¥'R—ÅŽ~†6$zY»4覧ïR0ÓzP!%+Ï®{•籡­*PÔyÙWºë´] …ò-3Ó7ó5¨dtÜâ#e‡vìs,æ÷†xññÚ sb§—ÇÀð^Ö 63°é´ad¼çª¼ Ɖ)ÙUP¸&}UIG†¤¾•£+œàû`@Dó¸Ö +UÈï)ÞDè èR±2Â'À›gÃÀ‘ÕõY¦ŒÛ—X¬oÊ›w/²ù`\ÊF5¼‡D­‡#Ô^¸JÈqè(Oë“j34Öºù6â`t€UýùqeH¥ÄµP}/+Ï%¤v½2}#BÐLîÅDÚç.•ñ½]ÛæB–Íœ.må 5\ ‚þ¸‘¥3å&À*;õZ Ä3ÞÈåoE­xÖÛªB ÆòxSˆ«p´P/+™^ÚÓÌü01ω'pÜñmÃK8x”YÀ’ÆWXÕxiUõnuúH5v5Àç /7?ûs¥ºß­f^ ¡i!ªË™­êçÄG½¬DÄ6y3‚ÂÃ!;Eþbk8äðf jø*ã×h8ÿ>R¡AMyÍàˆ;ã(U¾ý §ÛÔ(ŠÔ_N‡`Øãð8 +y Šmw~öT-ª^–!¢à¥²½0\ŸiHub­·E­Ï´¥vêÂpa\£ì‡i>zä.¾‘@e+ÇØÔëy‹Öê ©cd¸[Å_š Krgï㈠+‡QwY&™¶)î %áaÙ «äÖŒ™~6'&1žÔrÊhÇÉHÀü§¢BUÂñû=4Ì®=ÜÜ570j`ü‹8”!±FÉÅ’WÔG΀´Y‰ /‹ã­ K‹²ÉhŸóõ%-)¬ß» aœ·ã÷¨Ž¼ v¬ù‹¹ Ê'@a Ú} LJŽF±fíÇ[áì \±÷’ºy\ëKÛ…¶âŽ{Y­à¦]¸ŒÀÃÒ·Cê·0R 3µÂ¦rÖŠÔ‰m(§´R¬,Š ¸jµÀ¼æ#Dp@Ãp÷£–ñé/{KÚÅ͵+ÆV@èeeD{‚ªÉŸmlÖ’‹h ÷5 4âàÀ¯ƒFXÇͪ :ÒÝ«Ã9Ô »ÚäšÒNí¿èh©½ö67fËF$sÿR¿ÎÔ÷ Ç †Æ^Viš` íP‚_Sfµ§7M9€æž ¹™‚ž$ „M_36] ½,Êø_mò‚ôÜR­éؤ¤4NX§¼èÅÏ>n©¨‹ŠµqÏáQÎgB/«„ÈÜ‚âr€ÒYÏC%éùÄï3@#Ü5#/dDg4JTÿh=W¦ݯ×ìà*%œ¢OÝÐ2Õ„)ªŽPômϪ7KN“y±$8Ðr(í²9kÑÓ!!¿{üVÿ§­éK›s#ëõrÃPmj ˜4-/¿àýêÑÚ\Ó*€äN„P7@©p`q>ý la&јæL®$rõ]Dþø²pÆÇV”"­¤T²LPC“ùãJË9øCŒUV&-Ž¤îÖÔXP/ +ìO‘»›•ÁÁ”ÞòÄ +&(„5Töö˜ÞT @¿©:¡–´½Lâk⸗uñeÃ{†LÅfAýl^â©;ra–v}1OkÛ¯bõ8›zø5Žþt+Y}Ê95$—¥ %í£¢9WvnÛÆ£è3K¢ž5=£]Ì)ˆyY#~>WIæÖÐEMXÜ„êy Ô‡âærO•—¯i-£¿ +Êá´%SÅX=‚­j,Îhwê9A£SÈÐk+P§Ñõ²Øª%Cèíe ÛHK¤'ÊÝ0xG]i!× –K‹+1úé‰G¯ »jÒÌœ¢+^˜¤õc)H °Êë¶;˜èfEŽ‚bVCw<ÕA½Š¦€^VÉ™kÜ !`S)“1Q!vúܶ£Ò #+|!ß ¿BÀö[2“:…¤‘ª_7ÙRRã¨H~z Æ ’ÁÈô| +S°¤ ¨7„@‚ý²¢BÝ~‰Ð'æ0À³´çHÕéü1›>UEdt‡MÊ=¢Ô!VŒrse–V5f3AìЖˆ:a±ÃïFï·ÅÙ8Yý¯qèn¥FÁ ¯ÒƒåíäË" )êòäËêá†Ôð© ÚŒw%É;q5'’@ ´Äm==KIp‘É(àÊ*]9_Ñ€¬qÕ“͎?B!@ +]%ø +Ì_J´ºEÛäR‡A¬©Š´^tìH^–ÌfÈXT ìp›- _oe`©¡¡«Y’Üѽq”g$öP ,¨]Ó²¶°Wú\&A³nø™‡½^€¤qáNRî§4ÅÜø4XÄÜ¡´U@^VûCiE‘°åìh¬ü:fÎ_±È¬–‚ê´ûÍ )qî©ņ‘ÔZVjÏT­hÕÄjY\øÈ]ÿÄf]EÇ?*ÇW•¦§ÌJ5 'Ʊ"­O2¢—•|½A"e}øèV5¸:€´óèQiåÃ]¬8&a£ )N­Ÿ?b¤`á’š'ï6n–âÀÏȉ÷H9A2MØ;Ç­»ZÄ›+ ÐL“f¾,á²MÍ%FLû°þ œÉgñìàžG +Ó«F\Œ¾ÉqØ…ËWH²‰• ÆE&÷´‹Q%íä—¾¨SŽ–÷kŽ/hÛÜ£cD˪Ê,ÁË*9 +]¢+ súpÌK9ØÄÃwa ”cš;j­AixX,a¡„„ôelŒ.‰`•ý· Eûxä×ÓÅ%vó‡Í$ïfHÃàGd°ÀôœÍ”Màó^ÖH±¹ò_j²=äo7!í‹,2"qܽow’P°$2:IyË7Ã723ð7¹Y×w­ãUÖKúd¨)nä@ ¾«·è¦àSçF¶¨òËòB½5åø^ŸÇ“¼I}ñ± `ûN™ âÀsI’ùq«žñÚÊÑfƒŽdqÙè.×ú Ç‚¯;ƒÞÀï Ä·®,šìÏWä´‘ÙÜRÅîd—5™÷·þM—šÅP"£Ð"~ÖW¶¾OÒÙ"•~¹iTúé2õèCGo9¥³›ñV +BQ#ZºÒQ6³#I лkíW]¡nÌÂÑÝžôô²0;`½Œ©Â_ê|ž“=›";?ÆÉ„5[’™µ5 +z¡¦¡}“”Ìu + ”&™Æê·Wû·È1Äð3#ƒy¢5Á˜Ë`pŽâË"•. ù·Is·Sæ{Îß²ž3æ~„k‘ÖæuÔE#‰—vþîœk6†½®TÐ 4âqnñê ig+‹äz•dˆû’i QhÒN¬dƒb•<.KuëÚvuÎ4 ’‚™èÆþšTFø3ÓM…Bv¨&8ýOr7Szþ„f\Hæ~`@5hàÅXc%T†È3¹ÆŠÕîxÕW8ø²L{×ÑT’Ž8_ViбõZûVÔœ©ÚàwÄz‘ˆV¸iWgÀõ*þLK  Ágz7c1ìGätK„¯ ÐÆ¿qätZê=n‰ &Ÿæ±}Y)¢âº#¿Ñg qJÍÜŒgsSOd~ŸÜlF-Ú½!¤æ‚€<µŽ{ž=ìHÕ±,´‡Lï(ºÕQ²•B6›‹˜Üó×Þ :°Ë²ÀžÇl An‹ªs¸›éYG6È-€4裈Jê½72“::½ñRù¨4ÒÔ¾hRåð²Î1à6ý8·öï:!öšÁÜñÉþ²V”^„.ApLø@Ñ¿ÀƒÌšºè’=_µ$~ò#& Å@·›¯]á4¡vl!$Ú¿gÁHMõ]ÀC‹ÿ(Ü8Ýò²Œm‰ꙓ¿–U¡" |Y#¬Åa5ÙâG›Ç{‹Ë³è@-ù‘kÔ½¨ÅÁ’‚¬4,)Ép²ꉴW]ö|ÒC†™ä¸6€òƒMUn*åü½Y¤_ÇeE¶¸Íìté3.ìe8°Lè0«µ×·%+ ~xÇ(8ƒIE6N€EÅ’Ð×ÇHçb òaej)—0!š6%¤À¬liƒw´õ»ðeqVõ +3C•>žSâ ‹Â…&!Y¼™@ ܶˆãÃðªùï'8{žr´8%jÓ‰bŸ„òlgªˆ±W¡1¯Žü¶_îW>ü¾ú†'JÇ0s™2_¹¹—í\Tä %mRO¿ÛÞT›¬™ úæwUéZ6ùâ@w—ÐgžÄ Ahç'jwI ä¸OC§žJ¢ÑŠÎÔ¿:`{=gW‡`Ð^G×>±a¥ Ý º7Þ$os'aúYˆ. ¢¦Ó¨³’KFÜW%©6™GÝe)õ›»I\¤vtÌcÿ‘36Çf'£A” O)Hï T¤^Vƹ‡ +UºÔžMâLã¬ÏMFÇîîD«N·¶ÝŸ˜åWä‘°ÊÊÝêµÐÍ>UÌÁã2B%Ñ\›,éÕ`$T +'[Òj²IRUçT@ü²ì¤ˆQžPgs¿bþT…|:¬I,µå–À` Üñªú‹»ô (k:ø±~Ò?ømð!¯ÈÒ aKcâOº9[à°<¹óO•ï²Tèˆ/;D¡G E&3› GÈÿ`+pQÁ®å…!›ZL¦9SÅO‡5f‰_%á™LŒš^Ž˜ÄâsLI×|þ8Ó¶N'WÔZ¤/‹ÃGJï¢ð0uxL‰8gÚ¡ÜÁý@ÜrÔW +r\ÐÈló y0eM÷'¦x20+êäœvD¸ÚÕL¶8bøÕB/Ä1Hxá¶ãË"„XÌ«øA°Óœ¼Áõäms …|óG>K¤ýv[„"㊠=žxaãæâ‡A«^vAäаôŸìq7§–5ae£ dÎ*V[IŠ>±—•Z„‘¹d¨ /Ã[92œìñeд¥‚äáelL”Q?ݳ+††?ê+Ìg¡„¬‡\¡¦[š{wBQW Ì>ˆ“=1)»À€67ÈpRàœg½¬ÛÇ„°q3áËCÕªìeÊâ¯fô±—P…‰·)ÔDóš^Õöƒù¶WÊáŠ×€F½YäsG“¬©`L÷P%=ô|YêsËÀŸ++?}Y(w—”‚ Æ/a4y×Ô·åŽ]ÄlZ¯GP9ч(ud¯hå~'ã6MB'“£À/np¨9²áÛåêáG-/AÛl6ök’”;êâ²øÀñªnM†ænzÜ+gök)>®$ãÿÞA&݈JÎùÅ`z³'ŽCÜTw!îÚ/$ºÈ»òƒ)JßÙM•fÊÝ`œF; N¨/Køèï&Я¤Ç°*šÝFJ¯—ñ]Ìc—{!9•×ÿIN “âaD ›Ék*=«Ì‘ä••ó p^Ð`2’IˆeÆt ¸GD0Ø\{7‚û_Ö£`v0]x˜ìK`Õ8úàZ³AÎE@r€ˆˆÇ ù‚ßMÊ€Ó3í_ü 2 NáŽî ¼|[¹,>º{†P»¤~|Çxaõ"Ù\Ã**ØË"µ+k +G¥Õ(V:›Û Œ³eìÒöÒMt‡¾”#´m„þăÍ8 øƒû,‹ðÁ€ñBìRn{=ĵ.´!3RÎíjpó“m:€8¢]ØË‚+jêJë7¨êû}€G­ú€½±s7È&8í²:ûjÒ¦a€Fuø²Ñ§„5¡‡Íó+ÇIDÂ*òFß<í‡*_¦j^çê”*£ç“Ä}ÔAÖH؉Blð‡Ö±ÏŒÌ©ˆHÐJÁÚú²²ªf#'ä£Æq¿²kÒaÚÛõ›ÒN‚ÁÀ¯®rl¼Í¸¢'BŠC(3ozœÂ +2INO å| ~Ý(iÎJ^'¾RßEu䣆>¼¤Å¾c•{Y;í˜áOùw“K›·$b‚m³ßˆœúzYÚsŠ±ÄÚNGÜ«yiTbÅ¡ðÛŠ¿³¨–Gó:nÜ~F)»dÆï!S?á6Û¡v¼8èøÐËb{˜eÅÔxOºJ–uŠY­¡ä‚]µWh‘}ïÀÄå¶Ï5v¿M%ÞcÔL‘l zÐZÐɧ})·Îú€ÈÖú͹SiíÑ9w/‹$=Ä C›²w´ñ$–5$>‡–ƒ wùã•&Ü!´@úsë]’…*‚^8ÃkbS#¾„úäÎáÇòÀ©]aMÐÄóuÆðRÔ¿V)33îpDu/KrË”³(Ä®Ëè„ÚÁ(ƒÌñC°qE«íz¬âHM:m!bgþÅ­5Oq”§®z&wõðLWt í܇Ύ¾ŽÖÏQ> eJ)µƒrY(ÍûiÍ€$›=Oèâɪ©².>§E&Œ| x)¥t + ž6&*Wî)ªƒ—Š¾øÐvÞà°¿¹i¸`þ-ÄÈGìÍñÖy/ûb¯TɆõeyl§I-{¦'“Ý” +§AÄ@uî4†ƒkª $Ð#\bê1‡”Œ<†ÅØÆê’_&?«®Æw~!¨O UnFmúÑ‚.ÒTöó²ÿˆÂ½m8éÛÉ`Ä +>ñ^÷KdÇ¢"Õð ªÕir…s-j‡¦“A$L"6”ˆ(qìŠ$+Í+öbÁq +ÛÓrä= ° ¼,§öÖR Êß•°Q˜R¸­à¯ —Dú)õèHRo½‰¸Êµ +ØtŒ´òmAÉz‚ ¤Åúq¥–*Ì°ÊA«òÍæ +SJƒ|•½,ôÍRÉ`¼ùOº´¡]CäÞi[ÓÝB–S{½t*ÔRÉF$2s=P*ù_Þ8ÑP–@k‰;Éü›EbE–ê¨6<·EQ •g¤¿PB"ÃØCþÕÆ—õ+˜¢5Ý!£Ã_–‡udä>b£X£©L8[jÖ™^õg£BÁ:–©ªùtnOåäYI™À8#‚ÎÖç®rÞ:–„úÌz¬¡—”4?-ÚJǶÈfÿ –SÔâ7Ñ—•Q}æ³É(!£®ìõ‰b,ÁÚ¦} ä%¸óúÕVðd* â¶Ðpè¸"ØŽˆî2’p“rŸ”b>4Ä”ŽlÄ}N;«unFN·o2Tåe]|yc—4 Ealˆ»4DŒ¨ ˆâT¬sÁ‰Avy1¹ûÁ¥çÞ1eTœÐ2 +§ÿ}Úìe|Sòp9ˆ'¥Wsî".CÊ9˧÷)²ð²(eL«™Ýþ¯Ž­ƒvÓÙ +zÀˆ81?A]ezþóƒ##YT!¨ªÀµ¬L‘Ëx”bÆ +G«mË +×að”§Ë8ÔlSè[ù¡²s—Ò´hXlíeyíöC2©1AŸSwP8è4Ï¢ÕíQ¡]>>4» »¢¸Ç³æ(“ÓƱ&JI +ÅD•œ~9-J´1uJØD•ýq÷^$¬£õB RýÁõäxS*S u¸Ï!*Æk²(,è¯X9òéÁoî¢\í²< Çì¢ÅnXyOŠRÃQóDÇjÑ/e< +<æ ¥TÚòŸ/_‚ /|{ÛÀÀ•”¡KcÕn0SÐN>œ>ìå1 Ÿ­MÚ]ÿ2¥õ²>M‹“KK!­ªe¬§N#„Îj§Î¤LèÔ6PlCë/®d‹¾ÁP²¤($DQñ…G5 <ã[hmÏa:}º±!§<õaB’»‰ÑM­”ó`v}YŽâÀ¢3#Äm: DŽc8M•@ÚëkM”yœE=§‹©öáEbÔ„2UŠO!õ.2õ”SÅ”ZoOõç‹GºÌõ–Ê ø3;±ò:P áe]E÷7@{£iIE3¢™£wÏÓ: +å·æ˛©!ë-[&ÊC‰«3*¶Ï¥"5Hm¥oÈþj[t¥HIåŠÏÙ„ ³¶U'þ Ž-¾¬@ÍnÙè¡Ñø— dK‡´¨k·íÍa’5„C Æ0á¥êÌžŠ£#€<ÛyÁîÂ…Ê©„ ÞÏ6Ëó¨ó«ÎL §N¯jêÅ&îpœH6€_–Èö&q„8”#’ÔÛê ƒ4«i-d@œüü A™¹‘l„þKõé}/¿Øºâî ,£—4Š!!]qáœ/ШW-T“xPö‚‰É¼â}_°Ë"U—d~K»áÅ©™x* »doh÷²yÖRðäRâUû9ÃÁ˜lܬTáâ“ç=çn4è +KvÂ3Àb®ÇYz\–F;¹ú/d•[Àru l |Êyçñ ¼z¨Ôˆ=8QŠ9ríwä\üêYÕw‰/á—/]ª ºú®oÐÈÝ؆;nc[R +y…Äüè-r|Y+C3­{t2YŤG¢hY4kR(6_tæ¯ i×m´¤“ñO…ÉlÜ› ïÕóé“x36®cšOC°'z8¸òæŒæ|c1?ƒ:Ñ—¥á +Yt….2B˜DìU/²ø)+¬zŒ¸–à<˜@ÉÙ “érÙk¸s›EùÆ&ýmn @p#ˆl”íÕ08PšEtnÝPà B¿—¥Jü¨8¥ +í×5Ì ½›™ˆ7âoßøx½éà PräUã}ðE²ËØÌëá>@ÑØæû7>‡ÌT·Y|¡Ë2B_!…®:ýÚµ½¬ Cdƒa¶ÙNBa²£”JÁ+àÃÀÞ qôž+C–?œhà‚§Ž›x’—|ÙÍ––KäÛú7µ˜T¸ªëªBºŽÓ†l¡f«Ë>.~Y Í®GçE +;B³ésâØêû(V ˆ¨.Ôä©vN¦TÑ$Í}Èøh`C}4Å0йÜA¾3,™<8€øç&r…ÐPt£ÃñC#w5wº—µB8¬üÞÈ­®ðÃüÖ,Ÿ1ßÐ%?fw¿KÇBGh #>…ô(“EQ+D!cn-ôÊÃQ(„ìã„­V`œ¨™m†D0×½ÉZ¯bj&Òú®Cð˪[Ð.(2$¸\ÙJìZ*‹NU*ü“ Ø|°¤ ŽF.räSAóÒÀ[›3i7Ío±©UQÈÝ Œ«vSoöä¢N`0縰бë±Eâ’ÈòeqF†R6®VD|¢|æB„Älù&UG.4ÏÍ£YÎŒL²×qo X¸E`¾.…rB+°(¤ùwóHž㑹µ—&Ôk¿ pñ^V­÷|=ñ7¢÷¾ZKæ¹U¼²|õ £ó·ÀN +lî`Óã¼èiˆ z ±wõ?s}[[kºùw-§:WÌÈ$ÿQÉ­X ΤgBr¨©îeM¨ÛQèçÍÛ£Ù†°<Ý‘þ¨çL¥aEtüy–˜í.ÅHî£äY%ðÈå ç![qîÔÅä†CsÅ@1°†ûÔFûreõü±+Õ2 +ŒÖÖ9íËÊL©ô-Ô y„CPöÔ¬¢U„&`Yœô™£®ÀÕÕÅŽ#ƒ§'Z0Ÿ’”±0çJ±HØ«F¨¡×_èà/Ów¤Ôõq ©ZÀ.tp„kúc*ã²8 +7*ôxLJIòzÍQ©A/mrÝŠßl·ò!‘ïÙ˜Z´€CüA—³ÝÂHr…}ÝÑõLŽ¨cáÔ +à]"W½c“ü_–Æb™L`÷Pü¥XÌSVTkÖ ™‘¼Bœ1 +Q ü&17=™Ê\\Žö‚­]\Q«?B™Ø4Ò;O¹Ø>] ¯ªWþ ˜S[¾¬ܲòÿÑøá[¼îlwÿ›â%ðûJo.‰,ƒ/C”C¿‡Â^Z"5íît` +C0‚·\Ô0²=àŘ‚"Æ_ˆ(#¼›?')4è^ÖoÈDí +\–‹”mpA¬²nèÕxVgîÁGŒ|,ï +j0èø˜œ8›8ú7¯!ªtìÏTö0üA+ÁÜqßÂÌPÈí˹ü‡B©dŒ†Â$éé9IzznÚ„[OK ÐÎ.Ò¬7® +Iˆ „ˆá£ $T¸’˜ +pjZ _¥3R,›ñb,๬È }ˆÂÃðä›À¢MaäJı”ž*Ò),)¶?ËWÚŸJH´¢š²ß|ôØ?@™fCÿe¢Ò¼§˜ŠÃ³¯v€À'ž¡cj"9%á—ƒÿ',‚?ã.xi1¤ˆˆÉ€¶ `Ó Ý¿¯édj…WaP?þªHï.R:O&7÷t)‘²™7Ú”ÚQèÙ_v+öD•Ã¯I ‡?å¶oýÕ»3"©1]NÙáy»´Œ ‹S¡!sY ÐuÉ`+¢)Õ&Rþï­£Ž©SÇ)A„4(’ED´R_N¦\ÑÒ(ùRj?™»Úoâ ´2xd?@`ÿ@þ ö8ü—ÕžTJ$FKà¤NUV· %í.ÍŽ'@Ÿ]xNþ¸‘8 ÖLx;½}2ºT èÑ):©&’Ñe}Òø'e~ n¢ô©*ËÙ?ϧìIíß2í7ñ•ìïA)ìCÄȻùºÃë,jrY6÷‰*:å_"fáÒhЭÁñÇ<2 W¤¡óÖˆ@}Ÿˆ˜{m«H28ŽRÀÖ¦Ò)¥OœG@Fe$öóöÊ4ûÅÞýHÏþË"ìï+=ö‹ñ¯äÂæ4Q¥v¸ ‹ÎÙ0 Äü4—NÝýcð~>¥‘D4¹lÿ¨nñé²X±“Ê°?‘'Œ—¼™]˜²*2”4ŸÓ/âY +D§¿EBAüzgÄþ×ÂþpÔe?ÖwÙObüØ¿Ó"öƒ²†øWïoø1‚Âá¿,ü³a˜þíL E wß? çrª„üí|öËD'Å0I'©§x"‘-‡—-…TRØumÊ(qât•4êï¶,uY!·?ü••Cüz_Bün…ÅþP’Á~„Âv4€ý!{:üª4dø/¨”áßÓ€f‚X=üËÒ +²Ç‡UÇ Š#Š¡d"ášÀ‰ôæëW:aÐ]á“TjÌ%Ï”à3!vÑåÓ%²7Ô¯¡°¨?åÃ~|… °ÿáŽØøXìro‚øýð§ÆŒá¿”@†òÛÿÆÒC YA•D"☰NêØW¢?ô]b)©Q©œ ¤Òe…vî™>~ ™:>Ô0NÇs(E^Ôß]m*$"?E[ÿíÿúÈ¿ã!À~Ãþ&€ý7€‰ø[zøQ ˆá·|0†ÿ²*›@Ý¡THtGÓ’Ðæ †ÔÃŽwIÇ2£ÌÁŒJê…óLå…B›,dZDêîÄQ +ò ñ1i¨K0òQ)ćM<ßð_–Eó¿ Ð~Us þ¯#þÂÉGüGü7 ñ7†ÃJÔ†¿¢¹¬ËºdÇ“É …o ­D™b"QRȨtc¿Âô–ž)åÀ´iÔ”@&ÇeìN—Åà„â§yxNNGC Jõk¨L*äM ? pøé“zøÕ@êð¿‰ø ñÜñß¡ÓágˆB†µ¨ ¿…ÓþËÒ`$Bs‘ J&xÕg ÀK[© E¯Dñrü•¢­½—~0)Ï,úbT >xEFŒÒŸ×øâ—*\1+D(ÃËy3,•â‹d@úã='®‡îN£ 7¹ƒ1Í ÂóˆbyhÜdÈÃóa–•”ÑÕ&6q¸\øÊKä|*lv›aj~Y)iß}ÊQ/Ê  ê ö”ê‹Ò·˜ àc,öòfpûJñäþ®Å5V4Þi nÏutÐáqYŸÆ<ü [1«Ê*œ(VŽ±çIŠro´›?­HµÞtwûÚæ ɼAÔ‘==ƒ^cRƒ©Ë¤Ö6!ÌeatsÄ°ÜïˆÁ£¾â»KþâGú؇#n˜_)s„_½q|_·>º¯C±¯­€4•„‚¸ìÅŸƒb%¬•£ŒaEAk¿R=¡Gá^–59Äym¯æUÃ(nƆÑ/ˆÑ†ðY²¯›&¢2ó~¾ÆßžÛƒ™¸ç4$e +s\Vþ­ƒ~`‡‡+†.´ÔOŒ#db<¡øFŽQ¡€Gdbó J`ÐB†Ð¯ôivMŠs2žîKÐçv å¹;( ¤ÃmW£ ܘŒ&»8—¥÷Åotæð¬¬ãtc2ŽÏʘNoqø£@g4‹„ëjàES/K#¸áåe}Š;y{¥œ)¢ÖLK|Ü«s]bÎ@Ñžóâ‘Ãi²Ã%Lk7­˜/3zN˜ðº3¶ÿ²ð‰>òC[x8cá‘ùô –ÇH¤”`±„‘B÷‡w×~c,ZhRuWr¬àëÙ8Äeºàh§µ«7[C™ê_­zx>RxDþ²(ŠÈžÏRq £ € £œ3%‘P¨ÁäþC¼Ð~úÃÀÐ^*ð¥ +paI©@Bƒ CS€ƒ +/Ëψ¹Hñä¢÷ë9#¾ÖlWÔ…š*çÝf Göz…›”·Áo×lrÈKŠ'5ØX‚A\ºeÂÕ-𤆑ÀãˆîW9ûöÎì¿,Öôm±}}†èúâ)LèOÌ—[$¦7jbGJ¹[âì1å‰]¢®7y¨éFP³å¼A¼—5âQ1Qý©Ô<ñ=f³É_~H Ga2µÊ_81*”xR`‘ÂÙ—ûÑV¥ýÏû/«Ô¬4²äŒ<ãš@(F® ç1’?cˆ¢Ádæ¢jʈȿÎ|‹#(]ƒõEô3Û‚º›R’øÌhF4¹¢¬8¸èÿý«„›d»$‹àae>‚Uå\–$¡{! +.˜,¿×ýüxq¿™µÚ0á±?´Q§)æ‚ijxDÙq<¢ Hh‘¼ +䟹ä²:$Q]ìËê0< ®Õº~(B¼E‚²ÊP$3ã“­«É-¤3+‰:ë’(:¥L6ç~ƒµý%3Ü~ÌÐþP£/‹$aäÙü Ÿù@7 Q^:{X ð> ö_ј7i`FpPžçº§qšùÍoºÌʘ\®Ì^©”“FB±üËêÅýû؇\¹ó t^¸éäh8¸ÿÀ¹l¿iTlO´_D¬Øÿ{#Äÿ °ÚôX¢‘L}Yé**Øe™ZlEÀ¼‡tfBI/]†™YÔòYC§¤C^zŠÿ2êK¦’b5)™Ð. Â¥Ã(ž’Òº!F(0Aà~eÞ~Ó¢MÙ<ì¼A‹Jv€[Ýh“{ID|%ÐÜÙNùÖ#HºaãíEâíÿz“\ÊÃ(’Ò*ÂSBÄmñø©–é²Ë÷wNÉSY7pˆ'ŒRkx)m¶i¯¨dÿ#´Bü)mƒø/+5Ý"¾H=Þ20zî¶ù­ËŸŸãM[ïðŒÖÞô›mÁ@€äj”ÄIÀ7(ªÙYN ‰ƒ)•º ÍžGçãgdP&V5¢Ë’p²´‹,¥SÜÀl¿ˆš·?ËÛ2H´Ÿ?ÄÙzFˆ?… ~öä>½…á[‡9Ð>ož¬¿,»V` ®l$$H>“ë' ÄDÉŸg)¬¨ø¡l&P:™›Tâ9(MDÔqîë"]NÓn‚¨>JÆÖí'EáöoóJûOªVûù•#û/«âøUˆy:½ÛsU"|™á'xœ1*îšPXHñô±¤ô-¤C‰ÃÿoÂCÿôöy½JWÃ!4b\‚È¡p”#…I¶:•;iqZÁ6—qNÙ_Ñ|Ú_S\í/aWû;G©ý%[Ïþ\§±ÿÃ]ÄY&‰øs½sPtL¥D‰„óÇr@ NFÙ@Çï0PäŽYí4 ˜¥!Uâ¹DähD]ÖCáŒ0¤'a¨öT'õéÏL[Š‘³ÿ9eÿk] ý¥Íþ<±Ÿ!——Õs£„ª‹EP2¾%2nF}_wFŽ=²tô ˆ¯d†Ð|Ûi¨½ —PŠ8E¤i%5©ÈHCR$ì“Jf£>¥R𖵆ìÇØ{ö_{2.UÉ~’£ý·Àþû!þ’‡ø%ÃTTž“Á‚ÍàQñ?~æ)„.kbb¤¡[B¦!Y"²„ØÊnŠÐÎG‰¦9ú„ÕHѲ)RöÉ>@EŸR– +1"Ø!Ù‡rö£ÜžýÛÍþÂ~ÛóK~†ø/«²ø-Lmšh:ß…òE„ÁöÙ¥%tÉJKˆµ–P½ˆâNjŠXÐi"2;¥`$ÄÈè˸tY +¸v”2Ž‰aº<}:Å¢"0°ß’i°ÿæٮٯé…ì­hì·8â_¤â'Yˆÿ²2! d³Að»JåOHÝ ¡zˆ&ŒU)ÊS4§ä‰È5£ÔTŽ#25‚ŒÀÁª}Œ“'%Ú:/a“mº !}:¡êâ ~ŠiÄ~;•ÁþË*7!ìÏÜ öƒ:öÓ§ñ{#âAL‡ÿ².‹ƒ6œËêèˆE'j Oîˆ0ê¨AŠ‰È„Q¼²FlJGé`‘„jzô…9ŠÔ©l^Â(Û4PèjEh)&Gü†ý5¨ÆþRÁþËÊÊû?•öÏįhœ¿ï~Õ)“òNžLÊ#…P} +UL‹Žgð16>y30~dĸ,JF¥ø&¡ôAB'®±ŸîNx®£î¸õ‹ +²k¡ QL$%Ž>"9'; d *¾în³Ãä«êz5w,‰ùnÏxÜUþG.+ôË›áÈHF o²R|$¤?lçAßaÒˆï4;)süzã0õ ÃcT1Ј­€S¡ 5\Ù‹—U9yÓáРR5Ô&…uuîjÖØa…ôÁb‚î~q“{m"<‘yQUð²ç—U‹®‹g§ÑŠœÌ‘>0×Q>êC,»õácÌcQf(˜X5ƒP‹SIxèË^À„úXá#v±IòS +ZSáÚqh´KÖØ®=~¿,Å(QÙ-x“ô¢¼ªH²ÛhÖë° #RR ]ÄÔGý±—‡\v'è–:\]’ /+Õ «°¬xx1ìÜŽ±±â$ƒÄè2qédçÖ¸Ðôà;úõÒ]û7FLì9É)ZRÄø{Î>ãR„z‹O q4\(ÒO—uBSèc„ž–磀3ÁŠ +„Çc õr  +mW³‹2-7ÆVÿJã:RE$Ê(m&i£í9‚(J:"ôÔzYŽŽ r»¹&È…ï¨M+¬ƒc(3ÉÏl;K—6¼˜^MÛd}@3K÷c8W‚„–€—õ‹¥HòbøùþT¨éЇXù²“E÷¨¯¬õMWƦÆkºa(s²%%U' {®–í³ü’=Á!¯ ¡ËQUÅo,äGôËæØYßaÌF-‹èþ±·¿ ÄaøMà0ÄL4©ðïB¹(*.û/k•qG¬¾¸#fß7¯f£‡ßwC>$ô|í™V:³p«P‚1OyV¤ýê`Èe™0AeRrQ&ËH¾šÐfWu6œÅ‚Ü”t³ýäNJÜïØÜoˆðÛ_ÚFÚ—•ÁˆäÕ‚É®Én$’GžçË´1ijâsÞ×Yá‚0ýö•ÆɽJQ$?Û…•È£1§/ø¥ƒüHýŠ&‚QYÒ_ñC]Ö‹S¸ßq¸ÿÀÏÛ_º$Úƒ¸ìOt„ìo ˜v ˜~5’C¿šÕg ¡©¤ìb_V ¤Üšë…ùaÐ2vƒfÄõ„²FúO€"ø´%Ë*C3Í?I8(N¬A‚YT†€©ßSŠT,ÃÜ +RÛ˜Ãí/ ní·7„ì²VÐ`ÃñžÚqì±Øs_šw{¯øè¢~ü»¨\‘*ÕûŸ¶>Û‡@+'…T`¯6^Æ\ÖEG.€Ô=©A‡Bv¡@Úl—”i2q€RðÈq?Xºl?6+¶Ÿ$";D +û/ î?óžA£N§T^gÜžÏ +®«\¡ÞEE&óÙâ÷‹ “F–9ÙÖÙQÉÔ˜¾`;‚Õ@ž‘c0SH•Bµ¢‘JEÃt¢ìî—©í¿,±Äo¶Ÿôah]IÉ«ùÇk·ç÷<’ôœRí»ávû÷“@@RÕF.‹\€J 8Éü“Æc„Wƒq¾$J‰M¦ÐK¿›S$aaÙB‚@~¡(ŒÐJI"TåMmÿ¼a¶_ä¨Û¯©#í']Âþ˲çI¿#z×U¦ß›á +™„¥÷Sáö +«íw! 9X¼' šÇ.’~sbx9']fJ2"‚6 +J*Ž¦q"½ë'uI1Û?_ôöÅökçFûEy'ûét‹â˜¿¬+Ý‚ +À(‘`zÒD©˜4EïŒà˼jl0.£ÆdV]nPÖÿýsUÕž‘£aPCTXEΗ¤lEwÓ•¡B(»nÿe±n­ +·¿Œlá­ý#»’ý´ŽÁþí¿`¿ž*V¹ÐÈÄ … ÆfT:s sñ§¦"ú›^ ­6—u7x‡öûQ~´Ýg­l “thB *>GŠú²"iîdºLruj¶?ƒ!¶ß­´ßÌ7Úß…®ö‹Ú˜ÄcÿeA~)‘x™p‚‰ì +g&q."<5¥Ó¨ÁÑ@Âœ©?%NñíNýs´çõÝGÕ-¨<Ðl¼d®‘7®"¤ËÒ¬n"H44*µM´?£ÚhÿÍÙh?šK´¿S|Ú"Ù?Ó=…Ö˦eT.kÓâð”0]šSGù’Zâ\2¨Ebyíî‹ +Ïü;@×#ãz[\P¹R°!ЯÑ"qGHZfâ0]èÀCý—©ý‘«ýºææÚY E!ûe—Æ~-4c%¥U4í _<˜~É<'Ð/=Rugó_vgâyEÞPxl={.Kˆ¹ûµª{6 2¥È„ÀVp¼Rg$ixÃa"<* +Ô_Ð~¥Ôþ´ý´Ÿ[u²ÿsaŒ®ØŸù*ö_V†dŽ S3"WmP1'êx<‡¨ƒ)PžH›=zòñÔ÷‰øÓŠ‘ „ÒûTšV˜Ðƒ¤Œ< :’0pF•.‹P(ŒN*Ž%û9”SöFý« + ýZ'Î~ϪÇ~X±³„Øߧæ3ID6(u.+sdOÆãÈŠ¶øxxdûÜ¡í3k:ç?¨u P*XCähE='ÀÈ‹F–P JW¨T¹¡“êD,ÙÏÏžìW–ì×*ìïtD쿬W±ÿ².ë².ë²@™Dd#Bà N #øx:®àã¹”ìö‘xõöÙ»ÿýØÊùÌŸ ½bÛ‚TT¥]–y{ÔÐ8: ".GŠH!!®Ñ©`E§¿ÕpRž\Î~^ݳ?ÍäìÏôBös*ìw?ûö_VbÒß6áAAq ¤7¼Ó˜2G`⹕„Þ8PÐáaÚÀ˜Ç„¡àÇ”„ƒeb’”X™7Aq±©³)½,[sôë;jA.9÷9yuáï³</Þ†À˜É+R2û¸ŽTd7SÌ—E¢ÝúÑóã ?·­€ž«„‰;•„[ Š‰$%L()àtù¿ì,ýh*ª”ì&Üpo/ê r¼kz-> Yþ§B~"’™I¤¢``. R..]¬/ФŌˊ |׌ W´„êÅSßy±Ä”Žq\© ò²@¬(-„3¨vs*ÞÉ@Ý ½ô ÷š6AÞœ4½Þ%,_m?ìIŠ‹Â™áó1gAç²ü „™GH +$”|úö(湋7jhŒó*ãc| Ò­¬B$»Ú>¥Ö؉^25M“TÏibÃÔ!~9B¯Å¦×—EZA²Ü‚òÞMSÎ$«H˜TèsrLÐëþÔW§ÂRrˆ¡O7‰oð¼Ç˲|šÑ8)ešŒÄ_WîúªSâ›kÒ)ÒzÆglAaÁ¢Â¡|Ѷ’~UJè”CyÓk®µùªQâ{Œ'åLRvÂh¡ OÖ:o î¿,DR¶1þÔO]¦ G=“ È• SjâMkZ$õ”€&”çº2ÅmM¯^Vþb¼Ö~ÈïK“‚Sn|©;R“+¾hðÅr—t :ò\;#&$Ðý6JÞþËj€u=vX(49P•<äªyK€S’ŸÆ­õäT^ +ªd"éeŽ8Öê±Ä}÷Ñeʶ(Bªá?Ïäh:õ ð€Q§ü¹,ŠCqàHòfäùBÝoaÜOS ÛßBþ˜$Ó‡‡dlºVn×à- ‚Â\iƼ¬ÿ»-§É½LЄI=\§±úMÑ^dNûRÐ)Kògó>¡ ×©Ã©w.‚"–ú3ÀlZ?êÐ q¢N¤?Ðý›ñ³ý²-ÒþËê=™I‡:›ò4;›Òe³h0æA £'@BR¢*wƒ¤'椒f0Ñ‚©ÌÛAhyÃøöea^A§tn$j+å¦ Éᙸt¨OAuŸ¥Céþ”ÿr°ÑþËÊÁPjBö_SÊnS–"ø`~ cnt€Tš0¤>cýG%®EE$˜×)ü2i3élÒ†ÐG òY¤*E£ÿ9†ÏñÌO7ÊãÀBwĨóê²6sÑý«ÉÆý í°ý/ik?­¢ôèm@'gŒ‚ͳÌ9“ó›3Tƒ+{ZФ‹õ² zkW£?}UŸ-”S>©¨ÿ Å਷×ùÓaõ%¥^Bü‡³©XT*üÞHÝEÒdžBÝß%8Ü/È?Ûl´ßu´ÚY2*$RikJåàGK¸ÖÓOo•³E õð¹Ý–`RÐwPø ñ`ÀÖ´`»4÷À©ô€h‚¨Z@å²RޢΔ°ÙÑüÚ"´gÒLʨ4Ãv¿g€¹Àæ·ÿóiµ¿UÚ_Ö^–ŒÒ7–FuŠõ´ãyuÀ-Ì&x¦ÍTüùöBD~4HÓÆ&°â$'lÊEOhx “AMY¸înþ—Ü?‹¤#Šì/¥”È:µîX÷3„YÝ~Ï…¡ýlÆÐþÆ ðÊÛ!ugÃͶ*G”iEÎÉâ2P¡NŒg†=_VVñ2Á'*8Â’ë;â€Ñ"†w4¡#æ:‰œ@Ä@}ä‘fd ¦¾`w?ûr¸ÿC]Ü_h—%“RðÌNz˜¯ÉÛ&Þ\^ìHÀ§˜Aë¹ó>~Í­àKß +ª¹×HF’ùhÉæ Ö`î$ô\ÖàL€B¢q”’6ÌéÔ“Ýßš9÷ŸÏöÔÃöw"™ö¯„Lûé á–k ˆ!_½÷¦8–1¦e)#Y„tíXmj†îYn)úón£|'d‘Dä')‰n$]8N@³Ù$tþ °¨dªf‰ã§n”Ä#è~<¹ßñ¹lÿá\iÿeJŸ™&•>³}€æúg9š%¡Y]þíÁQ³r;RyŸ7.i¿,.Ø Ì|Mª,UñÜ8ÎxÛÃät}ª˜:ldjÌ(äðR ‡û߆ƒûÁ¿ýˆs¤ýœ| ]Ö—hkÛK´õí¥š:w5ÕŽÑÃy«qƒÄÍ!•‹¤ÊÞŦӃrAâ P ”‡©ÚHsØ8pÍÃÓ¹9T0éÒeýéö›F÷OöœûáûÁ‹ºýr£ýþlÊþu0Ð~ÛÕ.6~»×÷«É}YüèÁíiÏßU +×WÒíhiÃéËÃDHwµšŒp‡[FŸôfDÆUñCË~‡&B˜%Á<1H$ +÷Sê“ûchûAJ¸ýX(¹¬yÄõÑ‚ó‡|Ï%m†¤óü Á×ÃÖè›…¸o~IXí¤š¤¦×T¹¬T°‰1&¢`Ø ªî´xP#me¯JvàF™<0÷WXŽûgfûEŠbû1Ç­ýÛ”ýjª”ý—µà=ïO×%‘8´W­¯Œ[›ž%bï”ÀdHAJ\¹,,ðüà0îÛX5­D$rV§þûÍ 5Pö$%‡”ÉÀ‹ŠÔe™&÷[ÌÔö˪yûÇÈaûµðîç·G.•Ž¯$~Áq4rYžrðO*]D§DâTÙAŸî‚«!c'Ô‹†.ÜÉþtÄÉþË’ ~²üc!U"Ò”šŒ ÚâQЋ¦ 2”È£ ‰$ÂÉFÇ}ˆokç²äÀía[®‘†!ú2×Eâ\4¨•zûíÏ,èí¯KŠí/ù‘ögÜwY“_!‹_‰ø½Ÿˆ—g9]ŒèS4šKÕPD€ ;L6zC|EqfÌn{ÚÃÈOhb¤ +ˈëB©¨Ô¨ÙÄí¿K‡í7m‰öcíߨ•ìG;”ì¿ø‰æ‹û (µ*¦ ÁÅœ ‘SsYžR¡¢ÉˆôÁFRb”›>ü¼žü~}" 8tÚ7C€>fdᆾŎ¸ßƒ” +z„túˆíßÛsFÚoηöc+SökHý²4e%5étwe4‹Kj95£ l|:ØXP&r#1lV¼ô~ŽûŽýsN¼ŠjÏe¹ÿóAùRr4tÄM^±T@øIu½žç"i?~‘h?:»ÚU²?㈳ÿÄäÙYS:ª„`¯s1 ©‘’›ŽA&7Á)²©ÜÕ‚­B7Q)ßI:‡>qx'íÚÓ‚ÊÏ'…á6ô@Å„Q'V¤B‡~§À áIqJ»æÖ~´ý´ÿ€‰³㈳ߔÀÙÊ× SÚÍ ?©6ð¤Úh6€Õ¦‚¹¡Y’ÄŠ÷{pNùúkê{œ8ôï uà2ò°€Ï'=¹6Š:Â(ÁÎ+ÒQøNΧ<¢RûuþÓ~µÕ´ßÐ?²ÿ¢@/ë².ë²F]Í\–ÉT 6¤Z ýŽù…~f”ð>e±á}ÈB‘ò÷ñâàÜiCçÜ>}wh€Øó¼_ò°mŽ +9ÿ5R˜áŠt@L¦%SžÏ§ý{®i?ž²ÿ²q!û-Ù#ûKýÌ~T„'ZX$ŠbcÜ!€áÚ!€7CÁ†ÛVÀËbÄšAèé©$ìtË*Ô$e/"@¡>V› (jPùïíæ<}R¥BŒörª÷FåƒY…nûsMªŽÑB‰ÈD?­*—u‹¤ÅXʨi a” ß8–xPâ)Ñ#Ù•F1ÅÛdèàÌeÃî:f;¬èeyQ¢vhân/ŒÚyG >˜-Ämדª78±…¤€ÅSê:‹‡þáeQ‡ù²]äEû$æ¢èóÄÇ[/kRî7!òÝÌrÙò×\à {¦ûJÕÝ ×•NŒZñ€É`n~TäUç3ìa?èCA‡("•å²R¸æÑ<òÈh}ƒ{ü|ähä<4 +2óhò"Ê ²‚ž¸rß2r¯2ó²úÊecê#|=íEgÕT¦3fîë|ˆZW²Áà”/TdÇë3l¬ÜzŠ-è=s§oÇl›“¯¨$»Ftÿe­L2™*²TÐlåÈ“9eèqÂÌzkLκ2œšûr95#­¬z2œY¯B4ÓÚãgçâÏÜB0_œ66Úïý»ü9$õMÒŠN?h lèRu?¤±qveoi0hæe¬Hœ +çß}éyWhɽLБSШUÃÔXýˆl³šv#“Ìî1À¼ Â…m—ƒîòcùdÓP9™B£L?÷ûtzQ§OâþËšl—íolŒ©À …SÃ,•ó€ih¡1’ )/¦ÙüSU‡>©©C…´^ÖHàq\Ï^_`L©®dKNzv10輚ãÙ²*ß#rgNš—Òù-’³4!ÝOÂÜ?1ÌÛY©i¨œã" …&A˜»B·…¢OµÚÔÕñ­fPƒ§ Œ6ˆ7õbW<òFàüqÄ +û“!tŸÃÜŠ¬ÈP.«¾É/ãÈ@NuD ++¡˜ ›\êöÛ–=‚ºòI…–/L/ W¨ô3/¹š`_ÆÚyR3«um§ETÊõ5œ”2\8¾Âl«vúÔO©• ™.†ÂÁ˜L\ôX„ÈQ$Màéþ¬ôrÿ*U·ŸrEj͈â»L]PãÓ³1j¨áb.© v"Z>ǵޚÞî€Ä›À£Ï—¥!X‘¦©#<6¤Z.GgdBÄÆŸà–¨N¯•{°Š|Ý߉6î‡íŸ¨ÛYSþÔÉ«úQ{KÁ”U P©yJ*â öƒ88íâa¹`OQBÉ^Cªq†æÞÕ¹{oS*PEŽÁ%Ÿ"¥üG3 µÑeA2•É>eºß9ܯÐ|¶ààG ÌBÌ^sÌs«.*B¯—uª,16V„ûðkø[W¹Ñ>µc'¥xÃtBí6íDœ,Z¾€Ç£ñT•Gpù(šœ% À „Ê=l÷£ ˜û~û‘öOP†í¿¬Ý¼¤.*ÚVOl`öUím‹ +&ª;ŽçÿŽC~HLš)‘õ}¨‡ +OÔ_Vh‘\&¢½b`@4ÌøßâC]œƒ;2‘ĈÉóuÿ>ÁºÝÜψ¨‘Jû/+Oñ¬ óíÙíâÊ ß|WOH¨!“Ý*A©¾µGÕ‰ÌÇùñ$ û‘ÁE*¦heL"àù.22DT•ôŸ0ûɃ°»ÿ²øšÃýëEàþ·ÀÈñ^õš~ÄýNÿp-;öØÅG\¾¬õÏ4J›˜m2L&~Ž¢c?W AEe’®cã’nc»QýÃAN„È/f) xà(пèþþ͹¿½RÛßà—…[à‘¬[ò‘Ìûœ‘œ“ ô,ÿ¦ý®ŸÕfA=žæ ¨«ø)âWÌHašXa+qsYn­_• Û †bÇFš +˜.ÝKB‚î¼0÷¿hûùaûípDÚYùiýé”iþñmj» 1¶ŒA”8ìÈþü½|w"òr'eË¡É6®F(Tt“'B‚%3êø÷7 &PJäs¸?b:¸ÿ²`fûöJû +ÝÛ55ÿ vïiªM]|!V¸Íéý²jGÁϯCƒÌ%@*‘á¬Ð$|ÆÐ糡 ¨ï£Þ¹'4 )a¿¡tÒ©‘%çþ‰Éq?¬â·ÌW—µ¯÷u÷ôrCn~Ä3j¨¡KZÓbç/éÌç 1ƒà§ Arof‘‚—:$ þaš\$J8³}.KuKØ©THã“2]ö:Bö“û'ÔöǨyûA{¹h´ÿ²ú +‚GL/˜ScCßpÈ\Þ~z$ƒH|‡ž!ø,üz0»>¸lv”Ãá‘å]BÿK&ãÿ$m Mh"œ$Eª9î§,@Û—Ày£ý I„P:å2DÒ/¶©ã;àÆB:€$óãä²ð”˪NÛB™` …„(l M€ƒ«ª lÑçÄGœ£PºŒ6=[•Ž‰2Õî·,0Û?—Âía‰ö.‹2£õ~¹0d~³]TJLzz¢€07©ÒQÀòEc‡^Lf1Òd(– aÓ£&‰_NœŸ‹¹Y¶Ðe52›:ÚÊ8RºubAÛ_ÁèíŸEŠíg‰ö ¸[ûûHDû/ŸÖÃ_–fãÎd”\‘Nùkwq©øÒqP< _à`0†[“™ÈFѺҷûÄÏÞä9K¿ä¨gÈÓBc‰’K'”Ùoÿ[·Ö¬´_„oí¿,wk@Ô +édVÉhµ”_YL L|1ž G]¼˜ ßa™Ë² šÌ$½\4Ÿø6Úö˜@º=hd!ƒMF´‘Fc‡ÖŒ…g2U›j" õÚôéçžræ‡áI ÐçñA¢NF•¤ÂW9™T†‰'µQ´ß¾H´ŸT?í_ Jí¿¬RöÒ–TžÊ0%žsÈ€àÒ!ãÉÙ5“ë5sÉ ™L…cB5‘RkÑ\Ö¾û£#bžSov†‡UРOHÉlB‰”/ImSCí².ë².ë².ë²P'¢»üxƒ!åœCæ—׌&a2 +ZÐT>&T3¹6x™lòz2ú—uPžScd†‡åVÐ'Œ@6¡„9—¤C‡žL*€œâ $ÚG\í§ìW¡Ú¯·¤ö_ÖŒšÆ0^‘vmUØ®UȺ*Pdõ”ÕÞ‚ÓŠæÍŠ›åHÁ ÅAJD†$*=;à…2NŽ~Ýb ŒÌ |YÔ^ͽv‚î–0xÔJ…M<öùaäIŒ š/—•¯2iÄé“GÖôW“t/ ‘2&”Kxtm¦{(ùf £TE%(éjÁ ÖUâ™];7paHfÓ‡QÜ™PQÙé„=KȶYP'ç²:Ÿh&9""7åΕ™ ./nÙ)-ô&3+½Â5%ý¼1!Æzò©@ųP½¬&ªÝ }, `ËîÛQ5ðÉëä¼×‘M GçþyI…D&5 Î"H9¸ ¥.«`à”§NÆÌ‘æaÍ‘XjÌкJÏO¬^Nþ¹ÐΧ “H¦ UKk;VÉG»Yˆ Ç.î}X>°ãÜš¾È&+7aç8Ù(rY&py1©Ê‰°•é²“¸¿°yГÐ,ç`q ‚4œ *”¤—•r¯1=õþŸš:ô®ŽRŽÆr´ Ä)?mÇ<Û—)``žnˆó}k›Få‹Þª:v$cé‰Å7µ™¿Ù,ÒIƒt¿ŸnÜY ì“ \B²B³Fا{=Ôƒüêªaâ}+!”­š”¢¸ `JßMm©ª3f|Y›çç ƒAÛÒhÑ#œq3¢iÉ>€x“Ú¡"e©€Èç~Ž‰ÚþË +#T +Ž¡CýLTI]È{0ÁíV\_|H”O…Õi¥³ÍI ¨Îqä$H»,.½ƒ4 2´[1]ƒ­;ÎøÙþ5 K*š^+Éš¢5¬3 z8¸}L˜{YÑpx˜û„A'øLJF67= a»‚eñ6ÉðëêÙ ð¹ëüz§dÈía(ü"xœÀè¸×Ç =>ó´aþ$hhºŸct¿Þpp¿ú™·Ÿƒ; uû#;æ‡'{-ÐëŒíÀ^’iúò¡gÆãp×ãd•_–íH<.Z°=.Þ\t4|ÛýžÖ–A¡ðò¹J›¨ßOAJu îç÷«uû9ø°ýœ-ÜþËê÷ó“'–ü“1¬!CÒzÎ#}wûÎ#‚ü–û|×;nÂ7,%%bB˜ˆ%V}ž›>-#…EâÌ›¥ËÚlÒãˆp^ʃçÜÏo©í_5!Ä_гÄéù²0ØÑtãÖ}ßÈØ;‡Np€Ò÷6÷¬W%|”ªL¸RÎXAG6¨]uH¸-$MêM‚<¥H}j˜ûy ´ýër*tèÈfõåû6õ³¡·dÕKðH.Òõ¾áÕ|ä±e. (—U }ŒÍ#6Ä zòSƒVW°‰z\ e&P4 Zz„½îï E'nÿeA4€ƒ=ñJÕ¶¬áyû +H˜_ÚL×-—Ió Å…X$·ErùøŠæÃ@˜ÍG} KþÚ/IŸóô‰C2„eͪtYGàF…XŽû!—Ãös­]™÷ÄøEòªðËú\Z{ߨN^ó‚ZˆæQ‰æ@€P6‹rqéôh«ÁŸÓç/˜G^¾Ï¹ºP±XGÍ”„—¥îúío)+íïà•ös0Åö_Ö¤ÒPá• 暬PÒK¦ÉéE!â ´§ÄSÙ„”¹-W!c6‹i.‹Ri/1‰pbM)÷˜` }ü0Òܤ4 ˜ ”ÈLm䢷߽¬.«2úEÑ-v?xøSd‰ DdRªÜ(Jh*U>‘ââ71Fã¿~FòˆÓ ¾‘X%8e$0~¼kPOcߦ'“‡i;¢_KGýéåÊ™>ìŒÈ žÌ–t"f%M$€H(eÁÝOÜRÔíG±—í¿¬ N:¸Ü[]ÛÄ^‚•°ýør¾2=:"ø|»‡4R|]Ïi»¿¼uR‹®¦^ÑNŠú¡…¦!z…úëî¿,ÌKá~ÛJ½—íçDŒ‹™x—7i‹Cø²ð¯ÔåIâ¹'…L@§0%Þâ9i$–à·TÊ¿‘,. [RÝQƒ)¥‚ŠO©L ”ʤ0÷ßÌemBæüÆ„r–T| ÑÕÑ9ÿÌž€Ÿ +nYûžùœ[d³•Ž Ó™Ëúä¡€B‘½ÐÊø²c°² Š÷7¥dÒŒî¿Uüö‡‘pûQ)¿ý—• •N±ÆCÙcjpÈ´´g +è…kJ>ÀÜ—!ê‡î9 UaòAP‘§àn£K^ù¨‹Û*¡Þ…³t˜ xÑ2¹'UظbBÀ)pÉsYžÊæ„‘BZåié2ˆÛÏsFÚ/ò‡íGêö‹Rѹ,Òž*%(Oõ Œš‚wé$ÜCÁŒ3šW³'~™ºàOczCÜ7I*U„ŸBoûyÍFûCþ°]Öe]Öe]Öe]Öe]Öe]–éÄ'(­ý''t?QD«Ö¨€î ^ñTÂRųºω,MG_:Ø×a.Ûªƒ¹,~Gd4pœøfGÑðx9º…õÄ$V ~ +]ˆíï9íí•ö£Luû/K³~ãÞ™y¹W­iÑÃI¹Ã9‘\çôbNˆ‰D@ù¤‚ædG’âŸý±Þ8W·ñãä¿gÊ¿ä¶éuáôØä—5ÂPäÅ$ ÷žŸT®,çh /tD_Lô²B÷EAA’W~6¤1õŒÄHÚ!BÕ3ǺÑXlö’ 9·ÇG÷¥½ƒ%fà E“AOdlªù#KE.ÛÖ§˜•É’·?½vü¡ $]dÄ“ºÚôߪðUuèxlÊa‡XTnñ´¦KÄÞËú¤ž@:”wþŒ4|Å T¼§ú4Þá6ƒç@RÔÝYŠÉª`>hf»b¹?çZ®#;íöÐdîËWÛܘ;`*0)˜Ä¥:†È™“¥Aï©|ßžŽÈÏ•3é&¡QFõáx¡ËJ¼(U(ùÜOÊ1wüK¬+H+> ê{Y#í¹`sSà0’q¡üÙǬQ*¦9 ’½ÚCÃïMAù‘}Â=<:´8a<ЪòŒžÀÅ¢û œû/ËEØ–—ÕD0[î¸ÕË1n;UìrJváEiV#›)­(@µAÆlîË"›ÀÞy48‰Çsþ—zs:ðçÃhËßý(–sAcrÿe!Tx-bLÇå×õ$ÛLšï¹Ѷ•ë¸ÄØìÔrì‹8à›—Jt­‡ ¿ê[YB•MëW8šXD©) ”./ºU4 î±%< ¸^݇|oæoÎ%š¾¬ž£kö®"}»ÞBövÙIŠ— R_w‘@¬Þ¹Í_ÒqÐ(õ¦)ÔýýHá~·tÙ~ÃeãþËÂ9˜…Vpý}ï Fóî»Z‚Ê|Î<_ .ŸàQ_;›/b÷FÒhÅEæ²¼ DåAœ¨) É¨eì~IãäþöÃo¿rqÿeÝo>€kH½‚míÓåsy4î4›’PðŠÅ/sH…èvœ6x8áÈŠ>DKú»ŽHÞhs4ÎRÀ!î§^â~Ê‚ã~WÄoGmÿeá cßõ¸‚ï ËûæÑ“ˆépcØ ¿, Àßë”[õñ¼#CpˆzRjJ ”¹O°A&X¤õ‘”$H•¿©Äž TeK]Vož$œ›‚œŸ2“ ‘àúRÒpNHuÎm|FAê ƒA#™lbÇ%rJ ù.™>a7ΡËÒ>=3`,½©ÔÍ) Ú~÷3o¿!‚Úþ˲àܧXókÏ“¤µ5†y‹ÊŽi¶Pï0X Çã"¿„sšJ÷J:ïd„ò<&›¤þu$ˆ ¢©„­ŠÔÃlû™·¿¶ÿ²B˜ËO—Ëqþеs¹=u ×O£”îOÎ\Ö ¨œÚÒÆ„dôàó*ÊÅi UDZXy Í£Y•J—§Ha ýö»¢ù²Fë— M˜ðC£Æ]|$7y&›W…¤,"°S +Àil\T~Óh_ƒg~ÁWÏ<Ðq ¤VO6¢ËÛU©TÚˆP˜‹¹¿¾,W*ܨK;æ¿Zð‚€™E‡¶2)\ˆ¿"˜,H—‘¤ a,®©“¹{yݨŒ„ó¸è÷G37W4@˜"¥SI"Baþ³ý—õ¹/ôFe ¾Ú.|€ö ðÄ‹`´ )ƒùÄWR!Õd¹¬O€¢ÀPþžËÔc£Q7+’ó˜ÆgKð™èBt"¥“è¡4׺ý-GÝþ‚}ÙþËm+üäèäzáÃiU§ÂDPzi‘ü*¢ â06 n5ª Pñáhòl˜š‰®ÿ$K—…ú¸"”F †8½¤£(ò‡¿¬ÿå‘RB”h·œ˜²”HAiX°rr‹'=ؗʻ͘{Â4«¸Sü’{6•ŠºHY:Ql”F¥Ø~÷£^ÖÈ6|ø€J"&œsIB¡E"ZKÉ„4õ?î•S‚[<া\–ÅüÈœEp2,Beú isx¸’†º2Aé9Õ¨À»¬Ëº¬Ëº¬Ëº¬Ëº¬Ëº¬Ëº,“ÁÏ@RÜ”ˆ& Š$„Ø#“P, ) Ñ%E©%½R¤¸åÓ¸ëËœeLâo¦ýÙË;Ÿ†‡»0à!Ó J©ˆ§FùªÃö·špû ùeû/käò&ŠQ4¤›‚$™Œ)äEÒËò¤@ ÊÉt”Ê5¥Uóùí%ut\òàxÝ&2:ƒ¥ìNª^ ³QÀy`Øox¬k‚8©™õ[!н~i t])È«: r›¢|¯‹jp¸3..0dÒ’2}¾ú²XìdóSÊ!„ ¸P:ž«£:rÔe-¸õ +ƒN«èÚÌU ‰›påÛ|˜71X+0Gæ’˜ÐÖ¢Lbõ_¾üe‰¶Mq†ËÕ£O $K ¤iýƒ½¢”:lìþË:†Ë[Šé›·FÏÎhŒK"£Ž“—/Ë´Ù|ù‚iæò +ƒˆvºÞˆ¹ï1äyUƒ  !¤ùªŽ(Å ‰_atÿeÅ$–%£‡<›ø“Ls'>Ó*¶ kM—¨_ +š¨ÏË`®¨¶ qs‡n`·ø ²ø2—åþÓÇsÔ ±îW8Ü_Çw|?äZ¢8É÷ÀAšuu Ñ;åá©÷ÒàµmQëÛ®@eïqAJqÍR“zêêB/á/˜ NéXþYªL2Ýoo0÷+P8÷_V-–ÙÖÃoÎ šæÛL\GP™oKŠÌ­/ø~YñâµàgíèŠrUâ %ø2¿‰Ä¢‘œ7Pëþü"p¿¢ôrÿeÝW—ñ[½g}ÀÑ=ÜiöÄ0ÂÜ–ÝÈ#o’Û¨«§ÿ´7¸'¢Å8[ ¨ÏÅù”Ò)ÑŸN—Å1) +‘Éý7âÓåËÊo~4÷ÙדËq_ÆKׄ¼€N@=ï"§½¥W¡^TÉ'å»dþb鈴áB:iÜÇ dÂܯ_©í÷J&÷_^(M2ß_4Qd2û¢ð ŽKrN‡>öÊÇ8í|ÿÕýeÉŽ“¤'ØqI?øñNô" LR*÷¤L!ÐÁýºà²t—1÷’—«)ª~¸3?È='LJÿ¬Œ×`M ~Ô‘é¾ÃXµÆ°[&‘G—_è¤Ù*+è$DÒÇP{ˆQJ M"¬Wp6À(AWL½ÆÞp ƒ<”é;ªb ûÃï@D,#éPã@mšË’ÝÝ× 'ëßÇ0â‘Ja‡¦Ñµ~Rºê²ý—eùø­Þ0*jà¼~ú²/èÌD¥9W +â*¥Q¥ÆXn†œ™HMSÆ›Š¯`„LÃv¹"Õ`°*‰J—å}6î÷ôÖE ‘åüe2; )TRªä‚Ó’Xv‹ÈÃH— +@„©}¸“)5 Á÷£î1¨äL´Z•T%j%§tfûš‹û/+ê|Åz¥}Š6ˆa¥U‰a%¨L.U^QþÉÈa‘÷¥b ИºÆÀ™Ë:ÿf³M„*ÍD@GU"•Fr*G]A%Ø —uY—õ^‡ç²:Ø÷øö=¾ƒ}'¨d ïld€ð.€¦L.…JEùY-UÕ*ãb©M¦\ e.›ô:Ðëð`¥D& +„ÎH‰$ÚÈ)]Åo¿Ç¹¸¿ƒ}ï`ßã;Ø÷øö=¾ƒ}ï`ßã;Ø÷øö=¾ƒ}ï`ßã/«ƒ}ï`ßã;Ø÷øö=¾ƒ}ï`ßã;Ø÷x‚J°AòÎFï"èaÊäR¨T”ŸÕRP­2.–ÚT`êÁeÐPæ²ù@¯Ãƒ•™(:#¥Ë"‰6rJWñÛïq.îï`ßã;Ø÷øö=¾ƒ}ï`ßã;Ø÷øö=¾ƒ}ï`ßã;Ø÷øö=¾ƒ}ï`ßã;Ø÷øö=¾ƒ}ï`ßã/‹ l¼³‘»Hz˜2¹*ågµTT«Œ‹¥6˜zDp4”¹l>Ðëð`¥D& +„ÎH‰$ÚÈ)]Åo¿Ç¹¸¿ƒ}ï`ßã;Ø÷øö=þ²:Ø÷øö=¾ƒ}ï`ßã;Ø÷øö=¾ƒ}ï`ßã;Ø÷øö=¾ƒ}ï`ßã;Ø÷x‚J°AòÎFï"èaÊäR¨T”ŸÕRP­2.—e©M¦\ e.›ô:Ðëð`¥D& +„ÎH‰$ÚÈ©ËÒUüö{œ‹û;Ø÷øö„e¨S$HÀ v»€“¨¤p°&Ã’@ DR!LB‚b€ + €äeHiZŠ[qGø9'§EMN@/˜©j”_ý†Ï‰Yób+ÞâÀ¦˜ h/–ŠÍ*«j)3#%¬2€—€…48ᯠÄún‡0È v‚ÖcyK€`x› lJbVãLF…ù¸Éò­¡ñëÅÑ8…È*˜,Tª˜_–†…ÀDÕcƒsp¦š ù +Q° ““ÁR±sÐYÓueqˆWXi'øƒјªX&Wu£ÒÖ¸'Ö¥ÍÒ¨´`M`Ð÷èDœÿþãÇÄ¿ùÀbüaů` ¸ÿëFH5K3(50ðž¹!“uñG÷×x <:Ym³NæŸ>0–I³-ÇÎaR—P¬t€€e‚áÇÖh á”Döç(VÖBûÃ8XÛ“ÁÜÐnQº èJ«‰ÅòĠ¬ùYH~¤¤•¥2cÍ‘*=$ÍZ±¤YšÊÔß=£²BãµY÷p?ȬC  Š2²~2­“ú1º€ÒùBNXÖö'x•©‹·C,ºó¨˜ˆBá´C– :¬>>^KTÍ㌕Œ1[;ooW4°<ª#ÛÁS7;VòÚê +šYƒ6;¢ ËCã–ßYf–ôÈìüÅáÉjd.uœYO5Cí{–RUTXô'S¥Æ~v˜ÿ§ê?ÒÒÈ*ÊTº³¦åSêv³ä¨„³ÂxÛ®^+a¢† +fÄŒÊq=†ÞЭ³îQóE^’¼°Œ«"#.k=ä‘àI›õŠ1‚²·èPÉ®‡kyR*ØUMÇ3[Ȩ̤ƒÖšIŠz¨;µV!HO, 3)L&똂¥zkqTÙ²£8VïâzgxX¨úê‘KHŠR“´-?hŒŠ_榞Ž@Œ;üØÙisÃJ)8!˜uzcæowOŬ5©²±4RERG³˜¨ô ëëj©Õ‰‡q8”ø5ƒ+Xƒ×³ðæ:L¬F†À'¯ú• ¨–ÔÓnI9/©ÝL:˜ˆ“v“7RZÒ[Ä¢‚ &J}t@·jaÉ‹'õIÂt–èÔð°êé‘àb5;e…=¢-úœeÞOäŠX!ÈS5T˜€»'ÈÑd–$g#u$V5 y¾9`uð»¬T2‚ ñôLd,²ôäNµ!«(!¬™·`"TŸï?sÕõz«ÆÕéU”,5÷,>Hfܪ”"G5b}/á5r‹Åüv*!8Ñá‚WÑP!‘Ï3qÍ’L岕¹Öh Q¬Ö·§ +_EÎD*ôk@Áï•) -WD¯*d »ñì¬ 3b%¹òê3e[8àô•QJY™¾¶¬’Yà+Ž5s°Â×C’¥Ûš—rpƒkb>y,{ kL˜³>"È£t5 +çE. +¦ûÍòjÔ±"/åX˜j&ˆ{+õó-`ìÿˆ=ŠPÇ–žPÛLG•4tî«ÿ7%Aš.5’1ügÑ釪n!¥ÖžRäTqõÉsjªÌÏîœ +«L¸5N©²±4RERG³˜¨ôÍúí‚Yµ .Bp8 E +^ˆi ʾa'@BP]™¡>Z;Ò khâà€„ hCbO’~†TƒÒ²zÓC ‰P.`P¢W¬ŒY‡H*xV¹ƒµä ða⚢ÏP³ªÝÚ ˆ%ƒy“ŠX8 ¤n ®‘ûãûüVªLÆ +çß@c ‘¥¬žÏÆ‹ëK_T¬âbö¹æüP%ò'=? +ˆ,añœ'ŸÒ·?Ç€O¬6âWÿ§ªôàIpÒP-°nØð² T¥”E¦eSóM>³Nb~9Ve‰*Kx¾”Û,•<ÆC(¼¡¤_ˆ‹6×°¹V›¥?+<HÑkö@AHV¹ˆ§RÞ³´sYaÊQÉû£zýÕ@\‚¸Ÿ`Úåúñù’饡SV{9Þ$ÂÏBäÄ©8‚÷“Õ¯W#É3TëRVoªøÌRiSÏçPNš©°ÞT¤|„1LýsVݸÃÏçÏi]}üç|þÀÿ9ç?âÿœƒŸà?çà'þŸsð ðç|üxÿ9Ÿ?°ÿœ“ÁÎá'öŸsôøÏ9ü‰ÿsŽÂÿ9?ÁÎÁOü?çà'øÏ9ø‰ÿçüÿ9?ñÿœƒŸà?çà'þŸsðüçüÄÿs~‚ÿœƒŸøÎÁOΫés¶wXøªÚÐèžlÝŸâ•r/gÄì—7{±aÐÚ\À=“óýí]¸¥9Bñd¿¤LFÖ-µ×âS¸ðŸ¿ö”‚)²,< ŸAsäljtˆ±å-Æ°3SÞCÇŠ²Ž@¾d<š©*/±…(\¥ŸçèÚŸGkêmý rj‰R‰¾¶IV›µç«jêû«êav‡õ¼ lÖ!)xBkçã'¬… + ªó"®ÑNX…X<ÌlÛi³9(H#_s.²\'Œà›K»Š¡:(iìkòdçÚì¦#Ó€-5æLðzò=¯IF’QÜl + ŒÙÌW Z¨|Ü»rF ›ú{ˆÛ%‘­²•ØÉç™^ºo”€âB*cÖ9(œ\öœÅðšê)H²‚%€¦€Yi(†é9RÜQòRïÒ߇ìÄòÒ#± L×úùßÁ—•)Q"¤ÃÆcr%FÎ;NÒÁ)½Åã âý°Î4=ÿƒKwp”C .êÛõW0Œ‡Òµ¯Ã +ÄÓ ÀP,P/Ý$‰<'tÄð$áÅ2.Æ-Æ嘬|Fq;uA<‰QõÓ°Ú |X +ÍÑT’JÁn2èV¬w§5uÖcÞùÉzÒñd|' ¸ö2g}Õ „¨U(àûúÜN߉ëÀ‹ñà¡ÀïÂêQœ1õ§þܨ¬[m—ø gÞrŸÀǪÀ‘ qÀfð¸×핤¤Ë³¼”qD¹XuÖâ,3(ê­*Pœ8•dñX["ân½-òk¼x“~øÉ'À‰GààS?ù0˜õþK> fü? ”ˇ•³èËzp4ç­‡ëáb™ƒŠ ÝÙ ƒcðÁO°ÄðùtüøÛæ}‹“À(;N œî0xâ5øðø÷…‹õÓePÍ$GÒ6ÉïÎé.à±AÒïñ1xò/TðÃ/?">ú›_¼Ö‡•<Ï æŸ¼ü¯Oܨ]²˜û"|_þ?ÿEÇù]—¡uP:F2J¼@,.¸¨Å6–‹ê Õj >`†Á:d7£<¯ð¦q Ž6àÐÂ^áÉ Ði²€CŠ3 +õòõÙ`F3 íÈÎ1’-ìó Þ‘œD\žœ`š¦ÉÐÅÃʨ"€˜}‰`€¬\À\\¯¢2±,—Ö[B5:gÿ:;º €> [=É|]nå iÂÊù–m.±GŽ< ÐÎEXϬ%‡g§šµz*èóK¢Q9æ3ŒV#m{P æþŒ#|(’x(8Æ"áÍ»A3 3îb¨ ¤\LLɆ1ݽsÊXZ£Çf\–¯´q½V·xxê’”i¾¶ Öü3l`É­îEäoö"²¨î"òcT`Éu#{Ìí¡kÈC¨ 9…y¨_HÇ°*u4v© ZÒ"4’¨„+r‘SF!C oö'ñzÄðO#©‘˜|À÷³Í‚µ‡ ÆÅQÏÚÀŽË{É#)}oD«Ü,Û.KD¥Â¼H0 +ïš`B‚Q(}ŒÂÄ• +‡ áÑá®?à옞LAf;Û)ñÍÂ4ï—„¨rI%:.‘\` +.c’Bûƒ‰¼æ³#µ°\¥«ˆ„|ù ªÁX3ÚƒáaÊJø.¹œ ·€™ÝÛ^hqw=N.»Ž!°&µ +X£´X[pñS+Òm l ÍV·»EAåuk¦F?¼dÔ +¡‚¨¦¢¤â$ 1>€£îynŠ@îâ1œ4ò¶ +Ùb^BÓí*ÒæOGc¸ІuÌÑ8˜¼PofÁíæŽn;©j6ý…šý²K Ù'B Ù­¦Ù™c3ð=pÓ ìu··«u0qÁ +ñMJTã¨%ÅÞªE‹Ô¹ê¤FavxL( ž‰C,„²ÂÎÈcA'nU¨Ä»rIwÕ|˜ž¾N‡£!%Ho=Z°Þ‰Ëíf +ÛöÚÐà¸îaâºW•Äuc"©=thäé>*ÂFTuŸê ’Bì0¡Wv<Œ€¼Ú4Z.ã@¥ð.25Ò±ì¨ü¢’ˆ§îP­çb¡Y5ÓÆ3i#æ‚G€!Ú£TñÆ(ZÔºÝM¼¶Ý‰´ýì;uª†Î§B¼ÙðB“FBÐM Jå¡P$h3\ìõc¸tÀÃ0Û¦!¨ ‡æi+x€µ-VWAN“Fù€x‹$¡¦T¸<¶8",s§!“Î8 äP@è UÞΛ¡êÕ]bÑ]‰ÛÛù³í¥&×vûN5#Å!ÎÂÅe ïèalÐuÙ ŒÌ ³5pÖ4îGpÈœ`€¯µãeØb=OÑë)˜:¡d…±¾ÉiAÚP>¤³d ýñ¯©QäûÍ¡ÅOø¸˜FJôñå°úµJ,än/è n_Í{ÛKʬí‡Ìbk D¤¹‘‘憢ô5BNÈ蘲8öÄâÅ=ž4Á' ›}0@‹bè¨)ÈL¯)gMš"bz𠌭a²ÍwòrH••Ê¬XJpÅ|Xw©©Ìƒ¿àÙs0ØP]ˆ%{¤XP Ø'ZXçö4l;yØ´]!ï:þŠ…¼ë8Á²Æ"‘?²hõp_¢Í{™›tH)×dÎD$’ï D‘È?C^áLâ¼0SÚLšUL‘ë-!†Ø-[Ia]¼=3ÀÚ$ )ÖlÎ5Ë +„ ƒpÂI$1XíäÁ+Uî»N¸]¶ ¶M‰´}tþÞøt)wp1‚“-D„q>@É̪î#!Ÿ3Ù™’¼0” ¤U©D×ÒpÔºåvˆùe†¨PŒ‹ÙË •2#ç +6Üyð8¾¡:«×øŒ5Ä!¬BR%eÙ˜$÷ÀMMÖ ‰õ ‡ÛÉíñ}oûy™µlt41É2%ß1JîÁpåÀ]‹{׋ÆyThv`@¬ÌdRFÒ( 4àhÀ âòl舄æÀ ÜYwöŒø~¼Z“ƒ²—jµ ©”ÒhLžzu¡4¯Uc¥Ùx=§Mn?”,Û>YÚ~>6m'Éóï ™ — çV]$ˉ©t2ÓX©¤L­O¾ Y#8æ}NJTÿÈ¢a:›Lhà©d.ÏÃ2J>‹ÌJÝ+ ÍÝa!rÑ b„:Îé!‘fÊ8‰åÔJ”ò”Iß«„äéhÛ!àcÛí\°íîžÐv³@ùûe;Åþ¾MÅa0l,•Ngsñl'Ãe¿ X.X|ßå!¨J$ I)P <4B-²VˆN¤Ž@_4Ò„ ©B²\ rb›’‚ôLô œÞÁÇuBꚀJ–UDV êt’ão{Æ ·GeÛþuÑö•bú»©žU‡l?lØOæñ#Ž ”‚:›!ºfSs‡Ñá;¤•ÕjJÊ(6eÁŤ³¸?¥Ò’rú‘·ØOA]¶ •oõ#¥ÈÄSU äj&BšàìÖ7Á7ú¾(µ]´ÒÚ~y)´Ý‘´½¹þ® ?IU¶‹›Ÿíð±x>£ªP“B/ ‡* s‰³z™‰âŠþÄ(, +.­iÊd@ðÔ7Ÿ¦*3 •®™JªÔkW¤—J´ò¬ÖeµX‘•¨n)JŽM/¬4Ùþ+'ûû—Hÿ®—÷ßA«Êß-™Ó߬çï„Žáïë ð÷3€Èv²²Áö‘ÈQrO£Š89<ý$:…V”ÇÕX¡,§•úÜ—T4$²X8ý°ÆïZÝêD·’ÓÒ7MGAX²NªX°c°]"~°}¡»°}æÙŽÞ…lïÌH¶³V¢l÷L°¿K^ðïˆýûûÀÊöP?D¶Ÿ§Ÿí#ÂÅvÑ?R£³-U£Ad¡X$Õç€#V™ÃÂfI ºuø¶›Žíßú±Ã>¶ë¡ Û?U`;ˆ`{M±=‚ÅØŽàØØN`T°ÝLOØ®í[¶§,l¶oÞ9Ûó-Ïv;‚8=láW`ÿÑá­DÏÇuJ›52-<¬+¤[›”—õIg؃I`o7’À>Ê’ÀÎu’Àþ"Eûª¢ ì$[Ø?î(°_´Q`‡”VýcØ>`Al/3Û? Ó4°ýTw°=³™°iÙ^´l|:¶¯-¶›ÛG÷ØE M¡m +-ÑnZ¢ ñu‘9ìÖØ#‘7°â|;+q»¸ºýëm`ß1m`O!ÚÀ.r }Ó{e•ì¸%Øí:ì®— ìâHØÛMéÛ/¦Û ¶ÓçÛ_—”ˆÛGìA¦Ø>PàXéP˜fš.ÛŒÌrãKsâ£"øÖÂIå£uy[oÎÒ8ŸAaŽYXºÕ&|½H<ö;¶,©0C "´‰ðsHo±sYpF „•ož˜xq¢ Íæ­ËcÍ"‹îìú *­'ãÈ|´ˆ™vŽÞÖ)õÖò(œ ÔÑ5®¢€d8ÇàŒ»»_o>Eº7 íücÛ¢mf +ÈÖR„‰(üØuJùG!¬|éÛk¶°Œ=U¥‹£ ¢Ú0åœ5’A^L¥õ4êÊ¢=þî¢Oé±09Òí‘‘Î׉G •t!‹ì7xòoœ€V_×8˜Â8(ð@ú¥$È”ÞD(ùè ø(©ºQ!jpFg›ÉY„Åå trMv5ZOóõr ´H7í©¾c;v„@Z‰È[½é!xvôs‡&oKaBŒjüR¡ +‘ý…$X;(’àÅâÄðS( Bˆ* +i{õ‰ig{D¯´Ñã«’ù¤ÉF1¤> JKYp•‘5n™ ôõ8opq¢0‹2¬“ƒªÊªÎ:ÒÛo9¸®–lßLÙq 0•'o2‹þ0ZŠÜ‚)¡çzÊóU¯˜‘oÍÈ`5‡Œô­OLzÎOL>B­/ rä-U0Ý˯äÉMŸ)¤gª›(h*¡{‘H%‹Ã­2lÏ[kY×ØxqÆ­ +ILÜÐQY½ßÁ*aV%Æ îoäø40iýÉâÏ=â¼…I&ŽT@{ó–)Ê„‚q˜ÔV™]TsÖ˜ólkˆ™jiˆyÙhT¦N'¬;N§YRÐØò ‘A5Ùný,ŸÅH&6¯@\í"dR_Z:m«@‚Ç–å#6¤‚ŒR\3©Jë‘yîQÆs'š ©–ÄiQòÅ$Ðð˜K6’#çðÊ8…Ké¬OoDQ;¢ªM;¢—N‘@PžOz²…ZõÒx^,³+—ð¶#øeÝBN_K…Âw;—5¼H—e- «[2v³a:‹w(–V°¨ë>*‹·ŒhƒÔ}àÌ#4ÂŽš`9…@'3I•¥´§å”j Èô±? 7Q™C‚óî u1ªÃ9¼…§yìŠoÏ_þ)Xãò/\j?4Ž:ž»ADmB`²hËtq÷Ÿî­g§Û&§û.=ÝÎÞh¸ÊH¾¶ ƒùÁh/ĂΆ@Øv¯"ûW¤Z’ÖAŸ\¯Ð 2„<ö†µÂ* ‚žÀ;QÉ°%÷Çñ¶@dä06ò¿Œ1Á*mùnK´™îVŒ®ÔÂ|!.,ÁšÜíô{p× ˆÎ Þ¼ R0eŠ{ Ñ€Œhœ8pÜd•âzy]ØùÀR‰ÿÃA†ÚÜ qœ$îfÚÒl0!£òЈ¥tÄË5ó 1èiD£%QkÀYp;Ž¡´ò£bp¶ÁEˆK^… ±º +áF,í"PX™Dn¤:È\§BGa°QH4*È„j±&;ÛãXä;ëä×áõ`óÄÊw政‚¨ôÌ8†äR ƒ)Ad›Ef`—4¶2$Š5rH°®=@" nˆü‹Cé uû›—Üqag!o‰R3¥æB™xÌ`ŒxÌ&ü’š3oÝ(à›FÒ÷h8Ô67ØÛ¥±É\€¹?@€é-bƒ DÀƒ– = EŒÔgå8FtÄòà|‘•S:˜bˆS›¥ÀiP0mG76©Ìð<'ƒN†ŽdÜŪnÑíüµíp–#:ÿP ú@%hƒã” É)A«tmDw,¡»ÎkƒgHÔ‰Í.à§øv3Ùà€¾„¸T ’ ?à†¯„I;X¯{˜*–5 É\öÓ‡âÀ9ñÅG©f`_vÊ|VÕ6 ©g· 1<þÞŸmµ!/já×´cu]vp ðY™4{òa7ãszÝT¶`­N+ +1äbS,@’ÄØÂÏ9ý‚ï()¤N GdôE͸Ñ,y 3Á$èc#“tŽçå¸7ô,ŽÑu¢¤> Þn(unwc|Û#vK. ìN¨ ìv+øöVåÙ÷p)]ýð( +Ä­Q‰ ))\È2Ðè5>@ä$³Ã;˜\Û¿Àã3Ò"1á劇á-ŠxB5Ðtèo… +)P ŒŠ|™QËqRu{Ýq¸ÝMãmÿ€ eÃôƒ4Xñw®ˆ¬¬!ˆRè£ tJH*%’bQ…µg÷0ÿÖí)Áp´á½ÑÉ!^J¦r µIöÁ@Qy%Æâ”Z˜ÀØ£ÅæñU´çQè¡EÞ°M‘ÍE]U ow„0Ý^XÑÜm§Ì­#aIDN cD*æˆTÐl¡ðB'I¡"˜‹øùǨ|®Q5ß‘|x­h¹ðøâéÖçh~¾#Z," BjJ ’g–V¬¶S‡94ÐëëL #ÂÓ·$Aôys²p”‹ÒÂÞÎÈÝþ³¨äövci»¥Ô…þ˜—ÄÀ˜”ZÆý†\cTÄ…Æk{eŽ’IsqpF +>éÐíg=T"£œ9mŠÈHœh’h?¸=15C ![lÙþK¿¼,u*£² èkÏÅTi…,D¨d¡ñAJCHŒZóv…­u» ظ”mœô™=§ˆi}ˆqQ8š]8uH–êC½}ò3]|ãÛΚfmל°Ècã‚Y·Â!œsaæ¹*3åõÂ’ˆˆ%R ä¬äR4^OB²»Q46!«PÞ¥ò¨¬‹‚dˆ\ ?òÀÈ…ò”a)—pc +2Î7ÑÈž FL@®¸4P+½6£7% +C ÖG±êöÉiíö„Xs;ü¦Ûþ,hÔvŽ!#ŸŽ'€R“´ëÝä2 +i”ÅÁ¡¨ªÕRù*×âÝ’*ÌùETȘpBa, V)‰|š¨uo>ÀÞ Ž\cßÃf"ƒÏ)kkHðe¥Q¦¹t¦í° Tª.]¡ã­{Ô¹Û%˜·ô׶Ã&KÛÙ‹éïs%SB·®|ÀÈRxUH"–ÄÒÉàë%2!90´Èœ®”é„æuÀÍ*QwRBäp>y`îd&Ì3­^‡ÎíŸúHCtH5Ž* DJAêÆš‰ +j+e+Õ¼ZYl¥c·s&nØ/·,÷¶2…¶³ÆßE/ äR‚K'Œ !/2'L@ÔBû·Ñx°ŽãprÖFO)²+žè,œûÁ,£ÖGÇà +ã¢!Rë²y>b„i±›1ui¹gmú—“kîlꜸRªe„-­BávÔÙàöçåö‡ÚöúζfÚÎο€éÔù6ªAºá¨B‡u¡ž”‰àò€LuëƒÙŽâ1’Dqau¡§ã#…dë!q!«P +pñ¦ÔÚ:ÙtòHHp©œ> Ö$¨ÈÈS +œÅU…Ï©´jAÐÝ2!¡m×ä·›T©mÏØ—m/˜ +mt:_ÕP¶«L¡ËÓJÐŽ'¡x¡l…È.£ +êgœ¶¿Áß×‘í¯Ž0<5—²#3e¨Rl´ܟX_e?Z êò˜*¢`RJ͸ú€Ë+teVãŠ;°¼’Äú¨ÔÐÚ=–N å¡ úÇ£þyü]A§þ>=mW´¶ûŒDÛE®DÛ+(…¶#XNÛ )ÊßßCàïë³ý°â=ip%Gi¶k…Ò$…4õ=Y*¥S, êÒ­:†ÇÍz„Œº…ˆ›>‡³îV@…˜Ù.€ÍlßÊ7Û].:ÛOžE¶@DZÉv‰üÿ~Õ¿¿+üïaõ÷—Ýi;ë´]’»þŽð*Ÿ5çßÝ’íêiƒí™#‚Ji'¼¤Ò\(qµ…obõÛ@Y.âÃRyNK”Í=ÒÀ`;"Ä`»Lº`{[a{Iƒ°ýCSØža)lo…^Ø^‚tÙ¾¡ÝlÏÅE¶ßú(Û[KöwðŽÿÞêî¿{>Ž¿@Û?°Î¿ËP¶¿äšíjhíŸc€¬­?,íéZÚãã´0Ê–ÑWóŒí¡lÆöÈAÆöûËØoØþ©MÀvÒ=`{§°=€Â±…±`ûñÁö ŠÂöÇ‹Ëvçík"’íËÊvÖ +ûû&ýýáa¿;¡lŸl9𠺥ýÇ©éȄѹԈí/|ÃvTºa»'¥a{^аÝn}lTBlOéÛC1Äöí•b»ÿ¤Ø®‚ÄØ.’ol¿t8¶€£äÁv:wa»·ÙÎmŠlW}2Ùžaólw¤ Ù~_ Ù.Ölw]lO74¶aø[ Nü½³ýRa#úî ´ÈO–†¼º­iiÜ`ñÈp*ÂŒ»"¾) é^X1äßšq#a¦`ãÜDøOõg|MBX©‹¶×T½àü\Ù*#5IJàºÅßfd|@èðʳȓ C)sÀ(Ú£h0ôH†Cˆ"ŽÀ`aʦqîKçÊO¯Î4+&^ç½óÏbv)lP`ü”óM„`0uPœ_U7Æqƒ3ºw"C²Ï«D?Ý4µGÃRJ={¼× ãÑZLu¿\ "G DZžEûnLB‚áƒõqbÈhA +¾@` +Š6Z¸¨l¦@†g†í°1üVQè9ì-®6h=’*'ôHR#Ä¥eÓ½f$ôÙWùU¸ô2½C4¡ZÅgý€¸ÑÍmÛ¹¼\Ô'VƒO)âQ„!Ï%SÑ0œ>êŒPÙ°ƒÁú¯æáeÊIcÅDŠg'e‹˜j~ÄR‡îÆ aCÀøªFH( ¹Bh¸ íÿ*7Ûë¦kÀ€œªÂ’_™v{¨^ «o48šm\bL{0‘ÚwÎ ª+X)btd=M`…T";R«ÃŒ`AÆèá[x¼F3.ù”ËÆ’Yu|iu|ÉHlrɃ2û ”ÒtIíååôZ³áÚ°ÌÂF}œmÅl ¤£4¦$$P½=©ÀR°•Ô@5l'B^Z Ⱦxª…¦)Ë,ìÉm"Í +eNšÐ~ÇI[G„¾ñ8.õåž´±"ÿ¡v0'UšY¶•éÉÌèIaˆ§‚…QgY0 NWgR¢!µ´@)®ƒ˜*<ž(1ÚGe!z ™í$9Ü0×]F—´w¨ÁÆ“Å8ÂîB‚qÂÌræ#¡? åPCw×$û[YÓ:œái÷ÀZ[$¢=Zvå›QIF€ÕžggŒ((3%PÌ.ÐÆ%$HÑPJúÎ;¤" J? ƒÊ@}a-h“Œm5ˆoKÑĺ^)JßÌ&=rà+4@©)›/¸g/8PŸT]‹ð^ÑÕ†5Žà\gh˜÷øŸk#e#¢x# c> Ô-#ÎXaõáIAŠ‰'Å´Nm|ZEÅ­zj£Š´R[/+Æ62Ÿ +KO6’v òVî)ÒPo¨Ù"̹#;þ¬²Ðä–?-³©Fsº#JÊ +ùÜ 'd×/Ò/3ŽíJºòŽB0Ý2—QÏÑEÌÔ@•>ô•âõrjÅ«£r¸¬é¿¬~ûx–”)PØ˵-^wªkß„Æ3jÅ`å„@0©tQyÃ<ÚÂ+cUôŠQ F‡;l0‰J"?C”q „BŽ€0 Bj4®\À"16i—E®šÒ€:f ËEĪh©kû9©:‹©tÖƒÖVM Ö3‘yKª5¨›Io”û¸€.½‡Âr-Öq;id¨Žùyt7y¡«ÔfýO‡ÀÞ3–í¢ ”Dˆ+OÆ境 A„)Ìa+²Ö"I!oÇ‚¾\’ŒŠxIt3È@jƒ€(}bóy»Çc’ï‡ô’oFbž\}Oîúº'7»DȷĦ¤W㽈§z`„àÝÄuâƒe8“ÌëË¥*˜òeQ‡ªm€w`Élÿo¢Ià Ó€ pt2;ƒDð2A¬J#%ÖÈŽ…-»7Ó.@§MKÂt<¬ç"„mªV7Òí¢†=*H €¦"‚u ÜZ 4 îÁ§UÃœ±5Ç‘-¬L‘ w‡5Ë%GƒnµÖ¨NƒMþá  tnbOtxq¢†ªÁТX£çÔ1qØŽÚò4äõÂÀ›”cs™Ï38É èÕ„%R>8y»íŠÝÞiQ`‚'à Ó GèA&&XÞLÌÜLœš[0áóúW¦°;€£6à…C.*ÑT+0À®×±Ù*> çhGˆVJñ݉°ñÅOã{J傘òCÂw»’‡X0æð…Ð =…:sá¤ùÈŽ%Â+ – +ÚÞnKÜþœÎÇRgÏ7jÉIB"Ó+„Dö´{†È–ë2éÕðB)Ìì1> œØÇæú$º‘T‰R@P»TNSÏ0"³Ø±/ý1˜nJè€SD¥ŒIñíèíŒÁíž‚KÒöe*xZT£ðשQ8¸§F!|;‚k%“ô(ó‘è(ñ$%g7º/ß7̨hÏ`MĤ¥p/?ÁHY¶v(Eü%b$ÏZI¢‹Oetr 0™†ìØ0è‹Ê9ãˆÁ] çBR·F#Pr\bò6œ +6½•Á;7 ¯ý<–LŠÂ@FÓ'ÒÑU"–ôí–CÅÛ¹Û –϶‡FÑ뉢×íÉÀ÷ð¹â{-ä:m¢Z§Ç +1ò¼xb£Â””- ƒØk,"¤Ùq{çp ‰_©*o%’äTYJè!ØE+UòL‹¢'8¤®ùpÐÄcäiYêÓö Ê™’o·loWÐZ·Ï8¾íya… á…"tGÐÄ„•£‰˜9¡ÄP*<¼•©(Z€䇑QÄœÆP@$ lƒ÷±˜E9ÄæRyÁ­XE1PÖG'[Ê·#Áx"©ÜøzÔgáC¥Me,‘TFu¤eKä¹ß^9AoO¸%nŸñ¶@ …/¤¾Pœ4S\”^4bIЈ…=b} “LmÆ(醞b}G‰õ +௽p ÛX!’;ƒæRˈ(Ê”HbcB5e>G™å5…®Ë¤“Õ© ^ÄÉTnþèî ­ômJ=äWŠu—]´»¼½®ºý2¸]žÛîŠ6ãËFÊÆpÔâÜ4:bã;> ‘k›"pðòºðÀC“Ưh>[&gë^ð +‚QxªRcøÀNlƒ6J: sÆœFvÛ& bÂ)L-œX­÷åíŸêíÒÚí÷êµísªÑöX©ÖƒEèTˆi™ùË#¨K=ŠhZý– B©zâÚŒ2¢¢†²‰ª¸ò:÷׈v‹Iáb]@ +£Ù%¤†òžˆ „³òphKöÐ'ù}Á›Ñ:1J¦¼! ÄŸ««îqt–‡{ûÇ êöRâöûcÙöyTh»Œ":ËE^ììM0Šc¦è8™UHµÀ[> g¹t ­ˆä¶MSÌx¾P˜ŽÜ02!•ÐèóD°Aá!#âì[‡Óá¡V>׺†£¸42̘O)Æâ ÅVR¬ÊT&Ö×À½}Ž½sÎÝþ·%Þv9#µÝ…Û3Ѹ¬’ Ôײ1&«K†¯8feŸŒLGÜF&po +ŒNÇiç™Ã)cpî|ñ óü9bñ|ÀËñ™|V›V2qT¤°£Ì~¥È+Ò¦E)£lDkU£Éj#£þ 2oW]ònçvnwˆžÛmF¶í2Ëô÷Äf~ÁnÆê²­ŒÌGkìÍW±ÐœD"wN†ì©îG± õÏìA@¯¤ä©ÕBTžvÄl‰@’ö½º’k$qJ©ÙÓ˜DŒGaVl›ÊaW¥ú€Å©^°ìBÅÖÛ‚¢Û?NÑí)ÃÖí™MÍí ßvCÑv¨ñ÷ºBš ŽØ›OE˱ð¹ñÑi}8 ˆ†\" Iô¥k“*s‹+16•.Ù™×LrÆÁ˜ÚÔÇN瞪œBOqQ[–ÐQ/±²J¥`JõA”¦Ue´ ꪣßm…ÛYîÄíÇÄí&ÎÀí;µíŒ`Û?ÀN[m—/çß;N„=Þ‰qyÆ”è ¡y©ºé!‰º¸)iú‰1µB³ëÔ‘1 *¢Á-Tã )»4«R3 +t¨\‘ã¤ú€tUX¬Ä•@dqú+Ärt»u +ÏZçã¶?~¾íW€ÛUÏÉí}r{‰”ÚvŠHØ Ûé*ÛÅ¥}9/ÂúbJ¬œZk?•MåIðGÊCH&ÕHVW²"¤Åµ¨@¬ó0´f‰÷´\GÍé¨CÜè ó÷ÐöS8Ðök¢í®íP¨ÈÛž.0ÛþE̶‹„m— FÚ¾¨[ e{©í™ÉY‚i%"¨–|¤RnÁl¨ÐAi\‰ƒ€õCŠ×úÕŽÙôOg Ñ- +J¶?ÚN¶#~'Û §R¶¿=ÛÏ…ö÷^ÿþú7µ@ÏxëÝÍvÖˆf»§3ÈvJaíÒíw«ÎvÙÃÈö2Éö(iN¶sb)Û÷Öÿ;ÉCø;rù;CöÚN_m'W mA$ÚÞyµ Ïñwú¢Êö¸Év”{˜*µ5MªhAªËõNœÖ -ÌzËfÙ>¦Vؾ=VØÞ2±lçPÙ.iÙÎà¾l7¼l+f¶¯ˆ7ÛǵÎöMcd»NÚdû¨òÿn± g¬—¿Çš×ö3¶ïr©í‘ëïù\ù;b?ÿN7 ÙÙžtÑJ}¬V»¹4½5Ý}GØ®zlGl×l_ylÿ€Í#„í5„í‹€ +ÛkO +ÛaˆÏv•ö²]½l×^n¶·6t¶‹›l·Àÿï +ðwxeù{˜£þÞ*Q×X*Ï_ûïˆTàïôAÎv¸•³ýÆFÀÛ: Þ^Ù ÞP)]ã>H†»<θƒL¯ŽaHL¼ƒÂοZÁ¥àÜР@ø3nä%†l§Ši*Õ€ +Æ™$S0.Å»01AÎ E; RJ9:ñY7R!Ó~u¢¿)ªˆS#f)¨8]x¯ÖÝUìÜãÃ%2câ1Ä’î}@"éÞ›9äYƒVß–Ö8¸wš)@u1 aQ¸y­·xy©ºqÒ4*2¤½-åB¦'æAÈϺ2A¡óI%ªð§ø¬îδëè¼êë@Á`â7(³jÇ«À*u$[G°¯ÂÅ©G ÔØóï£WGS”ÙjÈ8檊(<`Ú[„¬nDeœ3†ò"C~‡q‰ÑÞkêŽnª˜ÕYŠPPhH“—Ò È!R+û¨cs³Î´;ð¾ +Ñ +…o4LêŠÌ™ÕµÑÖ/´Þ/Lþ*|©Š,tòí!Q> ¢ïÝhˆÙzTSÎØ)TÉÚ¾™ ™8Xi‰¬–²aP¹¦¡mè&¬Î«smaJž§Eúµ#„ª>öúJ´6ìÊbbî>²ûzÀ…+ë‡çßÍŒÓB 1x¥PèÎôÕ«øˆ‹èØ¸Ê çîHÔ¢ +%g•òSâ®R1;\å´ NkzƒMbê>WÏèT—F§> +3™" +p¨Îò[ÛvÔYMÂGÆp#µ»/â1X‰EfG¶î¶Yµº‘‹¿‰Ê<LøÞšÐÙŒ²°½ ˆªþ(Ypë A¿Èâ’{FÇBäv…’é#ï☰˜¦cù€wÑÒ30— u\ý‡¸h¹!϶_€µ Ápl[jQX/V]¬.š­v¤‰*W£§W"&B˜Ÿ ñÜtC˜[­Á ¶•^Y\i0q†}ƒ¥ ƒÈ\?_¬,`ÂñA½2tôâ));õXäPHÉԹę#H6‰R¤àòöX‘Ôm©†¼©y ‘õUÛÞ­UûmÜÕ?¥ù–JÄ@óaÜðDWq⬉儣£Ì†À÷ çÃj4 <‚áV9¡ ‚Dàê¢; ¤Æ¼tÅæaÚ¨Z ‡/(øà¥/†CÉØÖäÜ&ý1˜š:Òì +„þà`oGá@õ%H/é$/^ÉŠX¼n|!½ð™^áàÅù \šø.ö|d™`y™*rîâkÁ±! è·%  +YßrZLj÷5²jè+acµ=´Ùså«OAR>—w™°®Lç C°øxñ[ }€$ÔÊ 6{äÛ¡P·ÏŸ\ƒ\R ðdàÁûàÕÚâ×䡉QÝËNÀ%g´Ñ£ ‘dý½!Ã,N¾£FÔ‰DA(ãà œ5^v8Ÿ9%y)Pž…´í>ƒ¹''’‡ $Sc!HçiL¸g:ä·3 r·€)d¹ò)½§_ˆ {Ž „„Æ-àe 4™È*&ŸE¨-UØ”¨Dm\<;Hhn‡¡ CUÜ–É(È®í€Éƒ¨Þa1ˆò™§•¹¨6KÓYIžK¹e¡Š\ŒPxù`•p¸[o_ØZ·›%Z8µ/ÕŒjQ9Sq¬s!ÓXg“Wóh^ä(°tÓ‚.‰±¨þØ¿¶1Fæ¦ö‹È5xªh˜Ó"±Â>‡]yr•änÌXLJtD[8î{3z)ÂàŒìs‰T'¡'Bʵ;©þÛÛ.‡Û#°>5$¥tÇ5"i‘tŠÛ$šc9DíZ¶eK6vHâ±' ’ . ª¤¥Åà³án,ºW?œ&;„¦´§$Æå%µ-˜‹ À®44zP¤„%¤Ò¼¢©uk(*—ì#vóíôvÊàö8&ÃIS ¨Ã` ÌÏ ËÏÀ¢48¹ 66Yn–'E9\r áQO§"C±ÄnD8"Çéð…,¸D±Y÷h2— [Y¸0ä-Kôg/tùÈ£}ÂLÖÊ…aÀ}$ ¿}­º]¾Üî­â;µ­â{%À7©ƒÉn‡*ß×¼Ü$(R ½R,bÿØG"Eð˜¢H26©¡q™)§¼N®Ä‘‚䎣$I^¯Rk…Ëe=4'‹Dt¸OúÒ‰´° j0Ì–kE÷ÐÎ}{¤…éöPh·«V1·X3¹NfEä–EÈ!Õ +a"x³s†Â#*‰K#1L~²9@ñIÀ;%Ðõ¸¸’À䤕EcS7"c¹×tÇNŠi£ +¾Ç®‰ä0¡é£8.©/G}ÿ|p™NÞñÈÝžÐQÛÎÊÄxâZ *¡—^¥B5A• +Œï +› ìE 1@Ú?p®q’q¬îÏ?Jœœ…|ºò‘o )¹œöG™ì¨oÁ-ƒ×%á q ­È7ñ@g?I`†Sé»*ÄCà¾gHßþÑ8¼R»=²l{K+!»(Š.2\nàÃødÌ®‘`-è 5Çù01üÀÈú³Ë@ìºÍW.͈”*~$ýÜ£lMÅbê”KÓ¨2ÓCäÜ#Ç‹{èuÑŠa5(TðY+ñF€»ÎX|û'{;¢s;âsoû¸ºá'M#ÀaeVð Wðü³·zÎ[vD!’-8r/¾‘Œ!AHö÷™¬ÈŒV)5ÚØÚÞýò‹á‘±È(Å&WwγC Ȧ[“T8eï›@¯jçTÙ_Ü[sùíæí{ÂíˆLºí㈻ðÈÐNòà ª +Â:Aü"¡Éˆý¤áe9HVŒc˜¢ª@áM®ü +[^ …{a ¼cʦÔe£ çôèt(8Š”Vt´ÀÄ¢Ò˜Qt†ZWO8]KßÎ?Þ¾Q3Ýþkn?äÙ¶ÿéÙ0‡3ïZ/$ðÁ†‰è2(9)Ê*wËp©Ä­Åò« n/%G«P}œ™­Q"5”±i¡Ù‡sÚüÙ*$^P'¾¤D–õt(å®ÅæÔ l¯âmXòDÿöÑ×ß®ò··o¾¹Û¨Çí‡[£íБ[(ù +o^<¥¨—Š'¨w‹^RY—LšÂ1mbfn endstream endobj 27 0 obj <>stream +5òþŽ4® Ú &làŒµ¢Ðñ2¯‹'ë0®~˜×ЬâCi4BAîg‘N—6DIIvXµrä¡Ësýí¡åí)æ혓ÎíxÚöìÐvpDj(£ ¼TLƒ½|€ÚÁf¦ ͆Ý÷¦TÑp8˜5Û:¸fÉtàÆâ¡ËÇûÝ b¡4„žæ†H$_£Q÷¸|%Ï’i“J.`(Ñi1Iq;¾º˜‹Q Oøo—½Pq{;ê‘;ô{Ûï”ÔörÂÉ/èK…¬v!¡üšÃiØ3ª»ûÁ>˜ƒF— i( C$yœÄÑàHB\,R¡³¨K0ë2›Ö’ÄtåQ]iS^w!ªaP²F,[ÔÒ¼¡·7oG±·ƒ'«ÛMíÖíÛãn“ÓöHí6¡iUŸŽ3 0ì#+ˆpo¢6Sƒ$q‚¡K»8ÐL¤•Ž1m>øD±,§G¦ã¢Ÿ\GJvžŠWmªbÁ‡¹ÝÒÈú€mq†­–FdÐKY×í¿èvÆHÓí°BÓí^kîvGávÊzÙöÆD£í¶ÀõwöÔ2Á¥á~æØâÝ(ÅCúƒ•Mƒ ŸÐÅ-A}‘†¤V$*5òܧ‚:©,ÉbüÒJ!X†ÊlÅȧ•’µþÛîꬶ}¤±¹ýr9qû¢Avû{’ÝÎULÜþ!ÇíMÝö£ í¶wúû`c©@3ç‹#›é’â[¸N÷¤¦furªZB®@#dH¯•j¨ -‘aài}À†Ðáô +!±ÑíêïˆÔv‚k í)‰¢íßfÛö½1o{¨ ÚöJæöD[áö¶EávMpûÇqÙfNÛ ™çï0cŸMªù7!ÂM‹bt8 U‚¥­ìÝbÁ „¡u^ì‚þ[îï,Oþ}Ôaÿ¾Q°§ æ¿ãgþ÷C ó÷˜¤ú;Š9µ½[4´ýZ„]Û?!»í‘ŸÙöCäöµòq;ª¶Ý#«‹Ôówƒ¯ü–€“ÍIZT‚RŸ*ÆXŒYÑi}Àý + +ºl~²y²ý·§lW¥LÙ.ºìÙ®9l—œÛßûw[%ÿÞ@þn.BçèSÛYdCÛ?×öü´n»aÙv”Æl‘¤í É´Ú®ðìú#EP÷Á«RŠL4Z9pͦ72x¶ß7ží±ÏväÈvŒtd»ÊDÉvPƒ’í˜A'Û? š²}áÒ³½öhHÖ¿›Èß·UõwÕ?µ½£šh;d£k;íX·ý\åmyÂmïtm0\WdèßkVãïPR©ÔÁ$+V‰Ô㵜;Ûyœí†8Îvx‹³ÝÔÀÙž‘p¶§F†lÿ( Ù~QÙžs”lW¨8ÙNcJÙî"ôl'áõïšù»ª•úûp<¯¶? mŸe]ÛMQÜö¦¥í›U«í‘Ûów+ð÷:eø{»±°Nõ,¬ ;hÜm—Ó«s1U ØïBñgõiX-ì7öR<Þ76îõ U7ÞŒg¬-‰ I¯<¯’€mt†_mRºªŸ5méL‹‚&ú«Þ$#V;­ž_+ÖZðÏsø€†Z-¾GÞ<8•ÐêÓT¾Ìi.Ð +,¼4SÀŠ0%A)¢ˆÂÃÞbfYuãËsÒH–G‘!OA\’TÝk~@èkìÉ )ši÷2¥Єú (>+ƒÕÍm‚ ¼ïÍAqØPD[5Èf¥2h¥†ç ¹.k±‘ÄîÑâ‡äÆÃï" +¡(ªî-‚ZÉG¼„j=â.”F\$¬”OKù¶ê‰™ª4ö,?–¢‚_A¡\)¥®®›Ô±­OiOö¾"š…ÂI$|@%ÜêYr‰¼èÉ*oÛv²a-¾/ï åV%aj¨-ÑJ##ùJ#é ɵÓÈ Á„•5a)UøšbutÃʬNÉ^A¡ŒTËH^M¨µgÞ+<Š5ÛΆLk&ò—ŠÈ|ÀžûÅ÷‘W;ŒÑ£1tJñP?›ªbTÆhÀ¼Ç˜²´"dÝð,®?×üëš~âºæf¥‘˜™Õ2㸞çÅ£:¹ëD÷”E¦¥ì&¥Œ©–àYsÁ»aÓ̯â~@<Ï­·ý´'½A” ~ãð¶~åÞÉF§ƒ  »Ž  ×{Õõ5õHšé€*¬LÒ3ÕÃ}ÆUhB?@D©&ôEL¨&¿Mhb¯ŒéàáJ¤£ª¨y{+‹åâ¬$+ÃrX(KKÙIÏBù‹·Ô pWñ „×òJ«,…2p§†ïáGwÀ½W1ð¤Ù@õKýè6%²µÅs¯êËPbÅ+“ÇŸ’?ꤳ!#ÔÊì ùíòª|18ƒr·Ežº*|¸ô{¸„üM¹æ«3^ (/—Á²+Dº/r'ÛBæž5etC;RâŽJÄ•@dƒžQ¼uçW>’©’0±õ¥†¯†ƒÊe碾Ïņ'"UÆUY¦ÉÜ+‡·€ë«|ð‹ô|p…ÅÊÕ9ÖsÍA PcÃßØ¡ã f,[ñ’M!7/€Â€Ys. ÀƤêv¨h %‘‡,©Å44&ã!r‚¤¢’ „mÙXT%Ãòˆ(Ψ•{>ŸÖéyAí®üM죷õíóvÉŠ û§É¾Tâ`¹f.–`3Ó7¦«ãàÂ43ÌIfq« +¨“º¨IíÚŸ©8 Ž›È¬-¾¨|¢ÀñHašÇâ5aE 5¬´,rMv}–éà}@–*Ô(ðÕ!uWù ö·øv‰ +ÒíºÚ±ó>(Ùù5@Mdý»½Lm/× Ê+·ï¼Ëã[I \BР_•Å¨þ€U``°Qá Ê.ć£:Äh`í!ÝIŽ—Æäp¾ <²öLÙuÍsÿI˜Jb«F ÷ýu÷OŠûöˆgíöØ„’v5%ý2|¼ðHV3†Ž¬æÃG„^ÜSÒ%c$Ö_ƽ ê±]ƒ<>¨4…az')…he1<šÃ7¹±ÔTò¹í+‹"ÆÝc£"°ïäv$î à¤¢&’©»^"€{Gãñö\¢sûkTú ® #T»"/¢öS¬? ãA‘è…H#긔TÔu¶ˆAä[÷Ê! 9­‰–¿ ©\%b”JAÇ:.ÈV#™ ƒ4¡lX ÞL2¶H;.©Õ€ÔÑ EZãzÅ„Šõ¥Þì­ÌwNÃööÜÁáö—_ilÿ±í]¡‚ý!8[t"8w€ +6ÖÿfEDà@º¦á9`H"S ÊŸbMÄ`•vÚ²ËøAúlÚ$&IG‡*—Ö'€É3ºAhçí!œW{Üh‚-$LŠ ƒ§V):;‹±ùöõvˆÍàö´äàPÉÁ;]þêìþi‰ƒƒÚ‡‰…8+è©UÅ‚#Í£|´cï2ì±Â¨:eÈ "#“  €D[cÙ¨z$ó”÷^pðÈùÔÎjT0à©P"TTt… w2ì«zñíšæíøåvì³Y#f!~Ä’&tÖ’&> R8á ÄW˜ +Bë—eë_¢qh^1xt ¢ ŽˆêâALN §2²#/¦c¥4–„ê<06Z° eé0g +Ñ$+záì$Ž÷XÅî·cÂL·CVÛ³Ÿ’0ÚÞ*)´=Ó!±Øø‹hш¸7£V¨uã}Ô³#©,8éöÇw¿Òü´UÊ ТÃÄ%Péʪ„/&Ïg• uögƒz>ò¯#ˆ#L ŠjÈ{ŠU˶`'o¸«Æ—·c~¢ÛbjÛ?@C cc¿›Áņ£»wºÑá¹æeB²Cò0±m\ÞÀÉÃ}#+šwfdÔ/ ³ Évj _xÊ­§/6¥Mày@ó0O¤Šé1œ""z ‚8rSËࢂ|EpOmoǸÖnǵ˶s“Yst“YsxœÆb¨ +¢ÉCåHWÈ`0q”ŽüFá‹ÈŒq’VTÙÕ‘àPH—Y@‚§\¸ýS3˜'7®†Áî¤f7ó1méÔѦͬР0ÚYM<¶`¯5¸‚Ò·£R¶·Àå¤u_÷rá`éºKj=FÇE)O%2‚-0¡ÔzC’IE7L˜M9F¹2»`Øò† ÷²6¼óçšš01Jlºr5t²–ˆðq½ÞU´úZbÉd‚Ô§žzUgýR,Šìõ +îâ¾øöÅ_÷¶@XI¹è19éÒó\$j¤å(‚ÆM¨¤X\À²‰h(–Iä¹4 sè\Î ÌÚˆM›|8(*Ξp3z÷øªh›À$’kàu'–çSp¹ùJ´:ÎÁÝðÁ=•ß^Š¬ºýRap»co{©ŠRÅB4Br”u •Cl/ª…’Â`‚„)ó&ѧÐp,&Á†2ÉA›ÇÇÓá,^,MÇ=ŒG^`\Ÿ7 „XMÈ•)+(úh;}ÈH½^MKÅÂÖ²5²YpŸt#¸× η oÝnY˜ÜþTºí¬ìWÒ=%TØ·¦XUŠ™iVBC‘jŽ ñšÎ‹›ÄÓIõOÈS>˜–I8ôzF&D‡¤°½€]’ïmbEdæDºï›òT –J£¼L¬—Ûøö‘Øùv‰hòí…Ôüv°p{»è»½"§¶½!l{;Y#—h-Ö%]ŒLaó¹7·áË<7 eApJ‡DM7Ž†h´Š7‰T3ê’'!o& ~jL‘ÉF81Jðuƒ›6%#jˆJ }¨ÕØfcëÛÞ¾ë)oÿÊüöÃûöÙƒ¼½ 3o:Ü^AÛÞødÛËŠQCXd# Í•8œ…bñ0j9ÐùC²ÑŠfRQ9vú€/G-'ŒárQ,¹0A•d›!^«TÆAÂUÈÅ\5Nɲ?¶ªæÓÏFÙí…Ôíº…àí ÆÃÛóôáíñ%õöí”èöOèåvJ§n;#ÃÒö(ÚÖ¡[yîܦyòYä¤RAˆèR$T_'…«ÓQqˆ€ªLˆ‡Rqn]®®XZµTŒÈ2© ¤î` ÓŠ>­ˆ(¸Ž®hŒ¶`H¹½l-ܾÜngÅ›n÷¤ßÛ>ôvø0êö/Ùº„ñÜ>ÑYõˆ|쌵‚>€±ºˆ#‘¡K“ÁÁEUL˜“ê1¢V÷%±Öµ[#éâtîhýÅmt Öö‘ÆÖvÍ£¢í‘ƒKÛƒvÛãS³í$'àöÿ-Ü®ÚÊnçìŠnÿ‡&Òe6…Û=ˆÇ¶KDmgXFÚžø¨%Ñâ•BŒŽÕ¦9ÿëTi¨êò¶!VäM=­EZnôÁ(ò÷ ‘¿s¤æï¯]ô÷TgõwÔvÖvHëÐöCxÒöÁo·}Te¶ ÜŽU¸]£áº}q »½ ¸¸=sÜÞÕmXZm_ämÿšíJEN—œ¤r’DjHªâÁ¤•…!ð´$yùwëþÝíþ.ßÂÈßwVæ﨎èïŸÆêï—¨íÖö8|m´vmCó¶*¢m?Q`nÇ(*ܾœ¸=Q¸ܾ[ڞ㜶/ðˆ¶7BŒÉ¡rN‘‡·¦>€’‰ÈÕåV|­Š¥ÑŒòﶠü»iÿ.þžqŠ¿·LùßM—Ìß= +Ñß-ƒÕß'ªí÷·µý=u´}ôŒÛäÛ^2¬¶ýB€¹]A:¸ýÖÍ€ÛZhÛ7ݦ ]Ôc@ ræPUÞÓUÉSNŸdº¿3æîï…­ü»© ÿÞíß?À +_'Qò¿w™¿WZÑßñÓöûÃÚþž(Ú>bvmÇ ó¶—™m·œ·#(·§Ž·ûÕaÛ5/…¶ç ×ß …¶}/&^“toeùWš^ +zYƒÙ&3.-0†+" +O{‹£nÕ ÑÁ;®"Cf`A\ZÝkR6„ü„^ý€ÆÏKib@Þ«}éæ–ÞïK[(˜^½[>¨‘= 'û“râ;y¦h á¼tÚLÈ33H¦$øˆŒ¡BvbíF>"Œ¦õȺåLš+ +E÷`)A»ž˜›­±ç—Rô¥ÙËtßÑ„ºŸõ”ðæv„ +¼oe¡0&’oæÌ’®×Ñ–8|À¸±È ñ4㥀å£@$)+ùÞ"˜|ÄÍÓêFåÁQ‡”F‚ %¬Ä –RB0LÌÆ`cODKÑ0*(TnDJ)|ÁEj›"u,ê}sKÖ™—+à´ù C¥8Ûtºyg] •P[$k@„Fb‹UÞ'+’œ³|š¨%Æ|€&wdÈ{ÂJkŒË÷’°”f|M¯àÑÍ@[ÈÏã(É|•i'm‰j&N+å.ulnù涚-.¢³è¯añ@Á² +!"|ÀkÐhÕƒèu)²K7›œëAq +½c8âü‘å/*€š hT†‘+±#®Â‰ÙrºÉáXÖ2¿ çÜ‚ŠÂ»…žË"õ"®¨úF®+ÜëØÒDÓÚç„]ž¼ð£@lÂ"n¨ùPÐh:[!†¸d‡§lb›§£6P,’„/&7,\%åfL·¹ “c˜phÐ…L(ºzÉ´…½dÊI,é#ÛŒR(>¨.¥4¬¥‚´±ž”µÞ0ñ®ê†ìî&¦tà ø¢m b²Í²¥ÐŒÉ´ï f@Äkê]˜'“éøƒYe ^)LAÔ0ŠNâ±i0¼diOBê–”GˆÝ*ÞZ½žð_¯¯rÖ…%³²ÆZUý–Vpú‰« ÖÕëº%œšˆñHWtr®±Â%dÐéÜÐ1lG€ ¢”fƒ¤å £KD™ ©by=LΦM䓪?Zá D)pg/qÌü%cÉÔâ¢L$­œ… û +6hãJeoQH„å +*(s ‘TìÚLaU;42é“ +ò¨7\Þßø ÈŠ¥2*—/Ln¾Øjò-¨Ådƒ.™MÇA9tð}4’}@`²…»ˆm}{Ù‚[íùÝ’V$_’ö¤º$-E2ê-ÂA\Béq¼(¨Y µÁš}诎˜‘Y&9)|êãâõ¦ˆl¹É 4Þ*_PÀzäp)!§·Îc’¢îïâ!¶Š†ÕžÌ)±?$i‹TÜwt Ø=¬öÛ?DœJo記oˆ9¥\SÈ”r'âv¾ˆÆôÆŸÎhŠ°/õBÀ­^`TT‘‚î±õêCÙ(*#F¯+<,Yjhß É ’\r¨må^x½dÄ_6:Y«u@zr‰LfYäª÷ÖêîœHÅÛ;˜ÅűaL&(L.T4+8†ü„|æÄing¤ž¾³‡ê«‚æÙLu -A¶¾šdˆU…l¨ˆL6F¹…{|B¹$b™%J£”͘‚ŠM9h#õùˆ¬ '¥Ô–"¸X ݹp_YBpÿ ôömEy¹ƒQ‚çÆ›X…±7±åeØÄŠ|'fƒeÖRÌåªCi«"Õ\ûØß f^ ÒàrYŒËTã¨U8ÈGOc²ñK¤¬]Í¡µˆž×ÄbQky)L±<úUÍ}q/W Ážª7ßž9EÝþ‚6¹•Ï,ÙåÖIA‘3¢f—%82לöQ¬>&ÕÄÐ Ú{Çþ%æàu8­Ä]§E ,ÙŽ}a•hH%¦“$¨€îÓS¨NCv 0ˆ"’ŒZ€N6fµ²îÀ&ÜGÎ)ØM£ûí˜ÀÜí\e"Ö]…Q¢;‡gÒ^¼à…BÚ‚Aßú¨? ÖJÃê¶û$9Â}ÌUànÊ^ÞŽi‰Ýþ$ŒÇÀy¶¡óÈ aƒˆ¢úSù¢:â^lCåÐvAþ2÷³rkHZ%ÊÆ„±§»®œÃŒ.ÈdÐ! p*v¥ÕÑb J3SÏ™>Æ*2‚`‡‘éøbU„°!é6† w±†€;)åñö IçöŽ;îð>áö¾çߟ«±±Kaçß–Œ¹•Aå`o„̹¨Â5x¥Eš +Ü2‘θ(B^È×B.õ†¬TØX^ªgT¨6vD‡RÚˆ*)’5=ÔL6»dE!lÂývx»øÊà>9¼ý*q»!nAà:|ë ¤®ƒŠb·ˆLè‰ Í#øº“â +„GȈGO£e 2ŽrÜ׃¤)«\Téqš‰N…C/ 6 ѾÜÓèðŠB¯ÂhâÈ-¥qå–¥]„ûm;{hŠàNÒÀÞnÙp¸ý:I*!¸HR Ô2º l(<”Û¤˜(èÑ‚ñx€÷HãóxºàÆíz´eH•§$°$!‡E¬áìò £ÄÊl”˜Äyyö͇•vV#“FO¢ÅŠ©>aêhaN7Üoì‚MÿöQôöJeÂí¡¸©‹·o´ ÓÎÍhôƒôBêÆ%;7dc3\ÁzÞ‡=‰#B·ž‘c$Iد´)©i"[ÌÒHÇ„Œ¹éFåçÁ4r%ò²´êÔe’„\ª’á¥Ð?@ván— `÷Í·‹«n·`&Ü.Æ›×ë¹n”Œ §aNÐÙáÝ\Œ£D¬÷pàÉ£Â)伄ãù(@ͱ!û=™–~\––]Œ +¦š“$ÐDh>æCFYE˜^(è§X$Ck…R¸ážqy»;hZ|»Èù2g&Êã(¶xá)JЧk® ®ÊÆ‘èCÙÐ Q2A@À „4ÖùÉTîÁ_ +ÛÁ>£†æ­`BµkŸ0-ãÿI–¼Ž«sú3c zýöl­ +/Áž Ù‚Ý°‚€!uîöŠ„Áí§Ø’ ~®Ê}'( ÅÈ„Þu,pOmDàngpŸãÉ·ogìíÕ„Û+‹—ÛáýC¨ÌÉÀB[¾ÖåPŽL™`œZg¡î±§%©ÞÀÑØ?$g^O*´ÚSrTÔ!)„viSJÐ& +ZfNxÅûÔ!#ª:uŸ¬8W…zª³àÎ}fpO-fp§¬ôo'x—y»Çór{arûŒc"—zeJat¬Ð0r‡“Dï‡Â1M¢s“\sà•Hß©K ±™2¯’>@—ʽ(„Ô¦6'sUQΕcÕˆhJ,Û›t~~;šS¾}cìߎZ÷o—Xß›>Þ®ònïH<·ShÛ?ÀvY£æPéÆ©š;9 £|,¨wi0ílz8pË© –/ªÕZ:ªÄ.y +ì©êkÚCµ*s•Œ$K¤@I,M'jåÙÛíOïöˆSˆ·›ï÷í?5|{I¯¿=¯½o‡5··ÿ ÛíÄeÛ'°çv„«UsƒOÓyøtÿTôwi6.ÍôŸCiMU +\•7ÆJn!J+öt&X¤‡"b§ÄÝú-ØÓu–«ÖOYkÛ7¬˜ÛóŠÛ ·Ûû÷v2ƒx;ÆJyû(ã¿ýUdÞŽ9vnÿ€|Øö‰›Úve·xðífA“•9Ž2˜ +§´9S.ª:Q* +ƒ`-"6Øš '»Õží§u’£N¹´Ö?@ã>}ÏÜm7±"Û¾1eÜéÜÜ^GPÜÞ^Ýj¾·{ÍÁÛE‰‡·C6‚·¿M·c4…Û70aÛ%(hÛ?O_+PD*‰,´.i>ÕrâDÖUjÃÚI+ŠÁÖâò´b÷i©§¢ÓË3«õ/¼9ú_Ú¾g嶗XŠmçŒFÛžkRn·#ng]·€Ùtû+ñ½=ĆÞþ`eÞîž…n÷è€Û5«ºí“´í‘×›Q«®tiE°ÓF1YSÓ \e¼Qˆõ”ÃnÙš¶Ó‡­£ª†ÖG5åèÞiÑöÀÿh;‹åÒv’©ÝöM'²íkÛmEÌí+áÃí‚‚Ûí®xÓí ©ÛñŽ¦ÛÙÏu»?nנᶀ¤¼l;Ö%‹ªÍ˜0?Am@‡J³‘"Ë2Æv‹Áâ:½pQÐúû`mtôk»8¸µ qm÷‹¶À*uÒöˆÛvÍ¥°í†fÛ ·¯êÍí úâv—IwûgWt»#Rèv¶eâv— ô’K6Y¸o9i"%HJ“:Ë•ÆÔ„XÓâi%L\§ÏÍFom7 ©¶ ÔXÛ·ïÖvÿ-Ú®2y´=¤qi{†ÒnûCÙvÛqûzÆÜþ…nÿ€Ý+»ýÃ*t{CAvûJ¢p»«uÙöŒø·=>¶ý±fJD‡¡¡«ˆBÂkE÷•DµêÆ QΊNÙ¡ò¯qx}^å¨'f‹”óS5਩‘—Ò‘‰¼×ÏÒÚ Žy¹Œ…ÂÈADÀ?l.D6ÆÊPÁ²q’âKQ6V7nz^|€« ùˆ¨³Õ"òÁŒ 9‘°“÷šµŠ¤±ìç*ÓTe‰ê˜Šò^EÍ‚Ùârx›2QŠ_”­žW¦äEŸ^¡òa^tBâ\ 6³ðµ~'Vg?ËÀ‡F†DUVŠß—œ a)½ê{M•Æ01I—=?—ÌêÌ\`­€ZFI¥ ÏÌ{e°‹Ht0× ‚'8{"‚[Èæ‚ê©VC¹â‡àº¬h¥DkÃF‘Bø¬±{$v#¡ÊP± Cðš󞘉죛Çž-¥ŸåA³:A……~ÀoHJé+ˆÔ•…ñYO‡­ ™Öj^®ÆvóÁåd2âIÁþÈÌÇtãcBí„äßøçØ‚lÜ«F UƒÆÉn%—´ÜƒÏ•YćúóàäÍÏ/ó“ÕMVgè³Y†Š£øœ*ÓÛ¬K)ÁÓªš©ìuÜL4û¥`¦ý¬«â¾ìT[’6¹^‘𤆃žA£÷¢;ú~²)Ž¡à•…ܱœ¢gð@P™s—D:¥Ûâ0™\<9qn‡Lk‡L?@¬µEª—TR:b`:í«Bx÷°D쥑þöÓ1J P +1hO,Ó+XdŽWEBO/Çö(yYa…û1Ä´n°§4˜?`å­Â©y‘†16ØwXºXÂãæÕB½µ#KÎÊ·etª ‹¿¨œÅJôfyÂ"Í—B Ýþ­póO°LoÿñÚÄèÿÀ4À*‡ã†;(éÅ‘—ˆ/º2“ pj2*”vCÍhH/$ïF™ ¢”ê"xB‡WË2äKÜ•ä^zåc9‘«‰†3¯ +ÏÃnù‘B²–LŠ–ȯðÇEÜíP!Ü?î¢ ìí$ݢȦ½ È"Zj„h9 +2áSf˜-Ýí²Ì±Z<¹…è‰FI Q´G"_@V²´…Á°-Î q(5Ó2ÓR„°QCÉbEª¸€]áÂÝóVp=@ooª@Ú ÖlÚ‡vÞ1w;ƒÖk¶ˆ­Tý‰N BƒÁµ*Üs#„ æUñ¾Òƒ=5ž¦o~vic"ª{ÇÒå¯Dób}”üy‰>*ƤáN™jsi•z¥Ð +w]ì«õí æí0b ¢š¬¬cM:J :ð"1hÒ"4ÑÙÕ³$VsÈn€¨;¯ª˜ï“‚AXÇ TÀv ¡’Ôu•ò_0 +qr>à«:jaHR©¥…N!²õ+ë!îUîõj v$ùöaÕí¤kßØå©ÑÙæÉð1hîw>àDštvǃÑîJ¨ƒ >A(„TqgùÈ '#Ï!ÓƒPÀ[ùàÞI“ÖAàXR¹gHÑVtºÈ‰ V‡SiHtP(ƒ€e•Fª¸cN:ÜéìÀ]èòÀ3d'™ao“Áܬ @œËuÀñšˆHn∌Eíê÷«ÌP{Ll^ HB³+Æ´‚¾9íˆ÷ ½¢i%ÐÈôú0MJ§|ЖéÒ +w é†{AWûö(¿Tuû˜·…@t èB<é¥Ѩ RÃr*6 ¹°¤!D€uÇ'Æ +¢C_–ŠR…ÆÊ‘ÃL+ß±£bðdÆ–é¼Oê>ýÕaQy]ê¨È&Ü5\î…ܵÃËÛQУ¡HM)“"™ä#kÅâR™|`€2ƒ¡‘IWG%3ƒL·«“€±¦3ˆÑ¾¯Ñ¾«ºÑ ›£ÅØ4Ž¼àNdd:SÍ)ŽïÉ9b‚tåØrIT©æîŒFó|¬¬Fm½™ÎUIN‰ „ŵHá®2¸áŽñ8ûl‘Áý«ø¬ xs´xev¸2ëÌ?F>yÌ’…¬J5ÍGnmF:UB¢ùXÅBii*“—­\  ’i¸0¥bóí²(!›•/JðLòœX-¯¨>À¬¤ŽVZ„ûŠÐ…;¦ vC÷,Œ½ýÓæÝî¢"|>&xõXˆ¼ñ\…Œˆ‡QDɸN²H²úN…¤f[å£iY,œ‘²_0(N•¡@¤M~(tœ±€§MjtwDSñø©JV+ ¥Ð¿î­%Ø/ P°ÇqéÛ³/ðvÏ™èö€¯ß©á h$ŽV+,X­ØåZµâ +¶jÅ@ÇçJ8S Akh¨Ìb9´}å|aqH3d$# +ŠN¤mPÇCË™®] ¦Tc0Y£ŠîɽíÈÁ…º8(”NJ|D¸À ò Ãâ’\Ž (DÏý-ñt–k!IR¦Ý[ÁqÙJ¤«tÈâ©tÈ/µsÈDá$ÛŸ 9«éJ1{{#,ìÓ¡@ºÍ™QMaO…úpSñ ‰Q€1LÔÀ&Œ —ý¦ªäV_r0ëÕës‘Èâ¡ÐeÛ³ ­‘ßèÀ]Å©¼ªÇˆâ!å®ÕªQº×½^Êïú6­Š|ìPÉb*˜CáJs€9Fr(d¡¨úÌN¬´v?šÖWc¦ES«0—`¡XÞÆ|pŠ-ù¸ííà´Xw"óxìÏ{"dŽnB¼Œ"¡Š(ŨŒañé. #Pb]*Ýâ¢S*õØ|`)yÕ#y‰v¥â¡“¦$GÎ{³ÝÂè'óÔÌššd2C‰¢Š ’y1|Á.½¶‰i›]Ø{—¼¬Û„ÐñÃÇƘŒh $+eLàîÔŸ3 ¢«‘È ó$¬”"3A¥½’*m©KàC2`hÖpPS +Ð fº ÄJÍÔ%Ĭ"Ž˜`WZ"1NVQ§*[qy½"ÁÚÐ ¸ñ9‹“p‘àùb ,0­”új˜F)‰t1uÇæR‚à }D.'êá ˜Å%óúâ#S$¥|䉀¢¾(ÆbÑQ›˜a¹ÝG“¡ G–ìg­áÏHv¬+Ša~…]¿µ[™q£@C{Ù•†uµŽ‡(o9> ®W* +'YóáæÓë` H ‡IÃuÈGw!”{îÌŽdj '¡ÌîFòˆ[„É¢ö0¥~KmŽ?ˆå|sóÍ…O`H.™" ãQ}>€4‰à¨EÝS}f¡•«¢Õ7ÀyàƒOSálˆi<ÀIBN§æ á+`ÿEfí5DØi“˜ŸƒFòå lòõeâ’9žò,Y¦PL(f§„ÐA¤²÷Ôbó”%¦Ë¢SŒ0µfñ4¯j6&¨O:›`€¼áŒBŠ¬!•o„b‚%”‘ê`zøÝ@Qý>xŒ üàKÂ‹Ä QMð&)®Œ$Ð +<¥ó°_ +„téD ’WæÐjUi%6n1”%´ Œ çjJl˜=´ˆ0ªÏ½3¤©ÓxZ7G“qÑ™Äõxq> 0­žºu¢>ði±Eì…—™…2´ØšuP”™~kT¿ ­—n?Z/À†y>è”9Z+…É»ª¨oÉaé¼@éBªÌÅÁÌ8@;sG|§™“æ-蓶Ìf C*-Ðqq|k•tX&•êA±LçÔ™OŸ©†,Þƒå/"Pj䉖Iª‹Tƒ;mX ýÒÉKÿ€[!yérè¾tÄCòÒ18„¡ÁÊ—©Q—!c€[OF ©».–«¡p>/¸íP,•HÇ[Õ“H°RšœŸ8ý˜>­ #A©`3}é¡Ð| "] £ÿžÒ %–mGL%ºð8} ™Ú´Ìo…1œ.­ åF H”K7œ/—Ž0”/ýpZP.ÒÎù€'¶ʼnaud•÷𘋉ùÙO¡éB\ Œû +BxõDøªâˆhcÝ蘑hTd!DЗܮ2)©]ËšJµ2 :êä¹ê˜ú4ãV¹!ÎêV6kM £]o¨—~ÿÔ¥Ï$Ô¥8Ü¥+ +(—ÎÐ`‚Ђ²CŒz‡J'¢#-GÄXòH#90ÒªAZH"ÂÈ-}ÀF½õRåû¬¦œ£W&G`ƒžª ?Ý-K‰*^ TL’ˆ©6¤ŠRéÉü¨Ê@Bc >ÜÚÈlò°u]3ð躄$wPìthµëps±Kgp—NA•ÜRå%NJ-¡—ðRh5Õ‰/7¡[=i ?µfL‰:½ƒ*4RÄÔ&Û)Ì–ƒªÉ®²UÔùQ9X&zõ‰ÑÈYÙ#‘ÌšM¸5Hi\]ź.8ĺàb]?Q`]ßœ°®?<]?8(º^°w]·I™]O|—î Ä.½CÒ”(O+c >àó %ædS¸ã…H@W”*-,[µÅéGõñnµêJÎÊôRh,Ñj$³:"A†•áÜXËòé,­ˆ…~µpËŸè A× )A×[V¬ëd$ÖuíŒuýV X×=X×%ª®ì®Ï¨A×oϼëŠ?Úõ/Ž¯Çºñõ)Œøz(¾Qÿ׫ìõÙg¹~D¹^‚¸Þîd׳‰ïú3Rë?%ÒúW­hýJжž4Ú8ë7…ë1¤õ•g=%@AÊ)”iXéFëÔkÛ‘Üx®HCtó¢R0¼Ý|wn±€mGàa8ˆ¤äöšL…ýˆÖqýˆÖ‘-­ÖQd`쨿NvÌY&;ÊF>~@©j­FÌñÀÉ“jšå&P*•Õ#a2B¦à´1¥Ï)W5Ú"Bô´ sÊ[¦jâ«zKõRI•ó]7vG_'²m%žâÅ(d91^‚ª’ìÈN¯šG‚¡A+ ‰-@I.¬È¥([·(É ¹(_Z»()Û¼( yQž-/š¤F˜©VOOèP ×Ù Ž–,§‡SS?€Vu**LšÝu aúZn*ö«´hëa…£U‘‚Òý¨*$7Ó}ƒH«ÜÀ•Ãç"|&-Aò8ü!û³IQ2J1·JS¤ÙåŠýŽ »J;ç°¨Ìp–á RÃiÀ†³. §ú÷ å$ke?…”Kz 3õñávU|®µšKpÁgëºS‹þOrE—åðn'÷èRgð¥ÃEoN“KHÈN DØÔìá¤8U`“#þÀóa˜ŸÀþ´HàÄp{áF«-i‹™qŠ–Ü8ý¬§“ËÒÃcŽÓ•ËXÒð<ƒWÝÛ®Ž™|ÍœvÊF΂Ù6N©½ÉOÂ-œ Á; CЫž^gPª¥öHLûÀÀR&q'|.–œ%KVÞµg¥Ã÷ªõŠ’ÍŽ@A*š"ƒ**Ææ ¶N+Êëú¢ˆuU5ĺr·ª«œ:ÕUȱ®n{‚¬‚»Z_”•ƒ5)*e»´¥–RˆE®cð²_aÓ >€8¸ðf`֠űy¼„P±¯ò'ä+¹eÚ¨yäEDº#øØõå}Èö§„W«±‚ .U¾ð¾EÉ0™¥hdîÅâyíÙ~ÀK7N,»6Nl—›öcq,ÃKNlÜ`‘­ø¥‹;B7¥‰„\O#µßKÄ¥FëÊÆÛŽç‹ì^J@'¤—]% 5w©ðÊG!úPx×B¸*Û‹æ>rá”huNºÑyâ +I®=qÉb9 £„YÜ$?¸­\°´†îH'„.ž^®ÜyÜ’à~ïh_«ó²vKa¹Æ\Z8r¹ b†fÒ ¹E(tNmŽyea‹‚™u̾]gû«`X•BŒ]zqÚC§sœ ?,öMÀ½÷sä)¤U |À[X±.˜äÙïƳ BÐî¼'ÔÐyóg¼V ‚fÐ<¬›2™oü×&,‹à`!2"õó6’,²Ñ¨àŽ(²!gcóÛ]Ü/¹9 ÜU +!BhFŠÑ {·±‘"¨ä@¨¼ +G +3ϺÖa¸.¡s- üU$†G:Ã+HÃ’ $† ïéã•Åxãï"î±o¨rÂ)n¤²'Sò%]1fÈè ³î7Ñ…øU×qâ ,Ãì3#SnCa2ÎÊL|ÀJÂ)'Õ«f”¿ô"ßÝxË!M™B®‚= ’• +&K ´)=&¨)=>C(=Þ[=¶€K¸ó9'´ffE=ŒË™6sVY2¥9„É‚çô„¡ùÐ8~×µbBÏ;fv¡dú;Örö°jŽAŠ,F¢Ë‘â^j†ê3‡)’çÀè2FB¬+PP‡½¼š‘Hó¹¡á2Êi¸?.cì%#VŽrLÈÒ\Ö#DacqÞÁòC›0]°‡f¸èeÆ yµ`Ûñz¼ìP€ý¶×Ìê0ˆFºè‹Prá0²E s芎£X§2ìŒ[Š-KuApÌk²tœ©@Ž†M9Ésª(|Àªh +‰(lN›P–µ‹‡FK.]–Ö7ò Æ°B¼°=²µ—Í¡1’ûbÖCÄÞV¢‹ YJؤT¤OågѺZ£t•`‡÷mÿ€@˜¢ «—Jþ ΔÐé–”t”2ƒm"wlÙ%‰ðN…*Я€® iF×m +£koj¡ñ U‰`0H»¥¿Š=¢öþ€­›[·ëƒCÊÕ9"Wâ„è¸ÀEâ@…ŠIØúø÷ð,êdð Ì!¶—c=ÀëC<ŒN¯„"/QéS>N¾*¡=A1é nà7äò B©éðTjªè:C¢Ø1#tÙá·wɳDnÊÃź§âqˆ‚‘ªN&„À£P$>F*v + +¤@)Êo!j´: %¥ad.Bã(9àxt.ÇÂ4·pÛNìÿ"G³É'ù²Á§h±£µh¨Ï‰7Q£F>¿C°°*P‚c/¦‰%P·ÖÝDØ¥ÉDÌÝØ@|€9A˜«’W$2ì;J8¼~+jÐÃ[¼³³0¥™ñ D #SCqcOÞ¡JGŠ£Ä®XôVXx†mÊ7 y‚Vä üŠÔ›¸yãB)-pÄòê6aºU>hÆ)pXh?…r%T˜EQV#¶Û˜ÓGqB¤·0xõ‚µ#ð©d ´‘OÂÒHààë˜kîhY’Å? G+üónüñ·Î„¼^ +d¥ Æü„¥D9H_ÜN©%‘2Orte¡(Vr¯Ìå^VT3#"¤qDUÆÒ1öóâ«„¨–Ô±é1N@V>éö@¯FBQr‡ƒ6q HÌŠƒ!ú8…"êÙJÈcá• ÷Z‹¹,j¢¼í0v¤\ùOD€šÐn%kL„‘'ºg€QPžÍBù ›T<*.·\N~¨ÜÑJÙB`…á¨dº~ÒNŠjç•=‚55S:´$*%ù슌+! Aaa¤„Á²Â¼°á¡üNÂÑ·Œ–|4•?¡\„IƒT(÷'¨Pä–í¢¼.RRIIŠV… –Ïó9/_Ò9.¾B"1¬ (̘.œOã¡`¸ Äb8§õFø šYSgô7Šœ§ã”zì¯%Ét;^;dþ{Cþ‰cu Lpð Pn}B¡¸H¤ò†‡ådá¤,[n\&ˆéÒÈNáM‚ÌÐ&ñ‰G!O³^>ƒæ¼t¶H™58?âñ=×ìSgyB“°Ò”VhÒ)²R.Q#§I„ WšÏØ1ŸA`Ÿàg`wŒ!¿G^Œß?G¬pË‚¦,ŒÃ¼<6Æ…Ò$0³ÄrgÆ•ÄÑì•lÛhKfÃYݘCÁä%x;‹Ò=éÜ¢Žõ2tîX~úa-ÔÇÌ_H€‹‰ÐtÃG”•DI?qq õAºi4¡P!Vå^‰8ÚEßL ~·$0~°¿ãÙê÷ˆ)û=oMŽ&R`hICËl  ̹ ·ó-™x4ȉ~V­éôqóÄ `bè⢄B“ñˆ ?¥GŒ–c3:¨H™T«FRLº+%ÖÓ¹¦3ô×SHòPTçB,Rw +©öùµa±JõK'Y8/ý&ý¥ã)ÒKì­—Ùô—>ñ$„â)TžŠ9:?•4s|&õKb°¤C¨`¾Üˆ¤ßð¥[J'Ss•D#&bâd–é2^çq¢øMu‚¤äÕHN(„‰¢HÑ 6TÅ#ô[­šSgUŽÒßd:E•x¸ô›réùÒ%)÷¥3åKŒ/}’M^úpÄA³R4£=Hw¾r¥”lQ‡5ìÌ2uö8¼‹j­ò1…B¡£TIwݪñV«^a>igEù f„³ÈZziÝ–Ô«€Œ3v]5%»ŽEH»®z¿K÷°.½r‰ŠKŒÊ—NÙÝ—þ!q,“ÇãZ™áÏõÊs}Êõ®Çd^­?xTëÏÓÓú¤ÓújÒúÀŒÖß·õnÚµž4¹XÏ)N¬Ö¯XÖ›4 Ö Ö_¾ë3†Ø€8QÆÉTZóÔ:…½¶ó;U^¨7ò ©Q^:Š/VõPBø +xEd8 V7QÛÖ—œëwlÁzN¬`½"2³žmɬÏÄ•õ$‡ÊzŠ.`=¢ÎXï«Õ— +Ñê¹/³zß +ä~j  =:Ž€ˆ2—'‚ŠÙ¥á2üKGð7©³ç³™>ÒB Uí¬“^} Я.TXÓì€öñ…‹0#1h”â]\ñàí ćFÏpöFV­§ÀçBHlòH®Ã ‚¢ÃÌNHÙÀl23%ø~×|ßnÃWe·áLžÎ÷€Ú‚–é.ÆÓXÝf2&ÀOéjâ˜þ¸–º'„п7l¨­ŠêrÄòËÒõ,º1‡ƒg7-‘Pƒ#Y3Ð߬½à`Ö£·i™—žµÃE“ÑÒ϶¿@ãíô–í2Îk{¬ÛËÙ«uÂňΩ ÕÚ…æ—wš7¬º3A=SBwx¡R~†)ntNC˜‰Z¢ŠêJ`<Ëá¥é‰ !-€4\6w-«RŠHœ]ÇáØõ5¿%«Tg€`ªn@Û§¢(§÷)qN¯ajÖ<È/T¬G`:Ú ».ÜÉ_|Þ¿ÁáÍ=ƒú®l`øŽ`â´Æ+0¥%²ÏTȼÂêSáä>ƒk°¡@Íi´`;,ð(œSÄ6[%‡+ŒÃ>i:€ »ªP võìêë~5»MŠÚÉKŠêIì:ÒÈŠ6P)û)Zfûz¦ž +7ˇWó¾AåÞ8ÂÀ~¼À=—øÎŒ(„˜E]Kn?_5“”© %J#zGœJ‚îWÄ{$À«n´0Ì­,˜X+Ø‚˜¤HCÂz?Š¯@±‹/‚b-gcÇŒ³ÜDKÙ‰8û`/(¶ÎjF»vþè +" ‘ë õý®ˆz@JœÜÁÇ,¾ä+K,á¸&‡ˆ•ËˆÕ Ÿ z‹Qtƒ}b,¨þtÃfPy|¯þ&G¼@â¸ø€0­cÜ…Äfd6œ5Å°}$—J m.: )Ná.T Â%``ÑõèŠ^¯èFî ½M|ÝÝ^Ä¡Ÿ"kpËbÀâ0YFK â€BæsZeÊŠ…Ϊ,,@]¤m4 ¨c—­ *ìÈØ"@Þ#ÄžG;I–„W¥ücéjnéêAÊÑÕÙ?ѵè0rjJ(’ ‹–³ žÍb¯Œ{[¿;™0‰G|€NA•‰¨%*F£‹HáÙ9ŸEf·†„%3Î@Mð;WY‚¥ÄÈÓ å–ŒX—UÌÊ»[ÜCÅÌÌìëqeDÿä‘|Sâ  Š˜ýy1×°=ßØî(–Š¸î¡($7~H×Ã=@©0¹p ÒP-áO‘©‡؉±zjè¨wÖ$†s|,‘—ñè¸äEÀ!ÀÐ:¥¼á[⊂™‚"ºuaP—¢i + D¦“pt¨PÞ±'çÎöˆ²«‡ †…"r0t Õ¡L|‹óžžwB&>`â­¤„‚•:(hÓä[¸Õ³@;šj(¿ÑufL#KÙǾ"]\¥©ëƒ$¾(¤ƒÊe~¡;ˆH±»I¢’e(„ +Ibi]k™YœH3ð&‡C‹L’Ï0ª$ñZ,‘e;%ìH‰ +醊Éç† +ʼnR°îmXpOg1ê8ŽAe4ŒG&4¹Ë;æD„âpq‹£÷‹…‰ÍãñãpB2Ü€bÚG™†ï8N-¨)zÒIî5%Zu¡$1©TÇ!ÈvŒ¨ÀvÌìZá™D›>4yDbêaoŸD,ùËg‚)‚Þ‘à4\¤bˆp$B:O$ž™Ô§Sb²õ¢°á­˜œß+!©±,Â/ÖÄÀ´jt”1á£zÃÉé¬3á0ØCS$DùÙ±˜$x?]¦Iª²ý4kÛ7"‰íšnF6¥nG80¢“TÊ-Y`y²& 0eËÎ…ea¸•CÔ+›:±TØoey˜ÇE!QÛ-0òf‹™x=R”I·‘­7¼Ûx‰ÆÁÌÜÙiˆî1!Fô!±ì‘¦ Á&Ï+A¥PrÖO$¶‹ lÏh¶s4O`ßxæ +ç”qQ>ÀÃÅ’Ê&|©–<Ð:/ôEbÄŽ&a0Q†È¨q(3²_MG–p Ú*l$èãs]ŠÀAhŒ@§îÖJ‡ ©Zûb´<§–«>!J.B£´G^ú¥híŸ{R%P§ f»Ö*TÀö’£ÅvËa»F§vŽn쑇â£I0!ã y㧱ì·Q€XG E¾ó ”ÎëÑÙ;°RÌOÉ€©ŸO ?>›Öe‚,mÄŠ¨©"ä8QB%y#!µ‘„RVj *o:c{ +5®ªË<’jËM…†ªöÄØI°'°w^†À®ý6Ÿ@`%\Î(o}g”‰¼Ò*Ý;šOÇòä‚ *ÌŒD( n‘`÷¼Ñ7q8£=³Î¤ÉJø—@wÃRâ$Ø×d‰ó‰é"/Êžéiu:¤#ªÀ¢J)¸V+6çÔ¬S7Å:ˆÊø³`]åb=ô +v Žñ»Æò{‡ƒñ{iÕ “,—@&F DèP$“-©@ÎÈ‚qaHYð*½ØGb2K…õôM4ÓOd«Ó˶8P,øuB¡`É"5B[¤Ô} T™.RM0†½ztš…ÐM­Uw9¬ÃšýÒÍå¥w›^úkýŽÂf¿{&«ß/±èw Cö{'_ý®R?B—V·RbEZ­iT8´LµVB¦f#T­ˆÒAEʈoõ2¬4ðó¬Ã Õ^;¼Õ ^²³ +ÅwÖº˜´× Œ¢ËŠx×W2Þu²ïú·wý/à]÷0¼ëúiï:*`ïzÈcØuÍ°ëÔ°ë +T½ë3£Þuudìº µÙõ@ƒ´ëp2¿Kç˜.=“Â]:gô^z‡}_ú'~_º'³¯ÏDöõ©ÍúzM¹¾^«¯_Méë75}=ꂾ~s¢¯ÏIçëˆóõsy¾þ\Ý|ýiñ^?2¼×‡ óõÝk¾þ§Œ‰u:$šl˜)?>jÅáh+Ùñâ\ߣ¾ÌCáD/Bb•fÆá©/ ‘»s§ëèçþ‚ªázÒp½¦×®?ɵë)×õôÞºžl[׿.¬ëE!ëzËfu=c]_ë¡ëßIèzt]oâæñ’* ˜ (2,²]<Ãÿ$ÆåóKŸ’¼¥`W«c‘^ +ðÕ hDm@³Êð}ÒuQZ]¸Ú1ÍR"D©z·ã;Am½â$¶ÕÄÖ»Ö°õš;k}„µþXÕŽøŽ´©a~>L*P ˜b3YÜÍ£L-A´¨îßÝèk‰N­¡2G#}V˜3¡­ÊKaºP Ùˆ…nj~‚L§š §·à†š/–³¯-Ø+Åm3T§ž€hŸR€¿¢‰€¿1(ˆ²Ô!¿¾ùî¿ ŸŸ˜ßÏgM$ZÛÈ ­­@Gó´¬6iÚ¦€Àòö…–·öÕÀlåÇูà\Ý>àX]¦íRn¡ñ¡0 /\ËèJq¸¹™ÖNK–V«’¶<¸¨ÄB|!@e[uôÈþApuˆ êÔE‚ž\àNÒHþcðá$ºÍÄv0ðf4ÈpegÈð¡55X°–ÌÂ(d¸ °8ï>•ø +\ÂÀ¥T'¨+w%‡+5oºgÝ– ['xרƒ‚cEðŒÍI}°Œ‘׶©Pä‚ÑbÆ%uÃÍÔ1Žb§„ðé"‰ÍÊ3Eþs ¯>…Pb>À#"XNŒUKàÔ¹+¾˜¹+N(ÖJ<:ÔJ¬·pWü”„n©r¢|¨ä|3+ʋ¨DÚ+7°Æ\°3ìR³S‘»? ÄÚ¸‡àËš:G%&–6±Àu·nV ¼ ú5e„ †:Ša-EæâÈ<§BÇrù"ÏÊOPçô3 ÏCþÆÈ Êæ©Ve×ÉyÈ"áœ-š Ž¢@ËrH¿´á«MyôÔ‚º1dö‰`Bqån±hÉ5†TR‚-K±¸¼î®ÅŒ#o“‡,Ÿù¬‹WHB(PäŠ=vúe±š4Š‚àÁø‚€BYTåù¼2²À)edÁ!ˆ˜fµ]¬r* +¥³1*`\âÆI*¯¾C¦Íðñ&×VRåb“¤ÂàöTq{Ð>†Šð-äL°j +©0e@Äôq ;Á8&‹ûX8V*H¢!EÞSHSäH^±ÜóG3 -ñq §›òɘq]:q¡ÝúÏ5At4Atž— ú¼zû4u¢`šS¨ÌI[ÈlÅû›&;Êí†$ö€ž 1Jf¢+@L‰,Ò)\%ðc|À +”%ØcŸJ¨}\N äá"Ûõ2LäÖ°È»u¹)…†±Ú…NÎÕ,¦v3¡P%”1ˆ*¡Œ´Àd  “1|Àü¦0Ûk”±ÍI/ĺ'w¥Ó±Ç…´:Ü”Ž PŒD{YG:¡i-¼-í02ÓÕ4vúâñFl£€+ÙEdb¸& +‚P©)yäòô&idœhÓ@.Jd0Ž6õu:©æßEíäÚÉ- +(ôÛâHè÷½Â¬‡Ñ¬/ÎE”+ +¡yU‹„$¥ˆŠ…€)>ÀNáÏ‚r5£eGZã¬Q˜CÄ[/G—ñ;Ž¡DÖc+f~*Ä£ˆ&P)«à©½ ®)sÈkÐf!šŽcƒ³ Š)ñ!‰`¹"ýT¶ÀèС¤’Â-R²H0$#R"]Ùů=!…J,Ø Ð ëTeÀ” +rc±ºa‡Õ½„I‚·úc} …ˆ«¨Ì;ú$F>«Ä·¤e"q&¥­W<¯ƒ½HÞØÌ4,žÇYh`æi 4“OÞbJ¢‹ù˜TÆßE*K¶—vÏ^˜N›Á³ø¤ôRX7Œp+ B\ë€Ã¥Ú ¥ ÁåèT< npÔ‚P=Ó18Ld.cVaÄÝTB‘T5òHÆŸÚL:RËP†Žkae« ©”22ðÙDVÐâ`âè$ª\QFºr3'ª5ÿN:ÅÙŽš8Žn(‹‡âH}8—ƒ4)´x%e±ðBÄñx”$yB¶–ÈiQ@2/5<£¼£P"ƒà“|@ä€êH†Ear8¨Ÿ2<†2ˆh•Š +:%–ÑÄB.Ýö9a<{@hV Ím2ÏE;BoôM8•dÖ¡B… l7Ùþ*Øl@T«×€¬äÚa!8cŽ²5EÞY‡CTª%í(v$‚hž"žf²Jpb +©–}ÅS”Ê…5ìÉ;F,Žx•^¯#u¡ëˆ 'nEfµ@šE&Çñ: Ó  šö£:„§É«JªNn¡4ÉÐÂ<ïß÷ƒÿûÉgû)4Âv–«Ã‘´8n›èdaž´YF¯eré•×;· /.½x™~ 䙉i-,%Œ‰îÆ HÞˆ2™pk,´çm 0‡³YȈ™cÛ¸CX䯇­¸òÙú˜!Ñ`4J%3efAýWf´âHþï€IRÙÞ:Ù^ÚŒ°Ý$)`û>jA,.–º²¸2zy¥'êrÚL Ìƃ¢LB±}4n€í6%IµÙx›†ÌÁ& gäp'Õ@_®Çchu¦À‚|& +r$e(¡yE¢Ú„éHqFÎ¥•-ß“‰›iŠ,¹ÑŠl²½Ã²ÝÃj¶¯\,l/A +ØnZpØè ¶æ|µ5“ãÁö·ÉÆÅf£— G¤Õ•Žäóhy ± ù´;­ú”¦ï  Ò:/)i‘þÁ|£gà‘>Mòd2¿„ùx¦ˆ¥P112·äô¶ˆ¤B:ÊB/N6ëu¹½ôl°½C°Ýõ%Ø~’´ØN¢]Ý$W;Æx[O6™D<Ggy4,óø¼ŽõG£çÓ†Ñ4*qDÓ¯¬ä‚%¡„j$oW‚ÉC}vÓÿtíÉs‘ ª¨S”Bu#•Yˆ¤jâ8Õ£’X ·iÑ.<°»T`/¶ob‡íºÇØ? W{ÉuvŠös{#/ô©GžªëŒ¾3“´OÎJ,?ð&Q +Áž" +CŠ:„5ÿÙL¹' #•z¦¹¡$T d©>`[°‚U`±ú¬ZÔcÚ‡R#skÓZb]BÒ~_´"~/TF~_å,°eØ3ØW4ØK"ØM%ØOâÊï a01}ÀgLL`ƒ¢›6?m”|uÒ6 +Uº5¢ªâYÀU#Õ~V†ˆ¢cņÍj ·ÖBÛÜ"Ï.Ó?Ó;2´èØ?¼tVç~é#ÃýÒ1ÜýÒ!¤ÊKÿäôÒ .çwkýþq~Ç¿£ºÜ¤eüŽÂ~7¡åîX¤À EJqÞ¡ré±½B¡\š•a¨rëòÚ„õÄȨè´/¹ôˆäÒÕÉ¥“’KI’K×\#—îÒŒ\zê0ré päÒ-!‘Kw V.ÝFÁ—>Ð/œ4^úw^úÞí/ýP/Ùï)]ô{Ú2¬ÀXõ¬¸T`^¡¥*1}#z]ú#~]úí_—.w\—Þ*\—~¶®K?×¥wªÖ¥@¶i]º~h]úél]ºGźtŠƒuéuvéô +áÒÛ Æ¥‡¯Ê¥gÙ÷ÒWœ÷¥§Dœ—žZi~G¡4¿§PúKï`öõ,ÒG^_sÔ×Çžúz±‘¾þåÓדèë3!úzHè|}bq¾ž^Ï×ÇÝùú·Ù€+ƒ)ÆÚÆV4¥LÝĉOV^ æ­æ‚ š  ¬÷)$ +Â.%;P™.­ÖÂK½7+kòD5jÈOd¡}}=h__VØ×:öõ£ÅúúJ}}!U__RÒ×gaúzVV² í‚ë˜>(Ì¢qY!‰¿¡ÿ0 ˆ~=ð·&·Œn¨gK9„ÌaÚæíe +ý4O@$ø–)zçyªd[ § ž¾!$V¨ŠÆI¥ùð’KŒZxpÐ sdf™±gYfßQXd¥€†”MèUøkчøé;ýŽ5ù´/´Rªy4SØ,©”f{P¼Ï-"œ g+ÖA÷²AµwíùµRX^&3Jð‡ž|(dè5Lç¹RÌë«R¡oÁDÓ†ÃëÜùAƒ.,ñG㥕z¦ñQ&¢Æ7{øôußàô ¼|‘&„P£>$(Qî~@g!uBr" +½hDæÿxᔄÂX\ƃ¹ñdÌÊäùH)dØè"¥`¯hÈà2+J‹èû¶©'ž†Háе>v£õM‘Ñ"Ô°ä9²ãäN¦•Ý…#ýD‡Q<'| N¸R„4‘2"Òâ±^`^#ë&äØ™ÖrC‰yù +…PSµ1 Ì‘dcœGFD%è +~€B?E¨léØ©:oŸ4dAm±Z媪„!†A}¦'‘AQ‘_ˆ‡'1&,åòÀ` SâQ©dK +õQPÆ'B;k\øÔ5~É9Zr?˜"$(0¶H &ô(¶á4cw<™é +’Nè9 ZWøR×sªŠ{iÔQ@BX7ª4ÀNN¥‹U@6‘V† ª«v©”;®*¼¶‹w&°+ÑðvibƧ8-òý¢Á-ŸJÙ88]…ó -L"ÃËÔäF•ŸÙ‚*,Î4ÑÖhš˜œ`˜$U¨dZ †ÄÉ°ç-¸ —¶+·©P–šŒ +ºËúWúe÷–À¶)›º£ƒl¾Ã`D¬Fp¢«:œ ­0™¥¼(Zžh6÷í@ŽmpHây‡\:51Ù„NøÂtŠ*þÎP¥âÏá\1ÊÐ 2ÝЙw¡sÝ$BçEzò 8L¨¿:M¬2‰.ÒVºdN +z(¯x¢æ 4Ì[Â`·iär˜Ë¹H¨î†ÀC0çy;€”Ë"4¸hB˸ñø„ R½ ɺšS’µ4úÉJy|Üu 9¹k÷'ëJ"ZYl¯XIIâí¢L.º‰×EÕ{ð¨üÖ°¸çØót,L%šÈ» dͨøaÒ¡|ÕŽ©¶!Psß–È‹ |èT1Ó› ± ø’'N I¥dl*Œ‰…ÑZ]g”,F°bÝz£-œ³½\HgcFgûiG˂ا6D“ ·§ˆ·±–öÀàª4Ó¯¯ &”ƒ+ƒ¡Ä:oÊQðB‰^áÐ@*8öt:A| ˆ±M\Q£c–À¾i«<·°> +e]—ËiÅÐl"^ÆCz- ¥ü;¼«DHðžb•àÍŒR‚WYF®@| ÞØCÐ;r¤†ÁE~m0.ÿß´ È ÑEh%gK>=¬j¿…¤=•֙´ »HõoT©B4½&2’·\<$ª±Éltà»Ɔ.$¹èS¨|ÅD0—ÀG´á˜VTB1Ù‰ÕúŒŒJ˜8ƒ7q†Oç F9Ü`ÿÂg¸´)³xƒQ=!‡x +A!Ñ"2ùó…H[¨‚.O ¨þ—ŽA»ˆÿ~½L”à +¶¨‰„pRpTrˆ1ùíÆ¡pyZ5Uyã-|”\—\,„ Ï\BI×ù¼œCtrìÔèþŠ[:j‰U"ÔwÌß—Óc"ã£\ê„Ð9™¹<9­øÌÌB}ÚFv‚zýJÏp›-F8ñí±NÊKYib—lL +T‹q`|ètÂ=x8 +ªšÛ Y!iÜi¡’wˆýBÁˆJ á!{B“8$µÚcån ò^Ò«ÌêèU18ÀÐl:=­«¼A+ùV-Å1ƒÈ¹,X>X¤ŸÑ÷'øÔòYr .AÍØ飶ܤ)¤À±MÚýa(^žƒ€¡"óÍ1jhüC£‘° MmŠ$R ÒÈ»‹%âÒLEå9y0*QÉ5”°pæèŽYØíÇiÖåÇi­ðÓüðîÓ¼’öiîHÛ¢ GD!-*Ø!:cPxj!¥ ƒ+Ûõƒßð¥v°Ž+]Ž„XÇ%E—!Œ¬£p­‚ã&ÎB:bF&Ù,˜8ÞQ¦‚—þ~‰Ø,Ccù6tGB´@#mÕ˜üŸµ}Çpô¸0œíªpt åèÈ-9Ð/…¢Á0ýêÝtêÔ&Kcàt,[¢‘ nÇèÒîQÝ:²\…Œ•Sb­3qQNJjNCsþÒDô‡Êã òÅ‘äRW8Èäå^˜ +ÍL0¨hc9 ²ÇÃ’' \N®”¨í \0x -P’³`°¬¼‚°ÕƒøØdv %\ìP±5åþxZ{¸ŒŸŠ€L)Ä‚]™†Ù5Rȹ ´x?¥‡±v˜ï(åÆ=IEòÀ\7š·bˆ(!áyGÙ|F°…¢­+Œ„KšJ‹ì:Ã[ù€òû@ú€‡B©ôŽþwÄè½²ÂßbôÞ«¯yï œ¿w=9÷Ûôìa3‰¬C+£Â$‹‰‘àLòQQÉT‹§Z$Jw‡ax-4KÌÅáÒ& +žnàÖcäBGÎôPò²t<“Ôë„©|@¯_0ˆIS U<œËIÀx4&Õ#â¸öµ®_8Ç> #¹)B,pć#¡‡23ì§RJ€µKTæL°H¡–Ï"TÒ5cãæÅ53ÇÃ^=®@(¸#B}@ÜocÊM‚JÀKÀ3ù‚Siɪäò¥ +ä”á¨P's†Ï&2iAŸY3‘ÖÓ£°0¡íú£þûo¿„b+D +RÀ©£Û GÑ1bˆf”e­56LË4>ÀÕÁ”Šñr„Z×´Îü1ií(ä +Ì\¹JœFF¶Dhù$ª!PêG¢OÙ)a¡‹ˆ•q£¶€ëaþ}3¡ZƒÛrƒKÙrã›<˜êna%µr9 ‡W]¦.% ¢r:AN”È"Qb1²Ñ ¤2 +?ËäL>@±ë2ÅNmK…`é5C§R¤ŒŽ®x×å{NÙöµVÎlÚ1Ò6¤ÒÊ+$´Sqþþjø¿w÷£…{xJ}|€7©U]Ö~!sEj’òx'"&% EÀHÊ Á n¢'ÖÍÅÈÞ T8x¢R¹d $Ó®ÆëãÀ@}‘! :àfõ88œ‘»X<áLCð›iÓ*Ô8Z#%×öo0j»Ç¼w.Ùþ‰h¬ŽC$DŸ$[G‰©UÑLr¸%P ¯•¯¼"¥R⧽ò ‰X~"U/®Å+uQ!$ iA 3ž…GÊ\$bH# <›F+±áØá+à‰ ÉgMJÑ™Xt¥ñ%ZN¦êS®TSBÛGÅøR¤²ÝÕ²ý'TL ]å…"r _![‘RéÈkiùNzÉ &†ñ& ­*%2mEeΊêÖ oÃIØÎÆ#òÓRÀΩÁ:!Š`àù€M§l?ª‚Þv +!dhD—ÜM ^;®Óà¤p¨”§µjö¿‹ƒÎß·“ù÷Wá‘íû×Âv4š˜2•``L?*aLO0f>@4;&ó¾·9­Ü™C‰IX'áø3›>¯ÎÀ´˜xR I÷Œ—Cþù4f +ú— +ôÊ@!Öj‰L/ 4úh]‡”Y™)‡õ÷´€tX(»u2© Ž¡õ³Éð÷µaø»àÏ —lw!š9hœT’9éÊËpT°wÎr~u>Ö=..‚‚:Ï"$± ¢h±f"‘ýØ£ ”tGrÅÏ!¡A+‘—)y:™2=´˜öÀ99¡\úŠ°4•ù + •„P +XP½é $ÛåH(ÛU5”íŸçí~ýÙ¾$ :*ªÏZq ÕÓ:@-§.Blk"Ddâý¶±!¼(`ÒPS¤@q™-+9ÙaÞ:É•Š*ÔêÚÏ”ÙÊO©Ï³"UßS!©>À5Ò„V¨Îm³D¬Ö¦¥Ù ØM°ýÐ(a;¬jÙ~ª¾×Øî:‘ØîÚx;H¥!Á©ó—bï ”bït)½¦b`ú€r¸LÞF«¨VÉÒH}f”)e1 +$#T‹” \Ù û°*€ºkÖ!uXæãPh æ×ÿ¡tÑYéØEØ1K`Ç©Àn =l´\lÿÒábû&–ØîQ®Àþòx» öW9ÁõÍ:ÅŒOŠ‚'Ý—Hf +ÍL)G*C<ÖH;;¬Íª4·(QÓzäˆLÿ€E"ë÷ü]ô™5øÝÍ ~?Gµß†ß±–áw•ŸøýCÙø½Rhùñ…&Åuà=D-=+9»ç8»ÏØÝ…ÀûÀ¢pTÅ«CB¿ UÜ©Dª<«TÛ§ñ¸ˆ>"òÞšMÑ»Í0Rijd8ž°tƒ ×=‘̤¾±¡¸Ãô]-äÅè ØÄáÆj‡çÜs *×›ø€¹²õÃó€'qäý<­ SÇrY„ÂèÕgf¬¥SÊÄ{N|Í̈¾«ƒom¥¹º­´bë „ZiåÈpw•—àõ$Ç~Ž³ú ~»‘R“Ö"úBÜÒqJ8Õöf¥¦CDÁ懃D&ø‚À¤%±¡Â¸lͳºl-qﶶŽÄÆ ݆]è ÓzÎö‹P©q2bƒáV—w"˜ruÜ‹–xÕ_?–ç¡úL’Ô ‚õ ÔкB@!aˆ¯†O\¬›G<÷—<¦‰Áj®º¦°§¾°Pf(&gFÆíÅ©þ‰*Êïe08«óÀÄÇÞµZ–½IÊÖCdÈÖC}!âiLýNúø0“ 2Ô­Ò?3 +ZÒ9”’† ü(ÄÜÙ? ®8b©uncÇÓÉŒ{ÇȆ›[È»DßÒÍPT&«¡@é[©}KSF¥"ÈȵsÔ97k–ýƒZl>›öø ¾*¹+BðX)#,Nt65Ò ÇLb‡þÁò„"ÁM‹§ Š3ZV€lC¼BšÎ-½PARf›l5U…b†ÈÏyZH®ÆÉ°ÉÓY$»¥AýxÃx[Ù ( ì!Ë‹ÎrÖg;P:‚t¹¿ï e+}¹Wé^>·——®#Eí2"ðð2¢¦Ø“¿`yÉSæîäl@¬¼|4ðÔ<Ê” “Æ©~„ÃWvœþIH­®R涎LbÆb ËøT/ÖðMz;\‹AEú2¢ªhæíÜ‘C!W\^ˆíàt–6ÿ§MJ–‹ñ&u‹üD%µ àºZ–\Œª\¤HÉF>ª’û:Ô\4'óÓˆ'Åà"Ð…ÚúÒzà;u N6ª:€`V²Ð¨°Ð F· ÀÕU½úŠâx1°x¯f˜F_Lw^‚!Áª´>x÷#´' ŠŸ ™Ofñ,&ɨ­d,¤Ñ⤉õ’ùÕšÉü€ìEŒçIO%NGæ§Û¾'¨ŠPý‘‚PÑU§—¶5«xøÈVÄ9ñì¬Bvö-PX-zÈSnØp˜^®ÃÀ¯RiˆQ¢°@øˆê‹<É`öyÁh° +`—¸‘‚¼y_0,uã?6"ýˆ„y]5:¦d6:Oñ  ‰ñ ? yÐTÆìÒÈíRø“ÐiXamRO[dÕ¤Jàõ4œúZ#Ü«³ R^µ†s€råCF¸›Mƒ©n&|a‘õuc &„Ek`–hÈ×ò¶ï2%§Â±dϬ‘¼ãðFÑ€´\F ¹ù¿ p3\ÔŸ¨ªÄä¢F.¤‹·²À:.îÁŠ¡k=q¿Åz8OÕV>ñäâäIzçÐ"‘OXS¯ü¤'Kæ¯ÞPËxH5KuÛ¶ÈõµƒEŨ p×¢À¨CƒRˆ¦l1$ ÀJ³„ ‚5CòNCg嚥ÑçÙœ·9g»‘³ ãœ­h.9;«,9[.Ü´ýh Õªþ…á~\’Ä{ù „Ü:°¢5±¡¡ðò ²ìò›ij!;&:ÌÁа½Üí:K—Ãé`Š$:Oc1QÂÆÓ¬ ªßX³‰ü6”¢v»?ôõˆ¬b`%Z,Û´ePãK3ž›ã¹hœtH!Ù"dkF”z€8&‹k í¿@}€€ 1 ^™ùlXò70äoJ¼gàÑœ¬Ÿˆ—ÎF€ÀQsÁrp©óã‘¡ň^ÐvAÔv÷ÞŒG¾?@¯CH¯yb#ÍŒÅ+Ý|§›“Á9wc‰$¨H†ft•Ž‹R¹„"åI#Ê ›G…z¥‘öC¦• L âXãYØ+Yü¬-%‹×Çßâ AÙ 'C†%žÔ|yŒL…Hç5¢^þ ˆ×T°iÅÜ0Jã:°.’0=”Åtv°8|Ž ÔL~£ ¹<"­ï#°.ùTgèlºfWÆ@vCÞÍ‹8èÙ#QË¥qcƬá]p”—Ëàám"5÷µ`!AAÊgƒ\<ŸBÍ„(’Ñf4îê•¢D.’EAmlÛG‘iRdEš­"ÂJ¤Â<ÆP¤eÊ ËAÖ\v†×kjö)Õ1öç XnL½M’ š#pT•P”Þ¸0, 7cnÍ›Ã%¸üÕÈV‘ŸéB“Õéý–W‰`B‡OêáuHonù¤JS$qçI²¶‚HÛI„ÃS—´í©?ÐöÔ+{à©%ù„3Л^0¤Ç·AÊ‹±1Š\¹Wƒä@ú$ ˆè Ž„åY(ò„X4ë‡ u4‡AÅ-8As`!jƦò1 &¶*û™ \L–©uZ7§$hÌž“å´†VˆÕ.‰þ³í!×ö0OR†± ÖÅÞ[«‹mÑÀÀ}' ƒ»57×MŽ`ë yϱk£FU¾Šû‹íÙ Ke¥Ð@v4HV?<6+„Î(¨üÜØNHÎ’¥¦|ëw±$ž4£•£ÍIpnTBôú¬ÀC9Ú$æ4îŽmﳶŸ(ɈÆ9Öa#a¥ˆXhésNfâÌ#¦Ä‡ã#E€á,ÁÀ0y…H!86Ÿg€WÔQûx4\„ÁJr›Í $„L¤£¨b ³ˆ–Åh.VI£§N‡5½>«—‡> esB$p8­Äºí:j;Ú4*e`J˜†Ó”Ø~cT„TNBñØ šö [NjÆÊ[£+ ™Ã—ãÅÁjQ +þ¦C!òø(*d3’4rŠ)"— I’¦Ô¢‡Y’~9ÿ§Ô€†úÃñ—Ñòy¡-‡è…0ERWI+(õEmû¶½#÷¿«žÀc|€º²žÀc¨[\3@M…ÃXäÜ ™ÆcG¬ê¼jK¤ã¢Ê‘?¶S›B‚™¯¼gÊOæ:Š|ÞH¢a$:ŠÈ•Upð1° ˆÖåæ€æ”a !È!S*ŒgK_ª(ô =說MY –jâÛ.R2ÚÞ}¿·Õâx?—ã\|¼½ î³ÉúØÄêêñŸr@jТ̵”£”"R& d£È‰ï8V‰)§W@ââµhl¹P<¦Á»!1pâ½Dð¨u¤*ACˆ”ra³…°ã¨mÿÕK·]``µsÕÀä]sd ¯N–y +ŸH9§Ïˆ‰†m E§x$ KOè|õ)/^ÁPR¼WDFöªt9Jbù€ŒÓ‚\Z› Š9©Je&Äa£F3P܉†Þ:‘â?ö„SC2Œ8à–M8É#=¥ªE¨mÌ·Gšþ¾‘¼¿@ü  !¼p™*LÜñ)¢ë¨´¯«ÂùzÄ’{-KBâ!Ú191‡|¬o‘)%¦PóIòFóT<W§@sR)\ųaì+ˆ‚Ñ ÑàýCB´æìt¸X)D]´êI¼íƒ4Ûvó2k»Ç5þ¾m@Ù.w®Êl´–ÂN‰XèÍŲȂÈã¢fxã¥F£L)šÈø—·hZÃf¡É8ŽbÑpšÐi)?à9/ Š'¼0ÚÏÖ‘[ŸÀÞ9C¬'‰L•ƒMò´VÍd!9Ë)¢‘¬RwN°"–¶»^¡ícÁô÷àNÞß7!Û-î(S$ýRA[TÕXÜgNÙ¡—Ž ²Q<¢5Ã~2ùDV”Ä(±)Ð…5BõË•Å/`ô-òH'Èè£)}·s1í…ådzZ¨¨t¨0©Áª”Hmoà­¶Ó#©í­Æôw1ü½Û\²Cül¿!¹¥óˆúz*ÇÄ“]öC«Ī¥RH@îQ«£y$ÒÃ!m­Ti)™$Ü1ƒÌXL7‡ùÓÌy&§Á§`Q)—²Páç¦RÚy0©öY°L ƒ¡õŸú÷Jîø;ã­ü}N5þ¾I—l÷$j¶s°Û#f)´Ð0DQƒ%ŠDÈáµ£#ݳB+Å3Ž™Lsãž0P¬Ÿ> u[V”(vW(Ž)AS–­åHE¶G©jxÏP%üD\Ñ©ŸX R •²J]+da8­ŸqÙžâ°ÙîaäÙ.IEÙ®ØUÙ.GTÙŽ†˜llÔl÷$lÿu±ŸŒ&ˆ(…M8G› XÊe‚€¦{Jtzë4kšZWZ©êò€¸Ú×b…÷%ÂX›ÅAA<,ËGŵ"–“n92û×?à€³²^À1lg_ÛCb{ cÀöÓMÁöÍhÂöœ¥eû²f;Ü®Ù^zZØ.€°½silß4T`wW +BCÎÔ@ªF +‚œR +É®PÕ0´zœ‡–Ù¦•a‚Îò¸.ºIÒöÜvŒØv‰Îv‡hì7>vBœìi–ì Ø]w(°“ƶgR&¶À#Rc»M˜`»ë’`; Y`;HÓØÞ•¶oº#°?0»`ñlá +ò8͇$|¬Å·tX÷—hZðé¾è"ýñ;öòøýTßE²ÇïœòäwËËäwÈÇä÷nò»aÞý>ðv¿Ÿ&-°s +-°ïéØGû!°_(—ÀÎhA½ðؾb:l'íÛë+°wÝ'°o%4°À¤Öã÷NZ¶¤àjZ CxÑíóà÷Áéö{ß~‘l¿£¶ßA¨í÷ÌËàwÉÇàwnðû!6ø6~ÿ—Åð;aø}LüÎò¿ƒ.-¿W +a`Wp„ÀNØÜ=¥ñÀNŸÀ‚–ÀÞ½ìÀΉ°Àî1öõ®ÖúzÒb}=¦[_ÿŒ\}=a|L|š1ò€€uÀ1-U32×G¿ŠƒýRfpk œòÑ:¶Þ4–•ÆaD'¬³è£WGé@º)9«cP Ã¦” +È +eRc°eͨ[ª(]ì'W A!±ÄO +›ñQØã7þBömxã÷Î:ñõÑFô?íFô%®øÖËG‹i·ÞÔµ¥qdùÈpðfÜq¨øzÒ=×iÈ¿Ö`ÆA•fL—Š,Pì4Pݸé„3‰“*\ÖÑʬ]PMŒ*®ÏhaÛ Ùš¬µ'>(Ãíø€—á¹VûÎ\Åvgî G2œË`aÝçq·[<¯dº\’Jè^CæŸMq9@ù)­AP †".(~µ5ƒ§‘.v‚!?`õB*%)5HLO:CNGAk õWyTÈÓº ¼$°¨ „íá¨D ¢{AHÒ>Á‘tœ`Fêœ Ç¥7À…¼žÀxERÆ …ÀõrÃP”Ká%?ñ1‚:b« caoeä õ¸jª¦”ãHkÍîa™Š!u²òÙ„ŠâU"ݼ§[](:›5‘"[n#×qÄNßÂTÂS´3©Â ÑÉ层qbú(äÄ-°hq°hq$cŽ˜*QãÚµñPš´Ñ-!2ãc0r×rTÒÝ“#ô2O|¹ù‰¹ƒžô;£Îw\-ÐÔªÊR¡×ÎKj,E0°‘V_^ºcˆ›½…‰ D2`:§ ¢ƒ‹&Fhd%—ç¨@å˜B¢*ÏNz²-G¡ÍE:0¶-ã$R’ã©šXª”2SDãìԥ̻ u¼9(=ÄŽŽ*Ï +ÍÚÆ[ÆÝ íÞߺ>~ï˜:Ý`2Ó ·pîX"³Ü‚Du!.±‰&F´‰ôPER‘J§2@À¨©Ú‡)Ò‘òOÑôHÀ켊ÓàOyÑ›¸¦(—,iÚ + ¤ô³‡”>l¥gQ,¥Ç¸<¥¯EOéǺSúÐ9œÒwœÒC(›Òן¤ôm¥PzÒmKïadùr˜HÏ‚;¤7uÒtéE¨šô ŽIzÎ ý%{X=a_„È&ZÉõËuØ<ß°<ɾDëXf÷HF?X¥ZÍëyu¦%0FŸÑÏ…Ïè;Çiô$iôy·>ŽõÑwÖèQ{Fú !#cx5ús½ÇÀŒ>`BFÿ-ý0z‡0z®Žþ‡£×Œáè+{6zÊ‹üeÖ7fòÁ_£Ï-wdR™oT@ßðä%Ùú è³³ÇÐ5 ü&ì‡\»FÑ€+EÿQ«è;/Uô‹— z–r‹>SÙ¢¶è#ƒèmÐ úÖR‹^dkÑÿ”+z=wEobKу²Nô›‘+ô+ô’Ã)ôHf &÷g!”/©;éV)Ác‡qÃúãœÖ—d(8Tvº¿Á*<%~-Š±àŠ!?õ”ÀgsJà3õKào ú3À…Þ¥n¡ï@´Ð€ãõC/ß?ôhöCïQ²Ð¿Ø,ô¨ =ˆ²xa%ð”s%ðù|^(t8¥»d‹Èfò,Ÿn8»È‡ì> +êa&ô©DÈÐ8q0–êÚxW[82ƒñÁY:ª«MÆô »½K¤=Å$}!\^´U o…¼Àg:/ðŠÄ ô°žl¸@¯….Ðï>òñ'Ъ €"høÍÁÁ T äŒEŠ QÜ–Œ¡ õPÐMÁÒî;¸ïñ‘’à +-¤=Anåv‚<Ã$=­8«cÝ«U +/¸d¥9†!°MÖŸxã§à è@$â² +âñ"}Ò]5Ù:°þ1¼¤ÊÍH²u4á,L-*Ù§\™^‡î/y¡û?û-ù˜Ž¥õ%‘—ª=.D[HçvGê°CxŒû>`1. CôÊC +aŒ”“¨35Ђ‚غšô¢ÝUy°©pÁ 6=‡fÈ!ÅæÈ/EZû·[l¿hÒÚ3…µg*·?£áöH”È—¸;ëÀZUíe@)€<´mJi®Ü;7Ô\76QÊ1mO#¹JJUpÎcÄ9@æ¡S˜ÖŽwð\0Q§lØXµÊñ7ÀrÒrÈg†’j¥Ÿ+YSw𸰸sã$£ Á@‡(EŒÍã Ì …})mÁêRÚªÒæÆ…FŒÍô[š6ÅêIpqiq(Î +:ðÍï.óVŸ®Uú<ï”1ÔÞ€Ž·©·í›ŒìüAÆ6¶<Œ]€`”(q±z Hœfi3ròPÌ¥V©žØ¶¦ÒÛ¼.µmÅs(¨¥ÐeÛƒa¡BîÎK‚O'Yv÷,;vxŒ»÷âïÎûÞO§zH:OõhÏQI9íâÚÇêù3\æã ô¯W«’Vè ô|®39IFa !x¶ +8ê$òVH~€âõzJ‡-"œ¹ªu¡vZ?¸Âàžh-¿é){J‡fEÃã€ð¡±žHptH0ßNjå/"šå È`¾PÁ|­T*v +& B\‹ +ø¶„äpè +LŒ |"KKM^j¨Ó%$D­ U(I§Øé'±R²c¤ä’F†ÈÃÇ{J8þ³€(Ä•©*†¥´‹ ð¢$6~=Pãü )“Zy6!/¼;Øðl Ê“—^á¥PÄO+˜gO+ M›DàJÙ–Ô¨¡d z„‰À© + •úUšÓÅR;‰›®’£=#«’P²½ü¸õÌ ÚWN@H§Ìų`.ºp*\”‚6*V +ùRŒ5#8Õ.Õ]©P'%6Ëk¿˜Ê­X0ÚôÑæ†x†ã)fñ?ÀB“RaÍœ¢9Þ 1ð°"Éó˜ÄJdÇ…Ê*ÆY…l%$ KÄw =t"1╺J¯ËF씢Jh69‹OcZhJ|FdÖ£¸îèÂQHÕp¨pÆš4A›IóÒJ8¥šM9àzÔtÈJòÛ±²” .Å! S<µÓ`4jHïh´±w4¦ ‰€Ô|ë&Mñè&1,D6°W~@}Vêòm™8å¹7SàH̦g2æ‹Ä²Så:ã³Ô2½ÎOh|ÑÌF¤£•g•æ›W!¼´â!l#‘“ãjîÛ2‰ˆ<^‘wbÎTJ¦o~ø˜#d88#oJÃ)]P¡.W¢.;RQ—œ?z%FÔåúqJÎqʔ奙›ÔÍÇH‘1ïÆ;c5‹OõÇ–S 9^gW9¹hÀæ&h«-èè¤Ú,OIªU;ÜË¡^>i±NþAd!Çn!‡Ç•ŒFl°±Ð:,’ (ªíîBF`-’S—Býj@h -xìlý;=Bœ1?8c~€BBÁÎö5ÁN/¡Ã'ëZN~xÁÅE ”¥Ž¶zMÍpIÁK•n*Ü¡zꤶ(e¹¢"$Ò*jì¦àÁörÐ9W¢Pý1@Üx#RQÂÈ1–äUaCÓÖíR—AÅLA¬x.¡`&p\’ /[’  eᢢ‹ÂE-‘È Ž‚œ€Žîî`MA"$­à"ª6RÙ¡~@ÍzœT¸“"Wµá-V²P“V !ØM•C¬ÿ0_Ëò§§5±JdBüWú0¯Å´U‘nÁ•˜VÅì\ȤlZ¦Ö:.Õm‚`·(˜ ŽÇHTf¨N5Ψ +ãT³ªžUñóuª±„t¨£¨8©Þ’!ב¸R¬˜ÒIZyçÀ"æ-ÄÎök_ŸÚԤ괥©Qp?JGãj‘™ð~ÀëÎ\^V ¤ô´<£"kÒûO؉p±\rg¿B)ành¥·6·© j0 z+ ”óõ°PsÈÆŸ´’ìFZY¸²ùƒ²0»ðk.P 6ú¯=9ZCÛY›+y—ñ±5®=qůœh/ï;Gü@SÊ€ï} +º¬yš¿VÙ#V}p“0²”&BgòG2&ãfŒ® +ðT4´I= ®UÝÞÌø)o†Ùbˆ\(†ÐYdñ³¹gƳ¹;Š²¹“]ŸÝš jÜTuÈ^ξÄ/ëûËò,ÞÁAš .…?`!^rØÖÌ4–W <~E$T¼Š Bi• þ&䡃ó‹†|æúÕ…ºÍ´gÅâzcsÞrÅ»E÷‹!<¢Y¬_;7'$L2~3h>`FÞÚÞVã“Á¥ö胃icá–Àæ0Ø‚¬àWäÃãÒ9@Ån_*§µDxlBy!ùDò éØs±}f˜Îéë®6…suÈôº†Öô®_-Ø5¤Häj“Nôî¨JÔ=*¬Œƒ*;‰–»-@Ým¡!È )¯pœïH"*nrIà^—aƒÂ„Å‹">N2yˆ„díL확rxæÑ…£ œ‡æÓ•B&ÓùÔ5¨çÂU>Rk˜¿CËðº>ªž\•-zZ»ó¤_á+Šª“8uLE)•oÀJ¼0‹l6è ±(=,6alÈÔÕ¨Oݶžþ"\Aà +!G`Sxèï§ë‰~¡WS Ô‚D©±NÝV NÄ@ŠWÕö˜‡ý™ñE{Yø6£0\ù&u´8‡cï('®¡ ö€4Å ©3ŠÓÖâÅWÊ–Å/ãÅQtË¥¯T _5H*êµ(Gçd*ñÌ)QÂ)$0ñ€„< D)| 5$«ó¸âf"*DµHJ CŸŒßFU> ,Ä¢KËÌÄÂ=[4)¡R˜nE9q^ Ç¢¶,æŽóZtì¨EgpnÞ°-Ô±ð(mB•ø ³(áê%L<ÊÇAô4¼òÝ¡þ@4…VçƒÌÉ÷:0GVñ 1½;, ±ùKÂyú¤b’9å1 +ð +Âë–‚€ ºÈ‰•“‘AÁ„s‡D)qÜÒÈÀUàãép'´)?˜¡ô©|$iévò¡QCU`¤Ä¡›”êae^Ô -¬%”Ø0Ùª/ÅeXß ƒ¸þ,p`×9$ç´vvÌ;˜[„zå1ìã2"ˆÁ"©qÙ!’•rDJu¬Fú&L +¤/’kåMJ¬g5¥° z*u•#bê6"ª*(‰^á"HfÝnÉ]`¢§Ÿ?&0ÄUº»óŠ80ÒH%-¤ÌÏCà¤ÄØxVÓSX™jL†Ÿuz!¯j]¸%êh!R%䪈”­êŒnõå:|ÖJ±YZ¬_]ëÓ+m}g’¶â ZO0€­ï Ö“<)Öçˆõòkqí'ëIÕê9²ú‰$ñŠ|€aÂщ›E¾ìeH.Z¬™ðŽi:Á¡‘ŒŒ:!à>Y)ª,ñ~@ÕOÕTÕ«ëCõ`àªßLlÕ'XÕ¯ç@õÄTýIõJ½¶¤‚²S¯ÀÑlµR ¨OòIQ?rœÓ Nt¨¼l¤€±Vf$§r¡ïEÄQV £iȘª@aNícƒÒÀWŠz‡œ¢^.¡¨Gõ,ÆõÍ‹ú‚ªD=¹–¨UHÔ‹:õ|?ý,¼Îé Æé†úô¬úžÞ ˜§1/Ós ÔfLÿ]Ü¥C¨]¦µ4XãZ‡Ê)”à†8DWE¶‘F ¦Xe?ÀþP¼ãD`å Ššÿ Lïúé·ö5}‰B›r*˜ ¦ÿîÙô,”lz»š2RMoBÓZ¬Ò£’QéEYSzOŒ”^{%JÿÚÌ¥ÿ–c.½ÊfKr·Ò£ìÕ!°:) +Yëôm RÎs +‘çÍ Õbÿ;OR€¢¶”—èÒ"3ZuY Ô Ò36éUuAú€#BzO('ý0À éÙCzMs!½É»þò°žñYH?W¤_]éÇ—ôœe@ú@}’^/?Ò¯ºô¥’'}‡‘=HGxØHæ²O‚d¯fj-PN¥ „¼hy Aà/ž:~Ö˜Þ«‹Ó1´¡Øµ£ÒƒuRåE`DÐŒKô•%ú5„¾ +ŒÓ}£?ÜæèÑN9zÿËч äè%ªqô{=¬£ÿs¢Þ{µÑ¼.z§%zS‚$úÐKýg>ˆ|Ç"r°MÉŽVhÄ«Á‰Z W*ä™ µkrQŸˆ‰Ò§ +Ý°²†'0䪩zËz¤1Àâ´ zÓýѽ)RBŸB9¡—Ô›Ð@£Ð“$+ôúaúÎIú¬ +}J…ž½S¡½Tè¿æz=Ñ!<*¦C^dn¾À'%xžÄäg Á1ƒo±ì, óBÜÆþ ÏsšdÀÐÀÖCÓnY«ÉGŸ.oÕ¯}dr´f fl„ ƒ݈(w  Ï‚È & Û§V¨ÛCöŠÀ®ÛÀèÊx€žÓ ÈûŒÝ"«Á`$ËÎ\gà|^²llø¿c¦÷£J"å‡r‘ò; Äþ1‰“¥E GYq·‡±}Üœ ’ðSPœFRÆ1˜€ÊM>Õa:µþuö^ÁײÀ©‡¥äY.qêǦ£•Ãý³ªâ~Õ†_YÆù,†cZ²Ûc¼25ÒrÖb¹ŸTH'¿Òrè9­Ú¼’¹ÆbŠ•ö#'ƒnÃ7Ïbø¶ê`ÔVÒ)%÷)%nóIˆEä°=ÉK ƒšÓ†š€§1v ñ¡ íªæï@ÐÀàµXJÇóŒôí“Ö—øšo>2°­x°´ë ø¡/È4ýì*•"Hy»@$ñ"agQ³ÂŒbŽ %A^¾¸65Œ~¼Îª–D\‰ý€¦´yE#‰CJˆ4·+ ÆpPЋ„þ.^›(î b@1Ä`ùhâÌ | e,Š# 蚀^ 2íWPu&‚FcÛõɲá çá¤$Õ*1g*r¶n%êêÌM¼4†\g‚ä¨:`YQ­h³ò½0§æ’¤mD×’Îú\] +ÀÚÉ¢"ˆ¦ÎG¿¨ª½Ç·Èx&'¤ÞXð ‚,gVf Q…h Uˆ.`RkXÀRkh2Ê< ì…UXbhP#Èpña‚Qâ!R)G™pZŒ-I–F3 I0”AÚË×£b—*ÂÉS’Zm~€‡Ì´3£–0Zi¿›f: •Ú1±[ê@…·Õ¸`ÍåžäqÓêmz‚]ÃØp«Qn×ôb4¼]9ÖoYŽ©ætuÓ~)-ý$äµQÑa1~ÀA¤…êD’à¤$Ý„H‹õ•´&µËA÷”éàÙ#ÙÑf 7&¦bN½“bNÔ5@C Ú…vj+½PçªñÛ™¹Ï-¡“Ë@[•ð¹†…2þ\ ñfeB0â•wt +¤Ab燯´q5DÖ 5D²!Dz2C—‘·d"Wò•E7”+Qô”žÏ3) PÁdŒ~cÚþý'a$!œ°>H—³4„lT#½ uù·MU¬+”ªk&±›ô乞IªyK2b‡]†¸!s…Ó@—7M±‹.©frÕóîO]0ß™Q0¿¢äLÍCQ0ªDÁtm‘ÆüVêˆá”Ëiá”<ú`ˆôÊtÞ0;ú²|›ž3’† +íâCň”Ú2•î öFf.h;©§v9‰Ló‚ôÅ —ƒ´{ìs}r¬[þ$Þ/±ðGhw}EË=ŠÀæË9ÀåËÉ—“Š/'ã´xt°q½hˆ’ô^¡ÄŽ–l›j:,’FJVªÀ©ZÏ&\…Ç-¥ª,-¥–) cAçÈj”F‚}ᣠeå çšŽÊYØ Ã)_8TbV1J•)ɯNëSð@+‹áÎ’—:̃ÇÌ€€Þ±Ü‚(íÄê`Ó¸Ô`SФ°éÇc°©CAÊSÂY鸈S-¸zÂœPj‡&ÍuÒk•)­·e%²3M°ðΫÆäÝŽ‚§ý¼¬vfÑÞ}Õf^•žZ%½›Àža‹hJ)§> Xð®”V{eb§à8&èÈÄ҈К RÂ)¨‹b¡A©0‹”Þ”š1(2òæ:^Æj’¥U³I"« +ü ÚÄ"ÖBã`›Ãå§UttçÚ/ íÊ¥Œã¾"‹ü¢9 +óŽøx‰ð5Èjf•ïj]QBÈm,dÒfšU MHlGÉêVaðVÔ#ˆîHµäTʈ™æ£ð lÁF!XòsB°%Ñ(b!Ù&bé‡ ¶iˆ±Û.dvîèíŽ8ÎÆÝœ¾öR6Ì‹;RABöàAÖ•Œj.ìv²F±À¯‰*æD¢ƒà3!RnyöÃ| +avÁÄÑšEI ˜ÓmA Z VÇY|€!s  iÇLT«Ûm¥ÝÚ£Ò® ª´{ñ;¹×fuþëRfÌ+ óÀÀ“TƒÁéðU B§…1ß'\®ÆxKõˆžO€aÓidÉv"¸ŽŒBFp8‡ð 4k¥ÂPx ÍR%—úDQ ºŸ[ö)N‘£ŠöÃ;‘ •0u+)øËÆ€2Øe0ø¢S¬ŠêXJ× 1ß- g/LFH5þªâ³|vH ¸Aðh\£|Q +YRPŒdœ 8Ì ÂÇ+Üjg(Ì¡d£_NÕШ ׂí CØ ð1Ò;˜×Ì‘mK#fÆ{–T‘q=Lä;.©…çê4(è#N<©¸u5Rñ蘄¬5’j"ðTBNÞHY›{þÿh}æÕý¨ #Ä)xÆz¦3'CS29®!¬‹¥f˜Ì×p•tÃLYûÒû`Äœ„E‡0\™½ ®ÁLa°XÛ@©p¤1"O©ãC*RM(hà“€e|à‰rPÝ^WÊ-’V ÷ ÙzX”Ö5,uy2d$)J«Sj­¤xÍ¢QʺlŠ‹Ê©Qܨ§Q·›-XeÑIY¯…Äㆢñ :¢Y5Ü u *ŽrˆdÉÀ=nQÂ5.L<¼ë=ôÔ„¨Rôò¦Ô¯sQê¢E8£7¹˜V‹$“É”XQ莪À©,q°:Ws¼Qœ¡ÔÃAšê2Q/ ki•Ucq¡ŽËÁ…:a‹;`HŽr|€&R•¸ +Ñ(átL<.„@tâ(mu^[fNN˜`sÄeÀ™ˆÊpÁón-ñf¹“oN'“mF­l^¬(.û€ª¨ìºdÁZâ²Y7†â²œÌàô Þ4H]Þqlc–ͤdr9Î8á8P`Þù€DɃz앦üÐO€.¤±°I•2h!}ÍjÒ6’…}&[ °–ÏÌý×r'p2Ùã¢iDûKÖ|N`›šS-›W…r9hh¡sÄM¥Fy|Ec¨¥ÙíÉ´¢E"“C}vFÚd‡é2rVSdÄ §Fº/P7‹ŠRñH~T°²™UÖ„—f¾ºž ^]ïÞW×g õ°òåéPŸò3*éÒ§RÊ üó²CN‹Ñ–‡6Š'ffäNJ0éÔ âE:-ߤ$â½æ[nê&ôô'0êä'®ª‰ˆ)”U$‰^yH^†eñh–^ LôÚý€ž‚·i!ÍãEúZ’[b™n½Št¹)S W¦Éàã§G{)Q Ò3Pr"¦hNG¤äª_e«Ö–ùQ¡®½[õŸêË°6×fiy6ÑUX°ÜKëIØ¥õ˜ÜÒúÄîh}z:Zÿ*ZÏ‘¼­_ènë?ÀeËÖ{ ëõÝÀz’âc}‡T­Þrº,@’êÚæP*L{ p-³ˆ¦¿mS\c°Q|«X¬è¯ÒÔl6ME§{Â]sŒº«÷löê%Ãê œaõ¤û®~…pWoÉ«·=·úS5©>«pTo +Ôª× :ÕG:Tê¢Jê¹#õ@Çvêá MýÀƒ©YÔ»&ê[Põ©BF½Ùj¨O5”kS¼ð߉JÙd7Ãðì +SB≠D,›!˜÷ 4$òéáSù>õ°X¼Pÿ®\¨çLêI÷Žzl-N†ÜÓw—é?ü¡óüÉÑÉÝÒÄ[zné**Id¬) Á‰˜ Õ:.E1q'F™ +#ËôÛeúÛ²›>ݶÓûV7½'òO¿8e§oËìô]ŽþT/•é; •éó,dzåbz¹}˜¾ L’nÓ’Øô š^CSÓ{L`úWà.ùÌAè2í4Áü®E%ØÉNÝËÕ²]l=Ñ„ ¨œ~mš*6*:ƒüãì¤ß&Ò³$"ÀjE +™®¦¼æzˆ‘ û8S·]Êü؆+Š¨YžFe[2²ÆUðÐÏ+ΑÞLÌŒ-Ù.£ÇS–Ñ×Ëè?”xF:™F?M£¯q}ô¤©5z?ø¤u2ÒSNÖè¡Õèez5úµ[^ä„FÏ%B£÷ihôz9ù–{+TÈþ)¿Zꛬ6Öà zàòb <ÂJ¸¥yƒüJ¬ú$%˜‰ªîR ³ > Tt~`»Þ”’ÃbPýD“fчkBô£!zHþ½ì/¢ /„ßS­È‡,;ð2ý'G‡Õ’ƒ½¶BËÐu$ga'ÈdÛÊÆþ«bcŸåðÀÿ´P¸ZÈñQm%z©H^YM ]ù›±Ñ¾ÍPBq°èaáyᄨ%|€A~HGC°=°‚Tà#É%sŒ6—Ì>,ÙêŠ2Uˆý™¥Ì²{ÀdªÀøZ„3ø(Vù›ÁõsÃ¥Õ_*¢<¨ˆ¶:Ê–VÒ uûPÒcû€ ûªM'Å'§òXœIõCOÄQ6Ðq¼aj#àc+_Eå $5T[µÔ¬$B÷|ðwÀè\Ó8H––Ðéæ&Vøæígjc%&÷ñL Ž2’Ç®ñÀ$:à 0êâp ðV×äöÆMéãyÑû2Q-ÃùTç`Imæ% ]"èxòL±ü€Õä£Ï]Ì*i¶z4ØÏcw^“bRâA¦`šoÕ§1Hfˆ™ µ;bô/ +zP@Ôi$¢óG‰¨È +*Q‘tâj+(â ŒƒQ—®Á(‡]+¨ôp¡„AªMKU)I5Ç¢•¶!D}‚Jý€ Ér®”Ê«±âvyZë‚di¤`aŒ¶jÉrhÉû¹ HåÞlÒ¼^¤`•çlcýÔ¤˜Š$(ˆÙHS³Z(r×-* ŸÅºD ’€åcÂ|Àä<¨*å<¨êä [©ã$h¥8m¥m¥ŸICUñ½VÕES©è)Ÿkwº4Ö]‘2­&CÁzÂÒˆµ¨~Øæ¡MÐ꡵é”çÎ2£ã¾®Xx? ECÙ@ —§±8ÑHÜú)‚«ñJÌZ{vh6¥Á°È_#.JášIàC2 +ám¬³n¬³„n¬?/4Vhn¬³¹i­Mª‘] ’&AëIÚ¡E=dP: ®F=;î„kl/Þ*ͯâ$gÕ‡[È>ÏEÎÆ„#%htÁ”ýÂ’˜_ Ð£7Ř>€¼7ìíÚ âÕ†dM™Ò8ñ/j}4”BÐV.A›ÖK«‚–[á‚vâ‚V‚{îöN žëš2µV7 ÑíeùSæ%u¶lðÇ0 ÌÃü‚K‡$ÉÆõ7ZÅñ¿qëâL™<±Äù;¡À‚æ +k:R0C7²'vi¹à‡·b ?{ÇQJä¦ÃZ˜Jã|@ÅDá¸V‡ãJ@ŽkŸŽû¡ŽkB´´×òJç7ã™|°™*X]Dó¸æt§L\‡Ej9¬Â*¾Œ4(AŠQÅRʉˆ[’ëF 1À€p é îh±•µÚ#4`VIìO°AçK°KÃY L˜ÅQŸu ˆ¸s1‹7‚O` e n ¯AJU¿xâÂàÐVžóë, ¼%` ‰ƒ gÔR>1 yÄ0Ź333æÕÀ‚d”í.ttb£lhz_åú5}°ám®-šPȽ¥"§X{b]ánññ™‚ +Fõ°¸y¢êÒD£W-&±€Ä–z‰IŸ§%°Z %pBb›HÙîÆ…ü¢BáL2ˆ¹›)_!`î†B«KpôœôPé†_wDBÍåƒU L¥µ ²eÕÝÆ/ÓÁŒÃ0&E( +j%ƒòC^ñ…èÊ1Ü­¬d ½ù¡ʈ<’ü”I’7/F8;ê:œ_Ó;•~‘7&.O§ Ø +´Lk4´*¦„ZÔ—ºC‘CÀR tIÖÚ©E±K‹Wzƒ’Ô­[æÁB§FÄ­Gˆ@tÚ!1kgGÁ¾äŒqv•·AÂYëX]’^ð- Ò(1Âa]N—$5èpƒÒFÒ XaÃ:Ç*Y-ßã¥Öo0yÌkÈD<ÅðxË`íûYöÀCHï´âS·ˆxÍC'—J G„ë ‰«ï$N_ç“qs­Ø´TÚÂâ2aŒ)aa0ð¤A(V¶‚ZoEDLX&‚ÑI€‰º}o w +4QÈåC-SǪk´;¤ Ea”ÆÒÅठ+mÃa`±*T›èI9T˜ÝÄC‹Ü„Ƽ³GÅj¿!6*÷r™³ªóDZETÜ3GL¼IÂ[K¼;ö“,FM&.™”STð¯„JÃ’1¢K.ŽuFžàÍ"Ô±GÄd~6Z¨#ú€SK·&߀ª#ïº)¯Ç 'ñ8qtÑ£s¯ȃE¸!,÷ø@8HWç­“æä%îÏ‘NË‚I,$×"É=-w¨“ B’Z)õÂÌ)ò¢„V>à¥E¼ÂÚ¡Ò‚Ú†’e¤·ÄD`7FC¸èŒËÀ’5©CiÙ„³¹È(FÀ²C›®ã–6—ãtꈷJ›Kº¾Ýº®ë’,Þ7±Eò(‡å%É`–—ä®ÛÉdõ¬•"è8V”ìAâý•S*`XBæG¼pFZtÁŒÿÆL6ÂÙ|iø—“Ix]ÖØ‚mh¯ñÚÄÛ8á´£½êœcåù€0µˆ î~t¢'“ôŸå&ÖA-¡¶Ôg¯®ÿ—£ëߧ(Y´V¢da]ÀÄEóÙ¢ ±ÝxÍt´ƒ9™ðµËšàV9¶ÁP¨e³“N.Gµ!'Óf´vDAÞñT>¨gƒ¡Qž æ3>O€ $ÝáäI)Z…d Ðz).\ÐSJШña²U §±–à~u}KÛ«ëÞ=Ñu6ßá5Aa-¬eÆùkãB©\NÆlèGJÌ;¶&„zÊÓ66ÆUdD©€E Âe‡¼‹m\a”23ú€—…“Z–Ë”²x“R‰£å¦j€:q^¹˜ªèP…ë#zu—>2+}KK}ó«ë^ls†Òç&;"èäÀ–™YÚÿà…VŽQÉ£ˆÃ“ˆÄ‹t€a[/É0—›ka…–1êD‚–%ݪ+UQ*S¶êu–èÕê ɬR»YZÐ9±‰9½¯W×EÆ«ëdþê‘’8)Ý7B/­Ù—›0B½2¥< ?…öK‰Ú¤Þê*‰˜Ê):"å @@•â¡²U·âü¨ê†‰^ #gõD2k5ØXË,p–VhÈ&-¬ë¢¬ë–ëúrf^]ßžëW´çzæ¹>’è\OиZÿ¹öÖ£NSë+ Nëí’¤õ©Àm=×p±¾å+¬7] ¬NÖc~ —<>@¡mèÄÉ°úˆ0B%kŒŸéÜÄk„ÀŽûhIS¡= ,3aý؉›–pÉ,Žkõ'ܵúÀµzœ²¯vé«ïP}õ@¢I«pF«/lšÕ›‡|õi]ý‰,©žƒY¨ž»ªÏÅRõ‹’+õv~J}¡öÄ˜í¨ž€ãvVx…²vWrµûG%µç1(§dv#ŒJÚß“»Z2¦yô*ÅHý(ÂH=囤þvHR–©uŠÔsâDêDê_‘zAH½®JS’³Ô_^+ÔÄ"B½bΠÞsÔÏ;õ¯FF=jXQo¶êOE`X3V'sïÅE‹1JÚÑN"%Xt°£SÁ0…ôr:¥mG£yp‰cYç×'èìP’Ó›8Éé%räô³%?½˜eNߊ1§ÇD.§¿U–Ó«®åôܧrzUé8ýGÔ8=e6œžá§7\ÌÓæéÛÓ;}Êy§'UÝé;ÈËô øT766ó/Mž—–δkõPè‹%)&¤ªyÈpe|êÉÁh/&€ #TH¢]íbpÑ«ÒÖUé)(Ué [Àô^ëM¢t¦¯¸8Ó@½r¦7'›é3o3ý)×L¿5Óç ozD¡Uúùk•Þ=±Jo:F¥åQéŽøN.ã&ÌïN‘Kt4gG¾%Ї¢ö8ltJÆêvŸ@Šø`H¯|4p…2>f‘ÖØl}@`!4yMz Î =$e~¦,H¿m"¤¹rÒGä éç †ôã†!½€HOÚ@¤×˜h’‡($a¡¶(„{](††J&V\bÂM¶UR—ï1b»i-ÙèºÎëó X’%,ÓÅaº'ˆIeŸJ±†LÃ4<'TR–{§èâ¢SÂp°Ðp0LR#jìà!5ºðC©–«T\ ájælå`š¬cáì·P”ø‡»å_RÖk,5„òjó!4ÑÜöÛ53ðíšàT³ÒW[Ö0º¨éçûFÐ¥»:†(’TšŽ‰UCW¾X‘Kr,f¨LÔ\Ù6´!${ º6iiPÓÒ.¨Y¢9 ‰¶^dWÛG‰¶ Amétíâ²…™lµù7F9“^qpŒëÄMf²ì£bÑÝ~Dêf(zmFxgÃõ}BkÝ`ÄB‰4¾%jéj,?” sŠöúªè™1ÚwSm@¿N-ÂÂ¥‡t%n ')2Ê«}l\zÔiàŨ{ ZEGxŠÎŸ Eçy„Fþ¿Ð“!Œƒg¢â÷ýÁð­ìKç•ž3º[%‰ü€FÞÊv„ñp¸o–&—‚ÉnšK|}4™½®îäJ(8åd=¯ïuGÓ ¦ÅVc^„˜§¶0ÄÖ¡ôég~§\³ð3øˆ7ƒ‘ÕœA:ãΠv)Ì ˆ5Ï`¤É€uŽ©ƒbx+û†®dž0*=ôØ'+^ÖF.æi%*JZ:22>&“\ç“AŽc£DzÛ–(? óЈGTCmZê‡èm9mšG´ ’`_>ƒFõèxü † çj…“D^IÈíIHb;=¤€ +«x·ˆø, [‰GÅ1V×i\}‘9R­H䃊%eá@”ù* šôk¶ÍW´âNt‚Ò§àö|”»#ô¿. ¶CÌ—,.p;Û)™¶'^ýͽߚ’u/V>f=@½Í*_K’Ž;Òvîx?v='†z-þA’‰JA¾ä",GÒèPj’û3[„Á4©4w~¸MáĨ²ÍùOüQÆ' 6Œº òº¤-ø]é(J[D•êŠ}ÔûÅŽÀå`ç%æטPƀﱱ»­Ñz%ŒüÃþké pÏô,žÙicPù˜ð•<”aâ<”*TfØ’g.F¡ÁŒ ‡Ži~^áäH´æ|]>ETTðƒts¾”´ÂMöôq"±ª"t`©µ”®ûM­èZb°b‰ÛèPÊíj6¸ :’“š— ªÎË~ŒRÂC:Xû$J>1¹x›Ì™!,æsRÌgYÊç³¥åó¹a¨2§c;3tî”,è¤ѧš¤–·§¬'WI¥v kºb +*Ô9O“mp {·´±%läDËVÎÛ-¦îH°y IH ü‘>'¦¯44†f°?ÍzÈo +¸ø€Ï7èøK9“Ïåœ0žè3J¹©æñx¡øwy¡ggy¡úZy¡žÏ¤†lµª+åÌÕÐ`B׌ñU÷ Ôzb} ös”ØLƒ"¶@Q°¢öAÈA7q‚—þ<ô;´ªB1˜t‘/˜sY Ð –3™‘6 ¯Ø>>DÄ’Ó :£@Šb•–Iж­ÔÏΨ¢~¤P‚¨*M‚¨/È¢‚4ÁJà0ºÚcű¶)¶dÇH¾`5*¶®ÔhSÛ)ÔŠ¼Âv9&‘Ç­P°îÍ#•ÍËh´òà>°°LÀ+0«Ò’ØT Â%r\¡‚ßß# ’Ù½‚iˆö"Ï­kùç·Y‘,ÈÄJ6`Nk+¹œVlõ"Ù‘ì.X +JAb÷(lžÑ~€Éò|.Gál7ðÐp/kÎèw$H7oÇãñ%f@ÙGø‘º]°bÔIâZ³Q ƒÈã”ÕKI1“š@¨ úH¡F-ŠMÀ̪CbtùQârŒ4$"òÙ„¥^¾Šiãˆd(TŸ-(>Z‰ÉthaWéÐþR µ$Gj/(Èà*>¯ŽK¨]îMÓ–æ½ú?…º öL€O z‹îï‚=÷%À…õ­X’NǸž2b<ɹ ÃBë±Nm¡Ó·Õ—W² +& ¯S`T`B Ç,÷‰O¢¸#²dÜšdÜ[3îý€™€q/·^”k¢y3ˆR6ht"aP£@fqo“5g‡9SkclÄ°b–žJ §#E¡tSö” &ù’ùsdÒŒT°p‡ŽoEfhñÏk@™«¬›uY¢pJ@ +Æ›¹4,Ž÷„˜LóS2­È¸ÏÄ3Z•—Áå./{¤ððGJxxÕŠ^x +PàÜAac»ÜóÆò”ð§èKÀÜ`ʾ™ä=3KÆF3J®Üêú:e¤¦-]ºÕyWf…>²èÕK·«0=¤X§„p%Ž[Ñ`Tvæå(‚RÉ°¤ù€pÐBA¤ÒŠÉãLé’Ç +Ö³â°-S‚ÞQàÐ`„"LT˜)+0•’\X´‰™]#¢¹Ó»bø§ ½Èš¼FZÝ" æú`†yh‹¡ƒù¯m‘¤Öû`¬n„)ÄŽH¼ð£xU,™a­¯G R yª%ªR'½dâ·qH!2Uæ’Üqç’Üà`ÄùLP +1ûÓͤÑ,`ÿSx´š¶žCÇ'‰\¯˜¦&Ø:¼—A£k×Кnë äN èa¤î§'̈Êç@$®ÙVÜÔgÌ\7> .è-Çmº‡ÈåœFSXe:f2x´tÌÑÞP¿ëèЩ@Ó5²¡×yõëßùäZuw†Ž·ÁÉâ20.§Ä® –ý1a„Ük+:e:aè!Ý笕‡‰=…†*ÓÉ  ™F…Egô!0&É Áx€"Žû²žydR@Ôɧ6ñ°~naÑ‹n£mNª9k(¦@RCêà+ªÇë2”“Ö¦¨Hîêý%,ÛUºçaôQ4š\@"r6V ‚— QoPN±(b^\º/cä/Ž…±i¾a°@& C¥7^F#w¨µiå0ëŽ ^Ì|li¥ô°„…âŸ|ÔÑœˆ{`“[uJÝP–X8lÆ¥ñ‡ IkùήVL DyMæ*e¯ZŒ¦OºyѲЙŇmÁŒFçã6V—KÒø*‘ÕáRGlj»QÜsrpK¥-9„Ez|ÀBˆþ„ ´óÝxòü]í.›ˆø*eI÷ +á öò\ +«µB*£“ádÑ´ÐF©“&ÎÅtI:${e€|kƒ•¼ì.SéÂáÒ] âø€ÚQ9|¼¡¸ŸY^ÂDäc’„‘~€Ä—k\È﬚‹œ:º&òi¬_’9¨.‰ú&µC™(¾Í5¹¿L„R`-J¨<*l‹=-`ª@ò"Pq!fsņ̞Zh4¥”Iát<‡ùédJP$ +#TÛUJžÀžPãk è% +ºôl¥0|ŒÔÉ50ä"YG(DRT&±€ABß?ùt½ïï“@ÕSZw$­”Ì^ùnÓ¢É> …ÕŽ—œÅ]¦T€Q˜PŒ=ZÔ™nšÈg¤¿6¤éd;hÆ=ï&@-Y›G'É8)}ÀW¬>*ml¬PÇ캈‰v1—ä”MZQ°E ­¤È^ÉT¨Ê­‰ ‹Ë‰—¯E¯ÖnLcºŒ.êŒJ¤hšÈf4ÂrlÃÁPË&£\E'œ\­öA= mS~ ÝEÉëú cf„JÔh°^sJ< ÕCÄJ¡úƒž†á}üsÙ6‘Po#B»¤ÒÕÒÅÖœú¥®¥pâW·`=i¢`ýeT°ñH°%!Xÿú2ë=2õŽLÊz‚'`=©X­SAV¯:È«qâê3’ê)ˆêåBõXTZÕÛRõ5îJ½Ì:ROg#v£Zý¼áÚáUæœ =¶×"Q–—î¸m³›dà Kf”1بzFT}Šªÿ€yª^, T¿êª¿Ì ê OõlP=ˆñªß?PêI<õ÷Nýä§Þ‘ASŸH€©·c0õ„ K½kR¡Þe P>¾S0“?‹Í¯]ƒkû€Ä™<È®o!ÅaÉ#:CTe=¥ÛâÝ×Ë…°Ñ‘±6A›G¼.*êK-õDŒúAE½·Á¨GÍÔO(/êëÀ‹úᢞ{µ¨ÿ‚D=ãPÉl¨‡ÌûéÞ~zzT:}L)ÞU”N¿j¤Àš±:™[» .¥X®€MÞ>8‚Å(0óL'žºCP9ƒº£ÞâÕª£…ȯ]z‹C±jUL/’TLŸ¿(¦wÓkŽéIšé#Éô{dz%2ýŸE¦Wé!Óðé+fÈôÑÅô7Å7¼|xMÞLÆÀ´Õ­£yûfªbÑÒW͹¶$–¼Q tXjÇÈ%ÍFþ i„ÆXìåô÷À#h]å~ˆ«\ ”Õ@ öW |€öy%o¢l +I±ó±1Ö¥» \ièÌç'C¹±ö=˜Eþ‘ ó æ#XÓ +žÖ^SÖTöÒHS•ìKòÔU£ pí›">£üCªÂAù«¯¸Ì‰ï„Ô01'Å…"¡±±Ó$•8'¥ g‘~ ©Bo <(¯5^•Ë/½çò÷zhœŽ<Ð'†¢Ho»•ô$²mÎÚ>@©*Wr<\N¥¸JçÝcE7ºgcê +‰Ö软ªðÔ$øȱ N=3Uz"ßß±O¹S¨¨6C<µ —µÁõ‹ÈœÄÕ&ˆý20> Õ<9DÕBÊnEÀ”]ˆ •]Ž¸ 8t¶ 8âÌNkJ]d„^=€û]à'À°÷—Ùùçc‘«$µ¾äl °âèh‚¼Ë‚„Èf@k+8|EŸ%TE»DQ‰.@ßÙ†&G÷ƒ­óô*ìÎ"Š¼TÐ$.š}:Єö`ÉŽa%©˪ÆÌ¢A‚)* º+~À7=,Yè X7_€Ðd²à-XaIº•°kE\áëÂjE•"•ˆ£Þ9!J)*2>Hš“LT2)Ãé‰lË¢<ÑÈ4!¥,U?»¾W©ý€Âd›áD]ÉùkNI|ݨÐ]Æ‘<ÒÅÂ3I]N“PÕqMÂR„£„–¤„‘¥„Z¼RB“豇˜ÄÛ„%:êcD Ô¶fƒü€O–Ær÷¢¢D£2D ÌLÁLÉù‚ÌWªOûvN¸r™Q¶ÂˆÓÑ™¨hA±-ˆd¿3{Å Ï»(DFŠƒ¡„Já`¢qÊ? eJQ£Š£Æ“éF™ŽeÆGoBAªn/ÉL¾2H«Ë9e&J¶£ƒæ|ÌNqÖ§48'+gÔä%2¨Ç„ÁÒË%§ùƒòJ? Ñš\uæf]}X¾ž­ ÅšäpWÐa3EUN¨Ä-Ù.ƒ»³HE‹Åð°„…$ç¦Þ-«±ô™¨l*7‘M¨¬7£Pù}2¡òV(š’Á6!Œ­;kÁLŸ-+ ¡%ˆ‚A¿Î §Ù#SIõ쪨¸"QE)¹®›MY);ˆ² ì` ‡Ù£Ðm –ï=3X}‡˯‘iþ€É[b> h¡wä¾NåT!p kþ>ªbUš“JiÎûd)œ¢öÒœú¢ýèG‹Ð|²º w]¤³u¥æž·*hB[ê|Ðé±¾8Š“Uå£[Âo²õÌE‹yéÐ…d’ƒ«ðÃ[uÜ`Ðie0 +ª)1Ë‚™ =´¢%/6…2!Ukn¼p’™‡à&®œ.e>))+±¿é'Ûß”Ñjƒ´tÿ }ybJ*r-{:A¹X51‰°TB>V•E™¬(pl·µÆË£VG¡.ª´¹! É{5 BçÔ¾0ø†ÉÈ`{Dø˜à ö˜E¸ìN"‰‚ìr85#r³‡ +§“Pˆ>•ÅáA DD ª¯å$IpÓÒEpº¶2K½ KÅK=KÅ°:]?€äØàkü©u²0ØÙâŽíZK-9î÷z›¯÷º@ó¦^ê6 é©ÌÀƒbÄ°%£ùb ì1ânäš!BɆK,¼¨OWÏl7F4Žø‹žù,H…]DZÍô‘„²™_Ђ€æh‹’®“ –”· 6í%»žV›•+ƒERb›±ÍD‹—%ЭQáí¾P÷ž“šó‚7G|€VË™ÁkÅ`0 X`S¹»1ÌõX£¡9a‚‘¸ìxŒÙ.¹…ùuwõÔ1†BhÓoG&—Ñ¢5J‘ +ÉX‘F %&t˜Ž^@÷ö’º®õº¥@ÔN<¨m9PÔr è¦~Çv9¹{!4†~ïr  bmÇ ÒÒŠÁâGU»Éù‚±Ü$‰wGb%¤àŠ@BxrG.”óEŽÅÂä° Ò‰2ìj›æ` ±}ºwýÅžÀEÆÞÀŽSʵÈ5üWBb‘I5Šg1Ù¸è—~OÚK¿•Ù¥ßA¡¥ß.”o^aõðá˜dc +À‰E¢Ï2èƬhKâ²ãV¨Ï‚¶ÂP9°ªœäÖ©]Ì(+,ŒT¯Þlš‚9lj†°U{Ϥ̉° ’Pñ¸Yš¡©\$øœ¼Ñ, +×"š5 ¨ W€=€U4$_2‡L}0ø}° TpÁ¢‚¦+\ÚŠ!‡J0lZ–°rj§'™¤QŠù>y69 0:e uƒ«SÄ7„ÔcÈ„;ÅŽ„hëf„…a«¯Qa29Fþq›ÓDõH±DÅKHÚ;–M!¹Xq ãZñ^HÌÚt"ñÜYqA ³â±¡ª„WvxHî”5I~œŸœÜe4Ï÷PhIP!= ôHò\ ’&šz ­C€غißíS'Üžˆý8|À…¢1!”@±è:‹„7_lÁ;äJ‘¯r@ÑV¯Fü¬¬óf<Ÿ‘¬‚†¬‡·Iö8l“l[:ãlú=1gu4«^Õ]ø?A… ¨TÓ +b«íCh® Cfp ÛÅ2¯]ìݸȽ 4éÁ«qêÀJ]¢dª£GP{ Š33éè‹û”ãÙl@<î“°JŸ«ò›(†š±í(žÁK)e›Ö§à+ªG‡¡G'Ä»¦WÜá9A;×­Ož©G94õéeÈ·‘nÛÙß³ïÒƒk*à‡PM™‰0SŸB`¢ .E¸Ô[/X¥ufŒ2_ÜÐXæ‰còáðGõóŠGõ‰„R¨˜²»,³ÁggC>8F_÷¤;lø€ìÛaÃIƒx -kJ?±W·‰äF¡ôàÑ?Žƒ¥D—痌̑°q/JrŽ­ˆs¹[°x€³8™!&X¬Ñ%ÐWÃפîXÙ7úø€,Y@0®#³‘\ÈL™ˆÜ²/J†“ä–ÎéeìlCÎÔF9©n®C¢‡MC¢Õ«9. x(¸$Ĺ0…‰nM„{³PÚ < +d/,.Žü3ryE`4`G @Oƒ]*\ B)8^¥â©¸PábG8=8í%A|ù"(§ ñ´Î%sƪ%s —'ƒÈ¸H ™Ó¹ +(èRF$`‚1ÛaÕ™ï§ðÞ‰AáÒVnáa€4‹„‰&0ÚVraˆœ:hüNi´]ë06,‡Gý*ž9/)\Âùóÿ‰é¡hµFÈÜ­ÇRP™‡|@*y•Ú$£‡’M8Ïꥸ.\¤bº|—O*õÉxÞ̶9­j óR1ÈGp‘9R*r¨ïÓy j£4¯yo|À/a‡Iv¤8žo)|63Œ +R• ¥‘€dŠQ·ØÄ›˜y¸Žlö]“XLì,‰ŒVØÄ¡Aã "âyMèFaB‰q^Y.n‡ÒeSèvævEÞ”&§V§¦ŸéCÙ¯7R(å§vÁÖ,˜£_z(Ó¥‡ˆØˆòÎ~€9‹dt +ÝÚDî.KÞ +#1kž|ìM>ËT—¢ë¨%*×ÊèCRU:ùG-ür²Ln_^õ„tYÌ+cx?;Cx7ZÃÎŽg|5m‡KŸWÓ}Õ&bòªPbÒ.¹•º¬Öz[äKÿ÷Ľt’›1’’Ì&å¹LDÕáš\s‹â) ªJÃc8-ôb;YÚ5W\Jm5º€¯Æ£•L Œ% VEÔÉœ pA#BT4¢%l> c³ ‹@P +÷2Á‹“1¸ÚM“XŠ¹ã )é<„l&œ ñw†¸äàYa‚{N„Õü„ÔPéÓtD !ó QíœÕ!4ïza#Úõ¸‚¹ô¹tUÆ\:d»tF#£añ¶aóÞ”5kÙlãÊå˜òs©Lk§ÁB¬:6èÃ=²¦S~\Ê\ú|€JÁ “RC"¥ý„ÀNÊu ”<ú FzqB ‰•¹%Ô‡³šD zÚp(%ʲBE©¼ÊÁå1>ò©.}RGm–™*þq:-¢Èm¡‰!B¹¥z'%yõ¬¦AÉå&¶Ô §³ËðÓØYJÔ|š[BiX ‘zR¶j(Ñ+“Ú[¬ë“Ö@׈®ÇÝ@××C×Ul×õØ‘Ùuš„¹tH¬fFùýÀH<“ð:“li!}€k"¹¥­=è%볚Fzeê\>~º4¨Ó¤á(Èá-¡‘JVQÊÐB@Uap~TðÙèV,9rVæ'’Yaè[\¨qu×ë:©ë:æƒuý•®Ю³¨W×SQ­ëļëöI´ë ‡Ÿ4?ånu:h…Öp Õ \ˆ”«ÄŠRªÏÊV•8ŽnõÉOôê6 ’³ºÜ +%‰G2ëÁ +2¬Æû[³³´êqãê±GÐCE¬ëØë:êë:G…uèºèúèz»zu]¦·]·=‰]ÿìUr}kSr}hå¸>’Ù¸žÀŠ¸<#®W)®Çd×'ÊÕõ/Þj½è%­ÿBë÷”l½*ãZ?Ò˜X¿!PXŸQ–~@.y¬Þ‡«lJ +,BV:¿Òù¼Ü†Ñ`|£EKY‘ŠÃü#Ì_¨Eã5=« ¡íLÊúß¿õ-ð·>”ÉZ/³ÖφëëU-ë?³^X¯ÈXX?ðnÖ‹ ”õ‡±~E ­žDÀ¬¾ó«Ïœ«—@ÔÕ7Ö¿zFÙR}âQýa5JùB¿3‡ª1k¹ážpó,}­ÓÄÓ¹:Íp½“‚ÊÝxÊémÄíæx‚Õï8¸úÏX½CU©–¤Tï­Pª7ÕSÕß)ŠêÛEõ ©V½«5UoRuª÷@^©ÿËŠJ}¤C¥Þò¤añ¤~Ž+©¯?éˆÝe©ûˆÅ—Ña¼v‘T*1AaôT©2}6õõÙX-'ºtñxR@ +¬·¹› ·R…×Rÿ@ õÕ§žéRߢ¸Ôo&—zRbK½Ä¤¥ž&uÔ§˜ê½µ…ú=4B=IÖ ~£iP?ùdP߸sÔÒõwí(u&³¹$Ùü ÀÛ<«G×P`$Åb¦4Såǵwi Ô’°¬ Š`œEþ<÷¨V¬ "sЧßôéI.Åéšâôjš8ý§@N?Ú§—(Ì“ÿC€AVIåCˆa耩6/B¸_¦q%†l¶:Yc•r! ¿æt_‹EÊ6»sª»›T×ý±2 ú6ÄUˆAÔ¼&›àp˪Ô1$ ßñ¼„&‹áÅïÂyEì QDý•w•"ãg7Y#ÄHIH£„“Š‚l–u¡‘0iPEKuJ²2:xáF\ô3¬ü1‹^žÁÐ"I,â¼K b¸¶‚!œÏ„Ó¨p¨]p˜Jp9XàÐuTà°{í•°…ɸbÅ&b¾¢¬ã!îFcå ×E‘£yZ”ÞF–J,•ÍÐE ÈŸ8M3´WINHQÁ ˆ]3†Yw›“²§RÇÙ1[KÉ3µŽ|’p–Ðç¥3ã ß%Ê%àçX*`êÆ&a4ŽÄk;m#§ã!l(ÞØjh·¹6§'à8çK4rÎζÓ'ý­œ“´UÎÐR2J’”c·àÔQ>^©­™•€éëÊêÕj®Lœ JeË•¥ö^•è® ‘;²·ûÕ y7È_gÀÀZ,6h á¦CtÆ ¨]—Z¨p጗]Š¨$/`t4 Ǧ•ô‹ãJêSœ~ˆáÔV?8=+u%ÍJŽ+EµUãµÔ\CÖ*¢¬ †N¬Û-Ú¢+j¢Ûa¶ýf¯­èªÌfp +Á2ìœqÅÖ¸bÆ[ýó B¥?ÚokV§ +a +KFq[í<Õ¥³ا:·K«w»ê +2huÅLZ]G ²¥"cKMOuÕèÐcU}†“ål8 6Aí(ìÔàÎÁÀ‰ïU]Ð󒫧0чµ2ÃÀ®Ï2ˆUŸ¤%ˆ\i‹!u*9âù§Ù¡bhE Ög¿9¨B¡@¾ïÈLê™—ðFCQ?Žù€8Õ24¶`æ`bµÄÃÄš>iƒUhÐË~ÛULcÛ"$F-( ¸•Sä60£á5@DÛ ^ØšAë ð¹ù8DÜ”˜³»–ð˜Mbô(%—Ô·1wÈUQ¨„«™Í°((ü ñJ8¢cß Eê² pM0PDHY½TÔÓfdwàå„úävµü¸(­¸àÈhû4· ªV×õ€½w4pq^Ì™x ÍàƒÊeåMëcÚÄhg ¢¤d¼J —µMŠ1»4¥DA¥ Ý´ˆÒ©ëL#3&ÒßÎkuø€Hý +O…Þªyl1 ’ˆÔIJ +" !7© °iQÌ9o§C8ïJ†p^Hüà¼1ç¼!ÙÍO*d&Š †7†Ï †„Ý@âoô¸àŠsðRyumPrÙ"0æÐå…îoã0¯ÞÜf0yf{|ùÞOÈù¸2 ûY|N„رò^(H77 + aä—µ‘}6ó +<…> i ¨†YK,&°60L*ÔŒ}7Ní®{:-ArPW!åí–QÎò`-Ín«BÒ¶Ò¦!ïjAåÔÐ)ÚŒ! H-öª.'·(&¬šxR&( ¸ ¼r#DRt¼5ÿ1Òq\X…Ĉ8†í¥_-æ@ëôæý7t%F>*VJ*µV˜ÔÐ(>â&H?¸¤×: Ò‹6_úG¡+½L"KoÊmé;¬-ýŽ0‘~„z?Ò((~Åæ€àõXÔpºù.ÐUˆÆ‘Sj…þÞ}9HE¿RÀ¢¯x ¢¯AŠèOœ#zÍ+‰~•i‰>ÄnDµ¢ N1¸ +üàõ¾ýlÆÍ‚ àLàk2=Ó|}Òº„ÁЕŸ +] ç•–}zùþƒO!^gdhw·…À{ŠˆÀ¯X¹ÀÀ§‘xJ˜øÆFø[ÖžðÚ€žµ,@Ö%)øp +Q€[¦PÛͶ•¹î»¡}€7… NKÌñ÷’•€$éˆqUxºcø>ïÂïç úóš‰âóSæóe˜ùü«ú¼è«>_¤>ßX=èkêó0nùüšÆŸ'SØ翈Èó Ú„ËTê|‹Âè¼Ê`’Σˆ–QX™*1vQJö:PU­fZZ¤Î§–Qç?@/až¯xÐó„ðôüG›žW…\ÏoV×óRÁó†ºàyxTx>5Íž›ç=ÕÔùÌ5é¼KSwžÕx;Ÿ"x?)Η8ÐFÀùøln…#é'=öR3¥PÑ–zI›N_•\Ÿ¯*×b¸YmR¥°gD¶pþL=œO±&ÎG:ç•ßy­”u¾„ +:oÉ€_°hç?@6WgçÅóì|çò:ŸmRœw.œ_Ο>ó¢€ókó[³ù ZØüfqÇNh1Á>_ýŸÓPj ÃŒØF#×ÞCàc¡Öø>áj„[w Ǥ*”*èÓ¢Rfó¢³yR´yÜ…Ú<+¾6ÕçCœó’täüÝ9? ˆœ/]!ç=LÈyÌ9¯‡­Í§TšÍ›<‘Í@hQØ|n7é¸ÍW^ãì/kj `¥Ži<*ú7-_j›O 7óp¢”‚à™bïúV%Y¼lîjâ?]LÖÓT©Íš4/(èšþæ?`áÈ6Ÿ~ÀÍ¿0tóžøÜüdnžnÍͯ§róD¹ù_–›×UäæSró#Û¥y{Ò<§«h³jh¾‚Ú1ž¢e¯@ÅíÖÌ‚ ÿRyÚ\6ž¤eÛÇuMiüWz½\­B)Ák¡PˆÛÊé£:ÚÏ6>«l25ϹJÍs\­æhAóf=k^· 4¿ñÍ7 ÍË­[óèâÖüxà­y/²5¿rØš/Á¶æ?¬ù êÓü%мåÓÀxÊ*w&EÍ$%}~ÉJ2ý‰ýiL Ú0?ß:Îá{ ”€ò‘X3ªP*3|I‡ñ8&3IÎB]|±máñ=&±|‘T¾·WïG¯ó=k.}ŸÑ¾_„¤ïãÊèû²}Ï•Dßïvæû“˜ù´Â|¿á`¾¿àï-–A ðÑè +LtTÍ$]w~ˆ¶0ý¼ü +-"ƒî­Ò:Üå¯2ÝÆà²x V¼¤x’ +VZe_Ü#»ŠÁŸ%eaªƒº¹gà¿«‚ï).ø}!?¿ÿ€Ðd~¯òÌï/÷û„ªýþ…ÉïÇÕøýgŒß·Êñ{RIû¾“kßgrÌÅ[òs¨dL{ÀòÂÔl‚}ÌA¢mÚT[.{G És÷—Ž½¢ð~ŸÔÍ‚¥„˜¬@n$z”»•iÜOs¤Ž+¬•ñ;n"™_µòÌG´Læ /&ó‚ (ó)Ö'óõ“ùCÅ“ù·ôd>´P2ŸÍ{ì? %²oÂDo„ú(ÍÇ°.œ=Ç*¢<Í&%0•å¥ +ã?V…„†¿XàYS—Û 7ñ 2Ý%tX<ÍA1ù>;j–p#\•Š{ hZf~Ö +ý“•YX$¹ƒ&3ÂŒ™ˆÖÌÃÞšùï@Èð$¹âI<ŸûIZ¦ÖG ÓÀK²EŒ8¢ÀŒCêžVÅü’š +*Ȫ““ë-¸>„|>„öI PÛœ>¡–XK„¶æ$BÓ2EHûSBôm– öl +…ãdÕTàÒ–¸áÂPˆÐiŽ¦sOuR^éÛ)È%º<Ž +Ñ~××ú2ß¡‚E+ )äèX øIѸ‹°ãÉñDyÇ3¦Ü¦Ü[É©…ÐR /¾kWQ¤5¨UÒ3›–ã~8sœ&”9±¸8öõÒpÚûÒp'T„ÐajÖ¥kdiÀ+PìÇ<oä\»×©Øöû€&ùô‡ˆõ¥  +’ð©•è!Acp)n"Eˆi !F# Å—Câg6xFJôKbQ1]Ÿ‚n.W¸Ž»&»®:c»‘~úÔ õz\ÃõzÅþz +•LñXT¦x\æ²{(Ñ·_&ãF>»`}õ>JA—’=@Ó§@Pµ˜C1ÞEš,Pa QM"$Q²Q¢Ü14*Aâ|LoüxÑ0v2„aäžÑ3w +¤è#"”&50YžGëôtâ”vê·Ù2+ï+s„„çPjØ’B¢ …E +2Î +t&èi¬)P- Ál°PIð˜xcxÇu"$8¢pe}Hv·R.qkxoÄo=ºæ gd½ºF¢Òu&I#$CŽÒ@VŠ^C\†îíR†,"o†DðÀ$uËD!ÂÖù:gj¤ØÆsX½ƒß´'ƒl bÏ÷Í‹7 Ú(߈;ö ÄŠç ĺРD“T¸Å€«ùˆ$æ\²Â¯]#ç;“ï$Ïib…•PÖh\ +^‡¥ôbò5·W11±t¤›»6ÒÍÕ dO”nç§I÷¬NÒ‹LÑ‘ØÂÑ“#e¦+Ç”º +`¸j_ȵᎡ¼ªþ1Å3©ÉhÇG”8H(>+? A^2¤ [2¤¬dH|¦dÈx¤ceØ®qé=,%éz•Ò|ÍHk11’n6‡ü¤% Õ/¼uíGU™ƒBMW3S•é'~@`åGõ¥zª~šˆTÕi½W” +óY[ƒJÍTM»8ï;Æ…ÜÚ¡z¦#’Ü°ÉO«Ó;ò³Ž4ò3 3òs³Xä§b5Zî,HQeãè¼p +EÍFúhJ)fÇFU2‚*®©ˆTEÄ®w£½Wúù¬s#­Yø€sض€ÒÚ“Diíø•s×RdZÍ%ˆÝ×趸¯ž¼ß çƒðö½ŒKÑâ#¿§Ì‹Æ¨«µArpD*eE'T´£©+PF¤bHL°&*ƒ{uEÊgEzÇj‡Õe•ÖžÊsnCZ#Óv´1v1:Ââ~z¹‘Ò÷¾øÇ0y™Žþ"8s>¨'™Õ ®3«c)œŽX +›‡E«ŒÁ1RŠÇÊ(ˆàÌ“ÍkY”&Ú Ù5iì~ªÀÂ܆¼Â\Ð+n_+nGÞ·±ª¼l£t+pˆø€K˜ëŒQ]‹¡OTùœ +¢‘)ºF¦ A‘0zÞ1=n íÝ[›4½ÞâÜ 9-+ƒ#tq;ñµÊÛP÷ÜÂKwì*‡pU ÑA%‘@Äy:|cÔ%ÀPÍIt*9£ÈNx¡GñâdX#Ãî2@‡\gl'Ô2AâcµºäÈâ‚~Bsc³2Ðn€Á£”nœÜ2.:°/òF2£.¸oNBµv³bÔÑ…Gûñ"ƒ]^/‰Îx¬ZkÃñz¬š©Ä Z¢„Ï -zÄ®¦Ë?€³:'u˜“{˜“s«&Átü%ù6bEaˆ.8‰ŠK{ ‡é2|ådäOÛÄågí ¬ômÖKŸ€ZB]ÈŒÎ}¾:·;5'ïHŽ¤LÒ '/‰D¥N&‹Mj¥Ø›Z‘+Tå•| [鈲%.¥$b>@¬À ˆqÑÄ’5[ o~(N8YcÃ=ÞäB€Zzú ™J(ZÒÃÌ´vÜRRAO#T©Flú >(µ‰’ÅP~‰ËùÑnŒVcºŒîR4M©Ì±§C-› HN8•Rw"åõ@àMùqÈ„Ò‡±xE Eeý„ª†6:|#˜dºI©p ¬L¾@}À ;ÙªÔèU9«nÄeXš'¼Z­„n½"©ÿ±&õ|•z…éS}úªدêE':Á9}€ú@ ÜÍö4@þ ¢^Ù¡ñplðù°á§OŸòäÓK‡Óà üôܧrúRç9=…a:ýÁ›N?PLN_ºJ}“ù€¶ÞžÉT2‰Tisû‚:ËôÒbôé;$é"Vú’ýJ¯çoé?@âK¯,Jÿz¥åHéi,G«šô‹W 1çìÚ^]1™ +ŠR­­d‚n@®XØ1T1€t…\:XY:?‚-·¬2g8Ø1œ‡Σ*†ó×…óÛæÁùÀâÀy]U9¿úBΟZçEÖæAªò‘ëŽVFzì¥VêdÄí´½<œê&Èž¾*¹¾$**Á†©'”ÍÁ«­©Mß!¶!Ê7ïzùæõ ³ù‹zÙ|½øl>Ìx6ßêN›ç$L›xÓæ Òæ]²´y’DÚ¼!m>°n6ÿ­€dó)’bó&Laó#Idö"ÒÇø¨6:öùBèµR Xqu`›+eô¦K%Rƒ¯¥Ë-P{Å$”¬ò<Œ'Ì8Ô[Bsîýš4ÿjG×|ŠÒÒüD•m~³Í npó*ηyÍøm¾Añ6_8½Í¯¤nó¡ýÒ¼&¾4¿Ÿ\šOU\š'Ù'Í…ŒURK@äÚ¬YGE@?Ç•:ýè„’ö1d>.¤ñPŒºY:æ!xÙÜ)Ô4Ða„›¥à-söÑz8=.oa5_^Í—ZWó9¤ ù¸4kþšGÝÍcRÍÀ‚pмܺ5Ú±æ?0Öz10~kÄ`ü¸|¥Ò¿ᨡ%Á¨aìÐHH«°.p[°Ø{›‰ÏÆD7~¬æºÓ€ÃyICëX…†Ö•ÈŽÜ‰Îó@-fñ<„Šûi­þeYr@TðŸŒnH)Dé;8ê ‡ tklv!–EÇǦ¤¶“•æÒÆ +ÆMS0$"দX‘¦ï«H»²H»!pK1o?á~¶ÒJÑq˜\Àác¬uP§*rç>N˜Î$˜÷}Øû®LÅsV.OEAÝït9µ¾Q„\ÁÐe¤;*Ð\P'AÇsa(†ˆb‰CǨéH]ÒÍ%¶ÞÓsaiJv¢õZŽ{ëÖŠŠµ†Õ6ç³áZó¦¹kÓ\æР9Ä©´áXXÔ:-C;”ë1o³raï‘XT¼Ãº!#H¾udi}$奂ž¡„€°P/“t +> eN”"CÓX“‘€ŠODˆExÃK%¶#Sh¬•;é[ÌÌÇYJµd™Ö]µ¯×¢¢ ÉC®úÆ4ØL>ìiMÀë¤0ØûF W¼wCqy_¹· ³J>­RA +öAÀ…åU5Coïz¨#„ Ǥ?“G +µNŠžÂ9ˆ»EþÆUŽ1Œ)Ë€#M–NMö9e~ù'Ç”« ›®@HrfŽM?•×Ód5wPVø6´ö,yû STp OT0Û&*ø‰[ÐÑIU`|â§@ ñ4{È"ÏL†Äjâ%Šp¯ Qæt@¢›oßxάaÙ¼3~æj#¹Ô¡!›'+÷×F(upäÍÖŠSLÕŠ¢˜&ñE1SR{¶H—Öéá M5’½PÁÁfÏwxaúuÄp ¤›BEÆÉC"ä…±ì…Z¢1 +?Æ(Ä·’@$4ƒ( ñÕøx#é{Õc&ÔvÆ2šI…,Ð4geŒYâr,åÉ!½9Z¯ùᣉùZíf ŽÙÓEw¬s_HRÔå9¤P”J¡,U}¦;9žR¬“T.Ü|lš|7’a eE6Ô „p´„™¤OñL +´x&E<“›’!?@M2$9б2pRãI½ÊNk¾&å3&&~"éfb²g 9ä'Z/í¥èJsPè kf:®2#KL)ݺÀ¨bØ ¡únל¹××Á­Øpû¤û=Þï’&êzY Ôˆé³å +¼ñ°7g'[`Ø•nâ)•nH•nºH“=5tÉžŠÉž/«ÈOÁH´:GQ©…‚2ÎL3¦™‘~À„êã'ÔÅ£"R +¸Ö†ö^eBä³ZVÇ®dZ³ç‡sX1€ÒÚ¯EÎ-§RdÚßÄnFšþå¥÷ 7828-Ù¿ H¶/Ý †h¸ñžŽkÕÁÞ¨r4P)eÔŸR*P=¥ÕØFµrÒFõ Ë µ]]Dªhyï5‹'Ÿµê{Ò 6´JiíæcÎí%ÃÈ´’¼„¹†»Ââ&ßû~€]&o!îèk¶±ƒs—óA™a),ðüm-#ï8APQD=Ç\ækDÚ`Ü‘Å[Ú¹]µ.­åxv­%Lt­ý—–Ö’V üÞ½åMqêfÌ@Ö³+Vpf;Ò +(S0Jé£A‚.¦9‚Í‹®¤4/ÒÉÞšA‰'µBóZ Šde¸Ò½°€7¢R `ê­n.K’Üzµ·Ü0åYåVݼAÎzN} ÎÜ!‰sa" a4Cþbúv;/z··†ÅŒ^³ÆÐ× 7˜š µÅ‹Û Æ*oNG÷dúI<¼Z +Ña’Dp#:²àFdäO—° 6šB"ññBsp¬¢×K<m–¸k8I½6…6ÖÀ@ɹaPRûÂýÅíÀE/ïÔ )Ý öL2^Ct lã€ìˆ¢±u"‘2p·©£)êÔœQÈ£ ¶€M°eÑš\.#5Et™ÂW¯ƒBÙ£Ä{‚Tâ,Æ¡„ÊÂ!*±¢EôF,J§.¡ +È:Ab!8‰¼ iŠZUg¬D‹"y-4t0a¼Â}m¨\kÞ0¹T¨ãB§wxT¦ßœFŽQ‰ÄG¥e‹wC.M—7¸‹Q¨:,1—dpz¼$jÈœLÌ“˜SÆo£*¢ÂbXÜoLD·²pó„ñ˜cQåàhZÜDPŽQ\mº1ñ€ˆ endstream endobj 28 0 obj <>stream +B äÆ H<§p&"¦.Xâ Z‹dYî$3q}òQ+e/+ʪ ñJ +ö–(ÑZâBnL“kš‹Íh"Ò²a,F.gA¢W„JA’‰g!yT¬jt3D•ËÍ‘ÖBƒIPtK8l‘˜‘Ý`êj¥|jo¬(žÎˆW*Qiy4<âe¡(ˆ.÷!»1BüÁ +/:30H4M{P3U‘‚7(¥ärÊNhíŒû†{ÂÔ"‚B‘Éu¯LòŸÏBZm¶I)° §8bJÏ3K‹ 5P±ñ1#¥»1-O0x «3»¢iF°Íh6,µl\-rÂA…FkgDú žjS~6*Béó™?,Ün‡*”#šÈÌ(XHM7)9X„•)±¿¨Óm®)ºVt+8ñ‘YiLc-ñ%¼Z`=Ó¤Ô"Vê?`Õ T?aQÕË*¬U¿©,TÿÐ:Õ×w¥…6eÄ3~–*V“XÐDg=&PÙdzœ>ñA§?WÔé_×é=«ýôø»Ÿž6é§ÿ€–À8}êN}“1‰’Ž²f,¡Øèÿ&Æ‚kÔ.Í—‹¥T¬œw)ÕÒ« ié+»ô²Þ(}' ”¾4OJ?mJo 7¥_1šÒƒ¥¢ô™h,=K6}€% GOI±ä‰PÊ//v›IÉ/„ái3\䎇xYº ADR±Ñƒäoô ‹9úPMîè]=úÍ@1úÅ&ý æ£'TF}(n‰^“mD/¡®FûÙ¬(/c횉|×Á5¶ëÕyòcðí¸+GF¹LÉ׊¤ÿö¡ÇÔ]èk +úðĆþôçÐGV9ôóäzSð}Á?ÀÓ±Co) ¡‡ü•À/<·ŸW™ÍHLöõùq³|>ô¨ŽcÂ+3¥ü›zÀÜR;ûtðƒU…P×áW.Ü•Gó@‹•º2ÐO4[‡ý§¼€~q@ß‚< ÷Nè[èCè/®èqôˆ•zúåºøëó(ûùüžåó¢Ç?/0Á›!ãyŽR™ߦ¾Ùgzù0är_£Ý™öëò­Ü©Ö¹©1 èE!ÿRSû|~Ÿ_KóóÛýülòöó÷hþ<ΟÏòçQ_¾>ŸÐïÏÏxúù÷|^ÝXž?Y"Ï‹šŒç¿Fîù¯ÎžÇBÖó/–Þù€ŠfZ‡õ›Ç!71ø:¾Ê>Abh ÃçÚËNj³)„|G6DÐ;ÿÀ´:oN2Ï·J ç/êyº==?BLÏ¿þëù‘Ãõüt=ßÈ´žÿÃÜz~‘žO;›çÉÆÞyÁÔùŽìtþ(oiôß3Æ^o+É|#Uý@§$·lC€¸-±i¼ï噀" '—ëÚr~Bnq57 >­&ôâàÃIK€¥)^›`’Ö±„¯¬ÙÜÙ!{WYÙ&Ðÿýú¿*äø/Їú-¡ÔTš¤•.™Ð69<\)£7ª ¾ÕG€.×q†g¤?`½Ðž¾My*²ÊùÆS¥Ñøk†Í5¸|ÞÃŒkåšÍ÷©…q‡†uJ-,ÿô6;Ô5_8${!PªLôâÐ_apèg˜ǯ÷Ìé»”©}€IX‘šàDš°IšWuÂMmˆm5Ê?î4B=œhÄm:ÒÖºx§Ús}+ä!ØÝ“)ÄDi8rp©C +N‚‰^>ô½Or­éŽs ƼÖNáòµÕøöGsþqÏœÿ”eÎO1¿öxÇ7Ë÷ô ©•Ð–B»dF +Í1 HšÁ»Ãm0ª¶”âmaü¸®U8,u¸=p+³h:•ç¼ y’ÿH&hÿN¸ž +T(•îr«"4rôZ(ÃON´SªéË ŒV|ä1 šÎj 4Òú­ÐP3%5ëPh•FC¡Ù®ÒHCi1Ü> C›I¾€6 æ?î1) Üa^t8‚·Ùº5•:ò’j:Ïj:Í€Š½WÚ]<ݼ+&ì*öORÁÉ1ÕVÅÁºGìåvÈ ˜~‘ýLY-Sdfûð‹QžT&‡_ж2hжº  í2ÊxÜAŠܺt8 ll] +Sš»,jºˆNȼÄä{…:eñÜÑóßœ¾~¿p$™|ÛC2ù°‡Ê‚¯<µuÜL*¼„”òP)<‰ ‘µFîÑU±Ôd&jš²«ü)¶1 M3ȸÂ(°ÓÚ's@FU0s*kWZ¡L÷ +1w­è1wúŸ»Œ‡ÊtŠ™ylkŽ½ÎÎXèm!]î×íî÷j”—·¿$/o·ÜoJ'ß«âúzN+øºÊåà( A˜ÊÊ!xÀ J€í2œQ”EørvR¨NXQëBk·×¶Ç,æ:ÒÏš ©Ã­TÉ(LْИùr©Sstôóû‚4%)¤’ú*Ûù°TbygGp]¦?_iqÀ4nTðø+€W` þV ‡S£Üä8q¤uˆŸZ†ƒ/Â’ú!…ŽFˆ“P$$6 ¬o´a=Îî‡#?`Pv4¹vô†4_š_†«Sv"<`j¥²bD—¹{¾{î®Të ¸Õ09š‚jÇPKd×DFs °: Qž”–:døÀ„&Õ +Åü !…@«"¤P+å¤P$®B129b!3„D³ÁùFí”=ãjôàÈP#U 1ƒú—‘C(®)'BÀœ+ WL—É:í‰"J‘s´*úÁ¡Úц3ý€/›©w7GšU°Rå(’ +Z¡ÕÜ:tËf*ð;Wh¬è°<3¥#W{Ô™7ŠiàȈ©æHZ­9’[iŽ$A4r¢eÒ@©fBéiÆ€ùû;ÌR–¡˜ÓÙž–K#ræ ë\ 6½ÏùÒa&…Â.•™º‡)MU¦Q%O‰‘ªÀà +º* ÕãÜý­ÂÇz‚‡GŒM{¾«Àëb­Öà +'Ä‚ÀØL™6/ +ƒ3±)¦$…)¦á2 ˜]奘¦Àd7+ˆ{Þ+Aädï›u~Þ’¢/‹ GQ·ÂLA鈑f²Ì”NRð¨BR.‘ºÐ‚õŽÒÑg ™Ç¶m\³iûÒZ’tçv$„™;F¼‹+ 0.®`$ÞÑ[QÞÔRh ƒÖ3;jLkд_B•I¥ ðÀH-Ÿ›‘&Ò›‘~€€´)ª``¤§”*hmT:!¡’ùE¤nó{¯;8ù¬(½Õ±"ÔƒÃv>)­ÅX̹àŒL I”0·Q‹±‹x׎¿÷SÃä}½Žþª~cpf™Õ Ø8<¾m +F?q#nQ†‚ ·†ùêuDc™n"qYè+v€¤8¸fIªAÍVäAͺï[³™Â­YÒá°”Kk?ÛAÅ‘iM›ŒÝøP…ÅÍ@Áûî.{òªNý-y8vÂòAG¢Y 2Ž,…+ +Ž ^eì]FœX%¥¸["Ð=D„–åV,usZB8ó»1e +´â5©5ż?€ ;‰P¶Á¯“å% „ŒÉ[¢,&¯Ã£˜¼&'1yý(ÒßQæc”Ô&Îj0_df lŒXpRJñÆí"!ûrá•­@¹µŸ·ü©Tã#›Xc=‡F ÎÜsáçBä`g +£ƒ‚…¾ Ù[Ó +77Ô£ÊFÄcâ! yCðf#AÛ²‘0Š±‘ðu äâMÚDD0mÔ[ÎÀ ÍzF œ™…s¶2…•Âh¯-4™à¼hA­½uË“Z›¬!ðrÃîî¨YÜa9Êö¼NÆý˜R£tSJcâÑÁñ(7š‚Bš¼œ ‰;Pz ÎÝOâµi1cL³•1¦9mŒi *ŒéZp°Ðgäó¢5²{kK£×"Mœ2ËÊð‚‹ÛŽS‚²§Ê¸íïQº ?%ä!: ~ D´âƒH¡.Q œ„À¥Í +®…Á/ +[¬ËÂÔØ]é²Z‰;H aÉm&Ây ¨Ê=RJ7<š”îß’”î‹Š%6.:¼ +DŒÅ¡1uB_oNâ$v³Bn°Eûñ³Š-‹Šþr’•;a@ôJÍŠU +uÜ+ÊQ@‰ <Ö¿ÄcýD2³Ý/Ït¹†Ãœü£ÂB,º¼(‘Ëi¤N´(‚©- Yã¼ðÒ³—Ñyã ²»Ö†áÑæ—ÀB牣‚¯dàÛ'‰@Kxì¬Y™º‚ÑHÉÄÒa &[¹ÊH攇7B+ˆˆW> ò”–˜•%.ÁûÁ´Œ¶)H>îÉë¶gâW«do /Œ)Þyø@>ýéòS€æä›M6G" &YÈ®EbX[îäâNLZ-µRÔŽ±¢œ ˆWF ªºañÀŽxÙÄYtÁDìƼTiÒŒFE!¹´v:zÕ±(d”gÒÙ ‹å€‘Jñ£òÙ«õr'ت¯”W YQ4ˆÇŠ3J¼n¤ÒÝ%K +ïãßÍ|é2•øådòW—5 sÍh¦ +ÞØÆkcxÇ §‹ÖÎÈ êsMùq?/¨U´ˆ•€ÒÒ¬&’å¦ïx9NÞóS/A¢[éŒNe*-¡á]ÎMæd2Í›Ñ|ÀÔ‚7·úxmZU9ᔤ0ïtêÁ*œ’2L”Ý•R(G$òefôÉH0©3‚ÜÒæ嬦Ë+¯L”z€:Eò\L92'[¥XÝ«FÑXëDFÂõPý‹¸¨~ãXTÿ9T¿Ø*ªOUŠê1 Gõ£Gõš~Y¬¶›ýÙC"FáLJŸ@çE¿G¶œèä ßèPÑÃÔ[ +•@ȬN? +V§oÝÔS"ê ¡õ_ç¢>E·¨¿|XÔ/XVU§Oî¨lv2‰>×ÀÀ$•’0¥[åEÞ^F©:­‚$If(CYÍ¥ô*ý¨€*}cá)½ÊÙKïýfúÏ«™þðË7}ü¦O1¬Òƒh¤ôY‰-½¾ØJoMž<“¿úÄW0 ×ÿXt+­1&D”a@ŠCeŽš¥6CfGOÏSeô­@eô·3z^½@Ó=ŠÅ}¥ÍHc0Ò³"Fz'@ªPNú÷‘è#—ÈãÐ6TWx æ… Uð+«ÉJ³uØ!¼J22¯U˜#:`yH`¯Nk)vBÿ'‘ú|&…~a…^#a¢0Ñãò'zÂÇ=™z¢Ï@èUs'zÐ~ +}†R =¥`‡þñ¡¶D%˜aˆÀË+BàaÍ-‘@ïvØ¢ö$§”»â7äù³£5TˆT‘|8Dÿ¼4RªêaÁÛ±_àG À' À£žSà]ÀgÐZà WàÙ½ ðà +¯ï³À‹³ÀcBVà#'Qàô¸úœ€ž°Z€ÞÍРO.èϸ}i¢>Oš¥ÇŸÐCQ:ûÕMi¬C#âWðµ‰Â+ +Z9x*µóV­x>bu>o·JŸ»ÒçUpëó”<=Â@o¶è3Oô¨6zÎɃ^²ð g”©ÏÛ«Ïçe‹åó0HàŸoãûó¨ þüÈB?ïQ°Ïƒ{« ˆñåÍF|’òAi%æAp©Ã²ñZâáHâD`#átT¸6¥(&¦Õƒ¶W•‚xžcÀxþdøâyÿx¾ôñx>ßNž&χ›’ç_gÉó£ Éó˜äùÇkäùƒeäy9ÖxÞõ"žW÷Üó&(áy12{Þ+°žï4. ó2Â$ó>ÿðÅúIXN7v£‚g.è¨>5œßÃfÞºìÑ&’ª +BÐ~D/Ë`DàtÞ¢Út~€Òtþo£Î‡^QçqŠªó¯*ÕùÏMu¾åAuþ@.ªóÔ©ó Æ©óµyê<¼›:¯jL'Î ZJç?ËñßÅch$É>è†ümcœ4ŽE„Ü—Ù8O,?$?"H¿Í$º—Ó‡”_PÆü[ÇLÐF(5׊ÉP»Õ‹óÄ‹ó-ÃÅù€fëüÇog¨Zç[HØùî%èüÊt¾£ƒ$°Î'XççQ óm#Ðy³ t>$´ÿpÀÊÏÂXGi%Ù§dмLZæµÓØÏÐu™Lü]·ƒ#„ +Ñ0.HÁ) ¯Ÿ¶ç©#`&X‹Á:å¼£‹9¯š0ç?À8¿‰¼œW¸hί+ÍymRà<ª+p¾SÂ6^€`ñž.Ú#ÌJ °$¢`%ta‚†ÝfÞƒkeÛ#òøÅbÒ ª‘ìñ¹YÙá¾Y™œ~ÒïzÝãŸ&îñÅ‘ÆÔ<ŠÆÔ6JjÒ‘—hÛ-ßë|vÎö²–o¹ ‚o=”¢¸ÑC#:¨ÐekJ1;+­€BÃX@â’‹£iO¨Ze“Í%Ï +zÌf f³[É3 hce…oce%DN?bðo‚<ðÏ‘MS‹¼JSSp NlJÚknJZºýq#÷8±}ÀÂE7*oî§~ݽP)Ù{u{å Ä" ¨‰Z4üdOÅl“çÀõÍvG¹N9ó¡êÁa ±ÎáD0‘S‡ +R»üN©àõ¿´Äÿ ,£R£ì¢R3@†vz>†–¡ƒ’†"MÄ­³ÐÛ¤EC?€œýÙ\ÌðŽß®;Ù Ý…}Óu^Ô8b«x8b³§Ð–Hӳͭ¾Œ‡…]÷û¤;mlº[ç|oi²÷ZdŒ‡ÞÀ7ƪÁÆ ××½¦üqF¼äÊzy +5 t=„æ!GÎC0ÑHì:]Sƒ¤³Î’Ö„*ܸy +mÁÀ)Ù¯ºhê-¹ +]ÆPáºÉàáÄOíáR:íá.ó†ë¦ŒîV5Ýt]Èñ=Ýp"x¢Ïa¼Lh|D>øîŠòÑèúà•¡W%–ùÎ? Ìó‡àÃW)A—9™Â^PˆO|µrÒ!=FSŽdap¦ ®…¾s¨¡À,Ä&¡ËÙ^©¸C£zµÃh ĺx/BðH-'x-Yuñ>@“I]<…ç>÷A>ðÛP>×…µ%BÚ‚›GÀ ÉÃW*°aò!KEJо2fˆ¢°FH¢*£(@µ#ñÓY÷¨­pÄ0ÆVj0"3¿ÅŸ@-ššôM+í~:­‚lP‘Qb"x ®¸n¥äAzßèÙ¼Ä Ÿý;eÏþéŽÎþmV: 2æ5©  T€}uJ€ÒHÌðsh1°¡)„£˜ðX¢¡ò‰±] +Æ^Ü#Š’>ãXjR€z[é­œL™­‹ÉU:“ DX”<|ÒTÝ&u`,MÓwA`#ðä¾æGó>@¿ž¢„#̯)A®iáÃÂþ…¦Ø6ǨÃçw3…%hÅO@$DÖ‰¢ŽŒšÆ{’–ñ§X“ˆ/sHZuÑÊ8äç²Å¼™òNH 6I âeŠ›srrÓŠr¡|… +NOˈ¡ªã7ZQÝÖðò2Ø#¦Lj¯rYrÛÅ)ç…ID‰" E$J²ABlÿ›¥›C’ˆHü€\#ƒ÷ˆ +*ÙvšÜë‘C–¼Y+?–)/ 3#”l6¦Šyl/³d$gLbúÙrðŠ¢ß¥BK.âHÃ/.UïC-Ôð°W3u­°Î›×Æ*ãBÞ>ht\%B¥$Ïtˆœ î—Z§.ã¤Æ!+s†&a†&µŠç$×kCR ¹¼1¦df +Æ61ÖÉe®,¤{Žê‚äü€Nœ­èÅŒ!h$ÃUh#u:ÓDJqJ  ©ÊÁB})ŸpMnh=)ßfC…j³ãÈìÚsÜ8m›3.ÁýïÀliìØYà|+¯z¤P!Ö°:> …ظ̓¼¨˜™R¡bXsÅô¾ŒËD0.3ó’s±`´Î¸+©èY:¨Ð.q9Ó×jžRUÇ ÕÑŠ¤P=¢¤b2—Ã:É}h}$Þ]Ì{³z‡ý€B +)´°y~·5M7ÙÀKzyàEo»òº/d ;Ì|«h‰€›§€¡GûsÐŃÕbœ)*Ä8Ó Ì8Sý8SÁCq¦+V¦‘n Ø)ýœ«(Ô‚Ä4RÕu W–­_øXÝqØÔ´µ$z,´tÖ´0“¹ #¸Ôyq(Óû8#å¥3"vç0kë‘ìê™ +f±Çäú@¡¥ +eHrÕ»8 Þ²ÂàÊïÉu‚ ZQ¡ Z9h5|^Ðî mͤšõ°bˆ·¶Xæ¶Ý™K"±Ë•‹ã÷mµ“÷N¡“þŠ*;è8 +ù ƒÐ¬DŒÃ¹lAÁõUÆTRŠëRMb +”e„þ‘ãJÈ›eW§3 ,Maj•Z¢EÎûcè,Ô{âÒ:˜¼X¨—û-êå‚TÜâ:$§—{NL/7°ïKÊ“×2†ôwòØAáÁY Ú–Âæ‰AÁ.`ÆœëeÄØiRŠ]¦5A` "J£åQä¼eÅÔÍÅXÏ@!$83dÎFëÁhD[°Ð†6{kúA³„‡IíG)7ÝjHŒ²®m¼ ®:/“¥KuP°+ß̸ÃÒ̸púf<®FìE–RL’_"á0DDÀ?,P¾é-Ï7ª›]¶¬g•%i…r çBè2/aô¶Õ󜽣þ[¯P½.qÖçÕ‰R€£)@.BFáù–…f°Z2ðS Óå¡OÊ‘¤ËÈ:7|€¬Ø¬ ¥K³2œ\™•Rb©]È p;÷òö2–q¿D*ñ€ÂÏÄ!t›@Äæo„…u ‰ Ö HêÑ‘› ¯QÜ‚,^Ô£åµ l/—ñ +ZkãÐ=Í!_58M‰;|„Tâ.‘—x´^ò¢CX°Ds„ЊÉjÑzC@ynY'NOÁI”v'AQ|³Á2Š˜¤Å‹@%˜×”/ã¯áúöµ±âòUãX¡ŽQÀr€\¦ç¨Fži‰Ä‡Åe‹‘“„à-M—+\-R‡^rÆH0‰¹aè²B+ã!]>`½ØÆþƒÒç"fVS¦áBŠ@‹;B,G9T°ªÄ7(“ç“’øHÜÑcf¿Òn†Ͷ:S2'Y9²™Èd¦–øk‘쥕;a¡ú…"s +Ià•X—,P](XàÆà«I—A  šæ°*^›{³A= £edxeR•mårsäXt! a…Ld‘f0 9Ä’@kò’œº;é ú¤rž9åñ™ÐŠâ'P•ûƒ ý=â…àÚ—A@bÚÏI4Íø© Hš–MG@èœÈÔãÂ1Æ'Åp!@¤ Þ‰B#*Xn}1µb¹ Ë Ž‰—’L\ƒèÒ¾´f0]æ3œÌ´à]ÖœÖÛ„JÔ²áˆ'—ƒ:‡" +òNÞÝ«v¸‡!nʽˆ =C÷æäˆj—&Í'­—âÆ‹:©}*Dª+ŒÕvñȬïù‰þd‡þ`ÞÕÊå€5:áŒ&¦µóÈ«N­ùpÏ€²1>ç!#‚DE yH'Ò"¸6 +DÊÌhÏI0‰59¼H²x“’)ÃYM¤=…tJ‰ê`1•érºÆZ Y~µè20ÑÛUi¬þ&«?ízõº„^ýX8íêiÖ¸z1ÜVß2èªïHª ®ê—ú@Œ'CïÔ¦-d*ÎÛµ}ì0‚mÂÒP„kA½û© žÛ¨±Ô3 Ô¯˜ ê³—õ#XƒzŠ(ƒúÃ8£žÐÑPÿê€szѵ(uÏJ¥e±àj É‚ìFä>ƒ'“f%~+‡Øf€jÕ;0}yyMïò¯é5*mzƒ­0}˜'L¿B!LŸI¦gh¦—ÕƒéÑÀô™Uú­Ó”þåI”ºé? ÃH>“"šÂSÌÒÏ* C«YJXƒÓ œ¯ó1=u,a‰Â)t·7&;7JÒƒ¡–ô&Kz œ ý –Iÿå@úë&½„€“Þrž…¤”¤Çêô­N5ú“è2zQþ=ç%Œþâ©)–è%èóˆBôxýâó„>ñ] ߈t„쩫ᣩäò ×}·v 2Ž$=šÍ|š,«•êò¼·ëbfÑ!zO‡½"~ˆmà¢ß;Ño~Eô š"úX爞¼9¢ÿ~"zV¾ýŒLWô'NžÐ?ÔJèßz„ÊúÛs†Þ „¾@cž®˜8C ¶d¶ºXµCÄ—œÂÃ<žÃ"òaŽ•Öª39 ›–>§û-Iݽ& +$ðØ øË‹xZqx1´ |*°…ž‚êo[~èWÁnf¡'VÏ9ˆ¾BfÒ‚|ÃxÄbøÚ^Eÿ€wÕ½‹ú·‡]ŠŒÅ‘+#x<ª6*ÉÕÍàÝÙÉ*ò*R¸C9~C×Q†Û–g•žGƒ~Ï(@¡$@Ÿ  ç:èIòô™X@/{ èËCô™‹úTƒúIýE5=½­Aow/è «ô1§=›x}>ÅI\@-yŒçö·2üÐ^LdäâÏô¼ùˆÁ0x,È„ü’TÃZOë¨Ä2¸üyÔþA>Ϻõç³|ñyPIñù†§ø<ÜÈ?¶ŸŸ/ŸßTŸ¸‡ÏC;|ÞÀ‰?/ãñçß8ý<›¦Ÿ_oº@' Ÿ™ûQL„k*Ì[‰?Ý€\11µ´àCŽˆ’ç){€JH‚ªj²Õˆ½>šwoG)¬L²çC +Ùó ÏÁó§èöü Ä=Ï™žW\žo9Šç?ÇÄóz€áydáùK¹ðü¥ð<"Wx~~ž=…ç×-ëø5v3l<ÞÌœ0òÿ£eŵòÕ¹Óy:SÊ_û…ZLÉ4ÄBº‘‡U);ˆÊŽFΔ¹f6Ô’Pý…]Wb.&çp“Î3lIçË„¦ó­L¦óš,Óy!ÔùX7 Îs"¨ó­PWûd£2îŸ9¦f‹ +ÆìOiÁäÉâ…¹ ’›­w8ÉÒÜËÿ˜à84A"mâj³»Ò½ÿ‡bHpý p ±Gã̪òì’v9–•FŒ‘Õ°…í-!>VìU‡š>QB¬£B0óÀÎ<•.,:lpŒXƒÕ¼‚‰!• Ч›¡¸†$ûJ­Ìׯâú?Ñ! ŸÖAª<ª±–ס¡ù䤑¹LÚÀLÇЉà¤\ÀÓó` V•5‰oÇõ!ç9•‘4w»IÄñàšr6Øbƒn*Ôÿ¥TBý•me®¨G²«­Œ„ÂÕ1qõ(§Ç7¹Óão¡Ä©­‰S }QCÃx'-w ÈM±ÈÍRá¥6w…8pj)âLÑÀЉ«„Æû†{p´[¹À y©PmE¢ñ5E8å Úy:ÑœµËɸˆEó ºÝzo“†q“–y  °œZ÷yšI{%!1ikÜ> -§[«a6Rëp×~s“SîuøfUwÚéhÙÞ<õÌ r/#ñWŠ÷ ‚ Ë +DÄKÒ¤$¦øC¾{š1ç\œ 7Êáê4\®ˆŒLòÛY©«8uº­Hô ç¤—š%7§µnöl£Í{É.EcnιÜÜ-H}¸•Rzݧ.êîÅq:Ó#Û<!ž½ +‡gmÅcUž.€"¬¹îÆ ±—üì±>Þì}@gi^ýÝœDmN§’t4/Óm³§ÀåŒ÷fì))ø˦åSíôëu6.˜9´$ ¤Uu°ñU<´>MêVC£!+iBóXˆ¦‡?@ É6Y~0]ªVà|G†?'ªÞ´@d*fãVL\Ü=œYš·bðfƧpuãëk½Ä×Jè•ï6Hào¬°*_«µª|}} ‘Áã¸#? Ü5Ôë*h±ˆ€äC*Agä¡aåñ:B¼}aâcœB'.DÙu ‰oËCŒ«jò‘hkõbëÜ”&A8UЧE_V„¤3ÊD®êkqƒó~€ev!1‘ù +­”&±A ¤°xÁ0D°£à*}¾U°Z Iú´ÀýìV§ÒB8Q fËê Ot„]Êp +]8…Oï®?ÛH1)D8æ9?`A€ÆáüÈÂÙ0ñ9r¥{Š4åªÚ³T ƒÓTÄ-ª²$ÆÏ“J‹¬‹ðH8÷+ƒ þ„PÅæhÝÃT7ø9 Ÿ+HñµhO!IkOá8{#4?½z‡z +A­›sû£ëGUFŽŸ@q)hTåØGŠfØ@nFG‡¬d§­|Œ¶B¹ÐÌšò¾`“¾TüSZÊù^X,šFpúgg‘JÙT5®ñg YzÅ mÂõ ¼(_,…µ¢¬HL>ß[U/såòþ´”©—ù¯õ29ùž(Ö<93‡Œ~.Zª•%†šº4_º#ÕVRÝ+¡…šZ|%µ´è Ö¼‡ÖLÉ‚,¥Ëm¢¡]»X5èÖðÙ4·€Â°wð±á÷ÞWáõÊ4a2°[“fDl“ ©A÷¼‘+qmzÈæó´Œaª@`þ+9šþJŽ¦­AŽ¦š +McÆM¿ÔÇ‘®Þ×)å˜ÚRÅ Š…jøâÚn`Äú¦Äõ±LÚìwG\ë +t»ú÷¦-*ß‘ZŒ»ÙDo¼¹w½ D)ÜòF…ëèŒcÚCãÃqÄ÷‰1 B$Kò’Fs$#Ý€b™ÀÄü»¡7P•Õ$š‹D¬Ûwë~!k瓈µ¡Uœ1ûZy›ݯ‡¥d®UhÂM;.—==Æ5ÃÉà]-Ê«…B×ë1‡v _¼Ö õ¨UøHÎö 4Œ9!Xž$ÁÁ™FE(Éw3ýU¾AaqÇhjtÊLµÅVBË;*H(^ãýîk|¿ Š,® QÜ0W™‹‹ÕXà=uÊ›™˜ögÇv`gÖTx½4RpÙ0™ñ7Ø1GÈŒLÅ}CG„ÔÞÈ¥}ÉžÎìÍ Ö™'—S¦àx(bg}ÞúÛ„R/FÑUC2†–ÁBߧd0x¬Œ*ix°êÉß·&/Ï“ÂàæIaO¡¤pÈ7Røppøt78üQ©§8#Þ`ŸRœ + ⎈…8½åxGü#«‚²žËlÁ™Ã}¤:“`.|Ð)xPÓ- m¡S–ÿÖ¤ÉE¯=ø›.·ŽÚ’‚²óœRº¨Uâ@±Ýbó}œ¢$è\Œ½=Ä¿Ú'P( Éqo¹»Ý[V¡Ú[†øÈGŽ «nUg=c‹gFã\訙BÅóÅ4î0Xèb{kƒ¦6u¤JÚèñK‘œ£—èrB(Ï«½èÕ#zÑ*zÑ ù{k†¥žÔ@x5Ö`²¹a{\V=~qÛdêåíñ+ãÆ°Râa22‡‡†!:4:âH\„ u‰BÄÀIÄŽ¦hsF°x!`Ë‚;].SYk£%ÀWP¥ ”•×¢‡J¬h™“ HjÄËÝÅÃ;ÒÅG®‰CG}ˆ¸"h{ñA° ©Kˆ«›“Ø>ݬp9èŒbµøñâJ2Á²­—×¢ã¾Fè ˺æ I Y5 è‰;¥©Äe¢„Ó ˜x¼+ƒèŠ¶"Š°:ÿô6éÄÇK²U>¼BjÜînó0Iòhd`€ª˜xj‹¤âÅ ÜQ[ñkóZ²ÿ2@uàÃz3‘g-K†N&QCŸ(F‰Å0²Q•T(Y›¿1ƒIÛÌ‹ ê‰0@0)t¦©PšE±™xHL ÑÃu@ˆ&ßȤZž.÷` +œ¼²Èæˆc3‘ƒø‚%4(yIàË´/\Ÿ¤+ÔJ)KeNQ$´ò‰B¼²‰@¥åëñòRÉ¢KëWºLŠdÉšÐDZ&°xm&®[ç|€„µ²C]›”B§×ÞÉDE’èKÙZ)0›æ”ÐtB+XDª¨ÞQiwÉÂñºÄÅ’m@LÄ>˜p¤,]fÁr9ÄÞÊNˆ™\Λ%t+°W”Õ”Ÿ’áŠ@‚LŠi–’ iuʬ¦¡µ@­¼ˆ^}€ØÙt™Ýüu†R°hƒªÍhZ Þ6þÚtn9á`(iíèáaÕI}î)}œòúãÓù¤á"æd?! åˆ(Fú€œr¿H ­—,ŸnA.¦âý¥VÖøQ‘»­±ã·´ºwMP°M¡âU•oé®A€Ob耻ŸÐÌP9¢—afFj"¼¿I©‹ë zÚ] ?µl×¢´ÀAU²èV Hf]Bš¥Ù&°6À¼W×1Éz\3²>þë»Ucýˆn­ÏG«G™Õw_¾úÔ)iÕ8œúð†®HØZh²£I6öIœ€¦‘Ž×J qVI±u0ÕSTÀuZ¡ð‡­„Ë_©¥Õ¶§fðÓÒÕXz+K%I»ƒUˆvÓÃñvz/¢›µÿÓçß?ýÀÍNÏáØéO«Àé-oàô ùÓ Ó§'˜ÃX¥ßC¢Ò·*yéYDJKBwÒ“0ŠG´z¨tÁ?´À!é‡ê_ÀzS¨ñ¥yè3áé¤O -Òc›¬ôVúE‰•>­¿Ò¿&^é?Þ+=ÄЕ¾nq¥g.Ò‡˜‡ôÒ ý‹6ž%ž¤?éé? ”ñ›ù2zÍû=f$D.ÑWRλägåcPùcÛÈ× 12 HA®D“€ó™-lDI³—'[AÀÕ è3}êGÏ +}£ÿ€KwŽÞ0¿£îèU vô˜°½ÂŽîØÑ—–uô[*Ž~/µDÂI¢½Ñ{Ñkv…è)—VôE+zÇ€=ƒ<…žÑ*¾€ƒòʲÀS!åCR +¾I2¶¨«”Ì„Bªè9¤a¹êPøŠ£÷~.veCèG¬z“Æ=„•„>ŽhBÏ…2¡'ýLè%4&ô†Ò%ôk| ý€,Kè}ÃúÔˆ‡>d²C¯yÀ¡¯ÐÐGH0ôW ô +R ôµC%ð·+±a‡Óbɉþ”ck˜™P÷°(>(A'‘ÐꌢwcÕP¡?Ѿ\+çýBÐJ ñ/ðÝ +|¨ü"‚ +üª<&þC¿ïà¸O]7;×ÔVàY&VàG Và9-+ð—(ð8Fø…Cø8È:è ðz{Z²ËX„¾2ú—«V«¡c»/œC—84_Ãrï‚á…†j µ¢Dt_ˆÁ`A?ÉÞîú¼§¸>Ú8оô`Â"è]ô=ý‚MA?Р OôXè}ªp‚þóOÐ_<&è!¶zEéÞÆ<ÐÓÎ ‹›+«öǪZ#Ó6«Þ¡«/˜ùû€ƒ™œJÄWXN\Ì™¹x« +ªá‹r=úõr+‰—A¨€Àϳàç=”ïóuÅü¼ˆ*?ÏËÏgíç&öómË~ ­Ÿ÷ˆÁJ=¹è7 + ©¤ H<æ„.ñ2md4bse1S5Òš6Øe¹;¹°Î_AÓx¶™®Â'I‡V_}Pí3t¸öÊ:\C +( ôДfÀZl2-mŽ‹BuBpuˆqUöé¤ÏÆk"  +–€Z5ÄÂè†äPÙpxv4B^R!Ô'¨N¦”€®ÌÔ¡{×ŦZ¹©&›“6)š{4 ´’ã+7 +fXl7ƒCÚZO¹°C@p™áâ>€5¦¾Ž´—v×-<å±á¼ÜðiÑ6ÞAõòG&æ“I­¶Ÿ*"xì)XžÑXxCCyx­—3Ò¾&QÇya)0É*šH$¼œbè¶zðu,Ý qtàà³ qDñu©Vâë.pÆÐ%JO7¨œWz”‚Çt·'ÄÿXáy¡Ë—Iù8é¼L(à"²¶‚0Ý0<¸Á6Ä7*F8E‚H9%læ‰&hÓ¥ÔHA±™T1¿¢RfcVûͬÃÓ->Fø<‘(û\´(û6ˆÆ“'h¡²ïX-*û4Žð1ÊÂå“E¢76=¥€TcUy‡Œê-"è°3K`Ùò4ÌY*âÈ1^ˆÑU¾#J'E£H¤ÉM®±ÉÊ}ÈÈm¡KGíjæ X‰lÚñ>ç¹vQC^ÓªáPˆTdqUîÜIŠÅ›ŒdKbví Ø H˜bb rZŽPÂÇPÂÇ¿¾%Q„x-Ä»v-ÄÄ–DLÍÅñF—b¤œŸhû`@--¸Ó ò)\lhO@!·ˆR„NG¹Ê7?`ä*ßìH”ož¸Á¦\`ï¦\cÉ77Ј`¢XÆÌ€àÀÙ TgÁe¢œíft¤=·hWæ4€­X¨îjÒÖˆ|¤ÍöHamN¥`-§Rý•b¨Ÿ„gR%^=®$±ÎUÀ²íáfÍôaÿ­ÛØTNµ4w=2.êÂ^‘Á‹ßNóz/y2ÈIÕ +ロ74!ª#ùRâx…O…#Ô*ü¸¿Wwç†NáöçòRLH´–£Cbý€ðrH¬»wH¬”ó#Z pE´Š¢d}ø°¤W¨k-Œ@Ý6Ò†æ¼Iv᎖q× ~ËFÀò† Š;à&ÉSG}°›"ŒòØ +íGÜI±%á, ‰yBr£SgGFøÌ|Â)dJ4«Ck³§b»U†F8¦–35·gÍ DdͽS¶iD—E*ÑŠ4Œ•â!žJIœ‹¤KdŸÏ‹1e +é”)tÝ–Ñ¢RÇhÆÆtìA/ºŒto½6zÝÊ>kø’ɲ2t:.n[”-y¨Œ?²Žj¨ÚÿWÇœè)Næ*è_Kü‰ì½… +e2hþÐ GûäÏçP6ùÏÚUÅð¾J¹ «KÅÊSž™!¶Äw²-ì*4i¤ð^¨]ƒjk‘2F_5Áê/Qœ‰¬Ý~0€j¨› D¬e[·f· ~›€ ATߊ¯¾úëZ¿ë•vKŽn¶±Ý™DúîÕ9í­½*À˜C¼ÐÆ#Rf¤â|ÓTÓP Ò©gár¥¦Î ˜nýH4¾CÁSÖwãÇŽ¬¼~‡ÂÅ/!DìyÊ äCGMÊÞ2*×OBÓß”]D² n)yLâ`Yù˘Š¥ù¦ít°Ã\çÜ»·z¿™0úæ)1FÄóDº,”¡'ó¬UJ Z˜²V=uàu×Brªð½VW vl‹-t"¯Â}²Þ”áïrpæÁŠ%Â…ÙåO‹êQm†æÿn¦"°ª(²Ä ‹½€Ù[÷ú]ŒÁ+ýç-üà`«[à˜} aÊΛȎÝŠŒEé±UqßZG.òp µ¸%¨0†FÉÏ Òp8¨†/®A×ìoèMdI2›'IÃP]•á•À¢\4̸N¦ö§l¾ÉÜT J=‘k­Á×à ¸ØeçÄq¾&˜jìƒ]®cYOßùÌ<;ß[r,žÚMøMâod‚©¥»J‹ 2Kš©–ë@D3'Ù k@hÊ6 2¦L6Ѐ6R–ä_ݯA+vÕXUùŠUľêkXùDB¢’=y¢ádåŒRwˆÊœbÀ|ÂΊ{f¯\EÊ!##šÚ Ž}OÀ-§^1/Y6\Hýu²;Z›`&ûÛ¥ó ø´úO!6^L¢Å¥ˆå !°'!«©„å3ZäZ´—‹W®¦Èf‚M28Ñ0{{m×ÁÀ¯×!Ö zßÒ…Ê(ƒ[díƒuÂÊÅü)C7ñÿ0÷bŽ¾ñ|ø ì]ºW„Y,éÔPß-~»ˆë â +*S*™jÉèû_nx-íleƒ F,°¯Ò ÿßW5ªqäUbq¯òõ+µ «*OíP±ú£eÝ3öU”yVZ%ÒvÀÚàX3/Š;2ø‘â*5Š‹»½“¦«-°û)+=Êb@$qxÏ84 !._!—7À¥Ü[ok 2œÌÙ(ó@:nFÜš¼©âÀ<DZN4˜ž¡ƒ=§ÁšžùÆõëÛj(ž(¯á7”ô­)mæ7;Õ2eöÎÒ”ŠJ×”ídƒw4$…>æÏ?¥¤üyñH5_eo5€µ*€ƒ¬¶8”óÊ ²²åYÝw…¯"!ÍX;«£…ÍÊɱ_¢ú¶Ä…Âlþ[€ç8òiyQ\ˆ&°;×áÒjmc„@â6·‡xÉ}®CVˆªó,‘©0øHh•Ð¸auõ+˜éV§Œ´Ý]=5‰A®'æ”ñ9ìÄט½gñ›Ñ ±ƒ®od"ž~ãDvW<Á¤|RNTXË™´“ƒ69µ^ú‡_‚VÉd¸JT<…„"W Ò‹ÕKRöÍÂe!¥ýÜp†4‡Ui¸¡RôQylòäð7y:€Á¶ÞoVBmÅ@«0@9-¾’J¹p/œqú¥«1ù†N™0¹½„â¼)Û-:ˆtåâ>Ä䟲D¨ƒø`G‡¬•1Eê›Y#žPÎ1ðÛºKN¢Y8ªÀêì\RßK~òŸ{§~4;ø$Ù­\òðd4Š•ßaUk +_¥ÝªÐC@ÖS±:ûxd4 p ;ÀË@Ál¨%qÀ¡‰r#fõö# ÚBùŸ0ŽÌ:ñBM/sÚ©ßxApåºãp™-°¡ ìþ¡ø©%ÓTéz®%¼Á`|€kžÃ”kh{S&ÒŠejr¡îï` è|߶wô [Õ¶)#MªÎ+ s}ö5y@`™Iåé4`®ªJð>c‹‚QD(¾—ˆ>^VKZ(ß®`æ ÕK”"ByßPþêk•Õ€ÐBþx‰j’ å/ ×F^`¶º[M2þ“ûÈoŠ4$AÈê¤+óY€KS–üPœŠ*³P#I­š¯3̣ˈä@`ƒ¹€BçžYcùaEh("(šÙZ‚tµm «É8€·†Bnq—·#€ÚP7œ*Rª¸¦ÒÁúÖcß‚':Xäȸ“)óæÉ%ÒÁ+ÌØ=ö½,$~ûv_l‹Z$ ੨f>¥ÕÁ8ý-Ù#XL×üŒ +†ùðSŸ1”?st …Y Qù1gg)aû„å(ŸDÜB®¬W¬é!'³ŸšŠxÒHk£í“,i"I*˜®ôTä Øé[¶©â9•ÕÏÂ&ÆIšwMݤ4,7þ¡|254è39ANÀí1jÈDã4ÖG[àë´šæE¸@jg¥LU0¹ç»5<ð§QóÉh $ +éþ@¢‚ïâ ×<ê<~ëDê! S¤¦üÀaÞÚh„ƒyl²2Š¹‰1ªY!˜.}ê0lÐkTKÕÀ + d î6Ðì°ŒhÂqüâ´·pAÅ1!Æ]ÁËŸöÆØ —eA&ü¦HFZ¢ôt€_¾šRÍá·ÓÙ9p +û«å)† +ŽÕ£r ç±Ï™%TL&ž†;„”pÏcL ›*¼š”'c20'®È"˜ñ²08î°‰Cý ¹…ã  ÀF¬zÂÑ/±­&ˆ§ãVAôÄ`Ê °­çè(ó›.Èë[¥yñ›åS?¦ãú4ø[é-0¨ÆÕ˜;ÿ³C<` &<™¾žHµ*79òWe»¶$'ܳ&'Þ4:ŒÑ¨4'Ì!g¥ßhƒ?ïx‹UºpYGѸ$€€ §úÒQèÄoÚÀ:VúFÖ¨àQe5Z?"ΑÞ.Þd½¦­Rb!^a$ƒ2|rZ¿"$«âë¨L{ßgV#$gé_×(߬9†,ãx>ýè®SÁ˜ísÒ˜0FB¹_<‡dë”`#[EvÄ-ãc@@kVQŒ*°žÖjb¦½kz=[: B„ÁÐO¾f„½LNA£³b—^óâÙ%ï•`clh ^&¶ã¡Äð'`z)Á §8¿ + Ê¾õB†Ô ŒÂëßÄ°W&ÉíU¼Zç“J ©2…¨—òV**Ïj=Z­ÖÿÇÁ_“J¿¿ÈèÞ?-Äïc`È÷·å}Š¯íÅ×·Qp<Ö ½’›Skĺ"-‰ëÜוîyEñ„½“HÙy·0C²š)óT«%£Òƒa•‹D(Å­oj +f¹&'ÓBœ¾¿‰ßg˜º÷M_âûÃÀ÷Ò°9“õl'<¬—vþ¸äÖsu\<.:)€FNe‚¹>qàûñ˜¾ðV;„K7,R1xªAxDe‚{”ö™žM©s$Cd¹>EºÛ…øûç(ñýE™{ÿ„HhjÈûë|Ä@çp¤O@;ïuÇõÖö½ËªS(ä˜}"=—§4 0@§Õ©Ú¡/?oy­ xº±6‘åÎ;¤Ð”§CÄèë¼`õ5b .ß?í—÷™Üû¦Âàûâûñkqg0àrëÇÚ¾ŸLeíxìž(<ò6AÖC`M³4¥ÆÇ4 p@%»SmQAsÞôÆAÀ9ßÜDvƒFq…2¯PªkíòjÇ·” (¾ŸÚº÷Úû§oðýÒ|ßcìýùiß:Ó-: ™u€C)Ü‹E ‘Q¡Iïo§ñÑux#„Mòt'Ûc"Aã¼k‹k€³¡û“cHÈEàj:˜˜ÔË«o—P.ÀËûêL{QÒÞ7 ^Þ·”ÞÏ*«÷=æ_TçcPø 6±(âɦÐMÒ¬Kêõì/]¥HƒTÚM(I ¤öF™ìÜ7H·ÇbpuÒDš`I´“jJà¬Kj g‘•Ç\Èê­rï¯3[xÙ¸‡0Aa6Ýl*Ý$­“œÐ㱯Õ*hõJP&;ç ¾©Ä_T¬‰ÌßG“Wªš£Ï%Ehëqõ2T)ÅzuIï/(¬÷OÝûIÊû/ã}…"®‹,jE•Ùô¹šNŒ_ﻧú¸Àñ`ëœz°OµI +jç”Èw‡æptƒ<‹‡<æY?.‡ÿ-¥*â%Q^¢jjØ•Kª°nÆU¦ÁUiDzM=ï/$÷+"î}FÄû ™ÔÚiZ×pBOK/±‰zÚ¬D|Ú)Ãé´K JÉÎ+ï™!Ñ ò¼˜G [ðyóQòN†šEª +à’ÊÁådz؇KÊ€:ÆUàÂQ]+áž÷YÎæ}…DCaÌÞÿàÂû¤¿Óôi4üzu±Ÿ]TlW†ón]½Ûc&‰Gs(Ñ›2!d»LÈ3Ò8}·m@änq”²éˆÁÓÃóË”·ñãJ«HTWÉÓ2|6m¾B$½_rÍÞŸ€ï÷=vÒHBNBÙÏž¹œö÷˜ù­JÝü6•žüe +„.;à:;*Yä16;À#4¶pJñ6OQw§<ŒI\Fƒê2yJ†ÏÉ” ?ÁhxŸ”âxŸd¼¿sï{Lç¼´*§½§Veh5ZÜ!”'r‡F.æá0ŠÃo»RÁ?#Ò§W’-Ÿ¿Dw&>"jkd´z¤–d¾–T©|Ü£$uàôuÁ+-d¥.Ó¬R@†=ï‹l‰÷%šÄû®—Êcó˜ÇTnl¸]®Qbù™‚£V! +^JuÇܽZþ1‘´ÜcsŠAæra‰:ˆtµ–ÉqDqk„ ‹R&¡¦ +ã. BÓ¢{—k¥ÚÉE2!†ŸŠÃ›÷ó™á}ɾð~‹%2|•]¦hH¾¨6 -øÔp¨\ Crœ°Ô’•Bó¨ÔüáQ§Ah™w¸ï… +Dõ +iâÉz‰"Éëñ˜=·ºDv礵Xî +šíâåB“šÆ‹ÌMŽ² S–çUq:gd/ë643©á +˜ãZ†šÊ·ÃË¥ÂA(•Bj Èxr4Q¤!´èø€^â"'ãñÀßH{°.T24”}ó ‹v÷K)á©9­'fµ¾RêUÖc¶%Awà–K¨åNÝŸÆZCæÆÏDx|eñ­h"KJ ãzÁ;cz"\£y¢ŽˆÇ4qc¹ä¯UbaÙ²ÂX}Iæ(‘§¬Dd]Ž$‡…QÜ9¸Ñ^Ùå,A8¯ÔEy•­|ŸÎéåê<1î_–fEë‰M‘ög°;„«—5*mâÕÆ´çÔ¡$©UÜ{XöÚùè o +!).¸J÷&cðQáÍ#0Bœ¸HëU{²jå‰ï{¬¥ß­®ï·ßü±#m;ZKÕqïA¨}EâgíœfÄ¿@æ„ö˜.Zõ)ô^5j7íÆ´>z¡¤á…eǽMDVÀ#%ÀqêÞÖwo["€Á÷-¶‹øÀï€7ð{¬~‰:8Þ[ Ü4 àÙÄ*Á2³J‹Hº°°ç¤ï'åbeË.z{ Eˆ8™!"£„H™º‚ ¤ˆsÛ¹^/Ôôý¶àÁßà´¾ï×öõ˜]žkw%(LZ¡¢ø„¨»ùw¹Ö³Ô¯MìËqJ1,(è(l‘€DÙó*uÞ*¢%à“ÿÇÑb¯Q¦éŒLÛ­tï[ðòûû›³tõ.^^ Tόʒ´yç˜C+‚ÒcM„FRë‘U 9-ôÇc¡FøEPø Ç:Ô:ãLfZcLâHç‚h#îÙQEXv[HR–\%hž@–«Dz “(Œ&•LˆS*…Ôc¡”Nð…r—©$m2NæP|‚îêºEH­`³¾1‰Ô"?¸+›¸Çôƒ$!1ys*!)'‰(DAK&yW$Ò>iÃD—ùÄs·‰ÃfáÀøØZ 4²1ÚD´‡ptW=Ö +gûyÔbl¨´þó-›——d)Ú>44yJåÊiÅ5¾¶ŠÇX•rRY©>`ÑßMÄ’Ê–Ó¾wÊHr\J.„ƒ䦌¡6g'nèDw;ªãÁ¶«|4 K0cÔ@qýp)Õz Éc Ç ¶$&ð£D`ü[‚› «üZãò.zöž0…äÌ*O3¸„€»t¦Çw;S‹Èäü` 3šªrWµ¼X$6‚Â#ð—AGul!¤8(ŸUë¤uµXÿâ*y¬\kSéŒ_†º7 +ŠRÐ*FCf\C*R– YA½)ysò*çSò0aTäÈnz(¿›j­¡–ÖŽbu3φÅPD’Îe_|µ·ÙÇVé…Ò +µ¿u¼î‹r–YÒ¬(³Û¨¿Ú¤œôØJç!º’ÊÊ‚¦*mÓ¥²x]MœUZ%0ô"<“óQbÎÖ'£~QK¤MŽ%10r¸¸êðåÒ~øäætø{CñEÃéœnZ›•M**l:žêE¢lž6Ò—±RcÏXÖ0l&…†X®ÔvŽ…äQnUŽYÑ6É“ROBc‘õðùðiÑ}ø¤%>|Í5 yÛøÖpÐÛ¨­ûÙ¤õ‚4ÓÖa<×F#„‘Ã:›4´zP c6››ZÆÚX\ùE™œÂäHÆqÑ-¢¹Â`Ö³¾Çsø4H=|r9ü;¤hd”pѼ››Ûp";eÃi$Çk¼(ÌIë'ß,^"doÚã—U:’à-KèO⨡×kqH©Êͳ*ŠEŒÀO,ߺ>éßc¢Ö…ÛਘÛШÊm:î³á´²xÒe%ç܇0ìØa¦¿—m3'‘höXÃô‚€æL“2—&urå«Šê„XûyÀ_ßS‡O.‡BíÓc¤í ™¹ê¡™5 +jfB‘˜.*Ãçû%ŒtÎEæ +š3\KjUâ«Šqå*žAŠhNiäCVò†&hc0ZӯķžJ=V‹ý\ð<²âðIáðm”Û9óƒAtëÏÏÿ,®é#W  ‘²9¦zW\ƒ®.ϘKYµZ‚ß`jÁÿYUàŸDà÷؇þæö&‰ nþŠ¯Id­F˜à—%Ì+ŒiÏ)‘L¡S£G²8ÈÇå®Ï©à­@ ÿBÙm +EïàEÀá«EòXs0)²j¹гí +—‹PíK¢h© +(Ácù#·6O§ÖÕ„>©ºW­ïvìÑ)£ðÓòÈä[*íu”íš è}qå¼1ê-’δT[ßc!F·zs + Õ)Í‚/ÖŒ PS&ôª3ªi¨M:קeõ~<¦2ýõy ÛÐ(û5!Ð;ã3 ÞhÐ-Ò–`R, bÕr=¢"å©“<^ŒòŒÌÂÜí­²ZE"õIõåÚ¤ØDéÌaωez|Re õÑM] J}Û¾,ÊîŒÎ[#P]ðGȶHŽ“ò˜ËD.×´á^lòFÖ'#hþ›D®W*Œ\{,õÿ¯O„Äc°§¤ê.éåߪ,4@(Å‚P‚’¾]” R„þ5-Raìß÷Fð65ø)‚ü+ ¥û)½>ÖÇdä1±­6îÙÃ-›ðóÞ ²!ÙF©à‘„R­ŒeájLßÿLðƒ0-ø)¾¿ÇP«ºÑ¬Öh¬]¡ ãY…hË£‡ –Ǥ(µ<¦‹Æñ)±¯öCÊáÕ‡¤= ’$À‚Nx§°Q‚’jçÍážMóyŸE—‹M£TÀ9Î*! —ÇÜÀéûiÁ_´àWµ¥<]€<6"٠Ј£p@$1 ƒJp(a›>';0n 'ÝèrI¬ë%C +¯è®]]í +þþ‡à·ýü#)>¬Q‘P˜a#vƒÃF>aJÔ$D!™+Uk6”ä=5’%r=ùÍä,0”ŒIU2Ž @鱌"ÃÉH>,¸_õàt!X’ô³æ ÎI²Ê×5~>z$rÛEHmH±á×òKS£²h„Tp¬ DÁàî …+c´ +èJD)„—çk`6œ cU¥ 8Å(T0ƒÈHf&Ñà4‡ £9ÄÛeó‘rÎòìÄ}²¨-4Ôc¨œ¯T’$Ñ´¡ªÕ‰õLE±¡U7rLV©Õ¦”‘F5ê6™_°l<–é8 M¦˜˜™­ÍŒ¦•µ95ùëŸíî âHMw]¨%ÜqTçM©ž)I¬­Mç´Ê(¡¥€1 ŸC÷ù…}Ïr+LìJQ_@òXiõÙ¤%Í´ Ítlŧz‹-”Ãr±²7G)KÚ k0•v+ cgó½0µÄ>}¹ÄиUû‰­xa`mè»2y¬C©lÖP`3SÕŧúòDÊ®•íæZ¾Kí2¾À«³^&ÙcòÞZCî-Ÿ)±XìÞ*s]õ¦v¸ͳb1'nÃYÍÏf• ¤é± ´Ÿ°²ÑosA¢1{Ýš“_Hnu-TìÂ_çÔÅ-“iš¬<Ôá+µß¡Ëb/1ãb÷Œ‰¹Mk?v3’˜k‹y™¬J+mž9ä•Öc¤ÇoÈû¼9`aô¢äåZj Â2…X‡I¯P:#~#Ò!¾(; >FæßcõzS6ðd÷l܉+1ÛÍâe²õa?_Ë ¹ÕÀùÀŒ›M…V‡t¦tø“秬‚kˆŽ,8×ö0þ*/Ÿä±ÖÏ:h‚9ü†uø£¬C|Lg?|ÒöJLP‡"1ã‰;›¯e!žsÛÃCu€«'X|ÑÜ貿­8•ˆumsÊ¡rt¡ß…ë,(T)Ô¬ ¸ï\"ißT¥‚,¿±þèï‡iO‡ï1S#Ÿ§Æ Ÿv]Ïy•]§ zWèܽªç“8¡RãZd#¾«ídxŒ•Ù4éójÏÜëm¢C(àH¡B–xöMTê6d!>©å1ÒoQTŲBQdg…Þ¡ÎE?%ú,É#¸«Žêv~`þÔ(Ô¤¢[•n£Žñt“&Ñ D™yô·ºÔ=vG +‡ï@¬‡_ú§ÃϬ÷á‹<Äšž*%TÊ¢Y„šº°5'ä¨ê±ƒ4iuÿÁï°\®ÖÜÆøIµå òD¹k4AÓ>u€?û8lŽÍñ“ô¶x4_!Ò性z|­Ûc¡FC³’.y¾¦høÂiímÇv÷§n_iFv5Q¥êÔ-ÌÌ5.6ÝéÍ`XÄOjA>@ö"åØ­ÿÒûâ!¿PBÄr¬Àï±AãÑzÉiÄ›sú|–ÅEÈvû0¾û•Ü ¢±lä@ÛÊ@„ø¹"ÇfSı$y@Ùú½ÿQðXèL)¬èuyØ“žÑmëQÙ]„`;[";ÄèÓk%ÌÿRêh€xñ@è±»0àØm +EÜÛû]më!Çüá9ü’*öN;$툤hQƒïrY%ƒÑÙ0~9TƒÜÚ˜¹Üú¨{nUZÚ¥'&õ7݈çåSŽð\P¨ðZ7öö…"ÇÈc%”zø™zøù)]Ò+v¹)‘µè¸<ˆcFn9N¸¬BGåì1• +ÅÑ«Kc«YºX×»é‘=?Ðù¨›  ºi·¼¢ô‘G„:,,ß„R_ƒª‡ï±\ 9ÞÒã³è¨`Þ}[äÌ«É£œSG§2ȇÖ/$Y¯ô2ó´2£òôj{ N!· £¥[bý@×Úú½ð€ò-ÿ¤R?ƒ¹>IBZ;C¹8 +¯VÛ(~­œ=³, =ë§S!ÙC£6‹V£.ºÖõtô°2«ðÓZýÖG€ZîÙÕ†G‡û„-¥[¡býÑK¡òªá‚?ßTº¾Ç6›Ëá—R +§R%QÜZ³«5'´¾q'tJ^xèS ¨O^i®=vÒ°¯¥Ã±G—xÂÏjj}VˆV^çÊùV–ýv:}DG(ˆ5Är…N!QD!n”!QfA#i0²cà‰Çl" Ë&réxsT7Ša%$'d°œ¡¹ØQO²îèé Á[if“à¬Vçv \=vs«;ðÒäßÒÐäi‡“¦zÔfª^6ùTOòŸãœÊÊj\›² BußÒzÎ'ºN8$tU˜Ó +€z$Ž\ºt.Y.²aÔ`ûôWЈ2¡t£Ì#Þ¢,E›êO•ôþ¹žlˆrèD åäi#e÷O•ºâ¥Âð¡´Ò–À¾‚×…õX +` ±“giqâ’œ¼i dÒ©I[¥€BeDÿEÚRþ3òZ/H3ƒŒçzhíçJ²/º^tÚ~`6u_­ªÂù\eœ•s>¸ÒÒ›É溨¢v1.ò:Ðгt»@Û ê Hnåi[å±Ú­äú ²Š¥6@š«¡ÍUôÅsýï~r䋶•#õ˜K»jG Ö9­h8×|³¸\ÓnèŸâ7nyÂŽÙ:´ðƒl7ó‡ø‡] + ®é“–3KQ–þ%4XÒX&Y<“Ýh“#‡Ä“U}ÞK©§Ïä¤Ê iõ̆ r˜4·”¡öw42p}A±ÇÔÉ›!™Nä#Ød +yK¼Ãn»:­ÇìÅÖ(b`J òØ1%¦;ͦۆ೭+ôÝ4Œ´3– UÎEæZ39ç6¥(íhU‰¯>²ð‰¶¨qH»xsë’6úhh!(xø.QÃÎ!­Ñ%r¸HÜòØe™Y4ÆM³0;›–+&“ZŸŽÇì’e³£‚k¦j¼Ô$YäFº:î(vfcB“m†~¿%Ü@ZUëBÉ"‡k©.…Jøüt"ðIw¾E¶­·!,Ù‘ pO‘~[‰¿ö–iŠÐã‡*Ûcçârº'&Ñ ½2ê[¥¯°5ù0‹=Ê\—9Qµ®T¥³B=FòÐz‹*+Ô’¤ÒþÖP>✑D£´'Àeé!Ó ò`&¬­ +êô¦Øù ŒoIdêa«â×])V®tU¬\[Ñ,BÝõAªšB¡æ‡IÍ5“‡4¨ø5 f—Q9:û-7k¢ÒHÞÌÒË£o^(ââØÁ&½):f•D™jç„vÔnÊkI›bèÖ%ž»ë1N³õ÷ â,³“b€z¤‘ÿ†æ¯iuô+SoŸ/ì7eAÜ—üB7f˜FMØÂXþáï‡ñ×ú„Ú=fÚ/¢›2t»ëJ3Œ—hÁø…I±kjd¨@˜] 4»4›…ÞwqR{LU(9@!OW`ò iqÌ0‘JMغDP‡¿{ •¨¸¯.Ñݶ¤p`gä•ÅÌ+¹p™W™ £YD»ö²®]JD%9@¡»°_q[fò i¯Ê0y¬dÒo 3Aþ÷ˆÏ–@Ä×ÍLª£âàÀoFñwè¡•£UôC¯T–¬W¬4ó¸JWöì.Tç£úZ-(/ä«ÝIA¶Xe˜L)í1}F˜à–ËÅ™y²Ì­Èn™UÓžSk€£Q!™B§­NiXºV±àѳ¢x¾Çc­‘Üù¬¾ 7¾ö^&“ɾ-L$tøŸÐ!>+âßc,A‹‘w‡B0ïèÃÓ* ÅÓ)t5¡Q ÕCŸTVŸ<¤Fô«N±ìØ£¢ŒÂkj}TP šÕÛâz_Èß7ÇdJÙ·å1 D>üßyÌcó˜Ç<æ1yÌc.K š¿L™Ð¬Î¨¦S몦Q5&P›J?RŸºÎ\›Þ«ö˜éÌEŠeÚ=:eõ~V¦ß­¾’ +”{ÍjwFÄmál_hûÄ“ÉÔ¼-Œc=|Â?|6´¾ÇЖsi´ªÔeÑfúe! Œ"t§˜D‰;aÉ̃ÔXòX‘'¾8P©ˆ˜’‘¾&2_Áý €§B$xÁŽí±M&mLßÌB017¡¥67—RvjN/rjbV>7ºA7 Š#ݸëB­ÀŽÊH_Žªe8ºjO¶u­„.#vŽdg¥0Y9¥÷¶ÉB]RÔÓÎëU5feçJ‹†ãñHn6ñ¤k"ÂéÂaaÏYRc•%"Ða`oíÌ‘)ä³52)ÅP×3U«/–I‰Ð$¹ÛOWôqQ¹_ÂHá±L¨ñ;×y¿8Ÿ ©dï”àÓšjEü®. Nå S|s·ffq<ûPÚÚ§ÏãC®‡¤3×Mö›ëŒ%–<ÖYQ®‘V(¼å©t®˜L*M‹FëÇp°!˜CiG ÇËM¡v·ã±ý#PcV"óf‘ý?uŒ·%B9„ƒ±(\— ·JÜ*F§‹K›åÐEåk‘΄DªÖ‡¹‚X1Î IX¾™ …Éö7ë$ywº4yÅŸyÍTöBƒ”ªÁsr‘Ñ=6aД•JSV£BçœêÊ +õ¨$©ôÚßê1;Î2œÜP±Ù‘‹Ü“àßè´ê¼TSÌ]ùœRš%Û/ˆ†|¬}ùâÓmX]4¸ˆ,dôŠd ±Xß˳¢|&`ÊcxÖH¥w§£j¨òVëdaK†§=PWQ9:?û¤à¬FMi™Ò˃²¼ ÚáâØ™O=Ý“Bƒ‹ÂF·”¢„ÅB$4”3ºÇN•Iðf%ÑÎ1ÞI›‚dw%˜¸^W{/à„cŠ¹m“™ ûˆRDôåIEè¨^˜8v¦p9Ý[dÀGᣗf:³<护–¦ÙvŽì>áÛIDªNç5Sì!"yyø¼F›ÞbÓS žÔç=@žæØ™átvkÀI £kf¤°q°<¶útf½2çè +´Aç¤* +Þ`=0­<9àæ¬m…æl#ØtàuÍðèïú `Ž­ñ„Ó}H©28IabôÇi}‹ €Å"L,pïÛ LzÇ'T'8“Äg КžüRED2€™ÔM@¼¾~¥fÀ£%â'UØ y +)ÇÖF £›ø·^ë'z `Nq:ݣ㗠;ã=²ä½3Êå–(B˜[Dhvž…þÚeR˜nÄ“q)ÈGÿ¶èó¥{ã³Ó šD¼¤ `ô3µqµ^ín± /¶<*™`í¡0È;"ÎȬÉ#—Wëh0³T¡{öØŠéhÖÙÑ®WZ×?ɀ䓴 O¡äØf@eº)Q/ý4M\­ žÀ­w2[˪‚ü7.«,n9«äÅ=ë,è+9¶ÇŠG6·®– qÀ-yÌcó˜Ç<æ1y,c2ò¾Pq™á³ +ã–sª-Üsªâh•ô¡W/MÖ¬œyZ¥+{^üÑ -è#9¶ ›îƒ+ËqÓÄèèªu¸<˜[Wë8àšÍ¤©6,Ùé±tgZÙäSeéUo±…¶Š*}M J}S$³™I¥5L%¦U+- ‡^½ˆ}xÏË=Bˆùn8üÇqª2Èci+D›*Á2žêŸœ‹&D9ï …²¹Í¦®a¤ª²}zœ’±kbˆÕ¸J] ñ° T^³òbcD +bñØ$Ô`­·ÇTQèe®¿ŸëÁ Q™³Ð6NÙôemT5¦ H+bØ»ul.ÝÉj7µ¨Ù;™Œþ[@Tðç31Јõ"ƒ@"§½=Ækh•CâÉAûÉz걶’`ÓÎçSÕ˜[œkÍys¨ VZj_ûƒÿuQNÇ‚zUð÷ß\3>Oâ io¾!pÆÜ(á«ûÙ¢H.êtNÚјRU>©Ì•Nü 4š¹6·Lh÷¤8ߎè2³°ÇäJI1•´$b¥®}»ƒŸÖ!ÆMÎXéšÜco}ºh'c9©L©:Ç­¹Ö¯8ÙÚÍæ[âš@—ý]©>å¥S\烒lP]í±:§®=ú­0(6¹½>0"‡¿D‹C¬E*w/„Z«sõÆ Çcöãc³9.Ð\Ó¤_ý?XO²œâ”V“dûá¹Ð&…µy»ìWãZí9Èð¡!{pß"ÇÂR“D¤&‰Ïgä1Ûb8¥}±¨Rpõò²"GÇ%Ÿ0€B’ƪ5’»øTÒ©ÔyA“}ÎEæyÌ›¼¦-R*#q³EJ£š•FL`#y ulŠAªÛ•඼Ãõî˜qÕy©ÌœEfSÌÚÌ,¸Á›hž2?¨%ní¯e˜øYi¤HhÐ1‘ÂÒX’¬’䱕ö·¶•Î²ëJ:ï_ˆÚNæÙW8óZ{lk!æàdr5BzòIù?©LYÜ_k0q|^i’¥á*P¦ ùKÛERæ~òfŒºídæeL&"öù“}ÝÉB…Ï–ËÕ/H‡öˆVSJkw½¿U3qåvèEº\ +(”ŠebO’v¥I Ðøw·Áçèl¥boóŽ‚9Ás€Ì‰Z…Y0*ô`QêפõòŒ@›(¹ëýmH|dêƆ•ÏT+áQQdz‘<ÆíÖ;(³ÜÍ'Ì¥\4î™OîPÈHîTÌì±ÁE-iÂkTOZ µ4BÝàæ³ÁKÎ^ÒÐ(Rê§ò™šÔ¨Écó˜Ç<æ1yÌcó˜Ç<æ1"RÀ8FÁ›CÄ‚«sÉ'sÄHöX×Òàó@sÛô€å¡ÔYÚ?#ФZEÔؼ¼pî%ͧóô—‹"¥(¢ÃXò˜Ç<¶“txGU¶—+¤ê$.y³ð´V—·YØ +òb`V ¶"R²EIÏPÇA׿@‘ÊQ‹’W»{R)Ùn²J¥‡‚(yíß ‚¨æD¼\%Ù§äkìý"Û%HÌÐàà=ÛüÖ…Fx(§¬?P¬v³û±êŽ©ÔSÚø€°Ú¸]&¼È`yBIʆd©%Èå2’\!¢Ò Î#l;Wƒã¸FÆifl‹™¤Þ‰Í/ec„c<ö¹` +f'vaÓ‘Žá%€raƒê4`i„Eâ–¨d8Ó©XøˆË/¤£U1³Ѻi\%•F¦ ­ñ…OÝãÌYÖŠ5âî1ò¥V†mQÒ]‡TM…"$Š5 »‰[æBä™2׫á)”&o5ð€WýNDB®0½*Á’À'ÎcPiµ.4c5"ÉšFPc™@ –GëÕl^²û€ÃI+ÈÛŽU±Rùú駚.6‰4BÁW§§r°¹Óddƒ—äMÓ¸nLÅÐÐ\á +–Œ)U)µ—ÛÈ$£ƒ2Ò±i ˆÇ:ÄxõHÇ zÌ•)Dãç1ŠN‡Ãà}†K0¨!F¥¢`+õ AYFÆÊc=—q>TâðhÒýÕid>TŸ¶*Lº|é†lÒ½ Ù‡"¼lW¼L„ ½Lö/ãà/ˈ»!“èp+ã±AË"l‹Ê݃¬Õ^N‚Z‘V¬%3A²†’C¬O©XàíŸ[8&Ü2Î Ù‰”"dœLPÈ<ö@ +™ç< +Ù `RÈhsSÈÔA[ÈÊ›-dãESÈWÈ>‰qŠúD¡´Ôe»S”á)8aºWqp^i…û 󠊉A&È ƒLt8™ qY¬Ùåƒ gèƒÌý¤¦‘dcë2Eç2qu½@ã km2Ümu™M¡5A—ÑøpDEÊ… gA0!<Ef…Öîi=bLGœ$MumAÙ­BalQyºE©@TyÞW¥Ý+È&²@$È8\$È,AÆú‚ µÚ™.uÙ]vºìðtˆ.›÷Ïeð-sÙûb¸ f}怜È]Ú\Ú ?§W.›Îv°ºÀí}‚ë%2d×eÛv™çst™E$»Œ Ét™ÇP÷Úeq·vÙ¦l¸Ë8 +Üe_wËÕ•ˆ.³A.«÷—ÁŸ•Ë\5Âel¥ÀerAÉXö0ÜÃ¥u âÂâGp‹M‘×Íøé"A2!•FÖk±~SN@L¨qAA)¸ì¹L¶-\6a\Öu/—‘B—Ë޺沲Ps™c¦¹¬À ¹,Óg.[¹3—yÌD™¹,9\fï.£?.ƒÕ—µãÈg*ÁõÙ¹­ê,ÿ¬¯æØ89®ð, ‚òíÀ—¸NFAÌ)³2ø88$µâAê5î®${%³Ï"lÈg>tòYÞ2ùŒ…˜|Vµ|¶[>è,Ÿí’ÏP Ég9kä3Ð=òÙ|º>ƒIÓg,áá³Tå}†f£,à1³[­|[ÊfU9ªWsËɱƒ‰¦{3ê-MîgàYÌ4/‘¡ÁtáTÞÆ0I…çÈõ†bUÚ2ÝÅÚ2Î+à3H£à³€…೜ð™ÇÖ@Âg’á3ÄÎ2|V:>³UÎgóÈù¬sà|Æ~Ó–¥!xËÒÖ&  „5ÔNEØØΑ¤o&ÉP=ú +NN% k¨—r¹“S#ð™ ÆŽb_ƒ+C^>ƒ‰ßÆŸkÙ²’[Ù²ôcn™ã·[öòÚ-»1ô–¥ø¼e‘ļeàGÞ²€bÝ2¤n™HU·ÌÓP·Ìc_n[*·,•Å-CC> ¤Û"ÔPï3¶Sqê›Ù`¥Õp@.}$n=•2Ç *nsž$.à­Ã;Ñ‹ÜáA%ÁÁ‡—q‰2¡Ç:0¥eøãkÙæÂm™Bö[ö[Þ–Þ–©aËĽ°ež¦°e¯=Û2›mY¨‘mÙÜÒµÌ%ÈŒJé, ù1¶T ÝdÆvúv*>©÷Ø92@½pLN¥!†.Í[x·²[àšÜgðÙ”†œp'>Ôégd’(º‹Ó@´Ô¢±V-û쪖a±–!0°–¹@ -ó|´l#~´ÌcÜ£e†Ð£e/]%ÉR¯‚ÎðñòÊjïï‚”c¬_åji†alçöSÛé©7½É£¤ÄäP >âÐ×’éÐÜVƒ‚¸éC#È><öY,C~OŠU ~ÊñRæÔ‘ „ñ»-£o…–UT -¢–±Pˆ–yV¯$3%¬9Y‹Wª«м`픇F¢]W³ÏÕJ“«•æ±¶+0¶uÃp¶t ¥6óïz¯ÉŸ +ÖÇ$gÄ€Bw¾¼CuÚp·gພ™ {TçO4zLP\ÀöÅà+͇"Ô$ã¯sæ1útÈ,Û C 1ðU,¸!º+°âˆ‡6QWS蟕&`5ÆöΆz­© ÿ¬©Íâ&QÏ9t©?ÿŽú“ð~Ü©ÐL%3#î¬à…Îcè‹âÝùñJAª)(Ž”:¦.БÖÊ +%ßèÄd:ƒö$ +—1X˜ +!CMA".’Cû$ ®†©\¡RWZ`¡®4QÇ´Ø\ÁÇÙ.³&µyìÁ Þ;ͯ±¨W%&OÒгO¤ '³Ÿ çj´·ŽÚˆKGI¡K/8¦KUÞ¡,* wäûk2Hq€ÀšBgõÚBr¼;ã@Ðc¤ø +3%ä4 +›ZqZ­D£ˆ§„ßÜ„ot„÷íõ$”õÁä3«òã ¼á>ƒKÄè’ˆce¼Bgzh0ݽK0]}šCÇâ ¸ˆEÐÞ€%m~ÀÑ5“ÁÊ¢'ƒ•ÕpGœÌRpíÕUˆ¿ÒÂóȉô F…C‰ôñ‰ÇY8Zê0È/†œh%9÷q¤TCÄyÌdID\£‘q›¼Š8Ò†"tÄtê sÝ >FÝ üëU¸< •¦Û`TšÈ0‘ƒÚðÕƒ˜Ûd„Ž ÜB&¸‰ø\ )´ +¤à±–SpXxu:Γ#,âû”\0¿Èĉc +׊à2¶Qjó³72Š’Siò!BA ½?ÅþBôxÔç#0†m‹0ä@ãÀƒquÓ%`.# (”‘ž˜°Z©vÂi¤ "ún7~ žtgh×e pÆe 1\P`Ϫð:vHáuV•Õ{-”Chô«k{Ú„~}>“DJC8+"¹‰ÌLEô^;a€™c‚g!Ç‹$Ž àǵZ¯ÖN°5°Ànì[¸Ô)V!t¤X„G4 ÓÉ4I7ì«Aá©0Õ;Mð“ç1RDpøp‡åüìˆô}›Ò…Íå©eÈ+ã‰(gùÃ>Y@€ÀÏÊ$AbͲB×-ãµa|ÁfœˆŠ·¥ I€ÊVf¸…Âs\Tº!ÕÐuƒÇVGJ7@܃ÂãtPá¡$¦êÕºèä­*áð]—ó³›ô1JÞÁz¢kƒ&#^äx9ìc|VC  +¢ä¹„hs?ˆP«ý @*•–ðXR‰zCÑ PXÉùÍùŠ“ÄÑ2X ê €Ì?2öÒ£Lù~YWxf8 hx1Ð^4 -5 bÊÁL²¥”är¨Óù>° á1Í+¬ (¤÷ƒi––`¨ 2Ðpè„WI½ƒ1*|Ð ßà…‹Õ~:eÞ‰„³¡ ÁÀuε‘²w’Ã5IÝ¡V d£Ç „‚tH +Kh$0h$—H&T)„L¼qH&(ÛK'ÀÍê•øŠQaꨱ9í +¸† uµy\ÄZXfBƒ1ñÜ:Ct9EãÁ¡¨ -LÏ©q(‡A99_1I…ï†GÊäæ•g\ÂÖQdÂ8P².™@^Lˆ„² ·h0<“exläÚ° ÎC¤3È{ h€RmP SCÅn‡h$O¨ñ2R$>Rôç7´ð„qÔ÷>r«‡Q°LƒŠD@Æ—TÊ“?HOþÐÄuþL^ƒ¯!—SèÜáfq…„ó ´”&f¤)zŒŸ´ˆr ã823ñxSð}ôˆ‚0"3,o·†¤e¬Di]b‚¢J–;D%%7D%!/D%lçD".-p²ÐÀKå‘Á|,‹øb<¦â$÷øÔxÔ§}ÌQÓ>>^¤}|% a$1oämt ¦Da%c'  È'Ýž“€I€Ž¡¡´2NNÑ[¨AÅ„:\*¡p­¤Qºt;ºL-ºÜ8,tY~ ×¦7ì ¼Oƒõ0(@'ò¿ ”®"j(ïÖÉ){XƒŠO—Jª€â,ÜüXÚÌH+MC—CèUJ•ø¾<ûÁ’Y˜OÿŒLõê™îÄJh6sÒ¼RˆsãJ• ¦nÒäæÈÕä¦MÑä¦Ç<åàrvå}B<²”4ñ…‚)_Œ–±0-¨52‘3Âf>ÂOh^·{Ò ÎŹÁI”Á¬gnz¬C N–¹ÏtÃ9Ôì,“´Ô²‘tJþù•Ž™«!Áñ® …‚å(*æ‰õ#°õ_¶·l]§ÛàºÌŽ–(Áq²ÄMÂüL¡i&µœ4´uâZ:—*tº±h£: +æ]=ŒÂwí`ƒóB9:§µA¨¬¾)­i£!µ"KnpC˜2wmÔ_^Ï*¯ã§Óiw ÌÊ+1VK‡WÞ  ì\©3ú(Þj%X{SíèBI­Ç^OãŠ<‚ËS@\MÐlÑDY-1nœØ=F¯üLŒ™pÚè³€EP/ +©h«P~VƤª¿ø&uššB5÷Ø\ú¦h7 Ž t +5*I¤âlqŠ1KØTŸ Û§Òû=8kÓë5ûÌ.¹s ÷âj¶ÇÖs}ÙçyˆïMlxCgà% ”È+; Š&ƒØ0"ËÅM’Ø$‰ ’ħÇ"cS Œ ª>ÌjXu‘C¾ +@H%‹Õ2,ÓzÊxÌ{;lÅú4²øÀjd–váÈ<ÓèÈZÕ‘Ý6ìÈ:bêÈJ î1VZéÔÆJÑ$0DZŽ4Ãëp+²ÐêRd¦©±È¹È>…³Èî<´È8 Ô"󘥴/²D ©P•25íÊöøK†œ#—*#_‚± ¾Á[?ù‚ÿÁUÁW5Q÷1š÷IÊH»úï±LQït€T¼µÿPtá )%<Æh<© +&„þ(Ož„ +¤D`eNѯ‚¨³:Ê¥oN¯«¢$ŒUxBè+ÄNžXÝK<[û‹Uî2aÎîÏV÷Ï…DðG? þ`–å#‚O—ªî§y£û¥ÂÂ}Iñ²bü°" h3Œ@OnߟÃq5ÆAJ"3Ÿ jÐèëP<öºRM2 ÿîMK^ùQk5‰0®Œ­À]œ+w¾ò¿¸ÿ#î“(îÇ`÷5&·ûwÔýî±ê> n»ï±xàè>Ê‚¸éü ÿ–…ÿÛ¨ô„ºeÆ Î-ÞoTSC Eٌ몂rK¬°ÆˆZùÓíá¼ý*´}јÐö9Ôö3®}û›ó_‘>üˆ¦ÿ.ÁÈÿ€Yrÿf!¸ïžþŸÞì¿¥ø/‰˜ÛDþr…åô*5* :º¢@­Ä ¿]í‹­Wû„öÕþNxµoo\í»ö5#‘ö%¦HûŒ‡Jû-ÛþËlÿ”–Û÷<ìí§š÷_’“þ{ÖÿÖ€vÃŒÿÛ¨ +þ[Zcµ}Cfõσ6T „âÀr "—ãÐÑþBuо¢Ôi¬;íûH§ýVvÐ>Èj]}Ú÷Øvh_”L´Ÿl´/8±Úÿ.­}’¨kæÛ7oËöÅ´ýBcóŸ¥ü÷T4ÿ[‚æÿF4Ú~ÅòØþøÛw,FÛ÷˜a¥QWàâr²ÑÀµ  ÚG¨ÚÿjTàPàÐPàg Tà£D§À§!{à£#WàSL¯ýãÓþ·{Ú÷Ÿ†ö[Rû¢«}Xǵï1M,Ø~8B¶?è:ÛW}>Û¯ïöÙ–»}M‡²}Šcÿ<Û7@N†Ö·z®C«ûìŸê }C +| 6kàs9ð]›ø£NøÂø™Ù| Åø ƒ+ð ¤VàÞMû*‰§}œkh¿CâhsâÚ4ÛïP‡í§ÎpûtnŸ#zl_³‚Û÷Xqk,pÛoÜí oœ­f7ÎrÉjÏ:,E0o°¡‘oA±1*¡Óö…•Ó šÇsÎ}réZFEà±U™ )Î7P£Ë[8ùn 9ÀO´ihÀ\dâ¢0ôJàñÌZŒ*С.a(E…c*„¬.ºÞ~LÖ 1­»uÌé7^ˆä!›ä çq­z¢áðHFè:>¾» ý..•)p$@\…°àð‚†añm +ïàxß·qhÀB­Þ‡5Ò޳ĊÀåÅ`x,#©@Gö Â/o¤È’nR\(«³³º¬^mÅtát@G㛉ÈA³kî ï ¥e¬¿Œ0 ë¶Í[hJÖ@¢½ÂûÄÙá“éݺ÷jpPI(±ÑIZ3ŒŠÊcò.$éžhˆ£•äh¼K¨˜xRL o1¢'r¯|*×í10-èbþ$±zì…šµ»E§ŒÓ_Ãp¿_ÃÀñ6¬á Èuƒ*"PxžÕç䥮äü&ˆ\0—CLTø‘ ‚0*RÊ»ðØÈaöúž´¡y¸-Í®!…7çD0,„JZ$—œá`$åké€ d¾ º€Í‰ë#ù)6ŸÙã1x.[¢óÁœƒ”섉{X_QAÜòIK¸›Ñ+±Ùtáb‚ÑŒ Ñ8¬ÓQ¥ùcC‰8ÈO|^bŠ‚x#øµ‚oBZz[ú‰Á©ItºfN”ùœ(=ˆ¨W’Ká1€CÆ]Z!‡A‹ic YF ˆÄ‘ì!ŒO˜ÅOñqD:¶ƒ Vi’rÈK¨“ê\æí‚а£Œ1¸A x­®ƹ„–VÁ^#ÄÕ Q…¸=Ö´öQf9KŒ®eHR£/À| ZdXÁè\¼•1¶ƒ€@”®o9D!€û!Ë­O˜Ö‰L¼¨Dï8f*â1Å@" y¢«x +*.]*‹"C"÷|S¿‡ åäŸ:ÚÊIÈ)Š ¶+× ­àläX­ <âuƒT6òø‡Ç6©t 6 ‚üó¦Ñy¦‚cÄj2Ž fƈԡ|`%D­–òAi—øÖNälíY’Êx%…Dv/ƒÔ¼ØwšvœÒ৯™øµa=¹LÈ÷qrŒXÁ†tà Ɵ0å)à#Ȥ—Î@Šð÷ &„dœˆnx$>å1ÀºÇ¨Äú<,pa †z,Ó=1å +£ ÕH i5¤*»JÆJ>Q]ÃFsX(&§¦ +*Êœ|líY\4sˆtJ1£Ç#ÂÐb™ŽŸˆ‹;Ýb¤”JRÊ£Ÿv€ð=Æ*TÒÑ…8è"@¤kˆZŠ +!‚Q(Š€Ð­ «º Ëh1êœÓÁmD†¤ + +å­eØ’*^©K†ug4›x:ÚéØxX©Lxìxl¯ÈÒhÁïdr˜5ƒAmY}‘eÑ)nÊFPæ“ÍT˜^ÔRø›ò1ø*Ï IZIAâ±ý3˜Ä'5ÊÉŠ"ÓŠ-4A%"B5n Œ'aQ !rä–H +¨ðèXⶲ^Úñ+hÒæ11 7%Q“ÃÈU·s-,XïLÒüQhBA@;AŽA!r¼ü€Q›RÄ+×¾¼_ÿZ¯ ëëÂ"÷B*$y!8£Èh^ÍÈ…="‰ÎÌH|×qµ©™rš_®/ÔK]sòL|^H“}@ZhêpKëE1ð®˜ø8`Ï¥ó+O~j<ìø-¡öâÊñ”Ì´‘I¯(ÓíyÃg ÂÇì¢à{LI°*«Eþ¨´ ùNq}^Fùh›˜< ™a= ’qëÉÀÓ(ÿj-*“Ãt!A*x&ô[Ós\T†speÑϵÛ\ÿ¥¤y11-õÖ½: ”ù0…@¢Êc­#G.—Y•Dž®·8£Ñà_r½û+Ô‘–-ôK”­×-sM`†ÀR¾Ý…Çóþ;åA²X Q”;(]X.E(sZñÌ)Fzs“êq9J1^núQuø2jè„?u³Þ,W(”—é'0å1]Õ[,Ô§6|èò¡»º1˜VH-`öÐetÙ[Õwè¨Le+²I”©F&Ê1†€—g0«å4€|^›•ªÑ@M§{Pó‡&ĹkÄ¥»tÕi9ÖH6¿XóÙ°Á}×à‡ÎHÞQ/9¯2ìý“ê(c¶ûke/(ãÊ> ÌÞ2-™½ôdJ ŽqÌë:pÌ„SY2 ƒØ(ØÜlý +TU§îÂ1¹6ÝŠPR£º¨Jq\BlÚcéÄ,šôt/†NDø ¡Öò”@¡p±²qá}SÅU8·à—¯¦ûcjÜìÔ¸ÆÍKge‹T¶ ,²Í\8D6wô7!çà88 +í¼h£fBÉiHI«»ïKªñŒÓûe¦g2âikÔÂÎ|Ú z:¯Ñb]œN €¢úbÊêʼ‘.œ õ«¥×x½–  z-3k-3ÐaçVëì ªªó\l(4â¥tQ]VOm¬Ç¸$·/Ø»oËQIáT÷å2I“µ¸ xk|!ÔÐQD•È…a’ü0“z&y¥`-Jûëµ1|R'NÃ(ø•ì¾Ç~þJ Y«TÑLUª¨öíê ”3í+ƒJÒ\r•ƒò—Õ?œ5z± èu /¬èø\‚_!.³ÔS >I:ÈR8ܵÆîrµsªC:eŠéTz-NÞ™£µ8¼@ò†/B¥çëÕ}ËÙèþËð ¥…kPµp•Qýe¬ÚÝ«Ç'†Æº2‚>p»ÑÌ®;y=ý}œlF0ä<6Øseæ"Y¤Ø1ŽË$¦v)tðûDÈF3¢E™\Ô“B5¯qU²nÕµ =†ÿ_¸ðóšCø{íÇà›zÞýÊÅë~à\Ëu£‡»O +G°K$lLˆ-èÖ+×—7¢s{êf3q!ÎdFY‡”ùH¼h%,ª‡Ç1 M%K6Àd!ÀUa9‹J¦D/Ý“‹5wˆMÕÒ.ks> ÿkÄÂÇWá·éIð7·¦ûrë¾Ç¶Ý a;CÀ +"Ü2Ÿë~©ÑQL$…ìx~F¡2ºîl4g¸êLDZòLT'2â#[‘çeÈ“¬´ÜH¾(;„ÓÊåeP%yäÑ=v侚_Š“kÃzþBq¾K‘¾ ! ~[ª‚¿‰½î[*[÷»Œíps»x &Eêý¾Ê+d@~4Úc!iUy²Æçé²gÓù‡p³§T2¹É¯Hv9mÙ%rlÊDéС §Ž¸Qÿ»Ôúê\«Ñk#\Uøš7.|ÅO~+#Ù‡æ,q¿2¶¸Oð2ÚñçÛ9+Z¥mvœ§°&%èdùÌJhè²|Ç~^Št-‘bPâNë,­¢ÄÆä1M7 +&—Ó§7B¢{ƒ,Vy†eí öàBT„OÓ„ÂÏ4²ÂOÔ4á¯@¤Á¯G'Ág¢îkH”û•°Ä}y5ÞÉ ª³Í ’ Ó’ÛðHÒ TZV~ÆŸJ"?œÆT#÷iq”é4ÒRv¾Ò©ùEŠuÎàõª4G»"Íxe1ðˆ©E(x†ýuߤùßcr8!|L‹$üCd#|>Í‚ûˆûˆJ&Ó‹lÕabV?’Û–6Bã©Þ‚¥v…ÐRØhXWd\+—¨9+—¿$X'ÿ8±n€ìlÉ”Áµ +H¹+A„vˆ£Õý‚oþžå‚?ÊÄÁï :ƒ_A;ƒïTÿ$_EÝçÜ-îgî{ŒrèpŸfÔO· v仹s“U›%6 JR”™Z!ùk‘²–q}˾} ÿW(’ÿ"Yã~½apßcG†û¥ç¾Ãuèþ`ôvÕÑÿ^3‚ßnR‚OR‚OpeÁ/áÙÊ¢ÜÏxþO: ÷‰ûöXd Ðø¤+¹" W0˜V$õª©Õ{‚Ãü·þ{ÿ¯ðU‡þ‡6§ÿðâõ üŸ˜ +Ü_xVîwœÏ}vßó}ºŸ4Á÷ØÈþ(Ýt?f•¸¯VÜÇt)ÿÉ Âý‚sò2H0‰7¥qN±¥ÓºŠ_PÈõ~òí›#½}*|ûGjû.Õß>©íü÷Dþ·‚ÐÿÍÊô_âñø0Ü×î§ +!îÓ)®û¦‡Òý0ãŠD÷aÂýT1ûù²ÿä?pßc‘Ïg–,”Ð>i| BåËbÕV.÷f·j4Û¯4Û_ÔœíóöSµ¼ýÛÚ~ü¡¶¯ºöícF ÿ]Šÿ Äõ?`XùoZ)¸/Ï*÷5™÷†ûÚÇÄ}œ+¹ß9Sd«ã>)©xTH!ºÇ4í¸X¥á,jµ$vû©ÚØþ¥ÑØþø%ÛÿPÉö]-ÌöKuûȺýW oÿLÝ۷̧í‡&ç¿Çxøÿ +¸þ—F*ÿã”ÀýŒxá>cO¹ï±€Zrÿ>øß©püGU«í—(ŒÿÔ÷Ÿ4 R%†°Xi2–×BÕReGßÆö7•mûÏÛ>#dØþ`ÂؾÇôÐbûø&²ýyÙ>ÇuÙ¾W·Orâí7(§í@Îÿ¿røOò´þw("ÿËAÆýÄ=à>€øòsü ÿO‘Çc“ŦUY@ ÊÊ„—ù6¶¯ÑlÛ/-í‹Ÿ¥}„¬mß/´íŸêÂömËbûpa±}u5nc_¶/IÕí/Äxû# NÛßMÞÇáÿ+¶þ—šÈÄ›û¿hÿ?'×ÀÚþr·_–"þKJ(ÿ=O8øÎ48ø–epð ÊÓÓ¦ÍãV©á2åkÐQ$ðÝAŽŠ€ÁPiC¥žÄŒ J!,Ò®aØ`MámÒ—!Tƒ@¬ \tè•p hÖÂÅiO ×g¸„¯ÖK=ÆÉ]²k ›`0¦øÑ@悳ö.¹tXré4É¥KòÝy˜Ï ^ÞûœþJnÕfUæ-°"AÃà1µ)¼Íß¥Û `å°ÈÊ¡‚ß xä ]ÁàF–‘¬@è! BpáIrY„ª!M ïç±Æ8ÍN¤ÝR…åVÇc¨…õX>ÆHàÀÅH0¹jL`X0I›·y/¬¡¡¨ž 9¿—$Ô€, +ãr8eÄÖЉÛ.cE½ªY XðvÂ!9<†v¼K¨ùžËñ?"•z"-&w©\ øÒÝ.¨á ÚyFg0u:†.@ w]¤ð AáaÐRõ<æÙ “ׂç—^°3j æ¬sŠç#¡‡0*&Ê»øV–!tNm’Ð<¾º¹Ã•N¡ÅÓòFBnB%é&§À(€¤ìNŒLY‹6ƒ;˜QnÇ°– ¯óhæ†dðÇÑx¸8Dâ4ç VæJx9Lê‡ë‘€d¥ÂÄ +;TypiÖ[[-бéšGEaÞaäìHñ¡<"‰‡*A삆â!Šc2dNš|ïÓ1qáy=¡"ÅΡ1òæFÞ?#âEs襂‚'CRÞŽE%UÐ xz%D/oT¤#½+Fâ]|µÇ2­xj¨ØÖðÈÙ» =ŸÀâžNqL%C’qGpB)àvE‰âÅc‹Ê!¡)ì-Á¹#@I:ŠTÜ•$…6¬dcÇñÁ|óãQŽ‰#“Á-ù<%TŒƒá•$ö¤Æôœ Šh.°‡QW)Ææo¦ô9<Ôzd‚‡¤TWóÕëGI^±MÖÕbb1ë„S!»oÑ\Z(ÍŽêiv..æñ†0™€³„SÖQ $0T8©j¾Á#$!¸€háB}ÌÕ=&y–;wœ;N¯'Ô³t¾Ž-¡˜R¢°=Ç(H™P‘O‰È”QH  +)íT˜ÂÐ(‘Àls„˜j`7åD0/ÛõØ÷wþ41Û¦v¿,ù¬[cq¯—öÎSj5…‰á»Â÷bjéÊ””u4¸•x01\‡…!KE1„å|bå13FÆ£qŠ JW†ÌL…UíÔÀT1¢ç²©8•_ú“º*wÒ]0"}ô+UûÏH?¨ÖíÔë&t¢(—Ç@­Nᳶ9øžŸlâf“ÜÅ%bLÁ!‚˜g•ˆJ…‹‘ó2בB +•" =ƒ! ŒvBÑæUÇpɱ(ÁUð¯Säq«-šwÛ±”!¬©0J³Ãpo.Õ·Êžxî ñ€|’ŠeVúIeøu @ø«û|ibâS¶²üÿÈ3J'²“ A╤Ò1HRîKŠ´¤‚Fb‰+7éÈ$åÕ×"À;ÔEC]ͧ"'˯jêlàlÎA¼uH•Êj^â<ä,¿¶Ç@ÇÄË3å3R6ø°®íÓ}ÿÄN ªÉ ¾+J”[ÚêÎt4,]ÆõV”R¦(¯z¢»³Ÿx f…ž æà@H ×¢ŒL—BŠ1Ðtµ‚6µ×¦r@Äi‘ììE¹2‹øî*8ÝI\Dæ#O¡FËüŒÀMù¸­¶þ€fõ~)4>ìYƒï±´Ü³O£‹Ç÷Ñ…›Uð"˜/§R`Št ¡t´*­Q+¬Èš¦U1Y%E)ÑÔÒÅÁéšÃGL¯c-RK­ ¤Ÿ@[±:ªöfÒ¯=4g´¸Ñúˆ&,äa‚Ðâ&5JÞõàt¾c—;ø)å$ø@ Ìx¬ ÊL·Z 2‚ÕÇF†0ïæ%cħÒS~ç%L…²¨hDSf4íE…BÁ·ß +¹ëÕÆÃ)ÞѬ«S¹×Ýᇷ9@Úä-ÔÉcåÀ¬¨.#œã‚˜ïgáã(AáãÇà£â-øØÀFð <š 5ÑM`µ3‚¦Ì„R»×xŒå¬›ŒIÛÍ Ä¡£ÖÊ°.b¶Â8òw ­OÁÍÁç@Šòò° ªz‹"t'šc‰ÃľrM +¥,èUúÁ¦=|¤¼Ÿý:•Ü‚ï1ƃ˜ƒMb, ˆYÀÓ€Ñ@ÌoÃBÌÏÂBÌQï˜1Â[éÄ…¦Щ°FB … 90v.†>´."ÙcÍU×Ü_~é1à'З‘pu¢ê­¿s·³Xü}ˆã}€}Ä‚*UçTªNB©ê4ˆL +Ô ™è'úVô€Ú ÐT–¡i ò•EÝÇ ²¶<‰.7b†RpbÊÈ RÛêeì|­gºylj\ãg˜L;Ⱥ) ¶òøÇå1ñ}Èká}€Ø.|Ûb üŽ© +þ™PŸð'‡´ð'‡ÔÐÎôó +¥ôÐ5j¢ÖÅIºW]þpðó¥YŬà·Ö‹}7™ +[<‘#¢‹‡O Çg1Z쯕†ðA™€I–e:µ“R/¹++ L{kJyrZþ®) ߆°ß‚2TÁ÷Ø(çª!”sõÓ„—õ ¾÷šˆ ΂ aŒÍÞv¹ÈrQ‡þ‚(1Þ5×[™Mj¬ËKÆñ™Pb“ t¸Ç—E6:¸T(“@ž1©À8V,W·j•"ƒï³nÆû×4|W8(|Ð÷žàEðÁ[«p¼˜EáHõXâ… YFÂÇ­#C`¹#ÀÊÔQ¼xü7[&E:žÙ®S±Ž[„×Þ„2²Íq­|Ò2ˆF0O˜…Ÿ£@º©ê£Ã +<) Œ¨±û©ßéþÚß±‚oé¼ßcŽ|è#|Ö»!üÙ~E>X >ʯ‚?ƒ“îsXd÷7§¼û$‡+>”‡õ¹ØºÆ6!žJHýÚÏ=&?Béóm^)…I°02d°‡RAºuºÏv6Á·l4‚¿ˆè‚ÏEÊÁW…¦Á¯7×à_VÐÀd"¾ÇäW‹ûë©Ñ}MNwß2zåö%_î !q” yE/ˆ2˜ho’¤lU@_}›Áµ~ÁN©AöÞ@Ü÷$÷=æ ,÷Y¨™û%÷ëÑ}ΦÓý +¤Õ}ÅG +þæsÁG}ÄàË Èà—Äà`YðInÛ}8G¹¿’¼îkn¶û»  ×”cŽVì¨eüŒIØ’”'ô`¬@agÔŠÇ\°o:“ÿcÝò1Ù¸/ø<î´Ü×EîçòÌýy“â¾Atò¡ÝOZÝ÷X`Äü’Ìüxƒ ~ÅÏ‚O_Dùºßî¯ùÖý ­é~æ a‰Î×NXÉ!7u ZjnUWå9¤¾–Çê“ìï ûOAuüg  ÿ ‹’ÿ›oùß*iÜ?Ü¿Q ÷á‡ûè"Å}Ò#ºHÝÏfR÷GMð]CðI¼ øÐÓý#0l£ûS9$ûÄÍÔ;ÙÀ:,9+zDŒZtL[v÷Lø¯9ˆþ?d‹ÿ‡GýßîgŒâþ·ºï±P¡Ò}ŽÉìþhšÝßr÷m€ÿð+à~ú‚¸Ï $$©Žè´XÉ +Î×’ÏVÈå1Ë–ð?±Aø/@@üýŠÿ+Ñé¿I~ý¿7ÿeÉNåÿÅUàþÃá~!•¹ïr ¸pÝOg°û!ƒë¾ÃÜѳÿÀTípßcÕëþ¢#ß`!ßÝ‹V:ÊýrЂÔÔkð®gLXQCX0QœUÀ Ö`Y7…'Yé“7Ö0éóXXjs°ÑRïƒÂ#i pŒ +ÃK³ƒ½=5”3ž=ÑŠ!AÜeNI$PKwZ6] 7ÔAAq=’¨1æN;½M;ÁŠXpa >ãö˜ô•X ˆ³VƒÃ‡µÞ‡YC?ˆ#%ØŒ(<²ŒLj:*4L:¶&FºÿˆxLòAOdÅõpL'ea €Ì¦0›Z©Áa¸ÛïÛ¨X‚(Uσ-ª—‰ “— +l0Àš’Nz bÐ9„4i Ï°¡]â+´ÒÃZt~¢ÑRqHýð]ÂË‘"¾ÿGÄCI¨$lºäw¡Kø2Jh0„7ˆ‘C=¬œ»EâEÌØ3¤Çc™7ç ¨ò‡´‘ÈãrÚû Í*¡Â¨D>1é½(ŒŠvÑ…‹Ó¡5% ј´,É1ª¾Kè1Ç!¤‰‹wÞÇïÅê‚[ÐP¶ÖÊORY˜Ti!mF.ÇQKutiç64 #vh PM±HH¡Ò½_ A8•–œ 2‘¿2Áv((E†QñØdbEa!Ù·5*Â+Ñ )§ã&ÕüA¿… +¤£^"ç³"èèN2Ë]±xø9TÍ"“]N?Z_©ÇR*œÃ)Y*+ÙGŒXR²–ºyÕ{óÜ’%Q(52e!ÅИ#wIÂÅ+Aùm³îè LWë Z*Ãc@8ï0SàšØâÐû˜rµº„„!(y7ùX@¤Å‹¡ç’´I Ÿ‚JZÐcõZ°½kúóž&ˆ*Îl­m^bÉèÖ¨H»Ç$èa¡/åCcøƒÇ)¢JD¥L4Ho›6bR5æÁÌ<ÈÃ÷F#3‹†ËöˆøÛ… ‡ ¥UJ©èÕ"C¢ä³Èt•A é¹×S3t!f·4âH)üÄŒXÇÆ +±!‹Ô)²½C4G‚²0„CG RÊÀ–³]ô˜Fv9²õš$#4ÙP7År0³‰LE•æç´{ªw 'º¸Ÿ÷e¼Xq™zxµÂ¡!Az@¢ºEx˜ÉG¯Sâö÷û'vcøžá{ìPz¹$‘nž¤aoh$LÖ‘bùX¢¦5ù ÏCQ´€cÇ«ÔˆæiHr5€(ƒ*,¯È|‹9 ˆ®Ô—œë1ë™;¥4¡Ëtäx6*]´ŠNÅ_™z±T"Héƒ? †¼?:Ø…_£8á”2@Ad”É‚3¸I5\:—@ì¡|Ψ%òÔˆs iäå¼ +¤™)”ʇ-"á”bòÞ,‡0+„‡à!ë7ðßUVAºÒc“Ùn«zæ£A)AÌ.×)Z±{,’òÀÞÏQ‚Ÿ'Â9ª†‘¶JÂtP¦)@id7 qsD;h$ø£t!a1Ý(¨g-+! Ô…ôV!MD4APŠ›VÆÇCqžkÄ‚JI¨wÿ ÑiaÂß”*RÒÀî …Y¬ÍFþÈÜùþKó~¨Ã!ü9’!|ÙàárpoµLÌ·¢T¤‡…‘ÖŠÃôÅŸ¶Ï„$ê3EÛ‡eµêeƒ&.šËåOŠIXUIÈ´ w“Õœ¿<#?Û¾Z ›eôñ2{rW\g#b«•Z6à3ˆï†c „#„p­ƒLÂ…É1 +SÀÃ(<îyñ˜‚³«eƒ£¶–N¸*¦Ò¯,uæF/n #Рõf67žbL£[ÉÔñ%%4*†„ v­_× œ&ð”ÐDÀ” éÔ«ª>í,ădàÞ÷Øþš +ÿŽ°G£( ÍB`á4‹âÎ4\¨AÆ1ZxÃs^t;Ö`n<ÒiäHB6Ûî°H=¦rmc~‰›©X¸´Z²•òç‘ !0KyFžÐO,+¤›6ÏÂK-øß…ý}‘ËxßuI ßv?þ „ï1Œ1`Ø䛄7‡F²q| m“0‘(×b–lHÍn‚J™"U€|e)ܤUѼí ùg$k«æ)O‚ÊŽ¬4˜¼¡²®’Ç æK½ œmÕ‰¬kTà‡ å÷!zÁû­0Qø ÄgðaFø ÙÔž ÙÕžŽ«=²wÏPþÜÓcaû¹çãrœQ¾@Q¥) )>øúÑD’6N¡X¯Ûš”b6!ú:Ý0  Ë%…(Ž9uê„ .º ƒY™O{©5}?$¾~F†ïR¼ßcöâ3økð( +m´ˆÔÑR Ò0[Ñ4l©h:Ÿ:v•*@˜µ¥ù€8¥SKnC¦»z?–ÜŒ=&“Ÿ£aX~ÚV ½Ó€kP(Viòq‘Pðü¨>¸å¶<€ÿ …¿›RÞ¨¦á³6šðAßë±!䩯ۨ¡¢"R!ᇰŽIh%cˆCÉ[4 ŽyÜBGË]Á&ªSúJ¢XÔ(´­%vO]xU;&Õîš^Rêy§æ®K=ò0¼V[˜ò”K²¿Ÿ²ˆßïÀï;*ÃGp~`4øª!´†§¤ãˆ4À‡!uH–dyYK¡ë1ŒëÑ_H¦;႘ÉãŒCVæVçëTÎp|Hž‹É…J%þÙ×qD[V(S{Ð1©ó¤V%á¶DAüý]~_w¼¼ÿfÞw4öÂ_‰ „ÿñ•Á÷Ø»ò ¾…dE½C³Í1¶$ƒº΃}1+„Ï ]ÊP=Ó Ë ×…ÎÊøh{íp&ºW(À–{ìt>à O&Ðz ¤dÒEªXîì°6¶‡ØGÓàûÎËûªí}7A2üF¾J& ¾à1øoÊ|Œzo%ÅëNÒã­Œjó!Hð Jp3{YáÚMØŸMÑ_˜Û±oìvZÞáè.ÚjZú%¹9¥+KJTâHT÷}yY2W]éˆõ¾d¦½ï1CI{?ebxŸ}™†¿@% +_…žƒÿ „wç¼äh”v,Ü„1˜oD"e^OÙU{ árÎYÙvTv&ˆ’(Ú7&F(  vK©»¥NYXdD 7D¥ «Îâ°<øìSkïš}D' +^Ã7‰¡á¯l\ø +TCø§BHàâ”Á§´^){ “]<óftè4ï\k–ŸMG:‹èq%¡F9™ŠÅ2ÓOÐ!ë”êëèR%(wU°I)–æÅSku5»èã¾LZþ©‡ +rÿ¨á{¬¤… +? …€l„o +¬‚Oã'Áo=ÁÇ„àLo∫N¹ÒúiaxcË“¿á7é#ÇÒ¡îÕ÷u±Ç×3Qè˜í +ab,NB´2—t­HB¾‡.ûàêKø¶Pøá‰)|N)üÑ­(ü”PþAÐü’ë"ø´} ~ërƒï± «[yÐGbM¾pÃÔ†B¸%Q2„SIpu9U¿ª&´T•Rm¬F“¢Z12+ë£P¬ÁH3µ‚räòتQã{¨q|W±>¦a¾ã0"ü­¥"üü¬ _ê0ø$“Bðç9|6Ýå¼<›0C·ÇÞÍ'qºQ¶D¡Ic"j:…C<,• paWû¤¯&3EÁ +Ý‘ÊZxäCËóЖÀ•Ù¸^™XØUZðoB6øœ–8ø•–ið*áê á㡃ð=¶é€ß0‡†UÁg͓௟§ ½ 3Ëã†ØÏ„›ZZJdy¼*:²ê«õ UÖ%EQY‹Ø£ËaG­Ä¨+µ +£×sùUFäúÚQCðAY-øké%ø“Z|„lþKã|;³?c >!üVu_æ\ŸSÞ‚ï1TÉr˜L£zðI%Û†TP¢I¼ë¸ÑWMåÄ:$°U0ÎÖ˜I­†«ó\‡Õ©q VšÈå½~Ùw‚ÝýÓæßc)ø©Ì +~d£ >ˆÿ7Ò/%øƪû¹+êþœŽncÐÍ/õ,}àù>yìþêW +ïx«u8±Ø•e¶6 ©EYàWèS×"Ѹ>€Oî4—]ûÄî·¶´û#¨ûthü´C +þ8a߰пµ ¿î¾%Àqn÷ñ´î¾œQ>‡ ~üºœ%:e\N€–$Z¹Wu‹0 V­ú˜-UÎ\˜M*㊠RW£É]C@ƒ½à½ËÞ!^Ümƒî«Zîç"´ûr]ê¾¹Ù_„‚Oð¬à¯P¸àÏ›Yð'¦…àsšÙý;Óv«¨ +¾Çä’šŒ»nƒFœ•½AÀ–œ5 .4€»ÊŽe°‹Ë`g8JåŽp4î½° ¹¿ rîÿìÅýJÐýÒý¸Óé¾Ç6–R÷Ão|ÁŠ!ø©:#ø1D"ø¤gÕ}¿Oº—›îÇ’Lð×ÇEð)š/,•/,4"V$ º[7T^áíz}òTúx~¥<&}9é¡íÓjp¨õõ>ĬCñr™à€ƒQq>|¸ÀdF–1qÝS2:hGù8Pû#"Ò%pBÁe­,C=㱃GÃà·¢Öƒh{½ºßßÉ¥¾Û–œŸÌ™IkB4 æÑÉÁ +£q9Œ C4ÀôƒH$<6`\bÅ&¡¼‹ÌŒ° Wª =Ä!9JÛw Cá­‰ô+ïcL¨$k߃Êjé¼J8›‰Ê 9L­‹ÍA¿ :$š=FbÜû0FÜû€ð+1H)*ˆU ’ ÒFžtáÂ|X u"a-›è ë„æ!ª6wÈèBšø’xä¬.ñ˜§4”Lð’”»²&s3¬$iäÐHp ý†zè›ÉUÖ‘îÒ+¿¥W¢ÓðFšib…E„ï +…­ \ 6 Öb0!YFy%.Ò:PcKrˆ*jþ°OóëOH;º6Žœ‡‹!9ç8Á8,w¥’=â‹„…ƒ›e¼ÏÍtˆÕR‘²—DèT2(8{¬,¬þ=/‘øY‹Ä3Ùáf0P'Ï2îx£3àŠ(ÑPGUrXj$BqMô˜V½Ä?‹oD_©d B2Pòù±ØôâÅÐfIÚ¨>ü “Ö{W!µqäÐIõ9ŸèbþpÔ–êƒGå1õqŠ«äÜ8$÷ÃÔ0ÜÏñsÕÆQ:Ǒþp™Gg Bu”í0Sùx 55‹âg‹ÇÆàÄ$2ë‰,Œ29E€ÉÈÒ‡6³»¼Æ4µ,Iê1Ú18æý3yÁ÷…´¡ã}}âS»ÁgÜcMúkô>ªz"ô@Ú£š§æ±ð"¡Ç&Ç]豕† +ó±0ÐáÏÄvÔDó‚p˜Ó€#™$Vßd´Y8e” tž=ƒÁÜìpÖœ¶4ƒ3:å»â5;ø¦6¥ñ…2 M&ÒFª|ßc¨\eøåºa‰—vòŠ(aŠíG€¸,”q´ND°š4Ä5.Fqäý]¬†Öè^@ +IJ‚K¤FD…(+½))ªÕš?ÜT˜!@J˜ˆPàañQÀãÛ1ª"Ç·[èVÂW¥€ ˆÀŸ‘ï—Ôá{L²ÒKÒ•£‘Ò¡J›6kŒm#ÔßûÅGMEA¤°‹®Ã5Ž<‰ñ\'ùž6ÊI1de1*2¯u›Ãª„£Ä~^ŠìhW%›>ãñX«qÃ;ÔH ¢¨Ke~o}ÿ³ZÃ/]†?Â&n™í›œà¥HR”ŸDãêÄŽ®†å=Ö˜Œ£ ‘T–1Iì- È¬ÀÂz¶Dæl1¥©ÉÛ‡jqu mÄ›_etšŽD÷Yq¤÷æh¤AdI= +Ô‰:\­s£ÿ˜|ÿ|†? +½á{,L3±2Î +±"k …„•‰eä1äæãL [ƒŠH#%:E´ÌM’ Pùž„vl¡I#öŠé*Õc¬cÚT‘áŒé1éH›j „ª5B+œÎˆhÁÁqš×ܳ¢7ùð9øE÷¾ëu¾è`*|Ñc®¥ÇFÜ]˼·r¾]Ê<¾(—‘ê31”É(U2 qöDœ•Õà\>§n°© ‘•/-‰»YÇ}ItV ϧ¤Y©PãWÕ1¥@Êc§ƒ§uݨìðÝÏôýð´ð>Kc~N° ?Љ0¢)¤ÁDF˜Ò…Ä ˆËÄã.ÊŠ|X0ö…¦0À Iñ˜vªãŠê3¨JÒ‡ œcåӎД?(®g±±¼ûÎÓ®®Æ}&\Ñh¸L^áeзO1³NùðG¡ü° ýþ#¾jL ?ïàÂ÷XÁ«h"¯¢‘|î ð^2“U(ÂTL¡Ò¥8åC­,Ü‹K\Z4“A +´Y½BЮÍA€V' +h}$²Š[ꉷ&Š\²X‡T£Û*Ï:\HáðKür@üþã4 _–…giáK"âkã1’ 7“ÅK²¡ tmSh*š2кdFN¸Á$©Nó•³kœ45ß8Õ)â÷äæ§L"O>’pîO…q‹bA†=i¼gÐ%ŽM„Õ ¨Ý<à÷ju}xÿA‚†¯+ĵ,…?‘[÷¤¬“Çii7Ó²qìfiñ±y™¸D“"Hé ˆç»é1Q¤/Í&p\.»,5ˆU“›F®dB¸Dx¾7Ľ +™P©‹cQ•B§i‡/PÀŸÂÀ߇áÙûéðS^¢ðo¤ð=FA©)´"RShYŠShŠS(Ft§ÐŒè”B5¡S +eX + ZÍ%‡cïW›Í¬~q­ÐüÑPü°öøt¯@÷6òÊÜ×Èî +ºëËŒu¢'Ý5Z\ðVüªIù}Ø”xßAˆ ÕUºóSKi£z¬ìHõB0ŒÔÌ!SXMR€¯ç¥cáDzÍ&NìzDDFƒÙ«öèÙ|"egì\æ/€UJ` …ÃgE5#¾°ÅyÀ/q<Ào0™¾¯rÀƒ÷Ù¥ðS„Aáß%DáÌj 3˜õBâ¡S8â˜tc¢§A¤å0Ì–‹atk"QÀ–Ü¢Çcü„]è0¸ ¡‚üêN-èÔK¶äµ²!"ŽË]à' û÷=ÄËûo‚dø T¢ðQÛ‹ðí ð=ö¢ N©Z@ ½MØ͉ÎZsOÈm +r—c.ToÇ7¼˜µ´Ÿ¹ÜPÆzÌJÏc'˜lF -qEeŽGß¼:œ8LŽI½r`å1Õ +â¶Dëéûoäô} +ü}¿ïúrᅢ×ð¥AáŸ~êrÒdmfÏÇ6£¿5ûëz -Gì˱ÿ©ó.è<®·Mr4âä ’#—PÅ…¨óɱ"‰Õ<-Î;e¨}­âNR‹µˆ4¹|ÿµ€¦ËR„^„oRᄪ“¸_W#r-÷4=ì›zVµÃ €H°;\äy[ÐtH+ F É? ,ze‡ä±Ô#J‡£ÊŽx5ÐhªV€Q{¿TPyxy¿ôèÞGPhï·Lï·ù^ø‰Z'üRi&|œ"|ÍQ;¨+Ì©£’B'õ”Pš3eAYbOIH´'öYWP±Ê±X«ÍáR[¬øäí#MRø1‡~yB¾w‘ ßt +ß,y„ïA„?j „ï±z"!üã…‚â üÙžFÂ;`Šp¹R‡¢u†ʼn%Ô§@(̧3IMnÏ“÷D÷˜|ˆ*Df}¬ +6‰ÁÚ¦SkÅÞš=?­ÿÍ…Oa#…_8, +¿ôŠj ~áÛ?Ç°Ÿ†0ßc²©Æܳ+ÃoJªq‘ÂÕ¡4–g:™ ÊfÐ[ª³ã[jÕ“”†Ô©S–“Ð'Z6ëNÐ +¬ËÕ]F@˜@—êŒóýf)„Ϫ#Â÷Y ÂÏ´ Á/Zƒ/²Ÿ^ßrð¸S™à!BÚ™öZ:•Ðiê-&ê”ÇÚAE«e=¢ôÜ;*…8r¬@Î_°&F¢•}&Ó¥WÆ|ÿT8ÂWG +áG.áw¢ áãOø´3ø_ü<ò|¡¾Ç>(ŽœÛ]"N‡Ò)p9™pšLÙ3<êqi:Güd}cpÈzi¨¿NÕøØ[*ǃâX)2‹ÕÊcNÇau#FÚzy¦‘+u¹ >}ˆ=p„É„ïåðC›=ø%üÀgðq‡ÇèˆÑ°ÖÏû7Þ¸1§ó¸Ôí¨¯÷<ò&E(<2‘ŠyxLÅžB’æ!íѵ„s#`‡oš@Ôñ ˆ’1‹—S ŽÚ{D$,‘!£”l¸!iÂpØœ!ÚÅ‹á,%4/“ט¦ K’Îål>ïàõhÏ{ÌfS§>•Œ;äS™ÐIßäO¸hídÐr$³PH‹,dbé€E\qø¢'Ô:#<âˆÇXMI!Ié×Ü°¾o’);œRDÅ:¹.–O+Õ<”Ü,Ø :¸\tŽl•o p?äŽ[Üž“© ºO‘eôpåàÏ>•ï{l>°ˆV*¢Qy`±ñÀâ yŒ"äŽ+ïdDN NB‚–“ä£ÑÈ8†ó‰ûRt5c¨-ë„àÀ Õh´]pz¬,°¯ôQº¬áf¹N í^¹•7ÜSxN(ó\eDÙć/º ïÿÌ÷>+‘w«¨ÆNÅ°=VŠ +«ñaÁ,"Ahl!Ù;ÎGê +PaR:%'‰<•Ïã#–2b]àŽçÔ´ªÞ*|¤fIMY2')„}`̬ÃDðá+b\@5ic™¸Z3!5ø"äû»$äý³àÁ©ÃI\yJàM‚ŠlD*8Â’äPÐ6dÀ ϳPX»®xì¤Çrd,0¸ã65uÖ¹MÄü^U%6=ÑI§´QëÌ[ËgÅ’ÙÐ:0y’û‘ÜŠV©‡Ï1x¿b¼ï±Ì(¦)&ÀMRRÆ2y72Nʨo ÍZ#LÄ…ä29 ŠàÓ•À:ù–}ðQ]R6ùiJµl›9©ÝÓûs‘Xz ;/AÍ;QÐg1rØÐj¶í‘h‘"¥N]`å +Õ"pñ +y¥-¼Ÿ1åfU`YP—g¯¬¾’U<æBBO‘AŸr²é>HÁ¢U ]´ dBã²i¥Ì‡P,&K#©n±¦CæÕÉ9+þ´&$h=¥ôƒq²GG[Qþ;°~c=|R;œJœVï³^ïgVçcÐsÒK +â”Aæµ¼RV;Å"@H*ÄËeAq3 ÉËÆc`뤞eÚ€à_³gD¯¶Ç Tz$T܉òm ÔcQSV y]÷­8|“Åõýxž½¸#Þ_±2ï{Ì‘iLÄ«¢A?:”I d!³Z†@ô2vp~ ¤ä +d…·åaGCnœ†ˆmr +¯Òë- ÈÒL½dÇ&À|Õ´R-·T'©¨¿¨.!ÃvÖÃï\-øõ ü}8ÍxA/¼¯:© ßâM3â3¸è:q‘u!Z4ã|9&ó"¶ÓåL}ôRLµ ECš ÊR¡xâ&ÌS*>(¸Šçû<ŠÁ€ñ¤×Á±@J¦jVíÖJ8üqS8üÉþU¤ü~'#ñþá-xßcz.2|´VYç¹*ÞS•/ﹶŠð\7¢ØäX6™éZ¼ß¬Ï_0ÃŽå¤Sr³Ñêx¬aZ1ôã>ì…üæybδL†”J…6žUˆÀR¹Xæð#ø ¶ëû-Hâû’á}P x_¿kÃ÷Xª2©Š2©*zè¨ +âXt ,Ê©wÚvâ÷|å;4ïƒçÊðStkøS2«zdVNhÁ×– 8ne+9 ëYùcGÚ7º/ê¢ÍØll[m¦X*É’pÜc&ÊyK”ðt¢¤°S}×ÎâïiG‰<à‡'ð[LßG¸â÷>Úûl‚eøßedø©xcø[/% ‡sz6§}5žm_z¾¹haÝ$·ó`Õr?é‹êØ–L0Ç›`®_FA¹ ú‚³à0:#ôDQÁ™Tx~ê0{ªó¿ìØ]ð÷éàû^ã‘ö£×l›'­K*#mçåZxàvܹ ©Þn@a‰VQeÖsOõÉÅ•w{<-Àã–ª‰X’6éc5‘hƒr”¶²šÈòǵڜ¾Oñ÷5«òû S÷þž2xŸc ¡Ø‰á{ÌÕöß¾ƒÄí$F-#í;»áÚÌ^œwD•Y-ÏÊ457žÊ„P À±àp­ +ˆÚ“³6œJ/yl‚˪-:x@É+B.äò}¿¯Áßo˜¹÷]6ËðWîcø†Ð5üÓé0üWp¼ëHí+ǵC›4!¢8Ô©+siÔ~ýÝÝp›Ò!tÃ](D{}ËáŽ("jGm¡/^ª¤#Ò©á½P +De‘÷⪖kAø>(@ø¾iëÞ÷˜£d½Ï⼆¯¾\Ã/(LÃ7]>Ãï¸_íÄ£÷Ó× ‘ ßµè3“HÖ^«õ Ä.!<(.èdþ®µ,âx¯k„ž6½d‘pçé±zïØ~ÙŠ#µ¶õ "ïß®Üû¨‡öþƒBzŸ•©~Ê2 ŸàQ ÿ¤ù ßc±'½Xþô<þ´_7Ûª‘¤E$i¯Q‡ +r­FeÈd +Qð~ðzÅ sø3Q‹Ö_Ä9ZÒùé¤Jñ6OG¿L,)­âa£õS[i™4ÞO]ÒûŽÄæý•ä`øè‰bøÂÃðMoø2¾åZvTfÙ]èEãÖÃSz ÖÊ„V „–|G’ƒ t> òWÞ™ø/â´Yg”vió¢“TG§q„²SÇ€V ¶Ïh}DÚqÛóþÊñ¼ÏÐ@†ï1ýÑþ™? °†_]…O«2’þx(±Æé ËC2¸RPjÄå· ‘ä§ÉöÊCŸ÷Êë-Š<;Ò]Ôn6ïˆSºYÒéik)£ZP“T (ÆØ)EdðR ~Ìhx,¤=÷´ 5[†ÏÐ+†ŸJüÂ?oÐðß>©a*|ÎÏÃsßÆG”ð'Ê´ã7 ÈW ,Ê'Xš¼\"R>FîÄåŽØZÁ’Ò¨(m™ƒéò‚¼Ó„»`Pãu!>@%@ŒÖkFöÜí~ú@ _ñé e@¾Is†ß©\…ORØ…oïýJ¸L ~ã·Çô ǃ»6•cTªuÇènEw4[Jwo\«QÛqHª(N”Îí=•2ŠÌi*?žè$Ñ.!Ô#LôC†¬8Yµhý >ÚC%ÄðÏÂðj¨ðM¯¸ð=¦QO…Ï•L…?òàÂÇ3Óbü>M2œõ5.‘êp/H9Ê^@¢CšCmŠäÖ¢‰UÅiÉcéO¥§¦Ë˜2œ(´G@Ew%Õ0#µþØÎJCEkËõÈŒv;‘*ü Uø ‰)|K-üŒæ.|Nd¾¨K ßc#(C%‹Äƒ¯ºKÔbMD©Ô0%{s%ZU#J!-µ?6•ÒϦóÀ™2Œád¹@òÓ$goT¨ÝŸ”¢Œd*§µò˜†ŠP-()|Æ)| )ü!Pø£Ó¥ð1•¸ð79-|ÑVzÌcóXINErTæaQëö %Ô0•ð1`*y˜ÏÖÏäþÆ´z•‘)m° +'MC’9]4ˆŠÂ‚J”ä¤SR!’z”cÁÅi°^•úàòXŠµ"ü9/¾å,>a[¾( ÿ2ª…¯µeáçRøœË¤¯Òè•` +£B…v…ÇJu.ðNå]€ÚkAw$,ngÑʵ¡Ö-Ða†X’ãJh”̼CH‡ù@m¿¸ÃŠt¯ôDfiw©ì+ÜEA[óv¬•}ô¯Ç&œ!2ˆµhÔ$Ë8´´Î( ¯D£ÛˆjCC] @ã8­KKr¨N…æbOƒ¤‚—Ðcù§PاŽë—6ŽpB|#.¬PÉ4`ÐP6Z+Ëqea“…´0ôœÁ9Ó÷jRJ6Q ÀÇcpå6m$9ÐÐ4<4á*󸌑üA!J*•;Ï…* Åà z‰ÞMï£@‡Y‘m°J/ÌIš¸P–»¢ÒìâŖѯ15¦•$}X,Ç‹Àò}Û,%»Àü¡%‹ÈD>,a>z@!hŒL ôª!ðxˆcgD\+ =:ãFµ¸ÆK칑Ê)Á‘L ””””«9q-§ˆh«•õÀXOÕhú$7M¨Rç\bÆýªÔJb¾O ‚™Ü³\½òØ6Y‰â®XÄ"+ü¥ß|Ì°ИÒ#õ¥£Jd•Ž¯]t¹¼öQEñʺ˾¼Ê}GÅ徃徫²eßÉ‚eßxeß=³²ïã•} ìû +§ì»‰CÙ÷Ѓ²ïók²ïk<Ù÷K8Ù÷Eî{aBîûWIöý$Ïû>¡¡6€=´µ;®È^{ÞÈ^UG³s…ŒÙÁ ǬezŒ™üDc–’cF{F%±³PçÙ¦3­ârp Ÿß%•ÐLñ`°ZÞ¡”Ð e«}„\P¹4ïÓeaGq·ù»8f …8fájÖcF98ÆÌcK‹/ÆLS.ÆlbXŒÙã¤3­Qãl@Xî^um¬ÐM¥+DÞU6Á  ñ8<@ò +¨A$4”1zââ±J§A’d4!ˆ%-[‚æÝ€)k0fÿô3Û{‰cþb¦‚w1ëä.1#7?fGKÌV2KÌî%f|%f§¹ˆ3Lzx›˜«‰™9±5(ˆÓ–€Lx+N¥u]×2Sâàè©BÀ]à_Ñ?âSJ ‡¨z…ädJ.a Â~ø•ë±tL^Õ™WÆ®70`œ«)-p´õSdS›c£ÛªÕ*myÍn| ÉEMÍ"o™NÌ !.‚ºÎ4@] <5Á*yZ©„Éà1€Í +½Cl% ^EÓXD"dü,¦¤²äÊFK=”]àÐ1黣Qes$ áæL±Êu ÊÍu#ÄwÝw4*ÇÌ|:erY0_"ð5H T ÊK`ƒ/ÁkiÅÁÆ‹àAÄ¥áAâñr ºSÊ5ÈrТ°À"o·„ÈC P² + +̇)BBWgJH‘#R¹Ðó‰AgÊËô˜+ÌU¾".è19Äù8b ¢s¡"ˆØˆŠŠQŠBJ¨¬…n´Y "UhM5:Z2 ToãižFä©ò™ðå,$ôÍ ´=–Š*XÙ|*`CÀú€|±47D‚RWx7p^†,8‘O"nà¬BI§‹æ1j&'â¥j‡°˜´õnQÀ7î¡Ð£Fˆ{Ü+±,Á7¢%åÇQØŸÀÝê{p ìQy@¨J×÷:|·Fhìå‘X‰û*AJ¸Ň[$íç’.6*2FÂÃdBíˆÁµ¼Ñ),ˆÇ@Ð-E¦HºP½\^,esšB‹´9,¬ü2Z/ÈŒ3ÈUq|™ñ`jpÆÃéN1úoƒ ®ÑB`:ˆÏ¼7[)U‚Ê(c ‹‚¾Ø09ŠÐ`á¤ÎAš3 ¶ÔŽ¹EB“Aÿ0BJ…‹¶ FWÇçýLkÆ–¤Rj2U'/ç=üØ¥µïŒ=æqW‘ä½ûD褨(•@5J©„~’ …œé©¢aú©@žù¼2¯¸Ã9hŒç@h¨ö Ø×#`H÷pUØ° +!T]´æi„ RÄLq‰˜q$âá&  ઄­@&Sy¥S3:KêZ·dÛ99z‡~Äö\ãaû±åQ˯ #åå†Çt…N`¬4%†A—P #œ_ #ÀZ…¥tµ4fÉ;qœ 'ò :Ð1`„b÷¨ã˲o"+4‘:¡M~d‰gâv:”‹Ãƒ+ÁÀµ€ÄÅcF§ÞT55‰Ž ä$;RJñÑ=tÐUÓŽÕø‘P‰$‘9C::M=Rð'~x’0ô'ai@. F!FDÓ9.D‘&b(O 7/@$@(¬'zkþHpÜ™“¹ët‰D¬ ™rÕ'ÿW¦aa™"„ÅÅQ!,®L›ªüL›ª¤mªò*ØTåáH!,é4YV«Á,E("s¡ÑF‰ñX+ÞÌ)Í‹êo"Ë5£E?A5SÞæ*¢Rá¤,‚¬½ÛAËm1­ÚÁLšƒ:?3ªÂ{Í‚¨ÔYì(ÃQ¤‘!ë«ê¿ÇXö.*]#nTþ7*_#nT®Ê{SʯKTŠr£p!,ôЀàaJ(v’©àˆÎFÍz8¸¦&dpÎI’¢â'¥Œ—c°@™Âñ˜ff^ì +ÔxÝõ©(6ÄfH¦Ì-Ö(½a|Xñ3²áÀ$Û–¦%È€0ÿã€0„É@ãJa@y8 Cz;™Cu¦É6£¬Ñ/”Î&¿`Z³>ÏõtYŠ¢tg“^N9Fì&Ëê`D<œƒkÛG]÷â´SRD 1}0póªðÄzCÚ+OÊŠM ±Ž ;ÔŽ(Ä&:Ë"Â]­HgÔì˜V¬@7YóB7Y“eZ±`Òøš'n.¤®µI4* “ë$Š³ÅêP§i7”Pû…¥‹9GH]¹Ì²nà„Sɬ2+ŸN»@/¡ B;™ÅSˆõ÷ãg;îЬš.>)éòÙ+CÃow´òZ„A‘BO¦ZÛÿÔ§Úþ.*Y@eëf@ pŠ3àr¨'iÒžÎMfp¢ŽdH ·&O3º¼UóUÖ¬ 9wqÞ9ðYÕthµCå’^D½ˆÈƒ²Š=Æ©*“Ù5(!õŸØ°Šlöa°„Z×RãOm‰<])7•2/c¥GFÿU_½}€Æ‚„¾ÈÕ6¨Lªmh—§\ý)UTDzU±”g•Œ@ƒp /G Áì9-ÊÁ`µx¢»udS_×28;(úiàK=^âÊó‘"£ äë­36[?){!²?6‡Äå@ýŠdÇÍŽjÕÁ3yÌVa-µ‡vÿQ‚ÿ*?Ù~†âxV-H8žPÎœNap£Y—¦r´u%]µeÈ㸠LŽx ŽÒôjˆÏì°6Q>y'Aì±›àÚñLˆPäVQ‘Ì'Éi‹Úrkñ.uOb'œ 4\nW„¤ñÑ&cG¤‹wŸn¯TžòÛÓ©ÕgµT–‹ÿ©‚hû«À·ý¬"j[Nªå:—r, +â%p¬Ò›½P¢ÎÈ%…à“±ÄÍßdÅø©åÁA™ž`fq mâ4ŽúÖ«š68Rž61š>æƒ2UL”à~ÌOÈcàŠ…s‚II;‹(O»hÂ:7{ƒ« üÏpÕÿ$ó_L¶¿Z•´¯f¼1.°€ÓªÄœÝd QËÜÊ4Î:ˆÐ¡=öú­/žVᘠ+”çÀPŸAâQú + ˆ% ¶)†l¼ Ý4ÂR‡Ú…FÆ͉©•OVu‰¤`J„éeˆOÝË4L,ïÃøß­Fÿ_kæ¿ÇT‚ÍöU®mûºÇ¢}À´Ï éA$Ш ˜[VY¯½P¨z +-Æùù§N²/9w§ßò¹+  =6$:L^">€À;¾E&oî1W#kˆR#§‰.‘“äÑT4¥—ÅoSškHÔ…õý”„ªT䵑Ñö?Ñö9hû²Ù¾žji_Ç;Ú×]öŸ“:yÌmÛþ*&Ûß å>ZèÀu¯Ð¦I9ÊôrD°˜IG¦Áb’(»f(…§/)N–Òô‘6îÔÝ´ç‹ÔÊõ/Ôˆ“í)˱txÆ$VªM2YÓxWéª@ní?»ö?üÛþFñÛg­@Ú×mTû:ŒÐ¾ž™Ÿ{¹7 µ9(f¢C‰¯"lAŒ+Ï4ZDbLiàðXL.ï×'Ù ª` )Í×¹RŠCW•ÎÀ# Õçù(U¿èê…2>–Ê’±F–V¨²¼@.x3 üsQþÄ¥¾ÃNhß@µŸi¢öS)@àë€À÷˜n⯒0ã!O‹5PŸr$C5@˜ Õ(…FTã‡ÕPl!£:À©¤ªruPZÁXÃJ[­œ…)±—Ö¤ÖïDN+.ÅÆôqyìÃlÈÝ/Dô¾g„ì›äAö=DöÛ@™ýŒÝÉ~Äle?Áhþà• üg_Uf_§d_ŒÆ+U5Hñ¤r\Ê“ÊcKyR140¸b°.sÅ ¤•áUä¬ÀIá\¤ADÛkÖãµsÔÇk×4¯}ò¯ý!¯aù^ûàû^{–ò^»jõ^»ÈÑxí²‰ñÚSNæµWók÷X¨¼vïsÈþvžÙo%Þ쳬ì«Zà¯$éb· +Öí] .ô¸>Ž1ïÏ +°—€ÝcðyØOÂ`¯ˆJ€]\K€})vƒ/vndØ?jØS Àn›*û›©ì›Á °KrÀ¾P¯] X¼ö¿«¯½¥uÙogöYà›ýWɾÇX/.ûŒ„`_( ‚cý@ZýýSV'±TCÕß5(ýï“Vÿû¢ÿáõ¿L©¿onêïsAR?R·gÔß]êïÒéïãÉì`ì[i°ïÀÞ:©¯µï¯¥²¿Ú¹ì¯Hñ <¹÷<Ì3äæßýIù»Ë@ùûè3ù»ÛMþŽ‘É¿G"äßžü;8’ü}àJþHþÞHþ^Â#ÿ”‘¿·Zäï˜wü;¤¡þlÙ÷X uý}g7;‹&¼öU®¾vëôÚW§ûµ«èñµscöc†!c†è4Æ à3ÆLdaŒYìZŒÊ)‹1_Š1käŠ1KÄŠ1ÕŠbÌ +´ùzH× ÐiSšä 6Ãynà[mŽæ{¨+11qíQ#Ó™;"zR.–2`\â‰abzÌ¥‹cf7Ä1“ c¦Â1 ‰13Oǘ1B1Ó +1CucB0Æì5a8‡0`™ m‚ ›dµ|$P“¼ÇÂÀ¨á.× ;˜œÀ'\〢( àZ€ MaOŒ¢8j”IG4Ç}0YA[¾þ`ÙЛBU³n³’*Ž3m`8fyÆ35WíÙªoàk} M ‹½O[éB9½­ðüìE4ÜKøƒníÞ»;=ÎG 9 ÈÙúˆ„‚éÔ(ŒÓkšrPŒ W‚%Æá1 +B눙éK{”®ëÔmf­§ û@iµ÷`úMÏ‘NÓ«LÉc’/,.µ3<*á\™Ø#—”Ý@“ò½@5Ø$‘Îx>˜ðªG!½4VL˜¯I—@x…"ÿ>PB‚@„òJ +´–xi%rò$½. k+0¸±PÏ)Yœb·b€—@#YƦ£ã Û[ñàIyÉ8°M¨¦´/bÅJ[¡*<Ê[5Ê8µ§§›"’—3Þ'ë¢æScÓ¬4üôX#Ô²ÁC †$á?0¯Nå1®VŒ±T‰ƒÉ†®¸,+GDмAˆÒ¡çØ\ÞyIþ$Ì5h±^2l$ñówIÂIÆA‡™Â#'-ŠµcÙh©—YSž— —àZ¿aÀ½%ß +—")ÍcÛ¤ƒè›BªZˆzq$^æc•ÙÍ*¢ôBáW«B—[èâa?Fë€ ¢8"Ÿê‹Ï „†Å +n‹Ói2+qÔYR#Ý’˜";ÑÔFB:@’DÕ¿‚ÉHÔÝ%f‹ñj|ØìçÐèà8G˜ïT%¸l1¸ npa çBkŒH ™•#'¢Ç xP £…Ñ\9$­8àoC>R„:†–Ï !ãÅŠŠ I -¢Ñj då¦Êd”jŠÌrrÅ<¸¡er ƒðÙ¨^ÀH_”Ç94­A^ÝfVŠE€¹L%;u1H‚–js2¥aèF–†Á[Y~ÃØ[Û +Âå^êQ‘„äQú>1ôH»p9âf±¦£Ç*Ö("Ê©@Ñ”IaãhJ =,*QcˤbÚWƹäRcÎÊÙ¼D+Üe®‡³UOy8:xîgôÇg¥&~òØàsaXèºÿð?~|‡N~p-P~äE~\ +õ ÒW®$õe 1´  cìÀl"+†’hd„ŸPPl„v{§’¨È‹rKLÓ–Éœl¿ñX«"æêrði¦Â8Óè€J…F¾Wë\çk‰Ú¥ +#¹\•ˆ>è$©H 0¢§`èï ±Á/°’·`!€ ñØ"5%Dÿã +q2DDŽÅEB; +é¢8=9AÞ§\~Ú°dU>hmƒwP§ñ µÞ´ÐµsæÃ"…TE!‰çmT—~µÀØö¸útå  !”z±iÉUдT„±ŠH&â…Ñ’–ÑHØæxjÌQãAsl¸¢BDPž’=²ò¤ÉJ& ŒD¤ÄuÉ­pT Ýâ13 œ‹ZÉ1¢¢Y<²Ì$¸Ö €ÒPé©Ò¬µ(ѱj­zµOÀõcÌ <2×·ƒFDJËU.sê0¤{/¨ƒšô˜Ç¾H|õ<œ,HbI‹D×H,’Ó±HÂѾ‘8˜¼Ö`¢ËG%$£ ­z¡¥K Œ.é%È`nŽG31LDÓa‚¬\…§Ô;Ê|ei.Öcw¸ÝÖ{Xoäº)4jÒÜ£†‹Vî§6LJû…ÏR ´kŸ€¤ïO¹+ž|*ê•OÃèð¥`+ .ÂV§¬Qqi*F¥ý¶BNP½’X°'K÷Á¤ò72æÔຠ~®¤†~ßqãê‚Žé±ô¡R —‚¦bV¦ô¬WRÚŒZtN†ü‹=ÚF0Ë¢©k»s|lH¢dã¨XËQÁ4½Z—ÊPÜ7$N³¯Yz !ù˜e‚QKE¢–”XÂdk––RÂpi´.üB@³ó:¹OFc^RþîÐÀ8cÆFEYÎNJOWè²Z ìÆBêܺ·}½f3Á*Ó’=2÷¬®a¹åñ0P ã !Ñ‹R ? VC÷³Õî¿—™0Ř„›`nJ€Y¤¸£‘B }ïÌåàúL¸ÉÇÀì Ù~ȵi½H+ódx¥N\2S|ʨUä^UDIc1+·’¯6^Å8àÁ=ÀÈ-<›Ð¹èx@.’ +² ï…Æ>º$=ð)!¥nÿ¿‘ЩF@Ãp° 'j"[ÄeyW ›ˆ*q˜R°2¤—:_fú¥+¸.¨$û¬w€AáÈ‹„õXÛ&övSª/edð#÷²{lôkq†“£táQsãrÍáxvŠ"Ó‰þL.A££ +NîFªÿ`áÒÙ wk³ˆpÓcŒç0Jk\­ÊäŠs•”¨³2øP´±6©·Õk Ëú¿Ê„ƒZd"¬ÈÒ´ŸzàºV›z×±b˜d ƒ‹F#2ò^{8•éµ˜ß#•².RÝsN:!Òip +8G'r½þÑ.P§¢^(C„:чêPBÃVÅKÁõÕ¤,Õ‚ v>Ô­fFfe=’㱄{ÈX‚GòY ·´ÚJ£rOYŒzñèԩ5a!v?¸EÞhm€¦˜#WÍH1 B¡ÍÜ®C@ËõSâŽP/‚CJi„‘iÿÈŽªÃ°¸píþkžÄ¶TE׸¨ŽPçVÈ™~VQµ5Îbô$'PÒEÖ³¥;›ÊÞÛVPá>0¦Ä{°´§—°Þ¤N†šxõ®—¸t*ò­%êÙc1£þ4v[&BAbÄ„¹µÈ5c5òºkAi/Ì$åSº¥EZhï '÷µTì€#Ú¾Bõ4§q×"ûÐ;+Pnë±£Âp! Mw¹œ¾"î4;o\0À +‡Éñ,ãFƒÁÉàÑænÜèù•IÄôŠãxk’çÂzl×êôé,@má.TɺHî AªbW`N "ëk„dÏåðÈî#D,îwˆ÷_“Íö† +Ç}H´Ä+zfG²*`Òµe`òËÊørMd!ŠÌ¼˜9œ¦1¥ÈQ{L"QWµCòàx@É"ýʽ6ƒ­Zƒ{¢)!Ïÿhü%ÃW„îÞ¡d‘Œî“d”õÈz«,Éèu¿Ñ"q\+Ü÷®Øÿœùÿr|Û÷˜Ã´áp(P↠̤ì‘g +*¡ËÄQ7>cáÙ:ô#ʶƒfHíX06Ý·d“ƒî†¥œ„è&‚ 6 +ü¥>\ùê-F#ô¯*kÜÔ±GÔé"Ázm¬L#UrŸ +¹op üßp…ÿY¦Ýþë3i?¬zlum=©°‡OåM”>%ɤy c]ìØîà “á+3üxd½yüZ¢U?¨D˜œ¤Ž(zê$¯–¦´Øi‚ÉÓîî´q£ Õú‘T 2wô†\¬:¡Í×bC­Ü7”ÿ¤J‘ý¸þÒzû™øm?ÓJí±ƒ&/w_8ŸWè2k#žIÍ;®AÀ¬œQ%Fte(yÇy›R¢wºÑÈçCd å1ÖQ¡Q&BS•€$NA¨/[CepáºJ ¸N«U7z-’btq.ïö[´}*à¤-øŸ(¬¶ÏÁíöµÒ±ýhk?° ´ï1Î<òáHŒL%S:¿/¡IiRY åt97 шbÊ h]ÝE*9*Òi§TŸÐ'V±¢6­8È2»{IJd”LKD‰ðV¢tÛ®Ȥì #Ú/í{ºö×Юýrn?üÛñoûÅ¥ýak? ‰ÚæÀÇl2Ï–ŒJOW*ÝK‡žrw««sQH©$båc%4F¡•Å+U’@® endstream endobj 29 0 obj <>stream +Ÿ¥ ¾¨Õ¾Üþ É´OŒQÔ¾Ç:F©ýKh_c¥?‹¯a™*”)­Ð3EiX¨'±ÎÑKhi\œÎ5Y¶“Ùì’ìŸLIöí#û1i‘}­o$û2’ý !’}Gi̾‡ŽÙÏ +cöQ¡2ûöξû™²¯YyqÉÀWHØÀptàû|í4~à# üì$eßcNåÒ:S³¶o\¸¶oü€Ü7_Iï™Ï~í¢§óÚÁGçµïðûÚGàûÚk’ûÚÙÓ}ífÃ}í–Ì}íb¨}í‰MûÚ_ûÚ¿ˆ}í¤ ûÚ=Vûüµ¯6Àk·”ˆì‡ šýOÿdŸ Ÿ;I_û0?ðjÿ+ÙǬ¯½ÄöÒR1 ^;éØ=Ö ì„ýì»ùö¾ì°æ°§Þ `¿¨L»D6쌉 `ÿ +&€}•v¦°ãÀ{´×ŽR¯Ô2¯}ñ‘_»G²O¸?Ùç>¬ì{l‹YÙ×ÔOö_0û$gØÇ2°GF“Ë ÀždöîƒØ[ ÀnÓ€½UŒûF%ìYØ=&ZD€=QöB +°gÀ®# {hì²°k¸`?#€=¡)¼vÃzí‚ó}¯žÙßÔ7ûÙfÿÅ8dâBÀá˜<Æ —<ÆLcªc&€4ÆlbŒaŒ™ °³u^ŒY†²3 +¸3ÉãÆÒÐÐDW ØÆyz1y\ι†cŠ¥ <&¢X"A±rVC8Ž!pÂè¼ + 3xp*›Õè>”ØÕ¦˜O«#Ÿ©X3|Ǭ‚€ŒAŽÊ}ŒÙKrŒÙmŒ™ÇTYúH_Ãj¡ì¢nžrzXU“¼›É9·fá¥K=FEp†r°¹x#á–o¡¼'¬ÁñK%/¼ìŸÉh1ÇZ>Ò÷[m®PâÕ$ñ˜G$œ÷ë!­ÒÊjåÊjðå¤k˧ضFÝrA~Ú8©àà+ ˆäºDÃy€AçÙÎݸìG°Jäå~#Ác¤™p›k>x@áͦ~°‹eKÃGp€Ä«‚”° Æå[íǹ¹T#»¯ +<òú…n3ÓÖ€‡U$Ò³‹˜í²Kئ²óØ"å/g·w‡ÃôÝY^NvÀu!iƒ»¡lûErî„ñ“° + À1|¤ÔëLðËRÈyƒC©CÛ‡»…=ˆóI*&©6!O”…b|¹ Edð®’‡ÆPn*¥($@é³ÒÅå8-¡Ødp«›ixLE®¥#'_Êc9’S)ÁÍ©»"ù~úظUPRÈG2Ó+ÅK´ +ÍHÍ·|-.A'ØÐ¥Ö‹‚NƒƒšÙ-­FD¬Èz5º©ý%_gä1‘^ñƒÉä)â1(ƒ”†1a@D Ä"4luEhhJ +L#\A‹£)L_qˆ„&ÏØ!ÚŠ±FGëû}’ IÅä€á)[ªÐc²Y¹æs¯óÑV¡£ußcd‰b+•L¨Q¹˜r‹‚É`:”D€PXôB‘§E1C´ªBÖ6ʾ‹¡åb0þ °3.‰&°ÑGØ$- Ôî˜ÒØSä+'i­Ç,}wÃ9Va‚-käš?´.jÄžÕaOT—µb±R£(Óù q¾¡%q2k‡Òb%×P–ÌüQ-câ£Z<ö2xT‹gÌP–tÛI%åg¢‹c«t™C#EjRÞ¼<¦–¹èÉb0¥ù'ñµ®<(ì«•`w“:ôN`d¯B¾/ö´7äRæÝÊI Üc»dÓ .õ}¼û†ÍÉý e…È/%$¿`|™_4„æBrš ÷všË}» {‰Ü˜ËXùdÄ +þÒ(ÞFhr˜==¶iºC^OU…ÐÐJR\&,ˆ^!nüÐÔt1ã‰#eÏ‹U|Õ\s@_]}÷þéðkŸ3Ñ ç¦ijZB™î,!÷)ß„’ñ¥òM(™r±¡d2–’1-*OÆ"Py2CîɈ‰æÕµ—fC웉®aœ Vš@ACàL噫٠ÀqZ[K²¦ +å·øþª^:u•`ŽàÌ+ÛMR;J÷CÈ æøðbLrŠ£5z­V™“¢¡cvˆÑ}C¤ã~Ų‰4—v‡l6gˆo0«ëÚækã±Óhàš³é9'ú!Ð’šéhF2ªþØ«a”òp¼Ÿ¼X€ÊþpUyHG ú„A£ #¿NMkP—gl PÛ!¼Sňh;Má(ߧÁ5xéc Áÿ@Z÷ ”ÿ–²TX™˜fqn6|=Ó†j2ulX%t…)|)HÐÔv5 +©š +´Rd€ ¿€E@ZWë±BË1ÞM%§è‘à“ë/rè«´ j½Ta¢@“˜ )ôADä%dE‰&ÏÔ¢¤B²aº¡Þý¯óá~á“ý/ê±Ë¡SB5•Æ&5OV”®¤4¤¦·Ý­b窬ÙD'9"š±qÇ“Yµ“è´Фu%¢Ëú:Z.Ú9#X@6ð8”y%Í4©p=¨‚ÚÏòØÅà¢sÆ(Jˆ}£(œÁªä¬é’Ü¥à3<¶û`{rŸà1þcâ²[7'ZS0PsسlÙ}–òò¼í \Y×c©T¼ uuz_ ŠÔIYM—*~‰#t«"3ò9ƒW¦;IçªäyñÑ=ÕgãoaŠÁ\’§#%e,šüTþËKßh­éÂnÁ—ÄÁ_|C÷=æYܬþg4‰5fÑô|Û—°®[HOoga;m€e:ˆwÜ»cœøÈuÆ$™[JûšÑôÞéÒ±j þuÇ+ê~°gû sïwÀã& Û.5Eê` ™»=$úJK8¬µŒÿÂJþXa»}¸ïAPþF¢íoð9ñš)€ôõXZ0™v“å0Ü2)²ì´YÍfIÍrÑ µªW¡“ãÉWCâS·ä®­]éŒ}©Må=†T”#«Ðøì¹uv´#0¥’©èP6áÑ=6¿Ýj'\"‡AüòCwrðºXCîÿ žÍöÏÍXÆhÛ1§5 +ÌoÓò)_xæ—˜òt ÓG3ø;qŽ,·ÇŒé:ç ‰H£îÉWÖ_W®ž$®(£Ù©Ñä¡KŽŒ'c:Øá}âdª*BU‰Æ(X!P׸hJÛýõ¥é~yzÝo”Nî&(ÿ ÿ=Fp¿í§!ÄE«•Ž£vOƒ”'–ŒD zZîO¡1 ÕDçDÚNNù¸Åè()ÀÙ½Ò +ã•J ÆtG:ÁiŽ@§Ö’ªQÛD:‘“CôGÇ᪾ÐZP °Åzh»H„¹/¿@ÜOc÷+ +”ûŒöLõö¥­ýÕ`Š@¬¤€±;£w y¬F]onÿ‹b”kH' Ï¢RLÒt'“¶I”ãÐŽ©HS™œ†:ëu´ôÒ¥m¨B—Q¥šÉ]]qBÏ¥ ׋$?¢ãDv‚Jú¿2hüÇY¸ÿ‹iÿÍÀI)ä¿ñ ¬¶oð8¶O”Úw¿ÁÇ;+÷*' m•ÓHÌHÔ(_¨|~Ä©Ž¼úê’«ˆƒ,!ô +‰ÕÅCë•Jò֪Į’Är»lF‰Ûcƒæ³§{Jû”`û‹ dûœ‡n_'}¶o«¬í» ƒÿšÖÂð_Qm4M¶oà˜´?_ï±YM•¦:QN·DSy +ÃQ‰‚ÄJä@Ó•m€,Ϧ̴X‡£tQV Ê΀MO`˜ÿµ*¾ÊÓ +|R¦øƦ}—¥ißcÚ ýÉÐ>¸e´ÉÚ?]PÚ¯ Ýö9¥ÇöK”²ý‡à±}EêÛþ›´_ˆÚ òÀ¯)ÜCÿÀ«…ê.t>–¨# +\Ã;¢À…<“Ý£R>‹*ßP>‚’|¿#¿#¿$Aþ=@¾¬‡¿¾aà_ÈJà‡Ûø†Ú üOŽ´´/ƒÚG-í{Œôªö+[û +¬}Ð,µOõÀïvNà×Άåù¼BË–MÛn—ÚìÛ U}¸m³"Úì“8›}ÏÀfßcÙ‡“ý”„“ýœãd¿&­Ùw_9ûæ(g’ÉÙ_Ôwö»È)û¬Œ ü»ó¾ë˜¾&P~×ß´ŸHÚÿÄWàlàw¬Là{¬Fám÷È_½ÓGöåõc!>û×–ý‘³e¿°kÙßM-û&ƒ–}ûÈ~̲¿Žìc¶@ö#"Bö=v°²¿yEöQ²˜ý$Í> Êþeneäï0éÀOPòÀtà^Œ¼ñÙïX\¯½eök_ðk÷ØÊAÀ¯ ¤¯½2£¯ý!¢¯½p:_;ëq¾öË|íõk¾ö·â¾vÔs_»Õ¾öpm_û‡h_û+ľö’%í3@êµ£° û;Hießc +ø‰‡&ð¿ø…+û. |ÅŽY€ Ǭd>ƬsrŒYåà3DÛ3MÐ3]fŒaŒYHíY<ñšÇÞÍ@±q6öiS;5ÉŸò¨áÌÒãÒiþ¢"Ð4Pz€©hbBEµY… Q=ÔeÀŠA2Al|¬`p2¯ÒÔH™ü$¸P‘*â˜e 1ÍpÌö•g·dµg«®¾R6´EXPlEwðZ÷!ùýÑp:뺓Ö⣮ p†é5¸3„°š)¬¶#ªç±à%}ð§nä« ÐÀ ±)p(ÆúŸ0Öº„„¶ÉºZ¿™ÁÉ‚’@]pr’/0r’Gep’׌Ç%dŠ†óÀæɹÍ]/&å¨&#9xààHPŒ +aáÃC¬‚`ôÑ \à~Ëþ†ârX…`º`èDéýì +Éa0LȈÔàr $æÂTR›èÌâ±qp’¸†“Ø<:PHÊÒK$—V«× ž#¡òJcB‚ýÂBÖmÞBé3h<¬Wx5;|ªº@a.nR4ë}_’–xÐø+ø´ ÂGg0´W»­ 9>:ôhIXcÃç#iœÍ, 4fðð›Ï’aö8©•ßH2’=vf0Â3 .ÔC_ê]"“7p¼L¿û}aB’9Jpp¥§}I$„ç™î QœƒÜ±(9xÁ€*´Ñ¹‘ã‚Ðâ±_ÈDDùÞ<Æ8a‘€„l±;Ý™Á®ÈIzÐe‹´ËZ_SœuîïaEì ¶¡;°Þ¥ràTÀ 1ÉF$bé$Âc4€ FdÌΘx숖b‡Aì¢Ä¡U(…ÏÆ›Ùhfy¹<*¦ç†&êMC8úŒ…¬¾M! á|bê·ÜõáÌ䣗œ%MC¬)²êÆlLù)]Fõ}2·!§5pâû•7NŒ[XQÒÅòÔÐb +­ +Ô=a½ Äí¸‘Ñù oƨ‹‰£ARìa]ZaSì ñÀ&òc^Å‘b%I•$<\YÁ+z÷¢—û0Š£53ÝiÍ' iÍMx_š4b¾4Q$n\x¹oDœ ˬ=§ê±v´8ÓSPÊUKDì8¡f âèmõDŠ δÁ¼º3ºÆKè]™HwÆ¡m"Òâ½$1’§Jd‡¥NØÔì%È ~8ÒÝWtBîÇÉÃôX<$³g×´5–‰³ÆéfÎÉpRgFÁ¤è高©àdTÒ²W-5VSÊÆ–B8_¢Zw)ÁWÉã&e v½¸Çg4o'{†,n¡ûèÔ, (ŽÄ†¬Xütp¥öhð+/[ðƦûŠµã~|ASgý¶èçÓHQûÖ˜P‰¸Ë(…Y¸ F@®v…ܲ®J¨çˆDl€õ´\öõ0®‹†/çżˆS‡Ôš84ÝœY=ë–'«d6Ê_ðÐô™EðEMMîëõ:lR,ZƒÊ©üÁë¾bƒò> F©g/ ª r¸]Ë:â`&œúñ3óš2ë¡öEƒ7//N‡ˆŽÇè<óÁ®æÂÄ©Dµå‹ÐèÀG¬¨‹ñù¼ÊÁÞò j­…€hTq­%> <éë«>“·w4J,\oE¸OÍi YN–@/°HñÒÒ4ÉO/KÓU£œµ2EV’ëvœƒï»à{,³'l4ºß¨ÜO|ÿ?Óé É"RG¤ŠsØD*òj÷bzeÈÞúÖ]z*NŸ0;™ ¯$ÊØHÜ®ÜåBC‘0Ÿ®Ÿ4îI ~î–mc”®F‡ÒeR™FÔg£ʬX<@—Žä: ~[^Ä®ÄýF‹ò?!mßcvÞ1Þ0|äÑ yÍ)Àªw€ÐáöÉñò¡Zàà¥9óÇÃäÊ?P´q•3zAV&Ò +Ó‘J¥–‘›ìÚrŸàÖKK¥wÔ+•CGå±dYY‡G¼qyFðSFð?³BðYPQU¸Ï°`üG¬&Û¿?-=2(Sêš>+Hpg$`d‡Èc+ kèÖ¦ÔVRÁéÒ}ö) i< +Q'<¨AkŠ¤m j½X/Ŧ«²I!Îê=±RÖ†KI­ årÙ%¥ûšÁí¾Én»_SÚ×}ABߤà?"åØ~îLÉ£‚[_ü_¾JÄ›i « S¶»5 +…¯rj65‡ +g•jrúŠÕ#ÿ VÚ€`yLÀâ%–w6­‡,¸Hs*Øiwbïkšqò¹x¥ÜLÜ'QLÜ—_%û”LÀ%Lßö=FBÅŽÊÑ 6¥t“(A‰¿úÀƒ6T[£X±@öÉÂQUÞj¿ÓíʬÝ™ÛÊî˜Çí”qû¼l¿µJ·?šííÏ¥kûhÂáÿ¨kü÷ØGçþï’—ÿøà? ¸ŸépÿIƈ%à?À±}„íÒ¾~JiJ-I[9½\nœòs+±,nCK`5-¿Fn—ÇvMøÙI„Wû­ÅÕþ Õ¾·ÚOPjíµö_©\û)X×~ˆòÒþ;Hl?C²l?dAÛ4ZÛ_± þƒX†ÿìá?FTðŸDWÛæö=Æ0™´˜MÚ_ͧÊõqXz†³ ËOÜÒÅBž+uÚt~à«?ðqÔøëb|Ší +üÅøžÁk;mÚ÷˜ ö´o¢4´ïÑÚçäíW4³ö +ís­ÃöSoeûµâÜ~*2·é¸Û''æöCÏm1—Ú?À¶öY4±ò˜+¤X¯†k»¾f ü½‚ üÄþiÃ>H¾þú]_Â)?–?`¡ÿ‚ÑÀ×%hàçƒNàϧOà§*)ð' +MûÔBûيѾÇJ +YûqéÖ>ÚîÚ¿X¸íK¾]û¬}…ù +|kU3-—ÂãwWøú¡ø)üÔ¶Ê~Reßc³E•ý@Ïþ˜yeÿ ½²Ï}²ï¢dÿ +ß>ußy¿ilQßkÝÀߣÀÏU™ö;­Bû(FÕ~¹ˆµ/ñ±ö¶¨}EF|iª}½3ý®j,E>IUgD*µÙ¿;möaG›}3Åf"²Ù÷tNö5•“}ÖcÎ>é%gßcZξ{ e?Ó…² íÙ/XÀÀom”ÀÚÀ-¢À7í[£ö#+QûøøŠ2ü/SjÌ„cÆÂ1ó˜î>ÆÌ£=Æì¼8Æ ‚jŒ™aÒ³ ’ŸÜ°ÚªrQ7ÓÈ|>zHÞ#î£ /]ý2*‚ZGémí„XÂ5 °Q½Žé%}­ q9À2Lx¬>|vŠ ™ôeøæ)Iãà²9 H«J"©¬æz*«E0&]ó\žbsY4êv¯ðÓƦ–Ó_›ÇA4:ç<¦`A.Ý·7*‚¦ ~Ž„@¢ ]ÃàB%ªÇuHŸª¥§¬ L—Ð+AúHÞÎj% S^x̧É(†V™¯HI +õÁ58W©Êôú´“(—®aš\ºl0¹t1Gß]¥À ¨°"È6,m@ê8ôÀÓ:cB[ʼ$h.¡MáMJ/ðU.øè—ÃÂ;T;Œ:á ]1¸E–¡ÚSC{œùãcȇ ÇËb˜Œ@£NYó\“2TÜT…³]ëZ?núNà4aÁc’} ,”Ä*È£Bá„tCãEQ=8|{ú  )ÃB™‡¨Í’–è¤ù+±rì]qÚYƒI=Ô%À!9Æ…w [15È‘ˆ“Åc%ÕÂUš*z®ÑÁð‘²RlˆumVVGC76}ï§Ñgï§Ñ—ƒÒw*¤/(°(4 Idðdr2:Ú¶$á±®E…wãÇÂ…š jÅ$÷&sËÉ<ÚÐÆANU:‹eWxD$OJÒ¨ £É«R©TR {Ñoû²Y‰ç#m­4ö·ëÛÑ,&|&œˆ…í±ë¡$ÚC"J{a‚01RYÂÖ…ð‰$ü·’¤Î¢€{K± ¬T qt£ÛSjtY䱺Â7Þ"e‘öö ê@fN‰hB~ ŠCÿä2k58÷mZ™Ï#Ý› Ð.þX Hò ¡Á·s9ª ‘J¤R .W¡Ê¿«ðØ饱‹˜H0–Ÿ`ì2¨2BÀÙhbƒãbr1…¢„ —†tr?¡fDPãã$iP™ÉK|*怗F€Å(á)ãß‚ÅÔ^3+}-DÖc™g!¿Ú"eÁÖI<†í…þ…0… +*L#‡d†F©3’©¯©F¥Ä  ³¨Ñ°ÇMã%Ø ŽÞ„òzl(ž â1I¦ƒˆ‹TÒŽ†Ñ†±ˆdUd¥¤|KÈV5|Š‡c1i©> ±¡rð%ÃzY~9‹~ŠÙJ©½´ÚŸ$@ŠõiÓ=–¸^QàD?J‹Óö@aN‡ªæŽzá pä¯ LyÀVÊÜ †¤ƒK¤ÎDR"H„G/'„ÂJR ´ZX²Àú„ÁÂÆc3"§ÏsCh¥#&€Z‘wÐ"‚ù´/5&d‹›öÞ”ô T®( ¦ +e5øøH´G+Ñ +RhÂÕÅÍBÕ+B$tЉH˜eöKyނпÕû‚F0¯BŒ0J ‰ÃvÉ•Ã÷Ûr²˜0 XnV‚À‰×5Gu;‰½4hŠÛè&bÌnTì"|%âÇc‡ªtR -b´ +AŠÔÀÁÏSÜ&"2¼È(£ã)°¦Ä”`Á‚Ôî… OC €6V4uü¼"ƒÄ¥Á ¹ÑQÅcÉk¯DLUéÀp¼ ¢Ë,›Áúçk¯*êðÁ°ž&ðª/‚ “ú +•ô!D+H™—§!¶);aº]ÎX ô’f´þD‡ßc8æCæ®E…­V·„äò$'€Çùp¦:L£¯õMU1a$ö©úIœGfJúÒ–LæK\&®‡!3Ê%ʆÑxÄ'¨y +•Ã3Ç¥"j×c¹sÀŽöÍ—Ô³Ô¢D?´æØ¡ý(à‹Ñ‰Q…áŸü$t`Jî-"eT°¼&£€dRra*¤=c:ÒccåґȃÈK¨'ùk~ÊœZ k .¦«(d.ˆy²™ˆtÈ Ñ`8©‚!8†ïÕÜ ¥y;'Ž|Ê´·+5ŠxüOůºMúsPZlÉc¦»£Z‰9ø5ï¾hòZ-9$_XFzU!!JékÁ”?_“ k¾&„!¦°bî­N¨DùФ \n.™5å’™˜Rx^”5•ä,ÑúH[ß"øõÞÆ«•v¡m aü´¡NqgZ‰· ;'WòÈ.b@µ œð/ pð#¤¦û­þ»ˆ6¦RIj½©MRDYš”)K.x-,%ë/,_ø¦,¯ÕT¢›’˜ìÌ<Ç’û¥g*¿i&Œx+eOUØqtúî¢SZáAeT˜?b y<6R¹ >°<=8("MVË£Jˤ—ÞÀ_´ÚB†ð/†“àGÂF÷I¨1„2 †"`0$YEÀ˜d‘èRÚ´›‹Ia7!^A£Užáž°eK¤œ–ðžì˱Ñ1º¤g±J÷dúZƒ[×ç¤ÀMw{NäžLI¥W +S¼j_*ÈRøWð=fÙSÁ½÷IÙaÓŒ>¸iJY¸iN[eÓ ¶sÓ ZP¦ ˜›¦1‘šŽd—‰Ð1M–Å7¥RZ—`ÌÚeÏzlÕS­ «ŒNiœ±HØï9Á‘²GàºW{Ŷ0x”F ÜûP¹ŠW¤‹ÃðkYPøëh4ø¥. +þظ_3ñÆc¥0oN#'Þ¤*©}£WöÍJ M¹=„&ö°LCÒϬ4RUÛѨ$UÙqlE`ÂÎ7⺠ÇêMS® ÖÔä`ž¨ºvPÒÈà`y!NˆPNø¬Ï ||ò|—s|Lžwâu¸/Ö!ÿ]¢úÐùª íh‘P{Œ«3LèLô|ÈÁ†ÇÀ€ Nn$–ûV5¯*_5ǘ3ÀK(òncÄÁéÕ•“^!à'©@IÍ ï¥³ø†µ21†ƒ‹y„oˆl„ï1•ü¾èŸóŽìÿ¿°î€ÍTd­Õ¡gA…ÖÇP?‹”^Þ‹I€Æ–÷!Ry}ä)IÀ’Ç8²€TÒ€¹iR¿ÊS(ú*(D)ÛR‚˜é/F%°6,J`íE¼³6,J`  ÊêÐD ñlÜJî‰P$÷g‘ € \G³=ƽ´ýZ¥Ä›…ÛV·,þñ؉œÕ ¨cŠ £VÀ¸I)ÕL™È >‘ÿ¢“8¡0 ’J€’J–?—}÷b§ü=¢5â+õù +â …bwÃŒŽo:š[ºí6Œ< --W»ÀVˆOÛ_÷µâòAT‰|'¾›…r„O«Âò´ëÀv Æ‹ûûÒœM¼I¬s¥¶á¢”<¶*Ÿ¥KL…Eú2­ä’x¡ùå™”,ÔûÙŒÔZ˜è© Ð/âI§¸L•hrT“*³JŒÔËÃÁ›%>­À¨£]­ï¤dßçÊY|¾³Ãª$&ܵ©¹Ÿœtyô2U™‡HRÞVf¥)ùŠ¥é%ËtBÜ,áy‰ÒúÐÞ§«ÍÕ^r‰K½”/—&´Å½  ÇiÜwˆoÛ¢§PaIâ¢EÚU~¸¸3<]¼JÖˆ²ï"‹¦lE1[kȘ1ðpÌ^pÌ> ÇL«…±³Å«³¡3€¸Á±MÑæ1OPF¥ËºJÓÂg¸4F²(ØË­2ˆ™2ˆÙùĬ ÄlÄÄìôbâÌ ÉʆdŠM\©ä0#¡‘0óè+°%ÊÙÕ¢lûÀ§¦Žî:"G¢`¿ åÈ §' ´‰9à)¸ò@­0“¢zdþÈB‡Ô#Ë,BÌð¸Ù©´™ûvå¿ tT@Ë=2’ vdž´tdD‘©úD‘‰(, V9Á¶Ï˜(ˆ@Øh ðø(#ÇVq芕#fÑdâ¥4èedõð”$,ÒIYidualdNld^id¡1ldCÛG# S_#<¾F¶òl,–|™zyYÆ;Y¥Ead¢ü@pÈøÚ¸H. +ç,l"ãçã(,Œ¬Qp†Ç@þÕ +KÖE²›†…à+šñÔžÒ..2Íȵ,²G£²ÈHÊ"û¬“E–ÁÉE¶‹lS%‹,¥IÙç\,²¶+,²Mˆ°È<6±d‹ ‚ÈÙÂ¥+²ÏÖaä:_uoÙ@r‹ƒ ‘²ã? ‚â@˜F´`_wüWÛ9²8òèBp„\f ~™Ç\žO"[¹ŸDV³<‰¬!‹Y«ÎíJ%²K"•È'*‘e­S"3]N‰¬þ¦D¶¢v"»|v"M D–Èt™'i¥™aN š ¥à¼ pÎœA¶¬ Š¯À8…¶’&Zr2,.,锞¼ìja™$ò®è(áDZð# +” ç&@zí¥LV9SÖpØh¦úPD6»S†áðMÀc +M7 +lÛYÐt:€k÷lð&[64´ƒ¶ŠemÄÃû@;ñ^JU{δnpTLk +†ƒûñ÷çqh¤S!"X“F¥ËV¡©!0Wà )»O/ºèx*Þ :‘ñªwT–DŽd¶DŽ”½<.vh¿¹œ¤÷‹íE(!ã8©rä";æ¼|û€„ »“F¿Ý'N±º·‚hÐÊ +¯@ \ô ñ‰gBÕ¤Ähâ2(@‡Fá±ÎJ“+ÔÑಸ¤â½0ÇPp߇QØ_s£ zÜhzMFûÙ’æ8(Îø•-¨TgîºþaÁ/L\«Y@iSB H˜Nêà± +J`)u`V©Ã7¬)"ÄžLÖ3ÍD©RÎ W|³\xÄÈ^ì†ÂH©ncT¢HžÕÉ + qú‚è°¬n—#œî#‘Ž!!ƒB!û\̸U)¹!kE32C÷¿ÂM(£¥|5ÜA²ÂP? £ Õƒ‚¢J§’XPБ² €$Ђ"#?EžpEG²,2^Å3<&¢¢–# Á4VÇÁ +.ÇI‘jø†X=êi [YK…‹!¿T ÒpU6bÏ„Fpá"% +PNÈ—Æ+5®È–y ÊÌq»²¾bêõ˜gð­( v)ó ’j²1J¦¿Ù§ÍÝtZHÒ|cø`Â0,5C¾µHü̿!9@:FÖj<¼Ö¬zød è*T½ RRuOô˜6bÜ•"QEµ.cÙÑtÈäõ‰ _FÐ1œ(TBÆËÅttbMçR8 "€šæd(…{Õ£^Lö]:„öÆ©Ú&o(…7!ÂÄ[&÷|Q$×=æô¦©5¢O¤mŒ¤dÓí/‰D´‰IFGñN"O¢â±ÅA@²L(¦–1-ÖŽ;M:BÈ;«Î;öÊ›ãY ƒnº°8Ô©±SåÃx¤4$ÆãÞHŒGéWŒ!¡`+Û”AO 9{BÊOI‚x,<½FThDƒ±@^îÑ\%ÀðhÕ @JJšü’Ø'0&ãÏè1I5Ö¤r@q(î“V[jdi¨¬}¡¸QsvÊÄŸ´[Ý*m6déÇc Ùæ'ZBPqÊêS˜“¦P3ù¦°P>@Ë¥AnW͈îcÇEæ–£ä¤à"ŒÏÉùbS²§çc‚?îÄcyB Ð/TŠÂ’δ’‰%¦%rdJÅØ°/’KÎPìÐÝ ¥‰‰ÊÜ+À)u8éë_‹H{óbrt«b„b5pñDjaDTJß2¢j÷2â(ö2¢ÇÒRASH0ó•ÇG`8≜”‘TIhÀ$b—]dA݉?±”׈“¢¬lDZ1ͥϒl¦¥“Ð1¥É².`·-gBññ˜Å³ˆ3Ý"x:?\ö¤êŒ:þ³=joÔ îð¢y¥x™DPA7(|¤¤Å“çO"‰¯UG:™ˆdôá²dvRµ .²Lñvâ±±´,( É–¢€­ âgÑðÆâ +8 +eÊ¥‡JÜ.-zžL0ª(Ê2eb5#Xh7¢ù9œ–,BÕ𜬵«Xµ)…Êû“¾z<‹ AhÄmò˜^º_²2=_‚¢ äËf²!Ã-Ró®ÆbU&±RZ„éPɬ<´2åžåá)EÅì1¥×ݥ㌠ËËoVäQc—Qå#ÁÔÉ ÃfpF +÷ŒÇ*!íÕˆcÃؤ8ƒ&L‚Å;™[$7ÏA4 ¦ì¤ ÒË«®ì%«Ù¹¸8Œ¹b1"ᲆØб7 Rnʳ=BZHÑ‘ðÒX«Ö* +`<ÖZE®Ž*€ÙEꌱ'Lå13àýrh^ÑÕ 4×b:±©ML'R3%K“™ ½yRu…tYel± ýTŸ +J¢‰šÕc`õ˜JVQ%àâÌŠnѪ„Lɽt BGóŠØuC9¤úXt«L6ç™Ý\1B(1ý9Ë•±Tš,6á¼nD¥Â4 †ïôØ‚Ùœœö h wChÉ]XRJ_C7QQ¥V1§@dÜë_!/*ä,ÎJ‹ó]´–åEû –ƒ‹z1¼×&A.—¦µÍ'»¼áBïü^ŠÚcyå,€\# 4€Œ…’â}ý³AxÙ úúè7š•]šŠ¿Mu³,[!_b\ £Ò¾¾0^ÈI!h'÷"ËÎ6ª³îì©­ÇPSsj1”—éN"É ¦Ì«x‘ƒŽ÷9xËM¸5«b‡{Ìu“¢ÛTRø¬¦–wvŠ=Ú?äB¤Î!ŠQ +–*ÃS‚×ÀöÕc/Ca_³æÁ !—¨üg•x9,ý‘M-):}.cŽ\Qȼ­Òˆ¿¦z·tnÔôðM`× Äi!‰3 ®¬:ò¸ZóÂóU³7³P´Ç¶/Õ®µù0ðfÖ8 Ñÿ«y­¡Q‘ ?”%€ÖDD ¶Ü¤ZP$›)¼þÕÁ¼]Â!èì®Ôù<¸4â^Íö˜Í‚õC|³¬ÌkSs +7’D£¸¸IŒ’$1y¦e?yXÔS”àÓé{ß 1ø÷ÂMù;¨‘þî( »ÇåþJ5Ú5ãD‡\µ´/»‚PÄ7ÄŸ¡À†úV¤îD¾™(§@8E-‘lé¨w@:m:¥4"˜$&› O´‚{Qs=ezáI§8†$Ôp-i0ŽûÎñ¾/ ïß#®°[æxD‰•’i$[}T˜™@¡0»'klR¥“#ÓäG†Š +Tã¤"ç±ÊÆ‚ÏùMÉ­çÃþ=“á7€z†€HÒ€|¯%2 +Jg±†Y@ 3‹D ³ý…†Y¼¡a6úJ˜i %Ìp‰#ÌÔ× ³È×ÂÌ‹™Ç@æ#;9̹ٜšºå‚7²ÊE Ê0¯„f‹ ëÈpƒ~>¤0ˆñŠr(øxO‚H!vQ4Ζ*@#C#{…#[W®FÖà¼#û‰Í‘Õ#«‘iäU#{VL`Šò’ld³ÖhdìÇÐÈÌ‹ÖÈ0¡ÖÈ"­#óD4bdâ` +Lâ—À[87ÐmF†Õ€#gO~òÁ6‡ÉÂj–z¦ãv«ÊáS|˜Hü +ÏÒ=5kÂÁ12Ä‚cd‘¥ ‘y,ëR`d³L`d×€‘-$FæIF¦?ÙMB-2XƒZdhZd¥è^d4^d!f_3‡ªRØB zœ +B&à ^*‰—eS…ÇèŒì¡‰KAiæÎ[¸D T‹=SØ€TdZB£È¶«ÈV)Ž"ãœdEÖ±"S¡`E `Ef¡tâ~Y@ñ*²Ôi¥f»Õ*‹IÔH·®¸”(žéx4š( +]6FIÛ¸Þ»G¬&‘Ž,^7¢.•XYS×èPHoø™(4‘’ºÅ”}t0`<4|”‚ËõX–fp ¯Í +Š¸ço\··pä”› +9/ê^Š_#.ÅKF*E‚Æi{)áT°=s8Þ9™Õà8Ÿ†Øe4,ÜI<îÂnðxLRBƒ´xbF#ƒG‘À 2ÆÉ⇛BÀ‘¶P<ä(S–] ×ƒœA¤´Ä}€ÿj6·!xÕž† ÃG  p*šªÕyl¦­—T¹óƒÌšYÀàB”0ßaµ`yѪ°)x<Qá5Cƒ þ‹¡²?B†ñ4ªx Ö9‚Ö‘*³°¨:³cCSRf-Ím¨E‘jÆ…0…¦"¬¤‰U½$Â3Z$¼ðKg¦ z3…Ì—2”H’6ž5/|ù=0° ÜÅða'·A=do‚‚µ±º$_Áá ?i•¶T‰,uÊ´-öãиÜjj°—e "œ2‘pÌ™a!. žåÓm¨9…‘Õ“¹Ä8”¢×ýɸ&*ýô<ÂÆŠžG¡í±ß‰‘À+#ßK‘F¾T ùÐ+ò!4Æü}ñ„A÷9—³:ˆ]ÇA(ZDbPÒ„Ö‘?E«ò7Šá0RØ*³°è´Ìâ„ð…Ç*‚ÕÂ]”ICÜtZ2S"F?’u¥bTæLÌ/"$nvùAJAuôpCÛ„Ç£…Õª_…ªôËÌT‡ CPVŠQuh!©ê°x€½`mE”DüˆY•RÂŒµ‚¼Ì„…#„„à¡Ua ìÖxé)²±ú êFé-ŽO¸ÉŽw#¿cJ½J bCH'?A$žgÁp´8Yßd™JÆc"Ijed¸½"ô0ÑqàõdWêóbÝŒ"“=EÖ1 +­v ŠÖÅcPÀ«È p”¹A±é–\q2·ËÂÃÈ9ƺ[>ŒòÞȆøүƂ-c‡Ç¼Ó‡îR³Œ¬Ꜫ‡9É”€ÐïäáT²SÌTÃ8fn‰4d¤äD—²:´Ìe³fän)új´"l Á%Mng"Ô„á±êOZ)äû‹ò Z´{†h;( ¡õ0TvBÒ°3.€F ¶°#óJ<$˵z8H-'<ÜŠd@ŸL-6MÑ• #ª áã=ø‚H‡dÚ™j€"©œ‡™”° ×Ä:Šðš¥8L’)QP3tàj‰„@nΆgÌTJ(Ð5 mÛÛ¶4^º aÈJÐ PN‡ÇX«Ïå¹¢ö›§54&RI,LÑ€Úˆ î,ŒŸfÀÇnY"™^ñ’®y$$xŽµ@®¨3É°8-e²·–2.lˆ”55iE¦Ï­ €üâ=0ìm&bТ걥ê±)í##$ÚNBÚÅñª¼R„ß±¢Â‘ D!ò<òD•³ŠÄKyÀŒ¤É°D™Ô&ñ‚‚փċþ‘rÿÊÎðŠm³*Ê›¬•St +c$\0£Â–aU‰ÖÆ£¡^zš`2Vkehç@iGºA@ä{X‰^ ²›â1϶'„cÛ²U&HÎ’H‰Î'äú$H–¾Ñ„`FOàÙÑ[‰hp)©à³Øš\K ¤­¢¢‚P•Ñ‚+YæU«)=¦ÎãåRÂ#-ƒ8ÄšÖ£¨§$/*ª­„­o„„, a͈€p»Â½¼Òæïx0rŸK.ú÷’‹#R™‹˜h0& +ÛeÜL8ñX +µ|"¶c#%o!IÒ‚½“e`RHe‹Å$ËróÒ„.—äS0­€$Éh>¬ÑDhŽé’ú¡ˆŸ5%’°n§J*²Ç¾LÌð4VÚ‡JŠ#dò¯‘'Òq,O¤Û]J¤Ó]JäÄXy"›Nå‰4ÖT"á¾ï%Y¡r˜Ìëô1‘Ñ5Y'"EQ +¤œyßW¨IU¸¥ÉœŽvSQ³…é1Q£LFåž_e±A¹°Ã¤-ŽUQE§O¯3­}'oémœ‰o¾Z0p‹´’gN‹ÑX ëP乘.Dº@B„Âõ¹xÌÛ6L&8IŒRÈ2–Ì8fƒ}ÏHí«ùóØØœÌ]ßØŒ‡ÂœÁÕÉlëyžÚÁC1¯QŽNvÌ%…è]§*tm[ $€×úâ€vN*£3ZP•ºƒÅ;Ó‘G˜¡^´¼':ªºúVáZ ªüZ"4DøÃS ^QGê›Í"•šûp2=“džGÆ€zl%ä( àS¦vJ©±g¦¨, ÑÖÓmÍ+†ÃŠÒLìœÐüXìáQsÚ.q2¹-U¡#2=l¸ÉyFhà™4Øz7E ¨ãœtHñAIB]Ýc´¹ Õç‘ +ó5êè`M±eºv±W%«k¢* m`óúj™ ½þ`Ψ9XzY±1$^´­ã‘jOÄ +u=v)¬ßqq£—aZ…:¼óqæ"2 +ƒ¶æ{S<Qö}J·ýÔ( \(GÔP;T„³–Vßi­Õƒ­$²zÌÀ•þêòà-ÌzUlYa)ÚÐã ÚÕ¡®ïTJî?µÍ› +%¢7÷R—Î'C„:ò'UàV•Ùâ3@Çe]ŠeqC†³“²´ÀÑžê]ñÈ?¤ÃA»=6¢-{ÈôP´p€ Àad­¶#/UzebzíŒëµƒ”¢=˜¥Ú"8©Ú&fÓ©UàßÁEŒj’0ãüýà›»s2² +,9‰xñš$±A³yÅÛ"QÞã.§Xðcåk¶o‡ŽÏL§YÍÉTŸÓôXÂHæ9TlâŠÜœÇ:ðVBTµÂ‰©!=¦ÌS^§Âæ±:fQ\‘€øÚ' øµ{,áÅâõÀ C3V î—<êÌ šø+}ñ¼ˆZÌLu"‘ù<ƒ`äñ¯óù#Vè£òd, ,ðvÈ´Lì:ÓˆÜ{%ú¿Ó‡Ý +]*EyPJ"FæìJ‡ùO ÔUA ˜‰«v—ÃöÇŒì¡Ø)…Äkl*z +D.hýhìžÇc‚RMø”UК‘¢„ͪ Ùî1Šûþ=ÂaýÝAÑv¨좇°—`ó +múçe,–xC^œýöÞÔ#Ô ¬Ð‡5°8â‰|ãYNyeÛNQ$£ #A®’ÄðZ®t (>¥Bw’˜ºÎ|™²¬Ÿ\Z÷¢RšÆ¦F@ªƒ¸IL%«…=âž¡Dö]Êû>Šáߌóï éï¤ÍØ1`÷˜i©D'ú1ŒÐŽ¦¥¢y@šXJ’âkQJåh&ÒA›@‚’xŠ2û©u ^Tº1RšÑƦ,8Ð%ó+ÕCU ×AR}©*³ò˜ =•¬@:¢´X˜ÄeZ%ûžº R¼ïcùþbk{&ÐØ=¢áERœ‘ú”ªó°)eN=•hhªî[2¨b½ßTÔ×°Ê5Œ|"9–¬H•¬Wr­•P¢´ÌN£¹Ê.qIP–—ë!Úâ\CÆ=î{D<ü½ÄI§ú‘¯P"wÀÕ«’%C7+ƒ«\«!‚Ÿaßh.íD ]»çòr©f.îè“}WãɾcH„Ü÷]%ÙwÒæLÅ}ÜwI"´ïûôØ¿5/À)÷¯⽤–û.gå¾W†ÌýðX¨"÷ýCQɾ{ +’}_çȾc$‘}§€·û;ê:ÿ~®žŽ™îyŒ™K +ãx> aÌü&3WÌŠÙJCš6$#©NÏÆpÒìï•\þz:TúÒx í#ŠGk0Jй·‚TvÄÖª™†wÓQ1‡!R lYÉ” . Y¨s€dkHv8yHFº7H––Xa¶p­ÂÌåGa¢ˆÂì¤é0ƒdš03`aöjÂ,…Ž™á>2k£&\gBuÐV{tñkº“[K¸uÉà3¥nâ{*LC@¶ZCºˆe!OÔÖdÜuMSÐåÈÎË‘9*-G6ÊXŽl rdƒÈ‘±ÄÜ‘ÍçìÈ<¦QÉŽìY™`™ ¡pd$ûÕÈ>å«‘uz#[Ox#Ó¼x#+_TÈp£f3Í…KW§(peŸ(Ð'Ëò國#¡ª·B# ê:«Î% ôañXÂlѧ/HiÅÈm ‘¥Ú¬‘a/Fö]™*þŒ,FíŒì²Ø61²Î`bd,ÄÈD #£O/#kc'ó˜Y1è™æµ€š% ¼E£x¸ 't Rˆ©Tx 'èópu#‘»B9U2‰áNb€‘N gJ"o0’[윗ùm?aK¬!‘¦è DšÇ­¼Ñ¾èòi)e` +(T˧ýÓg£Ù +m¤¥r¤°I2fCŒöÐæ3g L‹y6_gD ÏD îÏYH¦¬£€¨Æ3” +è¾v´°›Á‡a»4É£Ôº F«´M¶Á¬(-†ÜL˜S½¬ö =8Pž \ì(±ë6ø‹ûlº¬#MÊØ î6îPÕÞÉj"xlÓ¥¯@ì€X‚dk ¸É\5G˜0©á^ T¼ªœªç43Ç`(rϷ鈕d¿ÌkG®2/7¾•ÃË1”uNÊOÉñØåk]bP•—ˆ-3‚‰`ÈF¡tHM„2Pú + Ä`~*T0à’.cp_—‰¥7ŽÛKd«ÿ¡ÓäËVÐóé +JôXþë8Ë*äX ‰¡8šÒ[R¤§5܉ҟ*Á ‚×$º¦Rs]‘Íá ÒtDÚ‹…CÀ™OÒh°3?ÞÁs?Báùxó«—R/Û§q”Û§ðlõ—È ,Ù1H› ð 2ÈÖá½X„H‚¨Š¸¤& +•Ðšƒb¥Ï§btˆð­WÊšLç(}Ù¬$e=&/ö  +5 ‹n™€GÕ2‡ f¥¸Øö­LFy\~ÜU÷€C÷¨TÜáòºÖgäPTå©’èJ¡6‰È38%<öºž¤0Ë:³¨ˆã€!>¸›±P¸F¥ò4´Bs°2ªÄ1Jp/ÇLrˆöCx„æm¦ +„‰Ä“„:mï’r µ&ÚU¡¹I–(0ýžÙc¥ÂipO¸pJ Å9— ^Jì¤_JpdΔ½g£¤ÙHÁúbÌ"h- Ù4ú†:ïu#3¯dé®GÈ ]„ÉjC‚ÈX…Ëø +Q­*1”¾¨3 ߈ ˜ˆšê$ o:Uå~ë“¡©}ª -Bw\¹2ñ¤Ã‚Ôõt¹±Wa(> +#ñ¶8Æ‚Þ:†‚ö:†Ç^»Z¸¥HLjä +ã³[ãOVt£ôÉ£ÃãßðѺ"­ÇÆôÙÐ’b©Hj>ˆ¤$‚vK3v0Kˆ|L"1}vEâ156Òõ ²ÉjÚ¼”N«¥Çîü–hR®†~n”ã,8‹þŠµ-“ ”Ê£8•¢cÁJEÇ‚”x5ºøjºoè«A‰DÂ…Ù·{) ‰Ú<ñŒ@OTàb¦0ÐŒ”Ï4ˆ@›;²(\>C€#I-U¿È•<Æ“¾”y±âTXG,;!ÁÒX®Ž¸˜©—é™eˆ¬ C<&@KDÈc +γ†Ñ"\hæêѸ«Gãåpžœ¸Ù#݇eX„ +á„~¤% «À'æÐH¤14>¶–²Œ˜ÏI.‚FJ,÷L>Ð<9X0ŠÇ– +£ò ¬TŤ +™,Ÿú•ìf`:Ÿ hð‡€sª0®`õ¢ÔÕ.$ƒL +þ§ÉM”Û¦ ›H †ˆ*A…<2 +|nâ±j£Œh3Az#bat¡6|,‰^ È§¶'’·Èt 32O*¢‚KA¡E…!"!,^1Y(dTꡇ_BÝ „é ¶€&m|©ÇVò:át„Ñú*JH¤†‡<Ä\]©0&—&ë268f|p4̦$ÂH`ã‹ãf#`ÆP¶»H!2E^a"Am\„ }Ñ'=Fo¨Ö¤ýfK9ÿbQ¹l„%òI%Ë£ÓEåa3….ÝÏA× ×Ñe"kjRmmäw:ExRY¨Sfc¨ÔQ¤›z~8$ŠÏ¤âJðôë<Êc [°I#Ä& =©OĦ>‘|ð?´b’’Q%¢%Ÿ¬Ž¤†šáDE.Z“\b)ž¥V:á|°¨ HÉ’ ¢²2¾.‘tU0ŠQEf‹ÑÚA´tÇ#rs(!D„¨ +"•2(ª€‰Ci žN±ÜxZa\, e ØH.Ï”)Í./ùKÃai·ãÁÞØiDj‚ 8ãNÝT ²ÂÿZ.qŒl ËÅÒìK&`x ½@Õm<` 3–#9ƒ`¬<›0¬6:j5E«qÚ.†éŒS© ÚºJ˜u±õâJýYm…)†°Ý‹úÁˆ¶¶\ê•EM™c¢˜kÙTÚö1á \oò:-ü눖Qóϯ²¥Â¼2œ<Í^ISÈ°ô7þ¸Çªé±È«¦dU“äþÉŒháá4°+Ò9ºïu!Ũê;ë±¢"Ð][½ŒÃòä˜s"„!›´ûéf¶ Õ]³ÆáÕt©¦#Q‘ØcŠPú‰»ZÏ®wÄ©U; üt/ðs %6Ÿ“&2­8d$ð#%^¦äÄEÜ)Ńrj%å}T…–¬*OGäÌ\ æxìüdìä°Ø â´àÁÓÛ®ËS7ËJð]‘@ækÊICÿ¼ðŽçvÙp'n)qúª¹T=ð’¡í…“'kûÛ@(À8¼B„Í=4œÉcÎ㡯á"ðS/ø¤@uq*ŽÝÁZ&—-ÑÑ¢m8B÷U»ß r©6/ «…N¦ñN4pƒ+Ø0øº'q—sž8p{"²ÇZs@>¥¨ÎœgNíÉdõ+ “A18E}˜F¾eR¤!‘¿`›B=‡¤.ŽF¡µAµÙ7[uö)¢SôzÌ¢":–ÌÃt.Š¼ƒG‚½Å™ÍäÒGȲ¼‚ÂY­¹Ð :QÑ UÔàì¡ê›Ÿž@fPò´ °æ“Š´Pþ:Ûó=È» Œ!ÍÊdá“œà¨ÙPL€›’€Üa%>F窜$@® h’}ŒìÈ~Úšd¿Të]¾ÄY8cîñ5ûΠÉÜÔi„*ƒÕÉ4|ì³<æ"ÐùwT¢\Š´»±b$÷ónÂä…CÚÂðÀw_á©®“ÊóŸ1‰>ž'Á!ç1qÔF,4¥£x`ÐR;âkGeøµgVÓk§@ì×î1 jzí+ÊõÚ1 ¼™¦´àŸ ¼• Ë¬OìGÆÏwv¸B£‡èÀkÎ¥Â]»æçGGå—·DE¤ê¿ä¬E£‡H;œK© .&&ÏVäGÒA©ÜŽ*UÂETre–'’™¸â¶°s6ôñØ+…Ák7×ôµsDðkO#ÕRpàS1‘_[”"×XBTþ”DZ‰ÊG(^Hw*R)Íø×L®ç¥M©kO™L&>UJAˆŠP@,Tl1Rˆ„žÐ=Éwªºò’¯n ÒrÕÚ¥«öÝc¸ ù»léü}E´>eÄØ/`?1ƒ×¾v¯¦´‰óžÄ“EƧC-z 2È……J}›‘ò˜5ÑS Q¦ês=žôWÞMÕº>H*]eVåd‘¯&˜ÃÍ‚` Ÿcµ¼\©ußÑQ÷wŒ}þ½â!ý=ãI€лÇÚŽ°Û4¨jÝ—©²D’ +·2+6òÕõ’¥¿7«´—(-ü$ǹÄå¾åËå1•õqd›ì»¢&÷]Y$û».žóï¦ßv¢gŒ›å1õR„¥u‹Ÿ–k95×$U ]‹ór!qß]¼eßU6eßK)eßC"eßiŽ²ï.é"÷ÝÛûžyÕɾ«pîþ~|þ¾.6€Ýµx¯}§hµìû°ì;H*ûTöÝ•¢ì{j¦ìû(CÙwPÑ!÷Ý#È}WÜ‘&•÷=8ßIëïÓ` c†€ëÁcÌ* +ǘ&›Ìw*ÆŒó²1sÑ´¨ª4Ä uhA2•þ{·g#0j¸ ¾Ç”N—@\‡ŒB_‡± + À=žUj,_ΉÑ?â˜É™8f“Ç&žcÌoÌN¨6f0>³ gŠ™Có‰™!sA2­‚dûˆB2Tfdy}˜aæÁ°RÍVp +/éÕ- +ªÔú<–¤X CȸŠÇ¾!}“ܳYÊ@ì½ì | Ù×ÌþÐìâ@2ƒ ÉT $£Ë’mî$ƒxz˜y9Ì<ø2a¶E¬´)„Yh39¥zdOýÈ:&•ˆŒÑ&o,γ©_úüSá4Ð%s +®D)à.®Ä†K³L$[§¸` ÍD=U=TLMU ¬äìöR,ã@=2r?²•k?2úÔ©+Ì 8<²læB#Û‘Í&ìÈ:¥«Í8𩤱©Æ{ì…tÈNFÙ¬Áçü¦ADñÚA08ŠWå¶8,ÛŠ ¸ÒM µ‚-)tËo4³¼^جRÁ™E“jdˆª‘áíÞÈJÓÔÈ<öå^›­UVŸ¸"€n‚5YCTxšNeé+”4ëâmóŠm,¾â¸5€¾:·…9Y*x6gG¥ ¥hm +‹Ã+¶V4"Òc‹ÏåZF.Д·EuOá*,—çÈ“ç¸nó·ïÈÚíÉÀLáàJáPœ“‡›_^ëL×: a"é!vî<6ØèÝ' D +e6 dGÇ%p1bŠàªTŒ7Ç‚Èá6wUaÂxƒ5.^H`„3ƒ™+Ž[ÈLôô"c‘zÌ&ûÍ&ŠTÕÀÀºª'¬UƒËúRE°”…Q@S*È0îЇ`c °B‘áA¿¼°.ž'Bžë„é>Ò)W¾Oã¾NC2<&ëzBaÛ¡²1BS8Ti>_ä•!(¸u¸0T]½IU&§£epÃdÀÁ •€À³”ˆ‡Ø?¥úŒJÏlnÏcŽÉ…û:—ÿ|ª¶–€7d|°`‡©É±.¶ÊEˆ%…H€FbÀ²9‰Ïq¥§‡#¡¸9‘ILœñ1ióC" ÆÔ‹‘³‡µ Léi4tê±ÔÅ)›Æ5Å°É`%áÉ`åÑÁKšP¡½>H:u`ºU ‡± ¸„Ü">ê±H”ä@*ñiIñbÞÍ"0 + ]©#â‚ÆÂô5Í;Œ‰ãäBeÛ®ÈO TApH rv§|SGº S±f‹h§ Yi"QJàDâbš‰8Q©WFM¸ +ü”˜ÈÝAqp|H +ÍÏùBGU. \$©4æ@s´2ˆv 5?ÊV Ãêb…‹IËB¹ù¡RM8zì!h"w)ð!Q—(¿€õš$\ ú"àX|ëx3 ˆ(Ör±NÌm)3|q1 øÃQà LšeŽY°41³€|Q†%Ãc KÊ78êi¸6vv”> +?@ªÆ#Œ[ „Ór¥ ›¯2‰•jŽ”™‰ @…„Û„lÉŠRH‘* ™Üꢘ¸•è0qýÍ`#ASË­Ùʧ¢:+e7k*žñQ3h–—.%s`f3s`àGÝÐx(u#"šuã3Úº1WôÌ‘)!"ŽÇ¦ô†‰ÞzÒ6Lyí +Á **Ò„Ç7¾ŠH!â15 ’L¨Ù’IP“EKžZåõ8*)Õ[Xp.•%UÉüŠ=²…°>¯;ù@/.K¬™Ö°ˆcJ4F«Ï1#™õð˜&b=48æ}HÊõ}dã>ê ü>6¿ÑGÐKAÔu‚h“úWa¬I¤ç¹5¡Š$®T6²U3ÙÑ?)cM‹2Æ¥ª’P'( *J%k·5á9¡Ë¤—ÄR‚ŠýpÂŒ§è‹U)ß æ~1«Á„ ´LL e‚h>)dðI!$çL@8ÚM@ì*…¤ ÉÖG=–©2c×9•‘ÿ®(+k$þ¾/Òó™÷ |±(«Në•ÍË>Y&{M*zœ_ +÷ÆüóÉœ$$qcšQÆ  *äjÁ»Å6t_¦Ç6¯È†wê}‚ˆø˜A¼0ãÆ1ÈŒ›//Œ–Uª0^¨Âˆ¿À̸^L|¤ +e„‘(j _9 +€TX® é4»”ûâTd­N-sÁÛ,OÆ(s)%ÝÚ'ƒ`@^eØ7+Á8_„Âñ4–û€ÓLl2Ð8‡[©HJ³n&’ æó¡¨ÃKÊ‚ ù-PDÄ`x‰›£jÉEsFÈGƒ@~ +ˆk²u­–â2¼Ö +*áSKΕ#fMQ>“q ÍÄFáÍ"%]S ISçþµ_걄"X3‹€õ^¢ã˜})G0¤Nm"åäŒQì™:úFÒ<ëQ&1˜Q&°(“%·óÉŽÖ +'š|nMÄ·£¢x q’kEp–¨€â¿Re +—’ +07¼aäUgÜ@sº ®M€]œ!€ E½Q6J3—¬*•±nÝDÚÀŽ‘ªž#í¬òX.§ûVW°ä±8¬UªÍFb)æFb)gËlPЖ٠lBfƒ²pmÔ*g)s¦TTNƒGUÈ=?-‹“­) ÷†pÑHj‡i™Ì-ƒJ-êLÊPìl</ÊÖ\“õÌDî‰ +*â;XVàdìªÅhµÛW: ÷êä†é"_î‰s+nŠ+#jUœ–MCÐâ±Ó-Ë-+‹I²Ô#‘¡T9j^ZJó¾„⢂ù (-Ó%X¯ bŽ5+èݘ&4kÞ“RqÒ­èD]ù¤IOP!¤V@•ÃX9#+˜´Xí¯Ø§×c›÷sL˜È¹ðhÕ‘’çÙýÃ9»ùLPñ¹“X'M—4]cÍà’ªšÁEDÍååÿÃÜ“—‚i=CË`F¨9±`Çžù±)x: sóº¹×õL…ü‰ŽPM +úàE7Fe] (ÍÁò [-†k‰§W+rØ_$¤¨vºñIÔ¤ï;ÃÐpFl‡#§ì²¥}¡©ºj $ÃÌ93QE­#ÂÑï¸4°Üp7çæµ0)8e†‘Út£‰ +œ!ÍÚj„lE’G˜èPB“¸sE¨ào¨¶Ë¬ùj $6('Ø l!¡m!¡ïÂDH3)2”&$"HmÍ-r %õ +¿R#ç$¡ŸS¡½ë11Ö­‚MU­Ç:VןªÃ `Æ¥W•º¢É•C°=³69ö "óÉ!;´$ãWÂäD ï@7ÂÁÖ¡°[µò ÅE0¥N¨ÓœEûcå›^Ý—qÞ—qàï‰9(h²È¡ÑfîHoKŒêÖ©ûä²Êùn܀ס˜rÞÍÊVˆŸ.0$•˜“XHÀ±e³ÊñÚg ¾E £ÂÓ:F¤Ï¥P¹mÈ$⋉#r´Š‘ä—.sL£ÚC BïŽhÂ};—RêƱ|Ùw@z=F!'¸[uÖ½”‡Tž&DzF"¯ëà%g06«F}<¦ %PE‰^Çb3º†¿¿mu-Ø_-\g} ‘ˆ;lHž‡dŠ=’‚1túx&ûG_?¦×À猋Àw+…À§ß@àÃ&\Q{ 6áŠ:v Özþ샇ÆÔÞEĘUlŸ·{µrÑ7 oÇÇÅ!¸¼„8GµðSô°¹…[é¹d€:‰i”H¥B¢Q1ylc¤ôɵí(f¤ë¥ÏÑ°JŸ›ÜC·'ûžx•ýX³ÊþÚ¹ÙìÏ7ûsýøØ´ÈÕî:cÐ7hDÄ!×Û^Üc% +¡ç …GY@X¿„“H!²,ÝõË›jö˜:¨+pZ1í|ÚL"Ê9¹PÈh•j8B)ýC|L*ÁÄ’aÖçÚõй¿vYÁeßcmÆe¿ÝÀìÊGöçñ‘}PÇHD$²]SN÷ñ2ÛNb´ÚT˜­¦;̺‹fŸ°fÌfÇ 3> 38 3¡l#ÌHOófŠÐÌÊ+‚ ¼= Ê@ ÍÔbœ´¼…0xP«as¶"–yRL™ácAº‘!¾Ó‚И=ÅED ‘Á„"ªs…4LªÇÞ.R·×kŒÑ# æ6ÉÀMÅæö6àÑ6Z@ßÍŸ‚?XO›+ŒGµî'/÷ Å(à-ØÂ}MjáR ˆó˜¨sLº[q‡ƒÐ}8F¢x)A·#öVëcøV$~/jd¬  Iäï‰KÉÒP6çêJ[T½µ°ša;l$ +Ú¯% +Ü <–àÄ‚@Šõ‰ûŒ÷Šµ}€Š5(m B](YÂjwtBI)% +§¹äTàÎ4°4h8í’!¼P€Cæ@†Œ§ƒxùU ¡Z©m‚ä3¥Å*‹ÉGöIº8Ø7™Ø®²IðŒÅÀ¹Î C´®"Åèvb Ç J¤öØGø|îBñù:›[ÓɃé†K™œ[’ ;P* øØÈÁ¡ D+né ’†U 2qXÀ i¡z £,Ñ9#D_ƒ†Ç¾Ez7©ç`…hí¡V.` f$© ã‡O ‡@ât^Rn™áÌ×?O‹€#£!o.‚3Á¬{Ì÷ +¡âxFBf‘œDæ|!á6) Y;Z¼òD†¡"9ʆè£òŽÏ} +<:±9?Rµ †e%ä +!‡Š ùP«…@Q¯¼_@"ª'±–4Òxl¼]:zenÎÙ*v—½XF±ûúä^„HŠ‚")äÉ')\Ç¡¤a·`•µ·ðè¢hž® CÒ¨»¢‹7G×y²‡ÇvÖ(?P/J'Ì5I ñpUìpbDµ—±ì-‚äAkB’9°¯‰œì”8¬t.c¡s7Ç]AR1Óܦ…€WMÃ2·†ûñX`(’º±R$µ·à$žmeG>[àŽlu^vÄc(ÂI<Œ†F®Úk&Ë}‹'}ðRÈV +†…jÙáYî‰æ’ÚX.Q2Ô—m:ê5ÍÍ :#¨ð::(i>Š󺛗 ð3{lsq­<©ºåNäPºYñJuyÈz gT©Ì$¨ÑIHtå$¤gNfre¢HÛá‰K™¸ ƒÀ¨D@.aY$ +`é‰ÃÅc\É`^+„ˬB´Dcj™ÄMH±²L™d%ÐtDœieÌ+£3Q8ƒLÛÅ “èD¼9-!ÒLk4›ÔG•¤6|F¹éÖÂÔª¦ñ–â1χÆ[ +èf3ʪÂ8(ü⠸܊‚›êµÒ/Ëéš_Iq×Â2¿Lž}f0C<™mEhöîäÚ¤ÂãÌ5oŠÖ/À(msº\=vyMŽ£¢[C%Àx’|GÊlQÍ®ZóŽŸÍ™=9§; ‡Á‚ËóÙ¬ÖÁr“:¨ +«è * ë`ù+¦dñÉqØ”2ÀˆpQ]¨s`m™q5×FÀ–5_AÔÙt‹Skf“Õz²ØЄ–î¹ ‚ô­\aî°&ÛñäY¼ ýüà†ë²È‘Èa¦-[ºølHÌí1ÎèÅÕa)I +™ÿý¸.7Deç. —Ô¹\¬ûbêp*˜ÏÚ!3l¤‘i4ñk&ßÙ<&„ÖDlÀõôX¡³@Ñ nÒ5‡ÔÔÀZE5ÂÒåªÓ¦’Êâ$R¥Nã¡wrÏtd£¹jËa‚‚6{z#+°äQ[—NZÑÛÙðJËxìÆsx¥eäDÌä…Îø üÊè‡I¬¹³ñÝÀ*ß0ÑR¦8KÑ}:GÔˆ„:²Tž‚Yç¢ +teÍF_sZ¦BfKžUÃÂõmG)öØÅÃv2dvdtÃÅuêˆ,X?åE%Üf2æ¶ËMú²XårûöÂEÎcSÛ,wƒá2 ÓvºéÒ=éé±QÑúìHh«ÑòÔ¼à¥J© Ü*ž¬ÆY¼ð‹óÅ“˜åH7«Xt7凾ÐsXvl*²YØ_3ù}†2™OIK£±/Ÿ•†§ó€Å-Ž屑ÝêöÇÛ~…MgÞÚ¦S„¦“Ôq}èq˜(jÊ )B+ñ4{·REIh¿ÞŒÒ³Â/áp@‚Èj ';áL· Á•ãz,†‰·ð€--e`—œ¸ãR½ÑfŒiNâx."„Á&¿nß” +EÃ3ƒÎCÄù +“¬put®Ù~ÈliÏd‹šg²E>Hõ؈ðAjIúU¤`Õk[c"œ0P°éé°,DÄÑŽ_Õ~\žÕ}MÄ›š:Ó›qF2…càG +ÄëeBaÌÙéùYÕ¢ +$ýÈ•AÆö˜IŽóY"Ì~®D¨i3*µ­æA…$)‚ /;ÚňKºÑ[ºÑ{Ú½¶5q"…+Ç%Ê%£ôz]ÓÉ)ö˜¾}!8G±]\‡ ø ¹H9­ÛlÆÔ£<“ G‡vÔG}@UV@•A?/î+غáÝ6‡‘q7!XG/³¡V‚åı²”çd–X8ÜؾÇr Dû¤…ó:&“¢éœ\OÞIyX_É7 šßOl2ð—¼P»qîŸ}Þ9ÉKŸXŸQç¬^×·¦ð¼ô|ò¤±åü`hRòØ„lGì»û_È€ä‰ñïzYêó^)GGJDŠ •Ï—*àØ~ ÷¶oK@Ú¿í‹oú¼—ŒrhÄ95ÉáÙc©Í`ŸW"Ï¢ó:Ókwó?¢-}¾ò’m n`·”Ò>­2îÆM±çþ¤(’< +¼BªG†qŒ>òÉ,aˆB»“Žþ„— å¤E2bêß]å±½<ä-—«£}• ¤}RŠj4hO~>à>ê_¾Oª m>º‡Ú|TÃè³Ò0 اÅß5KGB—ÎÔÁëæ ºÍ=¦-Ä…Èå¹P¢T×¢#AÝî,”è® 0µÿ™ÒTŸ.?$£"ÙæJ9öª:TÔe }‹‹ÓòÀßq€ÀG™Ÿ'Rksàã'ðó×"ð=¦z‘?´â6?ÄE!Þ¢\Z¸ËåÚ\‡Wª(d“4$Ž éL¤Öú˜$y*œ8}òˈx€bÔ*A†©øb¥òÌêžWC¡òXG×Oªôd"­2»‚КhoÅaX²¾>Ùçr-ð[¥!ðM Ã&?¤_¤²ÿ­ Ò¸K/ Ë™^«N1yìU—Ú©U{ú$Z;*Ž˜ ³*ÑS•"óW…‡k®÷Šêð#¸ÃGdµç]€Q÷–YàBüLì O™4÷(+)Ð’Âq¾üRˆ×—dÐÁ:Ãå¯'0@Y™²í‚ƒ”Ý`\³¯ó\¥ï‚Ý”5Tà“òi©z7ßsÌ)ë$ÀÁQ-êf / ´¬%RÉ(*€?eÉQERÆ'9Ñ£ÚÔ.袃¦–­i%tå¦ršqXФ„8As}cm¦îÀó”QðÀ¥cÙH42RÓ +©¹%9IÍR‹S*ÿS¢F·*9¤w]´¬Z!« ¤—ŒnU¡bóªZ/Üé€îW­áãC•ãc;U>ÎÚÀoÀ½ QGÔ™û².ÐÂl©6„š†ü;ã³a[Äk[·+»æï—eG°j(ŸˆüñˆRhì MM'°ŠÐ-ÁÁ‰%SǶr´[™€ë)N°#÷:eòÅRî-¹´ÛùºWp/}v¾Ú`ËÔNVŸZ4ˆ0ãÍ´a5f‰j† Øå’u©MÚáø§¸0X£JïûS¹‚)„ôÀ»ØñO _$‚¦ÖÓùd˜ 4µ¾ÂYšt€<´$¿ÚˆˆÙôé‹*^Ç)'ÄEȈò”&Aªml2Yc5‚ôü_ Ǹõ¢`UÄ‚4ër\¸tÀ†|ô‹(,«–šDY[ f8ð˺»A[*¸Xë»X-U }‘€?V +Š•8+ÊvÛ 5Ë]‹L@ K_°B ‘½y5² + ¾­Ëfaö-Än2¿15å ¥Õ- 4ì|¡J©|æ“»òÉ… €ùÔ`EàšZ§Q÷óaà§ü–ÚñúØ|&s–?æL4 JûDXôY{0Œ žZBù÷Žj®á8w"g Ðr!tL1GÒ<ɯâ íE~á×¼2 3M“¡Ácí8Lþ–m·¥PfùWd…"ɪÚÑj«\f¢¶Æ€ER¼+6b¶ÁúÃæ4Û`já(õk¨0¥Ós1JGðŠm-<—m)àã–”= e[v¾,M)4dñ„U Á¶"¶ÅO ~åãV#S®ˆ~™#Ë`Óhü–WÔBÛêç‹ -*wªov;(žíŒ¨ç+?)Ÿ«êR @AîE¡©ý^èÅ¡©5GÏpêEgjÌ45‚c÷rþ@>.p>ð¿1!E¼Kïi™°kÒ¸à)Ùè-†¯*àZš²µ°B!à¥÷|ÞÌ~‚ìZ‹ ¼PÈʇœúÚ²ƒÝiçGT®S¯°@,0wtKòháIbÚZ¡ +,-ÿê κ E§L8êíµØyc7œ`©X¸±…ÅøÆ=lˉQêŸ'{­¯ØRQ©o¯7„Ÿk"¸Š9j°‘$Û¢·HBnIo!·Ì°\ÅšwNG/±­8À¾ªþÞ¡o„vüÖT}á¸ãÓ7„¨å‘¯ +M-Ô(0Òä ’ÒµrpQ£ÅœéŒUE²ÒžÏê%ônZSÇC<;qeh[—·g1tLàg¿Œk1‹‰¨ÀO{¾à:°H{¾^}ƒ±©i?3¥ñú3ü?Ž<Û•8×'ªþcómä6™7`J‘AÎ/Z§p1/>§MÍžÊ-ÇvMW´ºƒÏŒƒ¡ò}•íÍ—"b +t”÷;Ç5?XôŠ¾ú0-Pý¨äkAn3ÇèEÝ™ŒP‚uÄAt¬×A ug}z9°zR¦Ý±™ªÜB—Gž¥â@§³] +öZÙ“Í¢Iü °f5­Mèy…pšÿEéÀJ •'yåƨ£¡zÞ4Ô¹…$ P «Ó55x‘(¼¸*QYèÅ¢jBÀœuaC|m‘çí¶0v¨ÈÊ°… `.ÅhõKˆÇPݳ¹`ÉrZÁb(D)/ë,âid–ËÅÅ—U„ë|pÙa-°à‡.ó?’èh1Þ@u”á]µíd §üŒýæÒce¥YÒC%N4D¤YúT‡õ‘-%f B.! & Ý…‚¸ÀêtÓ<À~ƒ¤6¼µPSÕ  )/êÇn rÃø6¸Œ0 =°a?“Çw•ñH1×G‰E¥_'¾b+BJÒœ«‚Æá‰Y½£š HÝÓð=dšô i L"@¦»”A‚¹ §™5ôUá¡ò‚µ0ªvÏ,á¨\–5ð84LH¢qrö_‚ù¯È½‹]Jâþ:¸ê>äܼŒy™z§+e<£úÎüá¤Uñ+ ÐJץ羅?Íÿ;„†Pø;RiðÖPˆ¶ç›ˆ•Ã÷/é6äžrŽ‡rÖ¤ºc?Išƒ+•Ùs°V 68ÖÄ° Yaêf0XQˆî}S8bUî_Ìh.ñës‘RWlÿzÕ;\ÌŠUÃýJqa ²ø¨GmqP Rþ{Tà ëp=¿¤Z…cªpR1e \~ú)­7«—jô V‚× t~?A€¿Ö +TgOÞ:ê"7]JIJМ(\›#N‹è¹”{ ÑsÄ’]__Ta+àMUŒ!I*Ú&JdD\³Ý-Ø•°uB£âOüçÄÆžÕù–©ä@è%;ȽP ªë›(! +t*k‚ÀtqS&F•“PYP3ú,´œ¦y § +©(‹În=YJÙ“ëת>O‚ÛÞë õ§,“ö±6`óëUþ =æË‚•Vu…’Ên*§ÖϪÀ%ÈB¿O©1¨ `Md <³2?oªb~U&Xe–7J0KÕ,ÄF—ð05âþÕðýB ˜³7¢µ J?ák ä¿BëAuÒ¹ˆo‚w1‚ˆ }W÷Õ™…ãß¿Ü’ðqX¾`’ß¼Tgauªf.}´”/-k™KÑ3®¨NâwK<0—X x‰œ C$À½z䧑uMt„¬¦×7Ã{}Áø¥-ïÛQ%åðˆ|tžóbÜF Že•ìJÛHÀ‘³òÙU!Ñ‹Á:iÊð,¹Ën6"N4)g·]ˆZ,«*¹ŒS%À–¥õfe™°¸[óªÉ§ëá¡h `Îãúpø¤@Z®.‹Ït +£žòàÁ¨©<§x)5hÌ?ÕUÊ¢ŸãQ½ŠU̪šQAÉrV•ç m5+¿j•ìZ÷AÑí #»f” Áðc839XÛ^´jCf &Dɼ†Œ—à­¡6þ ™/u¦Æöç¹´ÅòŠ +‹ ^%J&˜ÔMyEvûgÆKY^Œ4ƒÍìîif.uÈAµ@ìe÷vºw/YKèâ¡îÓ“ösˆAðCÁ¿³â(]¿icCaú,'Ìo'N…*H©š¡#QJbÑHcs¬}•÷Üä÷ƪ¥ª…Y6ûÃa®=€ùÊbªNœÄz@’‘[“²ŠFdÀjdi ²[Á˜aF«Qa!t|Ùw‰‚OñgÁƒAHÌÄ 4²"Åh¬†°Ãw+«2­FTz¢7‹†lº³•‰ÖLa€x4é‰+*¸YŽ¢€©\}íª¦Ú1\8•«a-{ÂjÞðæR +  ,Øz&kÄÙæ÷¡š ´‡‡Ve‰jwd=Žg+ª2«yª ¬f£°ºL G‚ŒuEx9³ºoJén´DÒÔ¥Ö—|­ðRiUQtf! ‘ ü†`½\ZCëþFÄRCe º•u°»¡¨…ŒÆé +¢†ÕKಀJÿ%Š~§àGV¾.'–JLø9Ô†,ÉBU<  |΂‹—­@·¬ÜꫬµÎ!0>§|·lj çÖ½ƒì‰ö=åiÀõÜ’%«ìÆev2Ë€lhK(ÿn1]“’6Ãlê—–òËí‚Fm¤TG8†¬¯ âž;*0”å\½SeÁhG¥‰Š”5R 8Ö„ÚNºÑüçÕŸ¤þ»õ\þb”-k‚8 izkˆî©nJ‰QUæ*• ¬Åãyzª+¬iTÅœê+Å9 Bõ¢Ìês b¾Æü?)µÊnXBmK ,à…ñ* ’‚}ÅLR NŽïn ìæ÷qa¢*¢Áu#J_½¦Ñ•c$ [‚ŽßB¢‚§hÀ¨02šnÈ¥ÔAB]\¯>‡™_¢ö\º ‘:87^r)tMïÜÙa1B[NÕA¢Y=­y¼­‚ðTúü0ëÃA-@¹ÀWs}Fÿ|¾—ÜB>[|a¿¬‰Be´°Ö<³†y3P÷¥ù¢¢ž5^›Š{y¨ s÷&¤¬©†EÖá|¾=È¢'Y©xc I5ÁS‹«?Ê•ê‚ÉÌiªK˜uÓÏé°‘[AJéGõ˜5Û§ \Ù¿§ +âîâÁ®¨ºDµ{Y•gŽÔg–ªë>¸OL!¯Æb€Í‹‚主½@q54»B öuû¬{IâjÈîú£Ø»b»‘z'­u@P¿q}‰úëóþº¾Â*´Ð‹8H¨ÀX °=¸`a¼ô—20s¨ï³Ê“gªÊÚD}QqHPׄ”ÊL²Ö®ÿW‹œ/Y¨œüÛN†‡ŸšÚ‘S„~…Äþ}ŸŸ"*®g­¥çkT2kmm* ¿û)ßÛ_jPÁ«ˆïMÜËMÿI Jâ#¿¯r”M0=°zTwÕ†ÐôÕ‡?e¼Ëw5>ÎðW¾ÓîTGvm®iE¨a¹>O6æoCËý\ (,ǯ²I5²Kl‘Aµm²ŽçÂ× âÓ.uÜ0r©¸†‹¥ºª…I¤@1 ½ël€²"ôÓDlozó#°|Ò1£MÛÞªv›fŠš#Ë8åçh”b´»¦)Ÿ»7¡ed@ÆkD5«ó8V0D›T +šs‚ S%Vítì³¼1»c#2;ÀU™=Ã~³¹Ù·…?û¨3žâg#âÙšxöîÑ2ûÿ8f_‰f7ѳ¤Ù5Ý›Ý6™|5ú m›ô²==bVå ™Â›=d{ž&ÐÑ ¸ÊÍv ôžŽ#¤ŠìÏ'{ëÀ•]ò’e4eIÔ²ûl +”ÝÊÞÚoÙÅñ-{Lƒ–]5øÊb©È~oBd·²SNÙªb"Q0ÞdÂ…ådÈFÕAºÒ¤?Âè³K‚“š÷J»R»Ę`R>¸¡ð±c‡ËÃØÕ’aì(_ʱ;ÜØh.öB~{+òˆýÜS.ÀÓˆT„.[… ÃÕªLÄÂî³ôýÄ.‚¨ØMæ)öƒŠûÇÄ^B bŸ(`±F±“‚Ø{ˆ ö vÏë»yÅ>»i\Š…¾caw5‘P÷™Ë`P8B¨ƒÀ„°(`œ„”ÖrÙ¼¬Cšx·ÌÆÎJ|-ì[C »¨û[HîÉhØCwöÈ„Ýgm;{bÃþ[6ì&ÕvŽc {¥\ÃþX ÂŽ Ç°à1ì;¼8«o‚Ê=‰>°°41 Xrñ¢¢I¼´B‚aTŸi$ik Å¡FËŠpÂvúT‡ÀŒÝ›`ïÞû‹Å‚ý>Y°rì1ûf€ýaY€})Àþ%`>Šn":€'Ó;€KâÝ4‰jÚÏd.×h­ònpβ77ö©½šsV\ „9¼uà@uá„á2Xªª\<Ö…”±!@9A, •bCÄÂeeZ¿à=¶à,Aªšë´à3|äàœÐÁ(Á§Ç4š„ç üRA3X&1í$UL{sQJ¬…7ë7*@ík÷‘Få…?áê`ÅÊíÖ¯Pz)ëò@AÆå„>xN;¾‹®E>l<6ˆH(þªôÉ$X3›ƒi²·[€bËÆæCaZ},¶¼¶Ð&¾lFénÞgôÚPÈúË#Qñ W§å}¦66•óPT + :P¬Z¬à1¡,M~ÄVZ4¨$©†—ä•HV…;é äî!W° Ñç+'g¾¦ôDp8Ç@š¦¯è³À‹çâ×ÕÁØS)|lÙ¦€,Å1M~÷ŠÔ˜…¹¬| ªŒ˜R¥¹À˜3¶Üâé6TѹB¼:¹8©AÎ/ª"&Q ŽÏÝ àtE>iù0P8-ºjWÀ·+ÞG,d­JMH»VMˆ¶èX˜V® +Ar‘.Œõˆˆ…Trµ=šc Zn£+Ræ]š*”ÊaŠj¯dÞ2B<}f¿ÆI§‚ultM¤BÔàêpR$(\PqQ 5©%P|'Ê><ŸØV$‹Ã[š<ñÿ(Ø‚¼Kä0ÂœÀjZ­j€Ç¤2âe}V§vLC»2Æ(«JŠ” Y© h©PO§²ÉÓ¼E$³{¸ŒÆ^BgK|y¨)$ÐÏGö ›û;¤:ÁI#ïX˜d&›£Ve]îK}áJ`õ™áƒ9Ù…;:“Çs7K .eDâw£ÄCO$an¤Zä‚”Ú§s–ùÄt–öüP‘‚é,µ–âTšœÃ´œV’Ép°ç«Îê34?!P°Ôð)€ÞÒ—ãT›‰(L #a»ÔhUúî/Õ1¿« ¡@±)µVÆ`ÁˆZˆçÞ +èn ·hsL*Ï“ˆÁ“@Ãg¦è$Š8Ö]6î]lž?´™\52#3<} :Q›ÐC3+¨ƒ>T¬!-8*›Tu„ÀøI0s­ + ,*«Âq©¥CK $,Ï6h*]-_œZ#¨ŠÉÊ¥€‰=Ü)y@•­£;wQ9m/„$õÏP`N)%ã“ç‹N@(¶L÷º±]Ç–z–í ]d;h‡];¨ÏÞ‘ÞR‚SÕ{€CÑ09¨±ê×*{yå¡D >—‘®14¯®ÖG­*Uý`IœR‰íDÄq€IdDZ…}í5qo—«´÷àz4¯Ï§Ž!DW¡#)CG|€¨¶· €IBҌˢšdñPà*©<8Hì(ƒÊÙÔo×j Ž©‚Nª¥´Ä…®Š€ŒZÝW¨d}–’%V¼tÄwDƒ˜þÚ)J´¨0Á¹"XçàrNí­+rá8¾Ø+;^/‚¡Ð` q¬ rÓp„2™ žCVlc~G^«TñÙã ¥îT@×®Å@WNÅ@× €®ñÊŽ¬¢™ŸV—¦á`C/(jV‚1h`ü׊§,ïšÞÌq?•ðn'Cæý› ìmQºK85æÁg µ4ä¡U¨xÀŽRƒ ¨ÉC •‡ÆF:H¾@ÛŸÃød¶ƒÕa⃛1¹„mÔÜe>™÷G0„Ýj P84X^ë³óÓyÚ{ׄp\ÍŒ!¼³ù1¯¢×-ÁÝ,Õ²X eD)€‹8ok-a#ž‚qp1œX “4 ÆJ¨CH0-ñÀŒ>በŸM^® Àp°×"äå§Fë¥/ Jãùˆ© +&±„×ëT0ïÉÕÀ¼ ý_´D-€â" Ü‘RØ  +¬Â¤†Íðbp¦`Ø4= >cûh2 ¬Œ|G0Ñ¡³œ`¬YLŸøobõÒ7¢$@á„ôÄp“+(ý­”·B3$ ‹aá\)ysI’Åô@^¨Ñ: ÏRx  ÛÜT"Ÿ‰i`«7 +† ¦a%Ù%Cçæâ¡¥ZåC¨Âúâ‹š*âùCqF¬q#¨‘–poN¬÷'–@kîU5'W|À`á|á3Xb@3Z•Oì%‡XH©q«£ìÐ$ŸÇeB¹!BÜçì×I¯3£ÊÙ†\Eb4x@‰Áæ´1¢[Í7âF´Ä$á„ÏY“øÚïU¼X[aÚlƒÅ€ë…Mˆ© z%_¨,“…úh´¯“è¼"ÇÙðôá >t¸!0Aaa¾;§Lj-1u'”ö™I¡(QZe†³lnÎbÿôÝŽ6UŽF!¥dµÍpb¨œá´³,—”ì¢ÔGƒ”'¥Æ&8eÔJT('‰H¬Œ$ Qå#ñŠzÙpŸ]$¶v¡)•ØÅÑØvLH±˜!Ò™vÓ)7k·¿û]•ÜOcýŽÑ¦¼Ù$ƒK>–ž„\.t4RP´e³*)+ç|Pb¯G‰â³ÒD”+7ôÕô‡øÔ\‹-1J`[bÔ5\ÓŒP Ìó)7.Gä¨Z?q“Zø¡ÓQ© +ûdr?€÷Yå%oSË \¬ñ©qÕ%·5ìZcÞcÄÔIgb´ Õ¬b¥¥ßcÃ="ç²DºwÅí³H·¹N£³wGÑ[O¼Ibx|ä7eà 6î ¢@í–F©˜:˜ÀÜ¡4|ÃÛ ºj™JQëõè^€ÒÎí0„ 4îðÑ6ïÝÑl.šG^)1ûBí:± Ð3RCÛE± +Á0NúaS@«|V?¨ÕLOÄ–l›8,ËœK'´—©Ø¸y“ ¹Ä>0šÇˆK ,Q"[5gJ&ÚÉg7ì;UB¢z\BlJq騪c£Uƒ„].je^–x ˆ-ïŠZÛ•Àc ÒÁ…ÚK¹Ý|„ˆQBÎ¥o>¨ÁÓ‚Q««)Y¦Ü–X}ˆZ)ÑäÃCöùÁ7³éà²È²öš(>ÍË1X ¼í~8ÏUŠÂ4dâö€CâöWÆv»Ï.÷Åí+âö%v{†Ãº=F‘n/GïvX i»iÔµ½CÀ\B#7Õ: - ;~UPpà¼1Ùõl€±:ùnµ…8ú »ø7èªÚt‰¥ô3’³=iA7Û9@ÍöáÀvŸi&žµÓbbÐáhl¬t­ùཀxéÆž6BT4xKŸ B½”:ØÚXaFïhh©]Dý ~‚îGOÐ;c'è‰3W{Éx©ϘÔÞáLj—ȵÚ} v†S»Ú¢|f¾@÷"«ÄM\ïý‹`ºØ7à†yÈ°fœ(:ÉaAK¡çv‘k0óä´“´6LÚ}öêvÚ-€KÚß²cÒpÒ~é8i—)›´¿^#íèeL»xØÒî9ÚsN]†4ObŒ9w‚Nk£ù1W°hÓËO@<*ìŠyy…˜¨F¢÷ì$”âìv<ŸÝDÎ~XÎ>õÙ)çìy¼9ûg$:û$ :»á’9»ÏRqröï³8{g•áÙU+–ÙIöˆcì ü4Í£EðT¼võƒ|¢×çO^²`NLc&#ÒõÙÊRàXóHbˆÁRv²°°Ê>VeWITeǨReo~Ù"TÙGUv€ËSö})»i);¨»eçŒÎ²_$^Ù}6iadŸOÙ!¡Gꉦ£Ñ,ã)#AÐYjññiXÊAìœ8/rd‘ITõlTXä”@Ϥ#¤ŇâfL$"­±+*²!ÙÁ;%;vÉî3¸Ñ’½ËÄd/©d§”²ÇµìÐ@ö“=Àd?±T²("Ù3­FöŠÇÇ.Q‘ÆÞÈƾ¥9„á­Apm±&Ý ÆŽ¢xÑg¶û±UÊ8ÆృXpìsý½°}c7%ÞØ5Ï»ÏX›nì¢ö‹}øb¯pPb8PboPbox0œ–PAÒL'”hä?Ö.g65^ HA)–+@}2è çÌßËe±BÌ”Ÿ!<W.7MØMPö±‹ÂžËª°Ïg*ì7{îx…½SÚÄþ7±·Mì¢×ijĮ"=äaB}c€šTñæLËkŽ„£5T7lóYLʬÜðJu‰Å<ú©°:{œK—UYa¤h†D5´ýÖzeûòp¡kуoŸbqʇØ@Èò€0¥êxšõê©øuŸ}†÷\ÓHd× Áß²ÑÒ€°y³ýnõ·”él}ȱx bñõ:®\0®œÜbP¸ ’ê $8úÎ.~÷ŽX£–œâ8+Ü|–!¬Á§{¨XS©º/U‹PðÈϯŸ€!SHdŒµátàÇ·ÿ ÀÙ› +Ù[JÙ‡>þT!Õþ¢….¿˜Ž?47Ž<‹Ó †´óžRæÎÔY¨Î3BM/ƒ‰<¼ +…¿È¢! DÁØÀÅa¼Á¸£¢Ûp²A{Nf„[„P +'CP3 eý˜âipÒ6ˆ7÷TØk+ ÛXäÇö7‰ÏÆšéÄÇíD¹\qÞÌ'èõ4GðÍ}Ž Ú¥N?Òeué5V-‡G¨%â‡RŒ+q`Ë|À’‚À…ŸæfÀÏ )¬Rg)#úLÜ1NÝ-R!ø"¦l7B"š>@!µ­ÓPHXOšµ&.5ò@‡e-©N1PS©c–'2–þâKñ"Pøy´„ä‰ê×á’'ªŒÏg­•}7éL€†É‡17Bˆ$íD?h3âÿ,0‘¥ .c 5y;´’Ù«ôÑ"#—¤TŒ"ˆ©ˆ_£“¡é^ú(ï®R^#eÅP8ÈÀgž·¹'¶ÄþBcÆ¡©/܉hzHîM!Ez’lInôaS}hÒð¨p½>,¶!ü  ‚N +A¤P˜¸ˆœ,£[ú׈Æ4ÅX¤Ï´Ú ”®BDR¦ÐSªiäiÞ¸Æd^>±ñœØ‘Ñù¨Éšx°âtñùÀ‡;(~4³˜ Gn= +×ýž¤þwDˆ›ÈfTE„ÖºYû¬ëŒX B®Úò`å-¬D*UC]ë +LµBۈȎDu µH€{3 +Cá+§Tb)îäÏ,ÌÏÇñ8P,m¿ê æô⇢¡ú¡>CCõCQO¯ :'èû¡ù’@½¾r)ëARψjJÊ” 0[,4•%c/õ„µ¬àó@*‚3ªë—È“uEШÖÒêFØ9±—ÓæøŒVÅmƒñÜHH2¼LW hÞÕ«èAå}_`À_Œ3ç„ FEɬ«Uƒ¶-'EÛ–“¦'M N +ÃÄœ”N8©+ê…(x3êÕª>ÅëEµ€¬UðH%Z‚Øâ ¬-ÂOÖas²cÈ‚° =±1P‚àOœkØ‚ ,P›9·âåÛ뀱:a6üO¨Yê« ‘=Cˆíñªõ…Ï4Š*Ð@ËŒŸ …¬7RµI)‹šR45•È­šJäVí-F«ZH ‹Jк—:֊ࣄÀ*ÒÌ’ÕŽË“€«ø 9 tÞÆ`Åä`{BØEë3°u€Z¿†÷o„Ž»JÙÛ;Ú”›—ἄKC2(HWAb)pݹÃæf™¢ˆê%X’¶ð¬ñäH&Œd¡X,7KѸÓÑk^)/YS']}0ÒÕHÉŠ9áÔjHdL¶\ýsÔãÀô‰£æ^€-=w VÄ{ñÛm`{UWJó’®Cë4‹òì!¸¸ô `*ÇXq€‚¤™0…‡+¬ £0l®­0:ÍD6AZÇë¡á"ªÆ'Èãc11*%i] ZŸ‰4€MO?LñihÛb_ò£¤F‡SÀ ã Š-€‡d0„ìø[‘xl¶Ô,ZÀ(Ò/óbnZܪ$`À<C5ñR²È1ŽŸ…B ðÑiaáAP]À‰À¸„®‡Ty»× C·6Ç“òΩepÀرc)bR,ö‰f$F¸ÓWNÄXME9_…Ï>S®°Ãm° ½__p´j‰ELœ•zD0i‰^ ­}T£Šék‚møpŸ]ºŽDIJlX*8 ÊS(WÀˆzqQo|±(hcVŒqÌ2ÀTAÉ-;ðh˜æ”èøÀ¦ìؼ”4ÄAæÑ‹!>k€-¢aMÄÐÅÜyð-ùœ~—Œ?²šp®Šî_Q¢|TSÔ£`TÖgÚ,2îÿ|qG±º¦À!5.:ºÔXĸèð + +È” õ=0Ççáx8ÌQÜÀá£EBô ‰làóÖkÏ­VÎJN!¶–H-uòáè ‡“Bi ç¢+ñA™9ž\‘9›¢6L4œe¹hŸ¥–kŸ*Ž!×N¹ lì禤§ƒ©DHK›óÎ5^l7.€¬ßZ²âruÒ¹)« f¡´<Î(ÚÐËÅgì¨Q¢ P®Œ›ÏW{NQog‰=±åõÀh—V]Ç.(ZµíQ}Ö˜N=ÒLý͉ÂSn.sw…ë$J“ûaxJd±)Àr°þ ÇLò\¢ 4¥¬Z‘¾T‡ŠºaR|jÀŘe£]P†Wsñxvìb¿VÛžœX,ÆšqŒÂó^ÂYÃ^@å&p9=ŸJpw6X$æÉ]ü£b)pÈtX…:][}Dämê´:u’ -$Ye|(…j|V +ÍYã`IåfPR”6ãüBGZ­y<±€Æ£ÉSîç’?ò%k$RC΃ŠärSŽnÎ !Bæm*¼B.J ê°)’¤QU>ëN¯È +ˆ­Þ\n©ŽyÊz’P"³ÕfI ɬÅ*TØt³hÅhÑIɈ$ŸW¢ämhΤaÐÛûšÓë3rQ¬"G¥PëN íÕCæõiv~’PB³)ó•¶Øò™¥õb´ÚòáÞ¼Jà¯ýà(ítp…pY{m>¢Âër'b/ºö¸y¤6äùn]pˆhÊ9ó½·¿¯îíÛ×½¤roGŒ/·w¡Éí£ÀíöÈ¡âöÝp{—ÚÜþz-m÷Yâ¡*Èth3¬„náɘ!ÄÂÁe¥RMåA`A”ÔôÖ0{Ð}–8̃0#ƒ¾I-ƒêAï¢cÐoÔ0èŒX0è¨Eô zi­°"Ù>)/1œñ‹©˜ ¸¥¬Œ†[çtõÏ“ÊÙÑN@£Ýnì>¼A_?FÐa FÐ%¤‹ £"‹ «Dµ SXAÊ ûÌ;4]Å# +]Bãjg¤·Úá”Cí+„-ö61|÷â +Ü·ðZiæ +Ñgm˜mkfb×xaœì…Äuø._ ³<èŒÏ ÐAÐ!ÐI&C ;T±‚è£O Ðk3è Lô÷¥]ðÒ¤½¼Èi÷ÙwpU)´‹t\Al.’õr¹¤B{€U +»º¥ø™Ð@¨ÒWBÊ5]Y”5ð#>*¶C»ÏDš‰vÅ'ÑÞ=´wZ´'b´“‚ÚñYöŽ+ö™à¢ý jÑ®<´‹ììœ(uö˜sv\•œSœTzAš ðÙHœÜéÐq¹Ó)2ñV‹Ô"| ÙSeêì4c¬–‰:hYážZ‡Š„ÁPhºÕì˜Í`ö¸•ÍŽ·$f·Äf³ÃÛìâböÓÆbvŸÙžÅìò¤6»ûÕf/m‡Ù½à`ö_Í®²I³›dovPú*û¦¿Ê~uÊž1ßOÛÌ$€çˆ¾…û¸«”'"±¡É urµ5VæÇ%‰€/]^«ÏÆP©áºN ¤*®€:†";$T‘}ÀH‘Uæd— |Ù’±ìXv@,;%–±Ë.P€e7°ì±:FvÖ#;©¯Èî³N'Dv %Dö‹¤%u +(ÖÑêŽ%xË»pq˜–ò6èé =¡<“2óEê¶ڤ‰CÚHÔÝkiTÇØneì>CE”±“jÍؘÐØÓVjìŸL½“@=R:~OcGS¦±s ÓØ_iì¦Í=vâ{fÕiAÛ´‚7zt²ý¤‡>˸`a¼UV‚ÈVl"`µï‹\ÑŸ4…ÕYE¦/.2 õ  €ýxQg‚‹ØG©Eì +ò;Yàˆý¥RˆºçÀ)“؆Ó|†¨{¬­‚÷ÖÆ-/lÛhÕl/šÛNJlu,×kX¨í/)ËI@ µ‹ô®ü&ŠŒÖ9MíC¹–Ât‚0—8`‚.ÀÙWÙÄÇç =ƒ ûl…Ïbñú®œÉ5ÅÌÖ­oÕ¶befsq9aƒŒ\„]5°Íg`­7ùöâ/‘úå"¾rQ Â¡²¤Å}i*ÐõnNºÖç êL4çô|ö‰/“NžÅL5>‰@õB mê#%–p‘veažÀ¦%¦šff[nCt{©Ý‘0«¶†?…Üʪƒ“8e&l$BiÇ&,ÎgzAá(…{->Ðy0XÒ¥ šT·µ/2±˜—$ËŸ©ãw¹ão)Ñ'.JtýÑü4À.¬Ÿ;ô™|ò›g6qeô>’eúŽ\±Çé”­ 9 KCM~Ü.(3_J—ñ€2-!‘ `ÈHFýlƒ [º¤¶5É @Ä´Æ „h?N+û ÒÞ +ˆ§ú=ÑõJM|IVß»Cïp:„u¼C„ÌÐÄówÇ1‘Ô5{´)„|Œ~…L„œtUµ xÂU9N¨É@vv(Óg«[ž¤PÅqv.¡ˆb"˜J™”|ÀÒ‚TLkx <] 2(¡Þ˪8@F#9Œ&ÓS¼‰ÏjÌqŒ0ÐåE€Vò5H^#ayÄâ2Š§ù2ú¬S‰\ÆEÉô¯\!7–§–¨1)9݆š4¨1e‰Š< „Óq²!„tž¯Rˆ‚n™Œ—æ "MNO* +M†À €j­:a¶ °>ó¤™Q[ÊW¡EZX`@È…Ää6„ n>&6l@—~ Æ” ž* MU6%IYž¸¤½X’²Q¦ªrÕœ &7’'sõ5ÎœQËèY >‹tƒ—"64"}!«NªP Ä!àyP» +&¨- QM´| —s®”õbP¤ØL§2¶Ò½¦õZ­ŽbØüa„0_Ã(œT2„F¹hŠÏNög +®vtX^ã„ÿÙ8 )ÕxšØxŽÖŽŸH^ð™)A  .BLDxÃק=Z5–&© ¹haÅðò†ß7Vh8…já€ê[4:tÅðeb«¥¨ÊÕ'®'÷Ư@¯fµúlþ fvñx Xø-•ƒ)>i—[‘õ­=>7ŸK õ¸W485Bfëd›8ö*|VÕpº P×âò%8 +î2PÔ§|Ä”;¹dŸ ŽÏÚÁ +v…Ä7‡¬/‡´V@!Ä*‚ƒÊ¢®¨C°Z5FYUÆC±Ÿ¢`Э4˜Ä +bÛ@©B-â>?—@C®úv<®9€¯·Ñœ×gÝu¬»#”hÁ¥p+¾ +̱ɉ$JN”ŠÁ@ä GÑT9ån"Uh[ejœá¹Ï•:YÃG¢Z%ŒjW ÕÚj:”…ÝÊZ6†ë³D —ƒ€Çu ¸Â)lqßµ#Ès5×ãVÜ‹!âËð2> +€£DÂàþ2„´¾´Qñ²6Ac…_\oÁ'ü”2ˆò‘épãs#îå#^᳜õ-¼Í£õó³¢óÐJæ”/¼Â"€bQ¶Í5Š¿4pñBØjcfÑfÀjÖs×°Õq½ èßá³yMá@¸I áÂIÛ‚Ï&“ÙRh>®BÂ=PàBÉaÁ«J‘"eønrˆ9*cÌ:,@DÉt‰Ž*v£b£HXñ RÒcõÈ0 CA‡–ä%Ùà¡ÜòY\ˆl/"qÙÞ‚û‚ߎlÞ‚Ñ W‡!´øD¯ °MÀUH5R4 ZãÔ ñîÙPiR†Iò802©Òá0‰åØMÜ¢8Àª +‰ª¸„Ï|,¾®¨Q¤Hm¾yÆš1°p† +ãH°Gg|„šÖ6³‹–ï×fw:ht¿NÕƒ„à¼u!RÔ…ƒçƒÀü¥ÂŸ\^ÁW„|Vø”¡lXÊÔx_1bÒÆÅJ'° .‚B  ȹâ$^¢ 0¼:E{¸ 4#z-ÄŒ§Å0*¹Õ¾§Æ‰p 9J€ø`–Ò]—;â3QëÔ“v’ëúë äÞ§„WP ÇfH‡r2`Ä#†#Ó8˜@â׃PÁÜS€6³ +Õ«€)F)wYtºÃká³Ì¨0(¦´Åˆ€>™aáTòAÂ4ZD€tfø[̓BÕÉ0f^ >،ׯV€S­ é89*/KU°#ïÁ!•óJ •ÏäÎj“h˜P€B±AŠÓó`&€ë+jòX|ÞÊh©ÀSy3)Ù…ƒÌF +›¡ºZ9ì˜>*±zDÒ¶ƒ,:Ó 1ü°ÎwöÙ9Ú³R'}CÎtï@% +ŒÁU8îªÏð&ØðcVihWø¤ÛáÔ%…FëƒfÙ1ÀÌrL¡d™Ób}4.¯•èh\""‡Ï +RñH¹Æ箾Ð$nÈ«âሊaÐDJ‰þÎ ÷µçÛÍYIÆ­à’š ГM¡´,¶BTI? gËWá2æÜþH:³n¯iã³EDÞx2òG’V +KÕMÛöªB94nÈ%Ò"¾'4‘܉E2lÏóÆJ .yzЫ I¢3í"(ZƒŠÏ~J.QðÔ'WT)ÏW›R†O õØÂ1å…‹¥S‰]æ$·’š1€¼YCè‘ã>wÇà~5èѨE’gRU¢miŸ…\.”¶H3]P©J +WBJI”+¡Iè«1¬§¨'b‡³È±Âm9”2Ú嵚˪±Ä.©F`Û¥fÅb@­1†¤3½¡š<ó)7¬@æøŒtÁ|÷=©¹Î¥¢*x蓈ÑJd™G…ˆdAW«~pWq[VXsÑÄ`®]-¹=Zp£5TjÆg¬ñ¤3¥Í„j<.Jƒ…ÊM¥ƒ(mæÍ&sÍw+(±Äo^‡NaCÑ<-hŽyÔ‘#ÿ˜,¿6‹,˜c”‹¬D‰u N©V§R–ƒåŠÚËg àœ%"‘SZ>™"N¦Ý÷"”á:­£½;&ë*x¾’Žyj^0 Z½dtz}´Ï<-J´iõÇø ’åT?%šÑN3å³Q ÐFU66ºX*%ë´¤-1:¸Àtã +€¸ONjÈg­c„Š8Ô7‹@œ§Õ%HzØ®ˆ¤¸)œ©P§·i@£ +§À>@lª{Äx +ߪê32誕hQ«”CPb‘*‘÷dF—Ï0Nó’D8n®p y>X:X]± ù•#Í÷ÈQ6WbS‹9¬S"裪\ƒÃÊg·éE­,»dM(l‰åXTÄ–µˆT[óƒ³méàJW†ö"/D¢Bâ‚%JHàƒË¤™sêàÚ4äír9F…Ê5/‘úqóŽÈÙ‘!Ï!ô6ä9 òœM}ׄ³Ý·Çöí"cðvTÅgéFðv$¾Ó¼!¶Ü~Æ·o£Ñí¬´ã3ÜÎZ~üì Øï¦ãýQ\çÈkUÀ[˜Jz` bÐvH‹m{‰"Ûn*m‡¤Ä¶—$@ÛS'Ö Wb¢A‡šA%RKb»Ï8ªÛ+Žõ³$*¡‹×'5ÂãóxC l=…V +!G¬¬Ø12 ¹Ü6´™G‚ÏX8ˆåbí±öÒ¯½#a×~ˆ¬kçjxíŸZ]»ÃX»êõk‡¾öSh%èš’t‰FôF¬ z âjwM>S¬3˜¦äût‹ä]a2rÈ‚ AÏ-¨$2¨Ë‘T80J;œfˆ +tzz¦â +tVú´ÝgLW{LŠ:É­ý•Pzh• +ôÊè²Ièpã ôA ô t1³J{Çy—< Í“fŽïHíÒ… úÌ”QÀÆÔó ÍOMŒ£u0L ‚¦3 Ä(·C0^œíy¨„öÖ¥„ö ÞBû)éi_Ybh¯x`h'ĺ´ƒ.í+›K;gËÑ>K^h½Ú}Æf,h7´‡%í~5@;,3@;ë`¢}åvhG‰Ò[¡ÓÛ\^cãóE^wÝ·Ðc.‡1TÄóT a`õÌj9“ûH ¯!ÃÊ”%µÖÈ>Ñýì ºŸ=dƒg§7ðìbè<;‰48»„‹ÏÎ +g× †³—RðÙm|öÆ©pvÂA={ª©g5áÙ0à쯯evVÎ2{I32{胒>+±¡{;U¶—CP¼c!ðõ~ŒÑ#WÉÁ“1ÅÂÚ®ðÈl&ñÅt~‹’Ãà +¤ì +¤ì5ÆRv9`)ûWÞe÷|¤²7`VÙ}–RVeÿ&UÙA§ªì”Lªì +4UöUv2F•}럲¿nOÙQñ¥ì¤÷åè$ÍüiŸR¨yOÞ¹\è  x¤S£¢ qGd¹ ƒ2¯óÕâÎAõÙW:*,zŠÂ·ð(µyÒ#ˆK_9 ICFžÙžÙeì‡Ê‚ì HAöÍ!{d!{ °_k²w<­FŸ¸RWp© ý”!€);<ÍgÞz´>.üÖÂ?†í$â8ÛHÄ!øûÆX8NL•xžÄIC*ûKˆINª¶QätÝD Ë¢võ\ ¤EÞ^Y]²‡ ö‹r5R-§#‘«Øæ³F‹mò©Ñl ’†·³PØ6PÞ;ÚþÀÛÚñ›m Ý›$š×g˜í#¡öû˜apl骹…×\g«.v`ºU¢»’æ±:P^ÏÞFŒˆ@ŸÝðA^ËIÅuž(µHqTLÄ*¯tàEpÅ{6F/ìΰ9–[ag‘ÑRÖèPRíˆUíˆUísìÉUáP­æ$ι Fí|æAòIÇê*¨çÁ°"^˜Ë¯á¡ò¥oD°,l Wvk¡_ÈâD¡irò„¡É†#r2ì…üœ§ÊYD#ô”’6µ•\k,ÔM­— ÝŸ»6¼¢qL8V‹-o¸ œ_ð U3:eÊ#6›|ôÉ Žô¹mÞøXùÕ,Lú v&ɱ ß®½4P=aÆ%ñâLÄÅ"Œ…ÀM}¶z-3–¤lì*’–Œ!R’Ev/òÞ”ä'ÓÓŽ T4:ÅÓ ²+<´bc-Ô÷vœVS8AŽÕáÅc Å"Rw"¢M`%û³å¦å-‹>k€*Xlt™M,t@›ø-¨M´9¤AL|˜ÚaÔRÏS¢­%=³ÆEZÒ• „ü“R&@VI ˜RS°€Sf¹j̳ó±Ç ¦sEŒ¨‰ƒ©Ï< ´ „X£˜ZŠøºâ.eÛÆ>Y„A(K1yÔãss0`2 &0\“…\“ŽX0  < 51 7!¨&ÎE®‡.}æiÞÔÄU{6G±Õ<3vãqRfpD¯BFãG„º¬×—:«"%”Oê `ÈGÅPõ¼ ŠX#WêR•µ4ÐAJó¢ óÔBÁó z@Á–‘ðYáºòÜ7˜T´ÙòÒ8è‹í‰˜:‚šø‚šÞ¢ƒš„6›¦<ÛÂwœ`^Ñü¡&Wò¥Ñü¤ÜÁæ›tÈ5AS}vP` +j+øífLGW°r®TÖOX¬F¥AjQßñÂÞþV.Õ®Ò LÏ‹ä]Dš.¢¢éQÁ@#a'„8…DZ`>k ¨¡È$£j\L¥|§+x¬â‹@QÒص`*« ž´VÒTX®#TìGi‘Áêž­ÏHwgášb¢Î›:”„Bl±µ ŸÓ@fÀ04•còÒƲ¸î˜yC>Ó"åÀ Ü櫓󤌼ô¤åÈzÒQGñÉbe€ŠfÆŒê3_µ‚à»F!˺r&.ÊzqÐVâ®$l£DUìGœƒöÓ PZ@¶ L7Ú>~¡ëú^ÝKZ‰6ÂIÍß‚êBp (°OŸ{ ñEt¶t‰Ewlê$w"…F ŒqE‚:T‚ÚP õŒ µôÚµ2Z[A¼R,‚@ ]Wïrb­®• °§p”b=ªïdHÓ€Ryí#±6ZŸ)Xʨ-ˆàÂà K~^ñëçÆFÈ }CH±¹]8%.˜õªçC'dØyl 54­_D*±‘BA ò7£q¤g®@ëÀ8—µto‰‚¹ß!¥2íCC „¥áѼ…… +“• Y6l¢*rÈ}Ç«ü*„pAü'8S……8&jyeïÒCÑÉc’Kä [|¶*™)G+<Üð™x(FK“9£•x9£m«¨Å ôçj4ª„û@¸—*^“ÂОài®ÞÆ(~)„:j^à`TزðpXÒ"TƒÏ§Ke8ÀTô0 …N‡“c!Åcd b†"±"¬Åk¤È,´†ÊÊcLAlD•ˆ,~XÓ˜†³[¢\ºµÈ¤%’´áÄ8¼4‚px}FÙœ÷“ÖáæB„Á° q)¤caWàØ#0°ÞˆÊPOçÁóÇÈ!“Òq¥kÄK$D,§¢°¼„€L½Bly6Ší4ú åbøì›bKÞ@–ÓAjòÒªà‚D~-‘JÆ3e0xâäñpJ£4±Üô¦d)P@¸¥ph'+#AøãàZ0ýq$†„è=+óŽ Ee»˜‡ +è1uê!F`:p!•“ü9Š-•[`”ñâ˜8qÚæ¦è଀•Z_°b„wqʾ}Ìãþ”pÆeÖ²Ï&rf%˃snÌíáX·ã1²\Çú´9†¿ +s7^ÉÉÐhcª@>Óùsãv½8,ÑꪊÂæõãÃ%,5'#{'|&@EIÄÀÅ]@<‚|aHˆ* õûpFˆ²Läí#@¿BG+ÆW:L…„ÃR_ჃRB & ’˜ÇG+ +`.|°YÕTÖɨù)ÚÓõIñÙÇ­N”ôÕåîbš=OD–4Ã4Ÿ/&ïgN, þWÆEk+ ˆ¨Õ1s6Zé‡4<¦ +é  ¤†cáÂÇ«ÊAôÂzŸ‰‘V²±¡À M¤åu€9åݘy ´Ï+å’Ø¡˜2‘Tð”f8B&…P0É•€‹v–s²/*$Ôb ³b¢¡ÏþéÔ<2‚uÒ+奤çòLJg]< …Q ̱‚k[Ä3ZàHÍRûÜ°„ìÙ°@ $‚ÐRKL/L<ƒžh!/¥sJ;Ó,‰«¤œr‰"Z|rÅg·ÁóÕ¶aÃY&[»Èk%v9´)Ó"« ‚K›Á{ï…C`ðX~¡{¨Pjf*éÌÁCB5Ï¥xºÇ&À¹EN™)ˆ8 §ýÜžDkžŠÄ<*ЈFò€miÊG…“§›¨P˜RÔ‚•4®9çyæ£9ZjÆôJé „›W·&gMùŽÊM'øˆœó2‡…o¾Û„z?7H”: {wl»UðTlIÌ#±Mûó°K,h¡ ©!Ÿ!PÛ,*äni4@Ÿª>´¨†\ÔÆШª~b9X1˜Á¹ú†¦<Ÿjr8ÁwÀŽÑ<+™Õx|VƒX˜ó!PÖ AHRC%`± +0nù§ÅX„ÒèÅú  +>¥T·:˜Læ©p F.ª3ø°©L  Uy€Z=bµÄBÄwcÑÁxb/Ÿ‘m4ФPPQb½E’úÂ|¥×Zs¦*p›0™Tá4‰¼Ï.Ù œËá*\ C{F¡Âë!b/A§[ç‹úÉÄJU2¼% œp®Vª:¸F.ŸµRá5²‹ØK‹}ÜüEâì±ÊGç:öHN^-µŸˆŠÚ_›ÚeU£vòͨcÔNKlµ¿¡ˆÚA1¢v|Qû'¨Ý2›jwt?Ð#T $Ÿ@_[;Ð}fæ@'_‘ravþebœ +&_«© ÑpBaåcBÒH½Ñ¬–K‹r=&BÁ°rC‡¢.ŽT(“vŸ%˜´s;í#É%íO'íkìN»‡ï´«Jœ´ß/'í%í‹E#í¯7¤] Ò^FÆ´ƒî–vÛÒþI%´w5!j>ô|çÕ0.Ät$ŸÏÌ·\ˆüñ–ƒ 9£ˆÊ äà°nV¬r<: ï»äÄÎŽSgÿ”PgW°^gï™ í’†öÆ'¢=}C´÷ ˆvÓ¢ÝġöG;û!;ûzP½üTgïD ³jÐÙsGé®ÂÛ^$eã5è€Ðüq”Õ'†BŒ,QÕ)ð|ê XØÄ£%¿sÆc6Ý7*|†ŠÞÆf4˜ÝÞfGh³ç€‰Ù5(Æì …mvä1û=fI:f·øŽÙåÊ®Zj¦îë” ˆM æ³ +Å‹´unxëÎ%  ¾ß·OSÄ·Eåìu Ñ Ú¸6ïÊcQÞˆÖhÛDùÍ6Q~³GÛ£Ù "¡%è§ù¬‹°€Ã>MÖpšÀ$pÛf±[jÌ9Û Z5O#(ÏYO­2^‰¸ÜXˆ¸œÿ<îŸ:®u¢+Ê;N‹{¢kC dL£`™›`"§>µW#0C©É€íø—C–“ dUø:"áKjU,°ÄÅY`‰‹³¼ w‰.ÒZŽ„¡0?zAZNš,Ü«_\˜†(nV' žÏ0¿"ñæM+å)ó{ÉäSaÓ7†8ì8œØ'€0Ä NTm2/‰§ÚÌ5‡ +x-ÕãJ–-[šÃe]/>¬ÎÖâï…B3—¯ ðH|F–J‹¥.”¾‹†Qú.ŸIé»Ü…Ç'y0ŸJ!˜ßia?¾JèUúb—"ƒæg%qDª´Ó'ôØ['ÚÍ-΂˜6.6á”E3 +(¦ØX"Æ—ôYwÊ6Ù½[¶º§MÖÀv ¯’Äè +&èÊP5 ±ŽÀvfk€Bƒ³Â¤8ëþ4©}Ì%sÄK>ÄK>D[[b%—â`p¢ÏXs1íçˆ R¥0®‰@lôòÙ%sN™“%÷À–7Ç©”TÇˈýªæâR™ð[¢ç +:"'iÚœNÎÒK4F£Â”õh!/Í„Ä" +Ë©©ÑõY¹’›Â:âôဂ£D¤á²åŽ:Ž"/ʪˆÜ+–¹¨(i»¹‹´ÝÜEÚìÇBZØÜBþBº^øEn0™µtœm«l9uÀªÊŒy*È©"™§Óg#N©r" ­YF˜B´Ì"U >(%Ø/ Ê¥N`ˆ^õ]f£æù#x¡+ãPÌvCà["ú‡×A +9·ŒPÃãpc L3*YDµ×ŸÙ“…yb.óÄD)3S!¤L{²H™‡Òœ2aö%ez¶rÎÚtB´ž$˜r”ºVQ8…‰Nôêl*&ã‚UJ…î‚Gbõ +凸9â |†~\õú=®U“?ûJf«±ZL(%(õÀ>:b‘ h“í€z€H”ؤ÷N‘9•¾ãyˆÐ ©¡Õ-B3ª’M”¸í.–õÙ'MÁt2:}ÒxшÜTïäѨ0ŠïÕbc Fkÿ‚Îi׫ ÈñU"¬\iÐìÁ¦ƒµ|çÁˆŸÊ¶[mD7çÌßó÷ 9Z.7œHì3Ö¦Š„ÊÌeZ@#¢0U¡hoAË„Œ +ÙÉV^ŒÐxÑ! ñV‡À";ü`pFtES+[« &6sL] ¥-C»^ +|u™8šõ™ÉrX±! +`lÔ `ÊC9Z…Ê!ºî„ÃpÑBà{?äyczÁsáÍ#· ¥IBB PÎB᪃"óˆPRU6rÑ„•ÅÂã3Íê]Á†@º‚Mû‚©òe‹©Ý\p¥æ"¸Äve¸*•u` ¨l¹ø*–këÈàjÙª¾ÄÑ’X¦èv61õ!wÈ¥…Ä+“Ïkœ‚ÏVÒ (Œ5Sð ï.ràÀy¹)67ˆ§h9Œ±h¿È£þ8’$DZ6ÍXÙ„žA糤­•Cy[ XY/´²‚(HkE‰¦ë³ÌÍP±Aè¼®ø4@;š£õ$™ÐÅmÈm¥ï=™œru(D,[¦€h°Bë‰,ðù²P ßI–4ÕÅw„p‘8šÍÂekÃg „§±ä-lb2™íÖH8¡Jì!Ð2àtÊ{ÄžƒHàpÆr¨1Ó`“Cm¤C×0 ®º¡Þ¦LwÉBN‹6ŸuÒµ…1ÅOXßjA––¬’C`™1Ùæ2ÂBPâÛÔð}\>ãuÄòE.åºRNÛ¨coøÅt‹¡[‹8}º>»?aÔv6ƨP@ {N¸…Û +@î­nºW‚»a¿`SzLSLëó/ÄpÒã«‘ÀpºAŠA¤ù¤‡"Ÿ¸bÆ34Å—-#|ÆbJ0aR±Â#LxöUÎH?#ºñ^TÓ£áÊa‰}H%•Ì2ZNŽ:£¡’8¦åt<¯é~).q#¨¯Lx… ä9¼ŸQXŽ]`h„ìŠrFë +mãÖÊ°½’ù«¦¯¾Ç#.Å#ÂS"ÈŒ&õ%húñI0^-VLUa‘ºj—¦BY¬«F@3¬ó‰8LñO|–á8FËJCpi41NW%dºåØ¥3vÁS£vtGò‚eÉ mc¬á˜…Ã#/¤.œÁÊÐHà‘ŒNÊXÅSŽ˜,=DD÷EˆØ„ñ%|æŠxŸÊm9…HuqœO.,,1/¶€ˆ,Æa†+2+GTSÞ±F…€ÊHDàÔHŠ–¦l<Œ³[š ÅÙq „¦° ʃڨ >ûà#•¤…è#RD†Ž+ã48b“„&ÅšÊ@H`&/‘ðÈž„ëyZHpaé,<·f0تe´Nè…qòxVTY[²ÆÁ’4hVq:K>|FÈßDÅ™c6³ Ã$ ©EGJ1RÍ!À1 ÎVÉ´D¨B`Q}Ј­ˆÐ!6¨ê%uª“ø:ƒbr6 +Ïè%,|V‘äÑ T,Œläb¬*E69–üMXICKTRo@¬4>Já`ôðpäBZt‘çϱ£ðJZGŸœŽØŠfCGŠrp=õ\’ v´N6>Ã'È[ ªñ X Œå]ˆNf1zÁá9iŒ‡Šºã C˯R!mˆâÌÜÀ€§é˜Y¥’#aÇǻȈê +û dù@6Q¹LçŠá±Ë¸ªü\ ˜J1ÜcôÏŸ(q<í4GJ.Êgˆ„W§Ü¼R¥µÙ—ƒå¹,Fksçµåµ¤ƒ«…^)D{uN„:‚žÂkƒ08—k+%pŸdÛ!–xÐÉ gÐM¡ÞöŽ¶}Mm÷KÛ[‚NÛC–®í÷*I•)Ži0.GÛkãôÛd3'ÚÙ;¤v\dú¬%[‚¾9Òµ›^‘µCðví1œY)ŸÅlÂ6(0X\!œ? b3UH[ÄA;HÓþYÑ´TDÚ½G)í& Ðë”è +Ðè.bt‘{À$LÉVҹʥ(„ñùlpÀÄC_™Ld3{  š‚R˜=Àò˜å2™Ý^dz'8Œ³§âä$g'Ýýì· á³­¡*hKÔò_Ljx%*ê¢ÀcÒò 0t)¬VÆŽÇDc_`<²w“쎃l]lÈîA+²³à¯ì¡Ž– ‘=#À"€AŒvÎPÿÑçë>{±í:?²'|TÏ’HþIØñ7öB&û¯b¿Ø°Ø #†ØÁ3ãñ@Jìqž»Ïó'’.FI{=µp`´ÕèÓžµf§)ð‹º›ÈûwŠ¢”+ììêëö΢ÀNâ¼À~A}Ø¢-ìy ûÚ¹À~‚j°ƒ‹ìß–bRäÈë'1uý;}乯• Ú— &ŠÃm°j5,@~èæT¢žø¡/Æ¢—É4¿î8Ù¯—¬áë>sUä¯wrÆ×% +Æ×Ûäë,Fòõ’ É,¯¯NIàõPÅÕõ£Óõ[Et}ó]ç¸# è\¹öñ„ç³Óæ1€¸(·ˆ±C&@zåˆ4Ñ3äS×D­O¢Óõkêzà·º.Ât¯/2Ÿ×ÃÏéu•Öz¼Þ0±^',^GýÁëŸÇàu€ÃàuŸåÒÄét=Ä€týFÛ®gòÏu»âúE”:tÌ7ÆšÊn› +9ñœ Âuüu"K¨óÅžŠôXExžxÕ곋Äõú“âz“sÝsú®ÇèØuïU»n¢ ]·¸Þ®+ o×Ù–Ûõ1âv}CÝ®¿Zm×U™³ë&‡×õªâúÃpóYp½ž\¯ØðYÂ[í"Æ(›íz$ãh@¸L&mtwxw_Ón@Ó¸ù!ës ŒÞóÐÓ0¶*Ac6’À\S®oH-× «˜ëëÀõ‘éàºÏhHƒë1LæzÀ!sýûd®ÇB ®³ ®›X×A±×ïRÈu Ýò½†9¾Wf@kJ‚šP¶ŠæJ|]žLÎR Œ†óQxw ° ¢‡>+£,bMÏÁÙÒt“` à£s)ƒï‹QÃw$û~9L|ß5ÌÇwÉãûLz|‡/Üçö=Oܾ§ÄÛwÑŠã»Ïn»â{Fvø^§¬ï—„Tì‡E£”&¬mR‚ÍžÎ!&G×±³0RÐÃñ‡yÃ’™ºDÖ*"?æ À¢ ¿ Èië>+´§­{†kë•Oó¾Ç^Ð÷äó¢ò|]Ï÷Õù¾Éïø×ùn*q¾{ùÖ3ƒ|ëöëØô‹LÀ.÷Ã¥Õ¥k£”B³Ÿ”N“ó™dÕ)q´H}0®<, lzŒ‘Ð tÀ880(9&Ý­³­vë0غDäغšjlýw”­sVzëŽËdë>s¿ÉÖÇZ²u@*Ù: 5o½¤AÁýC:€C0̃ð¶mº´‹HcmÑdö“¬ä&(H‰›ä›ÜQԮ؜¡øÙŒ±ôr)é³X뤥 LÔô'ZW¥Ò::QiÝúZȹÖSŒßºk´m}ã[o0Ä­·x¸u²Æhú^À«¬m@ŠSÚ—ÔZ§Ô 6Ÿi>1½Ù÷½Ú,¢Âìé;TrQ«5>oR‚¯ž”÷g«B ¸YO;ü€h\,ÅÉ;ß)ˆïƒ‹8±¨a­0´>ª ­ûLÅhaÎZ/ ­»:®Bßx¦?.*@@O€æ%VûD \ë¶Go¬B»ÚL¨õ Îññ˜ýñJœDdat“\ðy“åñ|6QçÃW_*ȸá†`aEË]%¹å(Yèø9Ã5Q²+Í(¼ ¯:j$“éŸV(tÔ”éò€‹uÔÒps€‘`µ Àã‚£™l>[ 1Õ6r.ê7$âóÌXr)†ìàD¨ìànMCâ2šËè.1K¨«ä.Ï›à‚„7áXþ›”ïá£l¬9€ˆñ¼<ä@`uõO„4Ù†Ù: + Š*.HqÀ~N—° ´¡x=¹VmŒl¬Æ +i¬ö° MuÙ- €`'ÛhDQmuË:=£…Fü›9‘ÜY \Ç5H\ìõ1ºV(êL“‹ç/„ç³;Añ_Æ>»r¾Ú …µ`ÅqÈ€” +À -*Ð+̬¦–È¡ˆTcÈt8JÓÝ}'>¹dR-ò˜F ËkùX)46²O´ë|z³9½‹ŒœÞgsþ8ýàÅ!Þo'oê$$w±”$N>aGx¡½(<ïKä ²þsùŠáKµX›DJà'£ €7‡ƒšÌ¡ 3’%¼dZ–Ðâ!¼Âú”ZEŸ]<¤%j´j¼A‘)‚ ¨Ëc¸6ŽYÉ‘í]íøñ#ÛF\F\N0Œ8 *»Á|.º-õu¦ï¼Ë(€ðÔöBÓðù,ð"u¼ Æ `À¼Å|pEþAh˜–0Ôr¸BÏ+¤ˆ7LÂ9–Žœ"3dG2^<“dÄè“Ü`"déÙ*¡9š?âL•+BÊÁZë3³» o¡r AŲpG1üµ›nû:/†¿‹Ç®†?Ôh¾ÓìuÐî:6ØÀh„™:TIŽ¯@Ü2ZüÒJl!ÄtDµŒy,µ*Ôè³Pà4É ywÀU"oƒ<–œFL.5·Qj0§Qy»( ôbg ¥Òãe ÀÚǹz«Ák ªÅ"žhL‰Œ°_xÏ—:€—:uê:ôÙ"S©C3gááËM¢ç¡EŠ‚LGؘG‚I„”Ï$GDâAv«§DH?–܈J¼ë ©ê¯Â4¹.S4º|LÐèÅПwjtŸ›¯ØPŸyiDBz*#–@+” ÅÞ¸ª–ÀqÀ'è|";ŽÇƒtL“\¨¦Ivci’Ðh’ãA~…P‰Tyº±¼ÝX.éœ#*hú – +sîLƒø$Çú>¿ ×Pÿ0ÚY úqóM[óMU÷ÃNOõÃNGs)O?²8|lÅ:´Šb°ftZÑZkÉ>St^ø¢^â³BðÝI x>f{è|ÌŠó1 ;†7>µÐå>QíKC;ž¢AëÐ*†B&è›*;…ÕSžºj£Ä@9P ’±­ú,Ô¸TÀá†YÐ?†YW ³@åèæzŠØ¥5åae–åUÅ §˜4w#pÂ8p•†;VÎÏÓIª•§›ËÊSŸq +è °°!u ÝLx`£ˆue2hUÔ½T°ų ¶Ü(ÁÜÐÌÕÑÈ”V…&²‡¶•Ù÷´ˆØA@ ¨$ý˜~ŵ¡JŵÞéŒ[-sÆ- cÒ\ŸÁF±àa`‹Q*€æ:*(«¡K<0^Yƒ ³jn‹XοìPù Tb‹k}6ÊÓ¸õà(ÍÕ”JصÜKÛ¤˜;köëÐtã¾qB\k‚Âk‚š’[fUM +.tÉâ§/ í3¢ÛÄr·÷K…]S!Ѹ­Où¾›Ña¿ Ê( ­¢& 0NOº e6«Â·¼3Ü‚Ø(8a†Ò¢R2ˆùÐ1HDŸi ¦"¾Jbc„ ƈÅkq#bHK¼¤X“€OM®à($œÐmBÁÖ7ÓŸ™\<ä¶.SEÜÀOâ j܈¶6åO,!Ê{‹ õ¢+¾Êø•ñŠªl¬8&Ñ!r!¥‡ƒ”Í$:*9CäðÙåÒሇ¢RâœÛêXá:0/”+"¨C½ †g²W ]AÉ%8ðhÜfJt\º);|6q‘¾ĵøpìÕ·7ÅÎA¿üxЀzÐqØhÐ-bo;FÛþmÚ¶¿ˆ¶ûl1˼€Úk™i—œ:iOq<í.Q t΃è. t˜bzÀ§Î4P‡à-.AýòmäLuðÊÅá„ÕR¢¦¼ÔÞfOO³)³#fîìe=»h$Ÿ]BjÎN˜„|æ?©³·DÂÙC4Ëì—"Y­ÅྰT T€;K$´ó™Ê€àKX¼ÆÄàÒ8%ŽA5ó—ì8Böµµ½Õ–È~q´È~(e7iÙ;È-{ +N”ýã:Ën7Bd>ë›A]JoÞh«8ñ->ÔÁˆBœ*ŠQˆQ±w¿ûŒxˆÝg$w$ö‡b%vA&vOzcWa̱wNs쵊»#‘‹ÁÙbw5®ØoÙiŸ¹(Š¯ç®@ƒ ¯-6Ónë#ïûàšÐœPhr É4Dñ«žPƒ6Þ`wxX`ç1°{è3ì Ì ì¤Š {Þ +5Ânqa÷Yc%‡ýPËa¤cØûˆ¹ÁεñJâ h­ȵá kæò9"{(ÄŽef.MŸ­‹Ì‡Â‚dT¥®¸DñõUcþzƒ½|½³î¯gX¤¯`¬¯{(ëëªJìë.öõ™†}‘z`oYØQÊÿzÀ|ƒ)¾ÞCï×_”·!µVÀ(1(ž¹U§=màÀX»"‡‚LÈq2;L•I …Áìª Æ†bI'Øë"’ìuy5ñzc¼î³Ëâãõ–áñúv›¼^ÒL^·%¯;:ÜëLËë¶åuÐòºçl¼[4¼þZ ^WÁÆÐÄÔõŽ é:ˆ³ÒB­S®Î–êIˆT„ó™iƒ“ºTç&¾Ý !L+£G™Î)j5%¤ë1\w}•Út~‰º¾r«®ÇTU×Ctªë•-Õu…Õõ–DuÝg&éÔõÎqêz,pR… ]/EÚ®‡ +g×=®åîIÌÕ<»yNÕƒÂE„ µ¦£#m&Þ‡=lÝ(‹øQµ5n%¤/lèT)c&ð€Q ïEâzEâúËBqýÔxq=qq= Ñºž£]ÿ®O6`×ãìºÀãºxˆq}ûb\‘`\OET\/B\•®ƒ\ˆð™‡A»Ú]¹T¶Ûc˜øN Œp/Õè@ä:žO&²4¹KÌËB€ÜV0iŠžVU7"‹iSŸ0C®CÒë‚FÊu‘G¹î@[®ûì|\wÑ®ß,×#× *˜ëÌuðƒ¹ã¨\o1T®›P•ë¡XÈu‘‹(B «y&neãTüÄo8#ÇQÍîöƒ…÷A0:ßÈÔé¡ÏN‚ÞŠ+›[Ç\ ¥È-Oñ$Ù¤–‡%8|2¨%v¸¾/LßMOñýÊø¾@a|/;ï­ÁÇ÷ÅãûÃ{|'L:¾£eÇw¯tûî³XE;tVAåô“«"H :Œ ‰Q¶ÎÄ#ñyr˜ kì6-náÝ$ˆ·G¬šR0/ Låh1A?¤ÖØMl¯Ï$ Ê  ¼¶nXxßCÎæ;‡!úŽ CßGSêûjK}ÏPß-ˆ¡Ã™d@D?`Ó.-?¹\Ë#X7S…¦l¢ÈŠµ*‰ç8^&ç3 £aâ0™ô4­C÷qHu=³‡¢”à‡¦Á,W¢ÖLæ “lzµì cèªÕÕ Mlœð0ù.1>´‘­·>²uaÙ:F¬·~€9[1ÝÐ}Æíà`V @B` ´„7»´Aè$ØÎKƒµ}‰Qê*(ñx+6û”êbr£ÌÅäBžÕÄÝ•ÆØÙŽ>êì êãiÚr è‘XìPäÒÔ¢ ÎW£ÏX9æQæxúI“Ž',¬hà€ƒm²ˆX²±íix^d쀌*v¢Ù| ´_‡. ´-[ÝáX›l8¤þ…Qf8¥&Væç³-*qùÉÝÊäÎôÚˆ:‘ªƒÞG5¼Í©Œ?›ÄÑÀ   9„Ö=–m š‡™diÞhþLŒ# _º²òãÓ&H®È9'᳸óQ7dÆ5ðÁµN‚kŒW·€b²Úº)…zÒÀœ½í"•œC>88°(q*,gtcˆu~Rù¼$ðñZí‚ûP'èð‘Âîþ@^]ož}†IÜPhóð†lµèéhÔQ~PòT‡’%v¾ó{7ÊU>«–’SÉlõv0j¨5-˜"NU"þ`ªD<PzÁ¨w”šˆ_í¶ä´nqp>+)p‡é&Œ22?ïÐx$¼Aä¾P8|ßë@4(€9¦Î€,Šè†§ãŽ¡W2x¬È!tZ"§!PÇÛ¢™ŒV%GGYžnÃd¡ãçô¬EjÒ.t«‚Ó-ꌽ‹“á8\ò¿2Ý„ÄÅۄĆ€ƒ+R9DHÜ52:ŸÊ¡õñÞ&îøOÂœOÁ‚ÀÓf@UâjCÒ ¸„>ë¢U„_EÌ{¢%ªF–Àx2 T#iDŸdhƒÍ!;¦Ë‰GIz,&jõHœ­Ä§¥0éèTt5Mé׺ÚëEPŒQ·‰¬PlÏgñÀ„ðLËðH€Ç›O +ÿµèÇðy·®Ôž;«KRæ—%”U„·Ed]‰Àx‚<’1´xÕèëIÆ^ +„|½)Y®LE£L ¸Ð4  Óg£”³0AfkaŠï,ݱçL}2Å+'X €Z¯Ê +À횉K:%Ï÷åƒéùà©»aÄãƒÐ­`\¡ËâŠÁRDŸu¾V:VD©dtÔ(“4 89&2Ý’t½£ÒÍ ©aP +óÏ%ÈlŇî\=ÂΙš%Š²;Z²cJúàô¤ié*ái¯XQ‘Ò¹ú 4BƒôU3/|aOH‡Â(:àAäR2î=Ï#>Gæ‘B1¡FBK4IÐe<ÈVT"?‰X.kî•4ÌÇ|Ÿ;ð >Í´¾OŸ‰#®¡Ý¦ÂèÖ©b(,7ßÔµzØéªTÊSÕJRÚª Ð‹+:«Úòô–úñ¹ŠÛà +>&Jáð’,â -¡0@ºÏt˜P˜Àš>s<Ð,QhzêƒÂl°6S]{wn7>Sëå>=€F-ZƒF"«º( oj@#vê~§<]¿FHµ ñO †¹g¥úg¥vOÿb˜>sU6(ëò>V•'/­.—Ù˜Å[ º–µ¹%¨¶ö{!†Þ$*=:Fý´šhºÁ›f~Cc2¡-Ž+†^põMãÁÄNÍN*O†G𙪗ð¨ +T´R+‹.DÚxÈç <Í\ a¦´º˜È®¯Ì¢§/£*Üeòh×~ änÿf„]€—¾ï¸' ›Ÿµ‘@‡`¸(4c$6fH#SeSÁŠ© t.feòA 1o®æÃðX½ ¬+weöô¹ˆØfU 83å3°,®¥¼iÜúl~Qšë”°»076Ã÷%t û]S +ûMGŸü5AÜ€v88áKaV…µƈ­­3óø,å3ŸAceæŵ£/ˆ[Ú@ßíA¥Ò\Á¢qC×s7T¶ß Êß•ÊNªN…6AÁÃé†CaŒç‚‚+—Wi\(%ƒ| ” –%|£©|pÅYt”ŽO¬0QV Ί³ÓcpF›FMhYN<ƒ{{vTùR&¢CG91 ùÄ9lâx,߈•âƒ'…'–Å[ÑlrfìƒÅìºà‹k¥Œ-0˳ˆ!rX"Íg,®ð©‰*gMÉp~\ùæ¯/?«"¶aú·‡ðZÁB”´ÄÇchU÷*"œ;W0.…zqpdðÁÑÒ ö`Y1Ô„`–}v&VeƒdDJpÒ9º ¨xh.†¸\ A‘‡Bò8Zä4‘7°çÏæ¤'c»=jEC;½­R,Qe[ÀxÃg^+†Ê%#DÁ£ø¨DCDŽW9*§Xˆƒ„pÄ á 68‚Aib‘åÚóDtÉgˆh­%K(JójrX9P4!_”(ƒ¯óÕ(®)jŸ…ŸÂ§æì gˆ\…‹LbÊQcðËÆööT_p m®ô`s*)>3!åC€re3|¾ö¶ä_¸¨uìrª'¹Mº!8&T’W™•@i4@æTböw?Dyâf Z‡N\Z¼úì³Íyç³jEújUéÅI¬Á—‘€‰]^®Jn“:³¡*ä¹'™”´;袸tâ1èÜ3èkÐulÐËlÐêµdQŸ­Æ5>úf…§žçÆâqª&w†‚^Q%=P‚ºª$ðBBºv‘ÇeÌZ K0îf“¸º £Ì™ˆIp/ÒC€G(Þš¤ÝacÒÞ5Pi¿>Ð A wv!ÐK«E ×I +Fv Ö7°©²Ï>©$Ò€‘0gÚ–ðŽA>HÜyÇŠ 0Ï»q*¨>ûÌýìrç;»+€8;-Æø;:{†²:»ì?ô;ÑÞiÐÙWc&9 {ÂAhÀÖÄ•{C›É‹)XlÈߪ¤DjOzwÞÊúdÈ^¸¤È^âr²/:Yv¦–]äÊ>'$e÷Ù[²Ë.>eÿ"OÙOð¥ìW[v[#»„Ì”»hb,zù6ú†ŠXç36䂤« Ú¢t&„Q1†emK'±§õKìs;Á«cyÂØ5¢bì 81v; `ûiS½c¸Ç^i9Æ™”cW (±û¬°ºˆ­±x)—»©BåB¹rêLqó€jhmÇ×"BLEvÒÙ‘ùªx%lêÂþÙ,Â.`í°·XOØ+°)쯤vðÕ +{‹Ð +ûçÄ +»ÅÑÅþ»Øã[³š‚°›)-ì!{·¸}h@.Û68¿å¦î£SH¢F ´i¢žá$¡ª6å0¶±Ïý³8°k| ö’€ýTÀ>w`¨`ßØì>Cqæì +Ùö„€=„„ÿuïA_ï*—©EÐòñ|m1MÂÔi ôqs­ }ð%ÓA>(¢FÅIgµbL’«Zû×U-øõÚñ}ÜίÀÁ×Ã×уáë±üu*ݾ_w_7°__)‹¯—+áë üºÏ<©½_X^w6^W…¯§ZÛXYZ_k±¾À·º%åð”~tÿ^_S…»3, +sˆ]0ÝR x^÷Y$W½ÎY^ÿl^_„^7µˆ×_.Äë ÏÃëÁÃë “Ã넆ÃëÒáõdðúY½kI¯»BïõÕËÕuU@±œ œÁ†’,>Õ1SÎg*—øèZoäû^7‹ÆÐú"nO%Avt*£Q Ä.ˆ¼<¡V,ÌA]ß ®3®•®o”®'š®‹u¦ë-ÓõŒéºÏ&)»ë‰…Ýõ·»nz.]÷—®wôÒu× ÒuÖkëtÖ™vm…8 6‚@ûÒ„r§NÉÑ¥ú*ä±âõºD6,Œ¹jeÜ> R‡iÂÂê³K ò²3Éñï¦^U†‹Äu‹(ÅõLq=¸n«\\7p.®‡°Öõ• è:§t}¦ô®ÇdïúŠq}<¬¸Þ¹‘»÷ò¤^aCð~‚¯Ö2pƒ-…ОÔ.Pn„0Ë®´Qª»xp¥B׊}‰0w ·’ R~…9p!è|Z@ÞÀŠ`ß¾ ¨ê—7$¹?õQ-žb=ªnÒLúÌ…ž¦v‘ÁsQ1 +†@oµ¸,K›£Á"IJ c,¦Ê’•åÁÍ¢Öä±—òÜ +šýM8˜œœÙ<¸€ÖMÜf{äU® ÇÑ +žÑîóY#>ÝŸa$7pÐ75Åb ¸q߃Dì0Ÿò0µÈlpñ”¾ôHä(yš9®IdÂ8«Ï¾5ùÔú~ðOËxÙuÁguœ*"ÏFs‹ºå.ñ0‰·x”¸N#”»Æiuk¡TxÜjÄ}+‡âþƒÙ3JºjE®hGY’€R‰ú`Ds¥!D¦+!N)r£>x¡‚”µ)±/jr»–º¼$D‹ä ó᛿”û¥˜ÇY0÷ ¸Ïgç|-GR?ÕŒ-à A@Ú%¦"Î1V¢Êd,Ì$Õ8x,"d +ƒNä˜veÙmG èxh´IÈtå)ïL•ÌĉÂoϹ*úº$´Ôg1€#–r³VCR„®.{åUE.¥0¦(YR„žÜpÂàÀ@Ytd@S`È€"P%’ö¼kC‡Z_ž*0’HÕ¸©ˆ²Â‘¥Ïªç(e'4 8¾0Ù’2ÏšácíyÎNFxT“7 +;À€ôuÒ#¤¬D"“¾B«ˆ‘Vå<¥‰@4x¬:ˆ9·À6ØB£qŠ±­y,ÃgÏ%kX‘AK4ë²%’ê‘dœ¸>Õ7<rlm'&Ñ4ÊÓê†ffY˜—“À;#q2ŸGãC€¾ªgƒ +¸WOÏ\@HÇ|õSŸu¢¯ h"+ÀÖQT<Ä¢¨9`ÑRŽZ%è`0’%7ýkU…Zxa‡b?F²¡ahÎëñ²H.ˆÈ¨´´h£|À£åÚQYÊ+ I Óg†Ñ 2ËÕîÎÞZ;'J2‚QÏ +nP ùyÓÉËcÒ†gý)¢B +V`]иZ-Ë|¥‚› +¼ÖB ´oa®Û!6­1ÂmZû¤@²ÛÉ#±>¯-]%ÇeQ¦µ·ŽÃœrÅ­ZÃÇKK¥hLÊÖ 6è…QZò¨rat5±hÐ +¡‰ú¦)Hb§a¬þ¨# p, Zµ,@+Õg’¶KŽõF ¤f®¯ðX_¦´²°ÌZµˆ%Cø79ªbÐyT\ëIˆ¸%€W±„7•½¡EßÓ 0˜:Êç³ „iˆÀ"*Eí3P B«Ÿû¨o +Ô.ä ÕЩ”˜&ìA ƒHÇâÚF7ß­¢£4×À"a×g-¨¡qUÌ(梅ý–˜Oþ‚–CMè0A RXÅQ“¡@Ât9u,,,:r6=Q©8¢Tè ³ÂAf›AfsP#b; Ø7ðYä5P à +ε(ªÆÖ0n'TÐMP šUÁñÅP8‘·3 £,(عJ[¢K†TÑñ‰}Öf:8¡Bq¾zl·Ç¦ûô}c®UÌ}V1·©b®I›ïëZ9ì·ó’òwòõÀp  'ÉYB0Ãþe£`Ÿõû0¼L•’j‰H":Œ0©/mŸ˜ƒcĸ’•q#«'ä¶K VØV ,/•!”,Y…¾ÇV(kŒ˜vP°ÏX“‰÷aÈ_/ñ šPD‡KÉúbHùĉ#vãF°5'Ò‡B“0gîU„Šƒ­ðdÀ`¡Á„z+|•ñbà¨ì³Ub‚’Iªªlx¾óÑÐÄ¥†ýÉ"ý%>ÌÉŽE<•:ÑT‹¡Ágb’1¨¤4—ôÐ$PÇC“©¤Xbñ‚¯"`òä +Ÿù…¡^¬ú_„F.ÍÐ`^¨\S((Y"I Çb.5‹RvÄ Ò÷BºÂBn-’6 +šH)iíÙ3Xò¹Ÿ.Vâi\ÂQzr2É™FÙœ\ñ™Z¬2óhò•>œv¥R¤6+£#̲lbP²È‚úïø< 0†¤…ñ¾ƒ&{õwnì|F‘ØZ2 +µÔ‰Ï2žŠ¶xÄ…ö„ÄÊ|ê|uC¥á,‹Õ\à%vY=,×n‘ÔLè!PšÔõJXæQá$¬¨E=q>³C +M¤q”V$(š+”‰(”+ŠôÕ/jU Ås+\B%vñÛÞ+Óߎù‰Æ*ãŠ(PÖåPÚ B˜ïö™§¥*x0$HÌC!ÉùgV%p¤Å”£Ž#£’¬’ò_®½‚4Žé@UÆg®YFiJñÅìŠ{Ú\çѱwG6OÂL¹Ÿø-± ÂËÀA®± + lM9¬VIÀ(NÕV§DFUiŽØD³¬¨• 4‹-Ÿ½ VÒ¸®â¶ÀNšØe w§ûyÁ³é-÷À XkéÐèfÑGj‘hE$Ls&—"¢VFìä³”­ÈQ%›«S¢€VòJƒF>üR\“§y9„<7ˆ„ÜgsœjNkª‘£ðM§>ÃJu¡¼¨Õ aK,¢Åp εÄÛ…¶¡Â‹ xšè¸9Xm&oÀ–eÛYÙvzÛ~ªmO©zÛ%xlÐ×.6èÛÂkûÊõ1­>èΡmªLú4Vrl6ç3TØj€,“ê%a¥J EM AI>‚.‚¾Å.A×¼ÚÚÀÚAÏ»ö•§à0Ÿ‰$׋¬^™ZC`~“RÞ'dkcÉbR™ødP·Ã*íŸ,í‚q謎 tŸE`p §"èù!èž(Ðçè‹è‚0 ôð²J»wiŸU$ˆè€„zµâ 5¥IbŠTu—굄Ïg¿ÄöÙ×éìŸ~vÄ*D{ÇÑ^S´W .Ú endstream endobj 34 0 obj [33 0 R] endobj 50 0 obj <> endobj xref +0 51 +0000000004 65535 f +0000000016 00000 n +0000000147 00000 n +0000061827 00000 n +0000000000 00000 f +0000061878 00000 n +0000000000 00000 f +0000461822 00000 n +0000000000 00000 f +0000000000 00000 f +0000000000 00000 f +0000000000 00000 f +0000000000 00000 f +0000000000 00000 f +0000000000 00000 f +0000000000 00000 f +0000461895 00000 n +0000462293 00000 n +0000463488 00000 n +0000529076 00000 n +0000594664 00000 n +0000660252 00000 n +0000689561 00000 n +0000755149 00000 n +0000820737 00000 n +0000886325 00000 n +0000951913 00000 n +0001017501 00000 n +0001083089 00000 n +0001148677 00000 n +0000000000 00000 f +0000063727 00000 n +0000063969 00000 n +0000063540 00000 n +0001214265 00000 n +0000062344 00000 n +0000071954 00000 n +0000071841 00000 n +0000062792 00000 n +0000062979 00000 n +0000063027 00000 n +0000063611 00000 n +0000063642 00000 n +0000067076 00000 n +0000067354 00000 n +0000064171 00000 n +0000064359 00000 n +0000064756 00000 n +0000067841 00000 n +0000071989 00000 n +0001214290 00000 n +trailer <<745F7DAC833049BB9E4AF8635C67263A>]>> startxref 1214481 %%EOF \ No newline at end of file diff --git a/Documentation/RAM2E Label.png b/Documentation/RAM2E Label.png new file mode 100644 index 0000000000000000000000000000000000000000..438e966f701876df9a93f220fc09545c00e34584 GIT binary patch literal 46523 zcmc$G!%R);{KQ1J5{3l;o@uez@Y zT(BMGbzLBkhRFLLl)k-1_t&mal z?bEBNDr5RbBAF_!Mlr=0{^@h`%FF}Ro32+=#(uX~r{#z8OSAP3F)=Y>e#o8k>%lWr z@ofbT7%WOw8Wm!&_HR%;t!Q9XeQQ*XxQol6YD(!ERh;dS%prJt*mxu*9VFU?4dy6@ z*Ff6q6vz1G3B*J*$y`Ql!>`+f>no4+u3^C9?5^A0&2bEJ9V$WV%mV44)c#I7bnM+| zASx^@pqf;cm6e4N)al%qF|zKP0P_zmLX^svtsHvPBI?g7JN9?ogy18lDiHtcj1+Pm z&SdbJyhWS+T182E5AEw2*hu`b7u)@&?ljY-#upx4v>H`CJ$f~>e{Ls)(&2>vjCQ!% zjte%oxOh#0ouC1|^%Wc7#_UJUc~g+|jQ|5pD7mK@wY`3JSK3Iy6!s7s;%FS-+2PfS zJX{XN6++(>${y+d8ygh@>5F@8{&kY=6pTn=6-&8TV>%GUAA9HvIdk}1Ve0E@)rP#| zOK*4gUR;Pjtp&yo0}L|Cf`b-fhB@bS7Mg~Y(J$v2!CRaHpi*`eYA zn*GWoGH#sh_xCHqY&YOUS44*trHWXZG#bprK>rCK9g|)TROc~Qv)42RUaqd1w;CPW zLsZFdG1!T*G5)BL_`Bm_pee+GRnu>vl^_Cp`ENOEg`*nDX8F9mrD;6k)C+{PrwF7Z zxZ;ytoEOzsO+0^hr3&)C>Yd&gnxd+oR=jB{#exc#x=Bx}B2~x+Eox4^-tQfw)Q*^N zdHDKHR!#nO{EBD=0XFq>`EYqTe=YQf(;yuWq~#qWtnb*H3kKUYdd(ES>df+D&WNPP ztCf#G<^fb9Yh5`r7p+4`M(yTw%9y_Jdkt)=8PzymPq1ZiKYa!h8vvIR< zWcui3;Sr9V>2{+k{`9De-nNz&`KSyOE0OOHt7XkL9bqAqyciuCah>97Bq4&^HPh_M z8n!Rb5u2`cdSQO-sge-Ze>oUB58n5Ze|%j)ZdRU?)$6irB1}avKPy2o#M2&w`yS#QN=v%K8^uv_}ltfYhQWFUgcXN`#Wf5 zy6yLusE$EO_xq$L=E#tpG^(l>#K88co})_v`v4_2Mt{A0TG48|nQqZ{3cLFR-7b9H z_z!!uLfh!i&IAI1xZd(QeCt+0YVKaluAa4SjAUJ;q<(u#OZY2m7V0V8!wVjwLn zrnmdAqcAl;vS@nO?Me|1Gf1L`kR?1%c<5@tcWcwN%-3l~4cB~?{I7LdzrBv|xe?=)4VA!A&xyS47ajH#Nq{<--QOiah4HG8vitF{_&CKUMp|eeefPlzx{oz02RO zs%Y`L2`2L@mk$Nfhs?-!z5CWQSQj+IaRWQHQK#sugHH}Ucu#8W>SxES7@gp4q|ir>4#h-*z&GM1s&D4Kn4kD65*4>)VBy?G+wV7$<3jY@QH2U&+Be-}Xa} zV*Xf3P+f_A`{Jxv5FeftE?q$IA6Z2D?3Q7G?U>&nX+-dal(S$aX@rc1wrF^sg`6XV zSF+xL6**&6p;ak@J-e&*HPEepPCjNUgcfUOQ5PP!=&@L(#TKla-$eDZNor@? z@*%U1975w;Xm@8R*ODHI%w&;I!QM=0YZPL$z#DEW0@*F;NzU-HlFNsOg|SaRN0+K} zD0fG%l)3Yar;-4hv~sBXhm##AHjckWZ6M0wd3}v?j0sf~23kaqb&drA^zi}g+~p7w=jLjx&EPS@n3o__&K^; zogIH2W8b?gF;dGv!25`Yj@G+8hJ0vhUNb#-!V$mK-?B+U4esfA)3j|rs}?b0g~3?# z%PlA)v#QynrZoVJQpJxvMe~b?0Og!R{nPj`d20zmZ!g*g059XMQB?Hu)y74@cXDg0|mv!E7P zSnDRe)bNy4Oj`bCVbdFXIgSN^OqIQTT~9i0F$S1$eJjt*V2H!K|<>-cY`r8#fL!jFHaCfO5`ElkQs9j{xOaI4j z*My_;5{CWx%TqT$rj?=3k?!cs{dbOu=Vi?c->B*u4tO6jTW3)XJH7iyB3t^R7`@=(r<>bH2?8ZBtL!`G zTJ-8|(P9M4Kpcruu||v*-r~Xa`M&UoxtPWl+Vw5cz8+y<@1f>CW-4T(2C|(1rKp%# zL_%lV`uh5+bLm-~XI6Vli-1w*c25I{8TwTrof(i>s>)WKH}|V@cr#TZ@*Hy+AwS0P z9e%_VuILb>5AP{f$a*`A+w3U2Nw(Vy8VK3^z0T-(QTH=^`KMBekZ8qA4%+JDx{IF_ z%1)j%ju4!-DG@a`g*fM45s)nu-)H@y(oMR(ILud`zz-C6E7=4izM^^9>r^%M(c3$L zd91$rTHRKlhN|x3YSXndyp(i;g5sorw8Tl#~_jyDBW<89?r+hIH2^Jz4a zL{QHxo{fqY(b?osytN$1Z{F7Sb~5&k&;@t=A*z%_MumCN!s}x9R$a`0h5@a#AC=Z+NMwRK zb3iumYCWo6sp|hrkuL@fM9C4cF(>`CXEQ>#j`PF)@^hZN>FkePH@4v)-%6?Vvifz%V<$E|d*yW>D6cHWX!w51Uy~1-XAPIkx}tL&^>}o?p3p zIu^J#rf<8;X|dL-+6J|$Thd0>t-&#=(MdCGUtccD1X5p525G5;K`=?$_I+kimr5n72=r93cTg{wxtfD^%ZA9+~UVIX_>-o zzSp-Jy*^&4v)#*9!pxx$L~+2Pz7ftIxxQ`dlfzz+gKHOQ%x_#6e-NrRnxY?Z=``FL zkQXl-U_NDdnB4@w61VlS-N%`uEzPd!V{HaC`U=FK--ex*)cY>+dOBhe7cP~i1P-aR z<>xYL@)ftN$tJHR)LBbne=iMydf~65j{R{lIfJJm?lhEcS|%L8Ozu=+VPPxZ=pB64 za7mlkiDB9ZcXazCP4(1qLQ`RC#o+DgP#ILKR}%nDx^#N_5kG?@zviBF_<@diShn*+ zT@eoGRR4KWsS~?p%2^zVl@Vwr$GV9$;o-l1-^3Xxxsbl z!vC4+WJO28saxVAls}p;V6nS4=_#a+o^9#{ZR(rD|DN`oT>HRH#Koj*$%!x|xTs^2 z2MRCdK2OyV4a!F^|M^iW3(#0N{jDP#K;jbk&YxzKZ7vLKLL}U{u*7l4Q^<+Fd@1|||dE5HeaYK`~duT!w)b_+Awj@{fw86aD?ancFVn4DR3Uszr+l5j|a9x#?MxdCWMs z1~+j#kI&DgyYNb985<}ER5X?i17^(xC?66+h_qRhOPFywC%Tz1Zf07&{+O$G`XlY# zWzo85u|TE^{(|9WOP4ailc^F_^diE$VSLbEb8&bEuK+Aw{PCqQB|$vngJ}yA$g4!K zwL|HfzMJICclv9>ifHK5aIpp|_I6kJuk|BNy*N(QWQ)-at`(f;bhdv*`++R!hrF~D zpllL`Vst!91fdT`@$)8y+$vm15gQ-k)rR#122AoC3X6$| z+}>QeaKTdaEeUgk9%Eq$)>T<;^Z!or;+vb(9(QJ3a^Qd#qqFa%J&F6vaJo{HsJfkc zy$PV?;{5!nS1SlX4mLD#E}F zo=Q~uoec|B2*I-O=nr~Pch>Rc&B4LJ@%o5wyIA&!t|?wlrP1Z8pv{~Dyos_Car2e784lkL`QR?#NA6Xh8Kgl)bsW7xdaNxUq?xo z-@CZ5WX&P3rS)@ATu!MNap`T}zS|m&)BkSg0DIDpZm5?eW}`!-0gX5x~p<&6oJ@e)Uk;9%xkVbAwnJVkiRAv-L2Q62HxZ) zn8!S0$7k4l0kJ<8h5gw-Kbu8_%jUy}diOnqE49kUtX6i=FC%^D(Qy$bSnKaNzRy;e zd=trAOaR3vd`qu+3e5M5Jv&Y^Xy@B+p!Aw=c>q}`sZEa^(BV?Ohr85x7=CxR=YUP1 zrlH~RE~UNQ#GU4SbghW~3dDZROUWzT%$KG)wjh%Gnp}L=k1vr(WK}y7oF&y1pCAWg zQ=R_H*9nbtWY1gWIh8?L`5($@piApfh(MhQEdT)5bAEjYJy$-M<9efcr~k97+qNa9 z7J6!GY9#V{A0*`J9#Y6_A1XY71=$A5@|0P5A!P*MOF(>thGI$?n?`BqV-Kynl*W_| zAoMOMg88B=J_%Y0PzqLiEX~~B`m`^ubG^%HZW~OGQ|?bT4-j&x^tC*j_?{Qm^@mS*fBzE$KK z(OVUIeElg{_fU=?UvC+BNG@Wd|ok zOs{oX+8--@fHDy#T#g*c*#6c~w4y$h;9_e)R{7l_ceS3>!5K7i++j1|qb~$uvGWgm4_i<>?eK5i zjnqiG|Bg1hpxHPTYfRX2f%q3}PK;f1VQY~7p)wiej((m{g(O;<7cK)8RNCoCG z^QA{Id_#aJrWE@~;$L(()9$aE)cDdj)jW0lNAQ}EgJ?E?v){%C_u{*)t2^a5=@rQj zT!&XuX78?hGJ`qoo{YamXnST=O>NKHPwf-{>T%!hfY4Q<(1bRezEjP{G8rF>&rPuL zP4oQlO!!|eJW#qj3hbPEnQK?~&+6Gs{>k)_N#D(P{JL#Zcf=ewHj)9BgmvrudRx2M z??rXR90H*Mh*KKK%+|+5mnWg!xl7`wc6=^8m`+?U`5xi^;t!-UWBcb(eCKK;_;-b| z)!F9LF*IV*uk!qgHUUJVVhJO7Z3{2j1o0>%y5%9QoZkGlRa^_hp$YXt8H>diftT$>h=EQHj%pSp)K~*EE&?*Onw)wPItCnc- zWaf)W^(WSR&!-mk1i0hZs7f(Z@5nUa;DD+>^k^}vIu;hABmgLMh8!I**=9H>?}URefg%npfvJl9$+nFK{aUQyd4P=t> zcW?!iHA>l;+yhax2)mg|OVw=M=bBO7HL9l4N7g;F9QY`t=sGXGEn?Ji5>v=8m_!+? z+#1a+O#rbL_GU(%zjCfeew{cxFII1PY{}nT$R=Q`;7VPB=ufKJVPyw1KO^9tm@1*2 zkkMCf2&v)?vjDyOPenMksC0=tgbQzibPWds4Sdv5YDabVp-k%l3$hnphPW7Bk7601 zLVzdy>qulQ-w`4y&h`c$? zLp+in$v#eR#|Q$&YxN<72kpOa{YU|bea^_|eJZ%j#>}0baR4~-y*PZ*c@eez^VLah zQ%J=p6OfK#Ge12G52V1Vef^FHjfrohw452|(DiYhd)89;7GNz;)uzm*__xJW2JsAR z3rGW5mgdRrPwd06Z1uePDGB8A)uyUY{nL^9hes#Zx6I)MMtFsxp`n|uGfO#Z-lAk; zKh$tgXSnnraK!f#Ke7PVIl11J*AQ~OFh?-K1q z0F@1B*&Rz%tN5}`@s}O66nF{qhea6ZpehpktJtW|^e7${{v|w*$RM=C>0Ke|I6a;e zTyDyM;p^;f0rmV*`&v{s7}#%8NJVx_X`#Ts+>U#X1Q> zDLpr@K}+H&30~5U7{OcdAJJ;cWY5K9y8elv+hv$c7Gv2hwVvXe+qkL2_mL zX{rfdPUgW#GirT^2N$it0?@^3)mu#fqNNbrKJgX>C0^>RT`hC?p$E`9EH{o7quP(n z7U`MJavUu+)JX9*w$HBvOM?R6;R8a+u|DXRS>7V%(cXsEaN7HD4-@taBic%||BTYr z`CfTCKR@sOtIGGjh&g=K8E}fq`xO?NvBBH6dHYADxwGC!&`yh*QfKofjisNd9@osZD`fw~ zl&ba#{3%F+P>64}7g@wCm_icz>-X*WuB$IOpQ`Ujy90*vk~OiwLhU4UuB4~u(1WCO z_+$Jpv*vkUK0ag7rZsgkvv(X)!I8@il*}wWcdUM6g7KdKf^-U@|9w=gNnf-XZ4=47<4~TbhtG$J$AR4Iom|Sk}~E&x2}M zL@YN6uzqQqlS@N~B%WCVdmh)h-G6Bt7`rzdZg|QkUU?2+f9;Nf;2w6y1NnDx>UL@gBK*U!}QAHMYfw2ytsXjdm9qwcqL#ZzQDs7?pTZ zV+_SzW^z}7S$&_KiPmee{0nsw%46nMBfCCVba8kBio(E4?}aw(<7qqDK%!B5y-x9I zG%E?*%0+M6GuI)i-5mn=zyJ$lyIpy4ON;;Y0+jT81j)=h-rzcrRz6PJ1!v%a67HWV zppfu=rVgP)0O@xV1FhBQnZm=xUdhSry5a2YryaE(zL%1B%GpJw@(O7~m4F8mtu^3m zrGAVRNUN+NMK+1N{e2?~hV&T>DG;SP0u)Noe_$Q>O<+)g#nxL6!e6KDczKXA!&wBh1{T{QXve3x-D*mbZ^b1p1IMl&rMlFH@BPbvy0#iv2HEN~9N~^aSwYhtd z?B5G_H%<(bG$k%o0=xb5kL@8+oKc2qB!bxtrvxBNt8nyjew3%DSsexwB}54p=l$}b zN6oZ=e#?0m7cUid!f_~Hjoir;t*5q0F~~&izUU4_*pN(mQoIBo-I$}`N(!MN&NLH# zdWDDXalv4^EK*!^{lauWS#tPXGsa7D)hWQOvUq6sm5dEI+A{xH3ll+6;E>Um|JJ!E zW8`|1U!zNWowu(V_`<{d2pX`14j?}#R5N{O4U}>~>sUc77&xM<6TYb_eq5JN`lxY{ zIBm!xsVb~a)%cEV-8#TV;lKX`8?*|Z9IlmGKN5psB=B& zc{^rO+}mZ1SB*#n&`F{g@oN=LFg-j9MWD-sOI44ZloJpCr<3u*b0|gCl{>$FDc?gIG77PN9qgXj#AudUAlR@pA z)@(09G}o6#bcu7z->P|rZv@!kr^XFYHKT8Lf&nT&*r+)J{B?d!nV zwGYELCn9M4uTKTEkf|MXG%|Art4enYnK7HXvePSVBxicfEJ%88;V;AUhAdo!JoQ#0 zc!L7KIiQXw1lMo2rCW6se9uSWQe$Ka8@Awib|%A;&ro{C6;6*B5N`#~;1q)*{yM=z z;(rxX=<}hBo)|i2CpA^GD;k^Yo*f0jbpS<11bVr0xw>7 zrwJhB0NO~G`;(^;o+p1-cqVTwt>$VC_4fXLEc_Z#d$V5eun5c<_IChzB)&JC-bnmV z|K^W_DCr-kA~)7y+E|5op2!yHdI2K(SMQ__`O^siV91pTVdOOi=3S09Wez%w@M#mZ9qp%Her{-yOZnkX_?7 zA)oK$eAkF|K-~%Pzw4}p%tV>w45W~uE&$_B8l%Dqv5kzNe%)s%*YV|?Me5k+ zJm!<^1Lp9Ck6H92(Eq-W7e@3eo+Vr|kNr&;luLq$i(?d>QeeVdH)(TS{2@-B53s=9 z(7=uAeAE%Bc7(st3nJag5vU!Z|M@$OHm;fO*~v-4C7lFOEW`MN`~T+B9?${L+G4*- zoNv{PD7>&+3D-5$*PROswJ9hI6#1f{Dql+9sZ5)k#QVI%++Qp1zT#P#&8=cQYD~XO zB@D5m0q^Jj#YYC#(v7pUueJj?hV*h6bVTON>D|Smmn)6sY9D~@l?uj1A3-T#^+iZW zY{q=fXNd=j=Kp|N`N_H`r?YpJIsHc(WhUa+`~ktJ>OY$!n+d%`Odwoc`Gdr-Lw}}{ ziW^=vI-0`bG}l-HQSRR>UL5wNv+lJS91Ve*DVZb>yiB?6Ni0~XdU&-D0V1{z(ZXHv zv_B~eCrP&sQ2F~QfRlj)Y7e5R31Ci|AQbp?RB!&;>u&gf;^o+Y%p8=^~_mPB{>HUbiI| zmHJj=B2cM*42mZ^od?WfVfb@$&(m>+cm3$ysv^bej(yNZ@Oz)2y0@hrun%+Kwhe4} zQpDzpi1CeDe>%^s?fv_O!CdvMyz3yAx>CIJm!yW&QglL=IHefiS#FW32;* z-?HU$zB9tQ`0dvy?N3Kw|0>fwHPag9aQ>5b25H~1@W;gVCa1kOL{M{wcLJ1W#$%`K zAPF0Fun#O4bO4N^c|&wt{4HIVKAFu}w8NNbF*%*({O*N^`L`CDnszS%pTxWE?o(Fa zhV*Lfd~_?x7aXFZkz~G#cHEZO%uJI4gecMH|5$!3me&_UY;-x30g@A(Vvl|Y=b!Fz z6`U{*(hs)#h2XutJT4x73YCamZwx+QzjrfgpJDJ8bN3$;{apTrOBKvM04U_)r+_#J z=i!l2V^oMM0>FRS@=o~_lYO9?M$C7dWfK#qnaH@rb5LbnwCSfwIC$m{z?4>0y9AEC;fRB2YH(j?BHAg2yD!;(jLID~7OlTXNQDlzwvn&Q3 z%;A6q02*}!4iduk?wgJkQg~T_lzsZpBf>i``C0}WmjO{i&u z4tsQO{ut|=#k%Rj{y8wT^cN3V)Pz4-wBt*AatBmzi<$@R1y`?6DI|y}2{7xrC<#JA zkzjRQt$tq%07&%8xKID=y4ClWgILo9%}n@W-QxaxqdYym#nG@ER)@t-V8cmO3Z#u~ zu((?k2=03XB0|ZD14gv({fDK|7mpa)x#=3mIzmwmq$b&XH4Q5C+vVD)@xOKlbhg>b z&W9~)QZ0V9`&<{z{X#|x!8k=FI!yy*dH5x zb3R>T7=pr7`NxcV>_!5l%p!qDcz-fTV>udqEr&;I!7ZK(#$Bb#3gTS7xZUB*PRavAXnOh$VTblFqdOC9X(5lIj5*o0on=s;9mP^cXc^&h{cGYIg z+XQ4ijSL)&6I_J+uh+%#Yp!Ks)+jCvffK1J-c4?TnJ2h6e|3<59aT3hp%SXC2UkzX zZpgD#o*t?cNHTt1+^5ogNCgcDBl&_0H`+<(+;nSB>_5DS8;dwDtbE~0FJ-Zx9svL^ zM_O5y*d<0M#3Wp()89E+ud$a%1}^{Wl(;RCqL&aDInt-K>Ort&wH?vHe{7#0Bm4R5 zul6MiR@CR=paNS!*Y?0ricw_+6(my;mUQ4Bet7Y1wjaYG1V6KS%*ypPH7WFOn^NBm z-v2yAPXWj;!(U^;LohXg#QZ)QOUum5q>eGpOs zd-ru7j^iS#6yVxvOPE^wnGp#FexozN@=J~a4U^p;8L&{NjjBu*E2jneH%PG@TpM$V z75=hi|NV)!-?T3ph|)oF+xA7CD~C@&PbHe40&jzV2v8oJF~vq-Ky0M>%C=uky{<-D z`JB#{iPeyVsnq9*#~4tx!qwUEH&$Jx2L#HGkX57(7flTBLpV~vKQ+1%!G zPqcNKi2HFQ_iZEcjS?D7d2Xu>_kxkGx~CJ}^eoE`-2U6#hd1N%vZMKyI()|R1(N_e zz&WeODn3|kKKC$t4!f9?lG&s&hL6=ZlgP9ZWTy zw4s((86E9PDBOl%>I0R@;^1R=h>Gy_+o_ z{f7xmGyl5pEgIANZn|oyTIo*e>K5tT=ndSbcO{)Bs)y|)ESHV~nip)uBO^9-ui^?O zvv=H@dwben0hwH78jX}ffH6Ddl8=TT!tJV@YrybrfsMA0wCWvG8CA0BTT9tsVe8w? zIt%NpH@`2eb(#7saK=Urk2%vTn^@!j6LmuvX#I=6w{6ypu+i+rsW2(;eaWzq-0kG2 z(eFy9kGIN0Wz>H@Qu#vstISL4eNVwHBKpT>LCCeyIpOC1`wtRQ=OJj1xC>telHczP zqy>{8*nwh5ue$)*K$Iamk<5s-Wt#y)g(zC!S-b7RI(u2RXaG+*Y-)8plo$8hz9n@% zb@d&fAE`(m=j^-g4GP&yTN*D+Z_AJ|9fFv=s+zLhnkllr0#(yNs1v33$S*!H_jPf` zfLvX@*U0ESclm$ZabI!Vo6&6fIHixQ(0Ss@Ee~dmxndcA0W}wyYy=LZqSBeX;CcI} z9@9az0A>Q%-JOYZetm0Eld8n@?{6>Y7npg+?tDJaSZ(JYvKK`v##LCAOc4fs0}(B_ zMAM>%X)Mg|45^mfrlJh_OuHUMDl>W{Fvb6JYxWV#_YurIyS}yKz{)CC6U%{RA(aoQvb?lzt@>7Cwn%>n;NKJBs5cafhON{H0SVvDX5QB+>AkTO3PHM z3XXJtb9WjRs`P;n23*~((zz)Dh*`Lx~V4}OxEi$|yQhVn^SPYAeEULOt(e}wVmE!nu*w>jDy_Iq? z;$El3zn6&3TD^)NeiCV&5d8Vj)d%c@p-k}#Jz=862;0J0#M=LS@?aBjOVtCP^h$&o zTT3mk5K(^>6h4f2ktwnd6-rJ^=Xr(CrC2H|&;CI*Z7BT>AUm(RGw3m+tlhpswaxf0 zCLDe^8MsGExn)W7z;bf0W5*`CohbQ5Tiu-U-_yY$c)xEpS)pkC9CQjt}vTV_l`v_Py5%<(vs%iTS!tppUM_7+ZfQeC{n(g9fQdg5h-JkWzm$pX= zkLbxevOl;h4bJ0&)t?~4#wei9)$8=Xc&mCVpTqb_ul&Og>kTEcB}p@24R#~dzR}(i zg7C5_Jgj>c0wzJF7(c0%Iegx}_-0*#XmH&nSZuI*=kQSN@?%x7X@gOfjA+?7sK1zh zi@`QlR|}bOf{*vvGrB-rJ2z@JyYr_A*?(aU|Le%?p{GFvRfvo1eOF4D5NDn`^X@oA z-b{TPCJpCll#d7KOlpF#Fg3IqG;3mbeqWAep$f22qnN&6Mx5D$%AfzLcjR{igs zB^|;~T9it>CXUh5(^HKZm?Vc(aIM6P((eubn;~qm1!$5WV^Y475LD3G{t5H8%t4)Mn(hMnTprV1f6~(b?!ekZ<$3^SaiDt=K32ZAB{0 zSh5d(1-0dZB0{sV_^my4%Ui^k%RsgCNnq=<`QyNy+UNGTGR)2;cT(ix&b5)WT0&82 z`18e1sM7t8sQl1SBur~s>r(~Hc$op@t4I5wp-3fYxTh+wwD}#qT%YUH2F7L+ zap8yH5GZ;Uc){Z$n!Ufj4}^Sg(UD_=vu<+*k^27QsG^D1M(}wQ?hQlf3mD=y^l)Cf z928P+lxlX3z1RG0!Yaq;`8VrEkx2?_9>PC@P=w(A^1r&d*!Qg)snz%%a(zP{i4bE- zgWep_u>{ODi$R?xtwzn{kK|8xmDuwiHopB}%zXa{5iVJV!nn;gAR;gEukxk~M7P@+ zNAiiM35Bz^XY&>_RHhnz+`abKWx)yrrYg&lH|;B{JkO}Hf%0U#?G$AJ6B82yHtm6- z67iKrke!))-Ouh5#MjsZbx0rHDeqZ7m|`V|zu?yM48sBN@|FijdJhQ>x93!>M|k7? z5At%aYCmil96aoCvb$te;7BI1+^8*@jbnt*q#GzVKHUVJe4w3)eRlbAE^tPH&I)<0$lS#`qysBfpq>NqB4zGwY3l%{2v1X(O%`53cKauCYC3x6) zR2J-Yas|@53)N!g5>l4y6z>Vj6>e=rx8nirf&|SiHt&7r-<^Hv3K?PIbaf+{m}`>Q zN_LwHj<0S{WkUgLSukqk6Q5lVtWYUkwohez(f zRzM*L?)4HzWW8uF?GB>JGNGA-)6OS{ha)H3lm2w_8;=Rosch97J-BxCZ3$~UIx=9?Qxb8(*ps#e`IO~O&9HUIz9?tyMiJyikY|^I5dc&UhW!ansmx-X)ag41MCB! zjH>Br5V-GNR6VYaWjMjWpMQTv7`ZAXT)+vaztM;N4BPj9o9XBEpu=+Y5OynH1P^ed zIwSTo9Ik5^It1h8+&R^?f7t}Yf8oTPG+*J-E6QBG_n<&bK6wUTJtV+o>%zu>1eqCg zfg{45>2WscXqcIS0QY6rjIB5;cAFQ`#(p45U_etyH?ZM=)|z15KwxamR7p&h4;QYl z(B+~n?7`1ODt{BMwxH_(L`O?*3rjIB0##5kRE8d<6q%P<>eAVgJUN2*IfKTm_tM+# zDK&F;6r%!Qciw)(>i*gpCkzA)LY-Ps(}wc?5Ug;cj`UL9`W?{wljOy!}@a>G|d(x ztZSwtWf`u~RZ}Q|&6V!``;8V{j2$}?MJ~vNgs0hj@C0hVVrXFz`i(m1_iS;jFp6&f zHAHHG0i@D6Ms-|m$_&be$?a1>XxY~{OQTP^G5C0Sm3`!&e${6j#p`;--*dFq0;tgo zG0&{>7inn-RP`@Zsop`)Y?aJ~BKQ{J6&d7M-3N{W38vyzQ^e%Yre419E>hG~B?+#> z%WER*T{X#pL()U`_-?n~)g%s7E15}X!b0jTdB6k{GRN#Sb8!HI@94K_r!`8crXDV+ z8tS+&M3k+GUW#q-I8j>ax*4*-TjKy;zR_DhU<&HnQ1}V#9Cf~B^il)wUlHf!HV@tA z_g5+4Q+iK3f-=Ek^EC_W)46L=a1OwKoq?9)iwowXx`~gK^yOR>)Ab7mRbJn8K>y3gbk*^7yYlG?e4+_cMlxoQ$@co znx1lMpu}+v@uOsEHxJZUuM0%Et+E|*dM7~yDj-4{&`SCAw>!Iw!--fiOR|W9@`+aY zqJ|dXbvZm_xXMKQa^g-lQRDCZ$|pLo)WJ`=@C;K(RTrQNH+E36O4$6arXAY@24 zgC9Zvshyg~T8VUJy+yOBjAadE+819VT$|_nIZMARytBOxL17{W&-8g=Y$T^*)ZcGwFZ506``3byXQh8(?m;pI-?+*rA>D zf}Avu0~=erd38K;6`5-+dT{T3(%9JC{56e+xdpVLz(esK`?qQ&S5*D9SQ(k*RxA7; z#@a$uwo06*Q9cub#^o23q%vxWPcuv)j@oJ@pn%5daj~rP*Xz#@t3fZAq)*@O0F<~} z^J4i0D)Xh#Czc80#s#rjoKpGOWD(hN7#>DaI#qHpx-?&-IvWN4yd|`kK?N6so^^j8ZDQZOF>1@_wOWV2#3^>0I^;KZiC_x{FduMDSz2RaC62FD?Wh&d)_jCaX-|wM9lU4=%{*jcU%$|jw_lyQiz&<^pp>V z$Ihshpd)fa_qd6|nB%B*RW8-`5b78ALS^>`t%GrOzG33$pDwP1iov(vRN30o1fqxL z@k%A_>-DDtGgXSY@Cw80b=Ylun)|e~Rh~}U9u;zi1CE-$Blz|j@rA|)L>`P&i0a~NL1PXP*pm$VDHaJ?SW^@y2dhJw5LshRQ7FtM$F<##pg zpr#_e>Cj`a1!B*~6qr_hBiHyzlVxdB#)xGpzeJLGU>!kV&K}RGU9z6svLR>bxKW*@ z0^VoW-wbw)sR%J#sj*8eu;dF;K;S&7c|_*7+9#>bdv^)Jk0p3=Q7O zF8I@+Z*x8r)KkHaLg~#%8wqTHdf@x*R2${heCM>C)_HlNJClb4=)s;NDg6!_WBo1- z>5UoHx6h^3&t43O11`-3r4pF7YA|kH1+kH_&q$2!w}7tO{r5@Wy^k<`3L{#CZt|$7 zcO)d#2Tt49{}ZZav%97HUC7sjGHwZM|GvK-H7z;FxAp3Sj%Uy%qJ+AVRcj&*j~ zX4enAX2|-KS)!l!*~gIQiWE06=hej(==^>VIn6o#*zETwe?HX|z7OGb%CTlVa9{xk zF4GF@lRRe7H!pT~!yv$N1JvO$tAg0NE;Bq7c_<;^^-Fw4q)d$c1&wVc^>`1|O1l zwG7SG`e&x1fTrswhn*?3Sb(icu3z#H295|~xms2+2z_^`+fnlZk*;XD7$s*43-9Bo zE#JDnbEEVj3mn4VzzOGFRxYfMquXmV90z}l} zzIOQpfC^r(>W|_9nUZ3j%k$oY8~#3%$DAX}PJNsXu(&H1NSO z=i+B+MR^;jb_cns@N{5W=dCyUy0ia&@n!-^??b8WgH7mm6*VWe+Y6GT)tT|xasf`- z-+7NgYN5vH1W_?PM^q(o^%3&bA9j$^@7Bd>U3PPP*@E6$!W{0Z#m3i0(ZpR$knw-m zd&|G5zOa3C02w-@yGuflltv|`RHR$!mhKJ(C8Zk)X{0+vVko6Sy1Sc!v&Qdp&VO)T zob!9;g&%?0d#}Cnj_bPa?K96eTOt4k?ozydHhljjyot`?ua*nHGs_T->xgH|gtrYa z7NV|NKfO|01Khmjus&UleTr2XR$MC@=NFyuBYeyxQ5+a-8z1MlZ?Oy zq-4*$8aQaiSmE(?s2Ul-Z&SZjC)gf%T}i%YJD5g}`LZNKGA`hVb{4?}4lo{Y6FFnM z^zQ;S8Rjv$w~f{{90bXX1l+nklp=&)S4}Uj&_4=+rc@1}qD)lo;lvvj5v#e1(fClQ z6MH-hKQ-h!z|eK%Gu5kT%d1$+YintFbH*-u_jkLFl|^IKts$GQ1xdE*!9sQ}29g>1 zOO-R98vntdUlOAS7sSKnmMZuUEK{~w2`*C^SIzqCjq;;A`5I>TZk0*E^cjz%((|C5`&*&OClg4j);67cMT`zKOAfrD8pi9;4BZ$XvH0QfqMRU{ z^j?&eu_Bb1-NFmdRVzPJQDD>O%jA8)3wIx0iv&0@%(?v8zrr1LB#COjP)U#36M^~Y z{zMWGuyo2bb6rCBPb0gz6MJ(+(%<`k{Zv9TAzXbAoz4sIXZ#5^D~HI=2WxUOM;jWs3zpw)CL2db zJ~=PL);mM!`Zp8kOUNN&EAq81l}{t*IPaZBlt;(XBi{6eX48*k_o7^ z86cc^9v0LAV2eV8&jabSN;UeUs$s*n38r>sG`>-xWeLamAWaNi3E+Lh+qeeuhl|O- zgqD7#TnqCKn7;spHEr!UtTOK1|#SruG16Yk)wL zdKCEkpO{dUbHp9Nx#01J8T-YHm_NGfEYy&%4^pFKEB8=GgMVJQ39Y(|g>(KWE%p{o z8KNX<$Yvq=;nR1Nhg;du&2TjeaCg&dQ6LCv#utN)pO~o|Q%H8haXdRWlKfQj$X#qk zc;Xv2%4dU2aC-WG2(*=BoIVd+vp2aXH|<&Zz?&>T4zGP0St{2)^7yp_6cPC% zzPT|Lu{UcMraFsX{zdMwP4xf@TBp?Jmpj9^$uEEV_gsq&9Y-Y@rcHdNjd#I!rfrnu zP(x`fdop$5l>(Iv_c|~Nk(fcKoe(JiI6CBVhBLqtH)q^lq<%z^g8e6o#6MWMdv&E< z>i7&F8-UjwwC+ZC;#L;RPu0)2*VbeTXpG5l4R8#PUR{<^=i(?fKS_x_-I}LCW1gNzl?YFBLH0BmuENd z#6;3TcIPV1fq#t_13E;$O!z$?gfan;7ktJ2^LUrYe>Z!gfwuz=AJ7p!TH+-^s6WAf z54FlC!Qn5mh8LK{7H%hj@?SFm(aKsDS>J$1>fghoVi9 z({3WjjLTV>Uu#pe@?Kog0x^*m14?)}NYw0{efE{PsULCZbKD5ShB!=L0DHWb#GZ!? zMAe$q*4VeN>1)b*JTXnb-B_&wYG-eZ9SJ&vqt(+XTp{<jx4)?1fP?lSck-GYU z^L9O*6Q;)&$_WC0AE;)_6A!3t>iKJY-w;9QWS%Pb9^wAI^7eHnMqoS7#8Y$TEFq=X zP!R9B@)o=%Rz5?9ksZ4-X|tG4s}5ejxuFq66^B?NrFKXqm~Itw!a#JY=(dkpk{tn$UQ{{G;KtE(L4a7p z8wL0de*t3Hi@<-%W9jU(iR&i~E6ihKtsOd`*(9C;O@3QrYir{xEAPq4u*14Q?;5=w zX$|`VM7yM9I~=~OZjE=HTU#wn8rw}< z2$#k%eQIErehu=Blo&9$ZM_6hs4>h{78#Pn*x>(k*vZfEJBXbHb+jiUbxzn*Otn_y ze4E?EB3$W^c@4m`zHNSfe)iRvARO%G<_7m&IG(#`T)M^=eh%^9W(q=DD3}3sw9u~X zn;NhW%icT=O<)*%S0HLpmG8PNbYuW@HB8Bj=sOBekB^T5)f(8Mw&qHX01K=y%X26R z;3z9cQo>5sOS(#a-L_minbXN6fXEk~Lxvj$9yrnIZw;C^k&liz0Q6bNv8x$tzI{eZ z6EE)>Vi^0?BnK|hR@{l*M$JcXpHtHrMgBR0M3P1~K(GuM;+$;4v-sTe(?<|2rir5F zOiFKgM41Vc_HvYk|4!ticJdHuBV{u60h$D5GQK;I#T#-K8%YT*{#ob*@z?no7?6Oo z2F7`M%XN}Bb9m4BcS=jDpqv%g*jTw`01$$+`^GLHyMlyxC2BdI!$P2$Gj{Inks&E2 zHg#2IW)8KHP|UZsvel7d5(a=o!!}@)#I~}!| z7Z{{B{uZXW$yeQm4-O;$NJ1>=Oda|GAi04sSfmnet|ho(R$55Kx&A@n*)Xujt*8IE z6rl3M%K4bm@(W=xQsil=PoqjqaexLN7`A{w2&9>w1RJcyy5ln4sexQfm=h6B*#ZC) z+3E-D(DquSyee%X3C&g!dK}KM*2WdyIY@kBFD4-oele#z;Sj_40P=mOjX_iC1P8$8 zhQ?f96Rpp0D#Y?N-yC+r2bKyxo-g9pa@0=~$vY~B49rrB>; zFp5PL)f2f(eVPZRf6~-w>(#%R;L`U1C8d00$)SFB?-UlD4+76|b8LreYs|1pGs7Ps z>#~Qp@)R)Rs4<{=u*mP@RopAa=@{f|a{LMeQRfo?1vS6t`sOIrtV@96%PHk!2qc9$ zjwM&Po#oFs&>jFIuRQt>33)1cm@|C=(%AhcG$M?H%3PEq$>hV1(0 zJcsS;i@|*K{j1_>hnP4Nhy_wIfT5X59lit@93}<8#lU~SKs#mgIKVNiHI3vI4Yxfz z*(=IC+^!KR?XmF|>+5N5tB!WZhOaO2F}oZDQ*G;J;lB49hQNYuTi_GO12dU`w{ml){@9kP z2XJs+Pkk}xrw}lRIDWI^oCw>VbP=P8Z#x>SGem<3J&qW2RR!^hd%-Osy=zloDtOxF04o|`iHRg)#9Kih!+C-I^EAMyJp>gclN>jUAw5b~WfcGi`X5mx z`erz0jmzJT299^RXkvYx);m8@KgFxY4Ft%-F?~nCy1r9A26p*9>y~2|*xv=XK-8>u zxxvgY3DKmG*vV1k{=~YN7MA!-WM+q5(<>OUS1{6 z?wp;{zJ{B^OK6}F=Xs$3gr3bHy$ROb(}Q1!&TpR1my^6Qkg*jOe%auZPq^D>_rtI* z%IoUDB|LkC0~|Uc<`h3aln5{7`@Qlv^DbLJV@Ipg=cN$Kz>#UX`2NH(D;21+$I z0(=OHceOvRp&1mY4H6BJuqC?9sv1lJr*-n#&Kqzu4u`TlTZV0f+L@<`b zLnPL^nfF$4xF1)l;9fA}49wVimfMkf@FwfMEFOKL{xtuE(~ruxl3FJ}#)K2HMG?(3 z{DTSQmzxCn(i4^O1+3ngCPQ=mb>=6z@K9KjsXP$OmE|BiTpOc-8?_HbgD7bKO8PT=ZxVU;C*g&+oDCIUtM;qgE%+Nx zS-4ndEPv5lH$n6hr8kCMYNMCypFkjwQ_{#Q65NX0I3m+0+f)S4zgQxr%m13GMDiP^ znb77k@&kxYdwT2_9khXFJXuZ)+Q2k#mx=4}uR#(Vywf0(aWuUe1HuF}l`T)WvdFQu zW?#t*GZ!8i&orXRv`v5MIgXOw*fA09NVq6!MEEc5A)Y|YBxIdJLiFJ6V2j%B*D5y5 z7o*52bEcwlIQ;cSQfe6+h%A)Eit(WCTst@ALWE20Q2cxVLT>`uMaY6j0v;gPP^C(FHupfRNtoL_t(|ip+~ETk zL@%REkIaw)Y;U`-@Sx3F!9Z%3|As1aZ}Jfk2TK2#*U$3&HBsT0&>8Ypb>D>f(*aJr z>2(i-3-@}VjvzO4JjLJKvT6n9JY2vRBtPS)zaRxdzu9esIa~5syMw72B(L^`g(W~} ze7I!48i-%m)5zbL;SQxhH1t#y$Y(7%d~eW^a$31(Cz4HEAS!<; zXDAGSVr7DGE*vNdrqDjTD))r(*sabhJ?`vr%1gp`W?;wQ1yXtIc5C@T0b;{|G3F~L z82Ntmz&G6m@OU&nt22_T*FTZlM4RfT^cJ3XK!O-$E~g+3 z{ucO_>cXOs6X))vTu@*jlaenoiVWC2ojSibVV_<7De#~~g3X#qBuOd-_I4UVC+Z2X zJm0VLW7Ym@i9Rg`e9B0Wkx3;UqfP1rras4pF{-O3fX>c0yanmZaZTw>JqP$ns=b*&GOo~<#QCTI)p=8&XWh30cs<;klVa%8GoNPi zubSRHfGkpUgR{n6X`?jF6vXR*u8@bFMTm7QQ$E_fGjD;lGM4wvxpKjR5kEq4&VywG zK2o3l;|PI*|bu7rO#{s^N#hqEo6vP_)7qI9>3d}DQ?Ub$8M+Fa2eSG4waa!E}5W@OzHJ;I)r|xN*T9h6+lk@pnQQ zK0ZVofxSb@nq;ZPn3aGnyz`r7Kx~7-S1fZpP1@=N9j=j(OOf9&bnE=1g z-r5X0P#O;O!_`^@P*SjH?+v}&%2(@SSZtbVp7`Q9Bj-J%ma<0PQw%qn{zG9=SAz>n zJJ-tKr-8kJF{PKfD7u(D&+Q;hx6Eqy5v(jaRC1K%(pSuT_?>uPo#}MC=A^Ah}maIH9N% z&~#2dzM|T!0%GLwF8QoB;z=h?5Dco%BgHS7>>F|x=4ckrCwle{~MI%vHq%Z^_k z2A-&LYM-yxq677c-_C78on>Z-4L;DU5T+s_`xO|VnknG}Kb!P}?-+{f-w&n=0mB?O z^D8O{9W^s$gOomyboRSkLQ{=fG<-)M;4I3ysDKT&U^32ei@S!K*7y-bJ2oWmrx!Bd z02$&|`R;PcC@>%*A|jew5<-Vss6;4o4wSLO^aMdJ%Y4+)QGnBEI?{PItuncbx>5jW zW)!3j0nr{IAro7JP`lZ{R{y``A-OV zn3kKDSTXAJ{ckgf%xc}RMfBC+eQujmus0sMo}S+A!Tnu%l(u&Q@#M~Axuo2S3v3$@ zg|vKtf+Fg5S?&k7_P^@{?)Qw%`{O&fSJ;U!eca~%jRjb-6#=x0>x^0gUc1Mc>4Ij0 zoFi#{K52}(wb;iZbcf&qf!)QG}l14aG_N`gg}cw7z^rqy~UdP;~d!7 zb#3rHFq=H?@8F>2=_cLxx5M}DUmUQ{3nY)gkZ)nRwchNDu6(UerzN=GUIMdi&N$$p z?Y>pO7EVEadAQWoc7M}$lS*|70F}Uj<4+q}{}+VC;SWTD%|Curwm)G#O+{wvOt+vR134ATn`&ZNVEa&Cy+ zY>wS-7ng(n6M`KD_}!gkN|<4ai;3NWX`6TgbA^rf`VTgZ%`CbSaFJne$;%RW{)h$A zG5f=QcU1*X&zF~%?fq_2Cy9|+wZyR@QdLrnC>gDVhCXNU@V8X79qn{c7!k%MbW$t3 zOG`@^3x1Q=tesVK%ZB2&D^ZenJ$|=g1rhhBqpDW#8B`}FBM^&&f5(j{D}_5D2nF=r ze(cH1nyXMD&PASYpEn%BlB!UcQ07Ml=sasYOkbTsZryr&GueEOLpls(;YzZ zGsmMPYqk%2DknsSkBcQOYS^vDgzuYusEu$oveZAu1UR=Ag4uH?X!1D#z9^N(h_c-P zy4~6QxVIo!rao3(26;#b_Znt+ms7TTUJ3oz`^A#-cOQ+UEWQ_`;)2vUC#xSNnj+G= z%_SvCh1hj}PXkPXAwbA}9xVQDwfVw&u&<3v@FK8`!jeoexV2!(iX_Vu^1)(|%2~0s z8i65$^L8~x%BJ)UZHzw!{>K6&Tf+|sH|EWV1TWZXj(!(jIC@^4wOzw1j=%OaDKl7e^o(S4ghoOlqg0)a^9_?Xb$WxK+N zM6_2fk5=SV!)j%_d5gPtIjj!*$#>bVm%7ldQVEAwU1}n}chQ%Cl_(L5m~6n__LuhJ z!N31em@qefjzS7X^&E}n(2_G5hMuyr-T?Jn0--~%3z|iZ|`?_;}RH>2dNgu(~70Me@lqT zY$Br%%>IJq@%_xJo9FlbXn|^3WS1AX4FK4uft~H&+ea@L(cO)AB|K_wYpbIoc{R!v zC{PMShuXmYmC8ZJO^IF=|H|J;s!pBy1^x9=4{iE@iP!yI%YLn5`eHEHT7z}+F}mB( z%V&vZ@UScfCF;xaOr0@S+_}4(cWemU-*xiRuPFix!Q?L=wK_~m=(Y+>IbVuda7@PKA#Xby-|Pe% zYkznGq5|@AYCJ~MO(gl`lS`Tlt<<#cT2c-5^%YkeX7)1Gso_>zD;55?NvlvWVUEfP zpMuqdT;dE?A&qi})P}W_uD0))tzb!WQ&ZLF7mpu577?zlkLy56byKK&w#)rM;IgGL zz4`8BSW;BjkaMQ%!O*)iFkc*L__D^g4UK`hdFoCYFCJM_46w~ zTY=8L9m}+Dz4}G>vC>=8HvdP12-X4O>>xGtHuj_TYP&s-Fo}=!=_WoQ5A^vw2!X_S zeMpIhPj|hbCcgx-*rs9DVO_}O1}&8b7L(#ubhlL5p!^Eu!VzZ`iB?$LWZ0w$5efNC zIER_!?O~UHCN5;;6KPNY?&LZqXvz$K|KOX;%S#JMyr$XE6rbq)*?CM_?0OvOwySl@ zDpwE9(J4I~te-2uz#xVGIQPox<~&sS3GpYo#Ds>5aDtN%4Q3nwULe2AI6y@fYBQfl zR_po%cfOHbT3iHQN`9VEV$o<3*gZ#St!NN3Y{SuDXoFF^LYOu7i4bRxZMEaP8LpfE z5wiltXG62pL38fMk3Z}Bst7&|?*WJNT1UHo0fx)$CkTYB=%k~)t1HO#-pxM-Y3^=| z5X!}Md$vkEG#2IcJ}MEghF9 z%{EznPWB28hx3G@?t%u0G>ZbvsydM|VY5q((PG_#Z&ibRa1x_Qb0#qaQaXf&a+Jwq zw6(na`~n#piz<%xVxq6tzxW%5jqj-n%M9p6gm9?f#TOi>V4`(&B#77%0d}zE@eI{Q z?raYjW_u6Mw{M%oXYQqrjoRz0&OugUPBuQFLzi_l_zevSnJZ5_2+{bnZUiC0LhWzi z3yqJe7k(drE{|f>-4z`Q=|ETtLp_N$zRti*_&lsnGcQJ7YSum zKm1Kg(&H%~89ML61Hq z#MW|sY@nz2VDo1sHnf@|<$Tl&EPC46Wl0#HXUNq;-?lO9@5r^N`97*_V9Mgy)6!qj z;<=n1g0VrwN)CJAy;8TuVKv^jm%q(g!w8100#IO?kB(!I6v4Vp7%Xqs)faBC46gPK z$&SS4;RKiT`|$82;W&*A96ZCtno`Yu*vjg_LG-7+S57 z)J6MTb{UP?77wJoj$6X-PKgDP7se3r+x(Y;?f@8b@FDmw>&x_CxhnbpQhw3@DX!4{ zPl7n-U;5f#`oBE!r~e9E{r~&IYZOT_NWHrHILOi+T&Sz3>(#S`bHYGk=ZoyB2qeqN z(EIK-*$@e`3up{iagAlE+!{pPW>xesB-+;7V;IO;crR@^izF-c`Z665GonBif9=Ih zuzc*SfGjHLPsYAW+7NDoWPyade>|}L_ve~`cy${(&ck*>V+GFeKtsqYC;|4E>cCxT z@#Ds#qTuvE*0BfgmS|_i3fD@T;yZ(^Ygq8AhUfC>({ol|s;eK&w~P+*Q!u>#l)c$O ztYAElnVYuOS!BTR&0CK!z?&u**He>xMiOdt4DD$dm*QPHg zA!N5f!)APQKUE9VHu~fX*cx7zKC6;|Rkm+Q2g!%i=*67A1frDgzF3llRnsN2)(qG^ zj3_-#=5N*GO_Rg#y)UM=&UdJQn((=g7|@9+;a01YJiA(FQhBR77XS8UD*yH7qmYcp zUbl;;+JLoi=;I48gzM`phswh*3)qB@_UA-{gyM_?+<&T_E{5k4e8a}%cuc!TsG-!| zE3pdTi2z2!37c>Qp>d!e@k6WrixUvcQ2te>!Ey6osyd*W!004b=UB|`PH`Ijd{H|g zm^9}KDUssx+7ymA&ibuT4L%To3^<^KUnG&6QczpB-jkQowfO;!uv<O zoZZGMIZ#i1MuEG_fx03Xz%U$k==BgJMz_r?AKrCDwzxzxm#}aLGQ4tWG3iYU2y?LGCkkRZM9@~vz!OOZ89a`&iZ8mh+;l8OSVovhtNKtvTMRo7T4J?%ZsgxkrSWg0C!ebr2k@{~fWjkw*+6j3bZ8Zt@HTYP2{3CD^J4)MlxgRx-G)CUL^Y)#&?BZt-= zaPdobv56UK*xqTq;hXdP@gsj@leF&7|7L^w`POj(t4>5499| z=#q5{L4~vKcOTAsG)f;Yd1Z}X{2fm+axyg2PKXUQ)n-vmJ?wfv@c;-_Nz7B%OAhP= z0f8q&j75jH(v?m_CcLk^tV=bWjw5o_zH&aHn74jN0@j*k6ymLzZlf(-mTu}!|CA%Vam23(RTtD&H$$Ptydl+ae3x%qE zT^%FHkCP;|V|Eto?K}BvG_9bnZWUbX0go41)slHt)*50}=(CfVPOVu|WYwUTv6}7u zaVqR-LG8>GaF1)hDjJbNQO&J2_0hx#5U9NcNDF|8JWc{?s=jJ{sG!i) zL{J)jLUKE65!4-sE7CVa?0Y#*fNE>DR#b_P`kGc(`IVRSp|8HKrrD?Eohk0Qf_frW z`18fBdwZ_dnJBT;jTwop<5p*XyxwIpz5h)jZVY$2RUK=h#{=g@oiMlX9g*+dtw+_g zyq$@fCIFaLX)z3+Y&lc(^)+?U=ABq)kjbw1lx%Ipn84k|5+u_dPUMVnI2uyeuS#iM zt{C}MpJ?KkF7Z2GP3mY3YWpS!uL-Zx-*%#9DzONQptZt^t^k`yn!~H-5v%J! z`q3CacB4T#ApY|gs-m5VM^XvTn!Z>>WK@jqIWWTFcEKP&vwnIL`l#kgJ&VaVvR2wg~;%KF6LD#X*Rb4>Y24C>o!xc6F9V#y`r#5({ z7^Q)S$9?kt;!O#|7UvjF)!#C53!ge%AL7yrV%!DAYu*q>cAeLixtLYEw8XUqu*&L& zh{t_Fw0Nqi56{K5hB{+E=Vj;zFkV@5I?<))Fm2pAOs_ldyNdeV{4vos6O^E9s25R^ zJhKV^QEjc;;_QyJz<=zPJOjwUvhKi z=u;`tgoe9nFU2xTJT%O01HVt|r-r{z4b{Pk^ zC$X1mo9~4v7xa4puw>Y2Lmam>m`|eGz;a`f%4)smu}KNTEs=sqG}8?wRU=ZGv$F_15t)BjGwp)=k6LznZMf24TUaDfvxyrZ0Ghbp&$I4i@u@c!@I`;jF#o*cpLy+ z^Hg%&@xfo4{>rq$ziWsI+%=jXFE(s={Q(SnSDHtiL3s_!;!A_iV#CY_u3=$Ozw}PM zv86B+RNn0?V$Ny2Q&$g3)@WTg2r=!6FU#kOzJlYk=*8?Fm7t48SDQJ_xS0zs?7OqQ zI8M^>q3I;w>!cLlVl~pNwum0MM2{Fq&dhYC>9+lEnF(g4OeA`d(LYd%O=)cGM+Cru@`a# z7aK;FHvoOLnT;cvGR{cf}n4!os(er}=Ah9=U(SjMRF8Qy2ZYYld@IrGQP_F@t6az3B~6w<7J^ zD<#QN?iMjyf^_VDIfK(+YbRAunIKt7#kXhNDo@n&(bmEz$q+j?is*t0PFSd>PAjcc z?+dXITZ~ec%KvE#16lO_ug=F>3NM!GDcdViM{nOzYkG8U*&T=0XzTB4u*UcwcIdYK zY?`w>ll~G$MN-hOJ>*imn@VICW0%(Z&tB=$pC6mphFb59{k0M7B-MSIkVE{vx^m$d zCIxzjGcI-bjjj0hlJw4`;2lvv;k1rsFRh0AyUAjLXc^@@(K?$MXFax9^#|9Qt} z@B|R>xrC-ZFx=&^8j|GAK4M&m$`c?7mkjBYuALz6WZEiAj~jb${M@vzK&+uPZ>vdFP@O;T3d^MgANM;%@bbK-(62CH-g;FMAc6Cm?;sXZ&_qdMc zQ|#QRt@GMT#?L#|G$Z2zXqi_ni=Z6Op6l^`FiL4h;Y4wIHJ46-Z|kZ0$To}!H`#Kp z$hdha{l{+$qOPKpLp)zl*(J`jFg8VgY9_8)et^AZ0*0HdSqN7BSel%#qWm>?R zBM@&WtVyj2f3Ug|3T=w2`;~5f(Ol0IyOU7!SWIZjN9NePH0s;UV?gtCk389x`8_Hk z*G*@izWa>S4^uy0**K!xXkQOI6{#O=Zz#uQWx|&O2UzBaGNFDK(skqo#2DyUZFU?> zHYurXY{SKp3Z0dlPQE`Ib^rOr>wrwCpDr-k7nEo!oH_{R_2AKq9;U&E9@fL7PZfac z84Gy%mq&aSMh%{-)%|xif>mO%!=+$^JXlg?wCO=SRgF!|uB4$1(DVRL?To=AgHp+$ zMl5b9-Xe-pk^ban8BgU;gWlscv}u~rFAoB#lXNCsx!F(dYih7KGqBBpuU9XrNlL2WPp?P zS_awYk!PopAQ|Ge*GsG+pA$8p(@GMc~R?=TduYa$in28#HnbXbAe z+}DRGBUA4@b!lSS*R5OZWBg)pw^y?K`pv0#nz6Y9eEn-r=5y9hyJ9Igui`PlU{FGy zHke^1FOX_<_;(~cdzoNr7E#8;F*`JIHh909`^(ZUGR>Aj5w~no--@Ln>rk{Bh;Z>r> z_p=|ram%RsYOl;C2T$5xdjHIPekGG)I5uRSfMyZ(GB&$ut2GWWSAC4@&Dz~V+LzcY ztL6*L#x!iH)~tKDqnYTD7ix6EcXzhZ4~>Rn8TWhZvOXe<$^ywID?aG z4J3c4e!^M6S+*d>&W*kje^+UiRaE45Fi(CPuAP90BQ#WWYJdJUnOI^WEGqu?_zTbh zl89cez&I8okLZ3Uk!fgXsCb8n;;d?A9Cm<8vlUnBlV<=w+Cx@XSJ$|sg$v-}hsx3X zdd|Q;^SI$exH72ir^ z@sWFpAg&c$aXc4`1P`6oo1daD6ZrVR@l8#o?7IPi(4eK$5$rbRC7EP;ZOIzux`_2e zNR9$fGjtFI>1S`@nB6F}hHLLtJaw6eyO9|`B6G%&wpu2XuG*<>=Gy$K_%(Jx zXFkA)axCikr;p^(hd-$-&T#3AXKyvDkC21jXHZ~<33;_G?AQfOExqx9-Tel<>DvwZ zi|fv-gMGh zxG$}do6OaDNJk*jQc$W-a3v?*p?7n+k)-s=~NHz`OicV9JZiyMv7XQ zRW*B*G#JM=c4>FNtT2-D4QVVx85lf-FJ{nWyS!8)JAR%5LItbmoF?UQU``XXLU0Bd zmm(exPkA1M8~Eqf!XwqemNcIHDK_H!qx@1ok^+kj^gLky{Q=lgX;8D=LNN8p!sW`Y z1273f?`QC5pJq<~>A4eTeV5A2;Oyl!>#<-`5rg!}9fZ!N{*1OOpm5YyW$K=lZK5Wz zQ?rm&EUoI}OneyGnPYvA3uT(R=1a=Ad=8CicNPH({~5lLQNw|zS0juS{&1t^YAy(&=-GbeaNUo32F z|1Qahu02SU76ZfYxlC>izV32P@>@}QY-H zYl?hS{KbyBeZ5DLgFv-J2e%Ym_0Cm*m*;biNn!C~ZV`KF&sS2D8zZ_{ zMs6!0f^Xkx!uvzgI;V?BL=58@LElcT+)g72Zo^HgM(NMy@=ai|`AOUxg2>1GZ-LZ7 ziLgH@Nt`f>*mupM>L+}d+@P5B>NHcsq6L5DfLkfP3J3fb(<2b6Stp65C}P808V}~G z1yPSo;Z7mt<9F2tCOlwAV-nYq+y_GNdR3f?R|23+l_vS&GnvAV0S zXD*CPZOhVe`TNXzRP9m_#xV$}=?#k}yibM}0m0aX&e>^ngm@`Px77vL9u(RMZUB(q zT|R|NL(CzdF`ZDK4D(rZb>3C?_58YxpZN#(G9@%tVFx=I|-d@+Wh@FKeg`cPu#$3!-s z!fx((GS@A}!1V^Oo;m_X1Ovj-4m)g-J&l#=n?ouRVa9mDtFEQ`y#HFWD80i1A}C4v zvE^H4RUf9>W5w)~d;>JZxjX@lVIn=5j@)a~6GtcY891GiES@LSiHRw zw|$<1GIT3(Eolv16|IRkwd66a(C+F;NLMnm%~&pZs+x2nQ*G5YwEfBZAPdDMsd~SS zccgs0Ymjx92Kd1aGRm)sV&%RU^*i1RxR><3Pa;L_7XG3gQ zSMf)&!c^;7-6pw`e`{-N+0Jzy#;H}8Z)wO_sjd&OFzPtw#`jHPu?+O}1?-dAxMPi5 zi(<-YXu$COq4)nyLF-&59GTdxTRMl)s9?8uf{#mUEi?aPZ-~;yDjjr?9eoM1PI=Yr zUa&a6os;UNhjm7MEF$pgL65^yYN=i&^IB}UvHM;2EL-A$X>9YKbk*uTm*0GpZ$uvm zZcC|xg=(dI_Liy26KqT;-D1ZK$)?lJB0dJ- zU^Z@^LZUeK2UA})bLpu(3lqBeW2-^-iI=(d=diDH67_4V{7WiMrIQa;=srk1c}+IJ z|JGH3n3=Nk$hztv{F$;Y5kk9l=TS7%o2SH2weH$-+hkNT`Z9pFMG7m}=zE&jqj;j? zM6OBada%#cg^E*!(qtS%=$9jDp|?w_~Bex z1XCp3SW$fNvgMq~uckaBW@mMmT+&pPh*}kKl9LhV*_rp~kvo;yG2DWmpf)XywtW3X zIoQ(Td?-z{C&U&SUcf(MT+cX!|GM_{8Qop!62F3j%uig?%!r$LZ%i}M#*#w&MwkWarc zIBhJNPp_*=AAOnKR=L%5!U3!7e)q71;N%B=Sevp0G~vpJ_=<{tX&1Mz;$<%w3>H%oa1#uo<_^L*|S+)m(zd<0=YX-o10K_pIlH;kKRH4I_Jff|I}L+;=EPdp~XSAqla3 zcE33iq}8WK0hubC#x-xM@^y`ch>VG3yL0-SXHruUM4=vf0(ant{&Tv%ewRXaY*3Vj9MQv1v_rR5N)ps~*xMcrSjk*(_k)?BN6 zQNpHc)1JvpNR+Bo((oySx2>mfZm81bmBO2f5Pj#;bj;&crKRZPi2?ocK|og>Yi$M* zEovM_)g*g~Az2TVsX6Pboy+-OQ)Ure2%ovnes3i#W6-RTD>sL2%zSvg6^TTCicAU| zJjZ=)z!Ex+daj+2pq9I=YP{&?Qa20Sj=7QN;%0TaTA0NTOMfWSPTGtBE1;bFo#-Ye zBo>qjDE52ZH2VGi16f3GCXwpYqjJ*i#@6O$8|AI(S{Hp#$g8o;dR(B`0D3lBtl8O@ zitmRhnoFZBp8qoBnl`NDm1+TQ*Y*~lY{UQ_DB}SrL4dv6=gX%hXt; zWC+9?0k3GsT7vE!WuA80akcv+&=ZRxCbo8U>HCNW*dy5Y?!Y349R2rQ!1u(L1V2Ll z##L7}*;;{97=rZgsTmI7DqRePyU#`tGEkla@s*OnJ=esHOEq*l$bY|3>fEX=qy|lU z@DHH!9wd1G9As>GXbRJUUj`%8AN=0~#Q6viLIbF37E3F0?Fr*HuiHlt@PWPu1QMx` zGBc;r69rn|dASU7FeU;JL`Vd5cWQZ}Afa#gP4vg@Z)c+I3&{T)F8fcNua}QPF&>56At@ZwpWj zWB0%d9_bNSGU*KI+s15NWFw+!2Itb%*Y1J z86?QZuqw;v;E(6$8h^0L@;n{AymS-R0366EeBiSzqsLcJOn9fUwqdSVvm8(%CupN& z8B=EqcM0zDQofpcG_?Z#kRGRTmH9unA5-!sY=?F)Zq7XQCu=wI#SM`Ikv~$LSSX(ma*~e_s7<5-y0j- z8l8|U5i@PUbQQOzbnS3Z)M{c6)JZX&uoz?mS(fv*5O0lfXOWsV{VISs{qtkm<}Ztp zU~2MU5PNv%x-uVd<3S;p{bt~p0HI2&8qDM|&>^6>X#Sz8wnoC5jUZO?3}M2FH!=|w z8vu1>Upu@pz8?7&sKl(0qG}^ZxniJs_7Y&Y+ATu~H4rRfEXgeZ9jMY+acvrJjDc$! z7E2S$7<-78dMLMAgTUFh_*vYz>e)R|)h*5d6v(E^vT}-D6dT^_n$!Tu5{1NgQzQE> z-poDNBOL(MnMeZlm%9^U#Myh_#v+|^3<4ykdU;uj3OQ%yQm_XPD4HumMa*kmXx*}t zKub9{^+I{Mt^ufC42q)CT9^P7^X)2Y z%+R%JlW;0~D^ZMilhN(pCgcr%(McZsS%D30j#ML-S@I@{D|le8z3xpD88y)b3dvv! zN-r{XeM`^cg#e+3%lvLa?0;j+E^%C2V3{C9xvaqF&4U45p4L+pq8YKxn*CV=Z7{)~ zr-~b1IGmy8pv`(}Oo(k$r@PRjh6oC6j=BX>-~9wcSwj(G+QE+-yBBC`$6^=hV-LU} zmbxkc4?YT$H+~g^cAu}S@QC3Uvf9|A7Oq3@OgF8Kk@%-dd8aT*K#>a zToMenpD0J8SkQM#)Te10B_-psLXn*~B-m`f2A!|YHwXI&_N(7&(IRL&E?Teg^UU#nS;yB(9yX=8D>;7 zmSJ(h=%v^Dzu~-(SC1R0?q;4OoGAQX&3*Yl)bIB`VaQ$-B}>_o$!?--k$p{;jBUt1 zW9<8uy^`!pWGA5^GZ-|Mp)ARgUABZ|&&a;?xxL=sKjJ&T@WVVjpPu*gKKD7-xz2Tc zjR^m9WM)=pMlsgb(c}#|x<9eKM`Z51aM5?|z$!w~A2?Kb7NvoSsk3G6Hqncb2u%G& zCaKtOt0e6(h-h^<7Vh8P@+UloTCMEPpz)x6CGmQEN?E2;2@fjp$t(JmV(79SEMV()%gcqm7kvE{`zp9t~vO7V3#~?tPL;+37qM!7;zQ*Z?8;z zk#Rf9{FTVkq4z=5>uYhmCGOpwey{1brCaZ+-EZV;3s{I%k0e@6616J)@JvLua}e0xh-f7%4RJ!5JG`!rU>4$loLYn-}X?3v1}cs z{X%DiU>gi-niFey-M|Np_72+t*e?N@Ho*y4K*gFs>nXtVgKy*n>aDr|f3@-Opxclp94la7;39K zo%5Fih5y;r7TnYAId`jfl88sMN}Q-+P1xTO5c6EbD}4h~9c*;{*Xq%x+`kV2>2OsL zZn`~uE}R1M@CA{&KlCJVq9(}3I>%(kjyc#|im*QPC8#tQ;IPOtc4U2>oWJLf=|D`(TeQ`=|CNRy{wUw^EdpP}6&rUnT# zN-X26t#t4`)@vDjZ;r>Ce1jbzgrhQ!D%&?IB+IEsbL-*{9S3qalX8RGu zf&G8Gw77+^?wqx#ONEJfW0Eyta#Qa%Xh2_njb?kf+Gm;mcVt;16`ggPqZ7iB*0uRA zXwd}2y)V}v9Z!ZqC@H|orG1Qr6H`oG;M-RggxhP%5p(sgN4qUZc{+Z^&;MW<^M3n# zWXqKi`}DY;l2iWgjd@Y}|E@VL@R8s>6nn8WJ)shvxWfBu$VG)_Rubc6B~0*;;MQAx zMH=r2xSks20^)CgSpKCx=ibGEMl9j-=wYx+?FaDBiFKtW1P00fo18Pi{%P*?$so+c z*}NTaz+GAX@O2UkjlOg5wIb{(B43^AwU*&l5?)>xM=0t<@UjG0e9Be!aG{0JJ6t>T zSL4nOcj*loF29+BYA?L>sC&E9^_{@^pkd)TIrc{GA^XqHy;rS^7asC)!!R$t3q1XHctQX0{t*{FeJyySxKd1!P1-m@6S}U> zV8#vU?y@_R zv6<+`7hJR;l;qcqEO~VPUoCT}KpxO#w(vRShvo0&PNu3Kw2WvOK4cwQ2K}jysodYD z_unSVx#!{=!>;IOE&AQrH>IRF*&WQV@=J5w^qm+A^oSlq<;Y52jgM*%YqO^yC27Sv z@@Wb@yjG>b@^&CVau^|0x3T}eefVns{NVRV;6pRF7~MA_b$yOAF9Fx%HL{o?iu$@jeei%R zGOiu3Ncf9%{+wl&?i2JrC;Xce-{!rta;?E~r{0=*FEzO6;=(KH%0k~c%7SjYpU$7c z0I|WG-XUSl7ZztCODiTL99$)rlp!Pd+(>X<XONjGYJzN*4lA)3nYB0UXG`*CGdblpu_!4O$Mt4NLtfZw?mGr18j z7BWZT#E#leee+%%&*i*pm3FWsU_beU@IqUju(9x2_Z*?oYHJj8n+n7q_)KIHAB3VP}lB%XJ$lJTCc#h@n9fSk2Z9{|e2}mrz zuMTebJ})NRoOpmF&EhLCZa`2BOtSn*$RSjc5{9(#Ls>!#!3=ri7=d~;8SktG+CPbm z>VPuMPJfo#W@2h{FWK!xynDeW8o{H-7(p&gU6a5hD(bA)NXv*%5#{)B97%EXQ_$j; z0jp}2AjSJJ9_+p6UnBbxHtu6c# zO4J}RVN;ubVrcI^vSu43`H!7J+pJU$B|{HJ7%^6{ryT)}bl%W^KL&m=U+x;EHMQcM zWK-<6Dh$cvDdu`~+KJiJ|4?x3)Pt}b1J4)??U3HP*Z!UIBtDh+@mjg@e7c*>RA=~4 z2iAorM#(23++VP%rWZiX0C-y9!*HD#tk6Orq*j@b@HiT1jf9y4Z7U_L-1H|V_oc&0+7?a~Em%=Prquip`i?{;)Y4aasgaoA8> znE3QaS8}g{vfw{snd7Fja>;%v$I9M}cGAV=M&Tb!N*)H*g>GS`#WJVa&CbRydmn-+ zsyXS^#^!*+T?JXni{-lmoY`Nt34W7$Z|4CYd*P5{smz|DO-*GR|DiTpcb(pSKa8Iv zyDk*d4rOQ7V+P+HV~GNaL!(elO;Hp+?zqjsnobb^1*?PnM}oAWH&YA)V45WP=+RZ5 z1Q5*DX;|`jQA_c2KYO-17ixcTx_rAzladD; zICdmaQM@>DrU31xBSB~+`PmwXE`iJgugYP}6l>Dg*}zfro&KA#XjY1>@)ywQvT{|( z(=Q!DYlpjpcKa!^K>m<^ych|G*@}P|I~Sm}?S0p0uzpM;yEo=exVu3gm&@Cor_D?i zLnUcknBGi|%Av#aa7vsQ$75Z5TNlFrIA-YxFt8?O>dtv{V~i?z4ubr>;ga3}}kn?AOPom?V4-IOjo2)o=wo z`=MZ4{3KP}Bn}!B`stqS_D@-g=L59H)>p-nP!xflymK9I8C0am-~OT9ySTnXSpaxQ z2f0qvZ3*N!IzB)R1`X$Z`-`uh(tWJ1t!rUlfE1BRI#i|w`L;kE7GO)Z8F}?Ng8`xk zJB7xt@vyc?5|!{A?6Hn5L?Q}II2nSZ3s-|?K8927<^7X+Y;}FTk)_D z><_jWQT=A5yP2-N7wpFG?d8QgrCpQ2LJs;Xz-jQlB6^m|@L6wfZ~SPVH)i2zrfb%` zDmLKYhx<*H^<=&V)|@a375>)|TP)m6z&Z(x6Zv_0sgynzEmqLgsMwsUPdQz?jnd;t z+&pj{H3dX%{-*o#n7<&OU35fn0$Ncm{9FH=i6zKN$3PD0Be5@nYH-m#z4B-I31+h8 z%VY%Vstlh|vXDV+tIS$lfZp_t82E)=8i>?gn*LC>v(f|{W{#p8c1$}N@19zbGAdya z^lI^e*{;!Lu;vBcC6H}``GVa%e*Nijc*^-B=|}HmJ3*(=ES)vpCO=t+IA_W@;93P| zg{1T{557k55S!*u=?s~-*%N2?+@l_ON%Tn^2}Q>yf_F2t=~hS4%q_ub5zo(FD-Y8jKl!+m)L=Xq{7QbgC@Uuf zBa>Eho}!cBO1P(}dCMUnx21+#)pE>1ebU0(nuE{;_llwy5m5}$k@v@27Q4(FY5uCH z?!@)V*pyvK=wwZ_DoWOQ5S#QAKrxnbnj))3ehTbeSe?^GdLDK$t}9|zV)^f`JiwUB z98iR{pKCj>43GuUXh0}kT}95PmATAo&wpf7z0lsVEjSg5GuM9&SZw8>y&F|OyLnd6 zQ0N_8E0F7F2xY^7q(37kBMGFJZooBI?ub`HUE_)`$Z3KrW!;XB6lfH;I>(?ro>Euk ztz&Aesw&FiA{mWteW0PYu*81j4K6m{*?7ZYiAT-zT=fQT-nHL=N>g)sDr+O%IJxeP z)7#T9wb7yvMR(hWyip=dpkB6Qtms_sp>%3mfZ-=mFcQZEHwzLJYRen2`DH4`cG7~I z;DYrOPB4e=U&EvzXY2V-|7ClQ(o3%3w3}8N^S&#O_9xiY!k2x6y-5tpI5u_2LQ93& zvp4py2{VcxpN(Qbo)f?9@KQUQ4g8^G^l_|g{orGcrf8m!cin@Yy$cdr zoP9JFAj9EZ66^J`?D2bMxDPt4)Vv`4RbW@X*d51Tw6d*UYX+Z~4}3LLYD{L@GLQii~mb~|NokOytsmZiAg6hj!M%vpE$4S{b0uV3mZ09uI>{qUsbK|b~ zbQ8yh4d-WHvwy{OxceiZC z@^>1+fM(U4TP8x~aVO^&U*vVRx-R}b`IpKXSmnK?xYs5|I13R51y7gI;sneV`xSpZ zzv{$={@^ji4%_`7=OcpXzF=*Jbf3%MNE57Udq^d^7BhH%fVs@gPtHx z7A8rK@q@0XAF!j1Zg_ynyoGfQ>E>VE*&TaZE!(fBck1>ebNITOJ`G)HO^%NE1EDs* zqlHOm_h9iZ9k&`-lGMZn*OozO%d5qz_anRPt(AXONZ|g>$DD5Kf4c~cNZo`N4GtYP zrMj8k>UJFwvn95gtqfe7{sIb;BL(S4p&r>878UcI`y!Px`YJ%kit(;~cJSMQtu{VQ zvBY5oWr~~NDYdnvo?YgeBX=;$T!C)`2n6m?4m4KE>n1WBNMC{#@pEfq8t#(pDazvM zX{_5oaG1_IV-MZ-m*5SfMnza1C|>(|TpbChnL_e#H7NJ?aO0X7eLkr4?&|1+zl@C; z{&JUrg1f=9hMn#OK1jBMtSPi{iwal+Nm7An!Cl}!n^pg)*D8IQRa@`Oii?8R%sK}EMMZV~WjVK?69p+6Ss%f&nJ6wNh5Yd! zW5B4IQ9lIc|M@nPM#h7RqHph{9r>30xl=w}PtI!xB1Ug40F!-MU~>IPs9;CeHDqRK zA=+Dx>yEY7j4|#p^rhs3(2P+^AoDv{a@UA8+QX_rB1ez^O1@U?f~e2xo$CP}T=8m{ z3=512TrtRNaiS<9I6`YseP;J&FNqCk&`x`E4c+oc*Oji{0ZNyj^Ho+455F5*Pxtof z?njHZEg$ug*AOi@yF<*2d@&&$K?M!U!<;f;C~7W9%EwQAq;VfG$M+U%-ag<8;z$^C z*4=VDx#r@NEBjuy_EyKr^W)7BW=8f=pWD|$b=;q|s8b!VrxgQ$<6%}U%pLbSu3*P) zXd>=CH@G_o71>=&x{jfEXuGZr8ywedR~TeHt@j@H1uGT$2h41cR5JHLzI?pf>pWWi zir?~iu2b!sn#06}ow8!-_ahzCC>W%nWGAJznT(P8N(}(Q4<2P2)AVWnJS$Pl$WC^y zb;?Nq>@WDG)}ZywE`Ki^b9FxHD=<>;XYRR9BQwTD&(8D-C?DerfVQQhzXXsD+7uUYyP`r*dHks*P9Tu9@s6cXt%v*hgSz=9bugAR8 zP4Fi4)(SRzTB{$+Or%@~tq zj(9cFVABMuM$2SXEaRBU{hqqUH%?_K4h;{D`d=S+?vUWAH<-?_vrD%$!vZF&q*m)( zysaVAW%hkRjtI?R6d)wQ*606gHrQ7CFJpk*@PAONjF}~xoBnZMrWBWR?VAGD_4;hF z6S(4=UNo#v6JqDh+f;b->X*2UiW^~qKGciD%f*-sOX%fBAC1+q!U|dC7YV*k-Sq0N zZXaGskYoS-5CpNmS$Kf;5K(bpL4+=V!Ph%1!GHT&lWUkJM`8+GqF2S2=)SE1{ghbg z+=?d+D4y>gcWe%8LuK&y#^D!7Ga+{#det)t-y9ANgQ%*_%$H1d7=OW9Kri>JpZEO; zUI0mUl1n`5V-9bzle{VYtm2X3{E^U4Cdi>7I9q+Hp1>*<)?Qeuiry*{WCNQ5!1SoQ zDsQ%5vy_S#luTl44Ib1Qt;pAd zz&6A8HgBskZdBGf<6A^tLVIeykHQ_H{8d4n%U5O{hT zQJBaK&_S?JbBu{)2-6Ee;>M+YZupEJ!ZBWY^_Zsg30#^4+9t9lNV!rR2!9uC@ytVb?3x(wd^rOnrLJGSnhHY$K-HjO;C5X1*$Bexw_Eb+FADk?$Gy@+}DU9J`K!^=+%}*S>HV0Y$+Ybkj@}8F*OAJ z>9_T%nTGrRX**D6T7}|#CY!m5BkCe{CUjng6^_EK$41l8mE$<4QE8`Ok+SqqDhhG7 zvzCNHAnjrDuhw~r`K?S19#50_u617ygzuLvqFVB^QDbnlm*=n=MZS}d+30|@l_vgk zf7tt6B6RlHr@wx>-W7}^FdmH@tJnnEj;sI9M91N_U3b3jveKrk%}`N%y9_Sja5}Tp zTny&eDKq_Y?5}V<-;IdmvOkiHT&zke_Vl|%&mPsM2iud9apfVk2?W2$1tMUaTz#fL zXcEYV7Nys~xH?JhrR%H2LSyonWm`7~u}3$*F8>Olhx~+s<6LyhNOM=bcO_FYEJPk? z((5#l2lc(p05K-kt%a7NFV|-H(9M#H0@4GqXxeMsV-U+2i}@oIc$I#9DIsM=Mv3~X zORZ=2HYD%G_%9lvMkMvUe@ZL6-js9*@SU3vLDJK`bZ*aZj~`$j=h~jmc*uYGfdtI_ zbdTE7sEJbL)1g?oEz>>LL3RgP=a8dFPN(rzpqO}8S3}+TCpx7nis2jVqu)bSYMMXD z?d0TAn26Nnj7zjK6Zg zq)qKD_(w=~w)t!zHU^a@oQ1}UVy>0lm=>dDxnfzw)w#?n#E;WGr@0E~f@GjAJ#+rk*)Nbt#MzJkulaU{e^*8- a{xP){AMzANc~qX=ucoTrgGyyY#Qy=UUZDa2 literal 0 HcmV?d00001 diff --git a/Documentation/RAM2E-rendering.png b/Documentation/RAM2E-rendering.png new file mode 100644 index 0000000000000000000000000000000000000000..f304dd8e91dd7789f22494ab3b7083ec87011424 GIT binary patch literal 271060 zcmeFZcR1Va|2LfO_M%nPs!dVUsI6986jju&O|25dC@B$IT~;Y-uhs}+)t(7z&)6$S z?VS`Mh;e^;)_qqSPkKgb9<2jz>IOO=`$a%ic^L@Ve>wOA&s;zeQubY2?K%lD{ z>MD95(3K+)=tBSHv%oj+2ScrZk8@rRH4H9azKosK`3VAXfHYJd7`#f^n1=b^*hQUg zVR|+k%95`~fBu|spI-HXh~Z>trN9*RCN*AF@}-`j|! zhwr4O9;27Z#{$XH(!baH=hc`v{F33{2jG)Y?&0r6|9QEK{+~X@J0a@M|2qu`)cEY~ z;D3-|3Ge^!f9m0Rj;Oo%A2b>NCusKAC#?#f`7bJ>M&ZN@|Mhr@`@iMMDvb1sKk@PE>z{-2;}j!4AQ{09}=R`vfDvHpLn zLws-|{^oyDY5AX^^1spizoA(FzbgHGs{hBz?Dc;|vHriLO8=XX|6ft8e|(fWx)Lu% z?X>Rv>A1{h#YvdrABAp|(KXak+4kZMQ9pU-zm6GY2mQ=lehfVW`h3H6+SS#fQ0^uU zy!pZxI@YjPiH~?kE({b45B^3=`tAFHml~(x(BA0-Zf}VQBx$MW-4v&M_&4o`oU3|h!#{9B>D&-k7}rrCeCrPtL3=cd+=RKNAybo%3HdgkdpF2kWvik!57!FETC z{72dJGcv)YKARCQ$3P%%@VXn!p?Rpde#_J9yI@p1Sa{2xU$(}%l;Ye!6IuuQsrbRd z-vq1@#MS)2SIj{)w#p%v0koL`XJpQP69HX%a(-^AR?q_==0WwdSEB z$*W0!Cb-p-bRatpHog06mJQ)-Ix(EMoZ=@uAOe!}%B}-KDpNBkHdCZ%;t;>y+_PLz zlT~e?X>a(46%p5xG$rEaIt^4*BGqB}ckPJa6~E>pXc7sJg!mDV6vZ}`j-b8Yp2ep; za|?BP(!fr7SIg&I_UgE{vDL{nk{OEEwj#R<$Zv-7+n9c_R-R!^xYA05Sas@#Q-P<`5;D# z!l#N#+kcauv_@@Ghy2A35#)Kl)K=Jr87)WDWqhM!c!5K?J#6O9bVnr4?!;+FnERTU z)rQ@i$e{3itQ2RW_X15GZDg0egd1nmhN?CvN;aeXJ@9)%QI&7h!NsKZ5!jH$KnF2+ z>YhlBJ3_WM{Qz%vt|6c($2UCsOB$0&kq)XNLK`@uirvASdH!nR=HwN2!_9^mRl9);vW|Us#r!SR{DZ5# z7f%RU!@HFEtEsZ+0XmJ=GETb-Z8;n4p2(wQrszt_Em%cqez>vH2iyt z$_r;X8*pYOCZ5OAh>0WEE=g(m_*h@g*jW1D*mCHLWMrVrqSfJytLUJ`SieKByGV`H zG9(aUS#6#Fdq%@pp7zv~hNXk%J4esYbu%n4**~He9yc`}!;@g$M~S2<=o%&f2f-Hm z8_m_dbUH50-u1NRYhdiqfLb)0c)CWI`NhvzSQ(GG(fR*gf~$* z&cb_!KEH#j&2BjrxpQYX4>5L<7fgW>?3dqV$W1IQR~bU>%(RMo2)kr)LJeWq>Zk}; zSyLRl-zJHgV2GO`KZVw6$`$M*j14`HuU5MGjUKqp{wN$7(c+pT!Hf%JHv62D*=XXJ zmmI0yO%g0kAr__vhOuALrAPXtsd^Rf&YKxK-mx&XvY1{V!H!RQVg+>q=VXsp#~!W< zyS^CR#SS$_k&=DNgrA+4Xk)xP!ylMuwJ(5hjf>6|Ru(7^S3_S|dtx5urSq2qlAcZ7?99hxVJ% zVbbkvbbz2+kw>?xPJSTNwjn3n1SPj)M=dQ_xpiP7={*Cc)OC4dyS2tly28A{9Zbx1 zR~g#zJuPBiF)r~bO-;502o}S=*O7)=mm>e-lR$+nyH-a0CAd_Gykp{nsBp{sVix4w*i$gnvQ}GYJhDi_Q)v0p8$%FpV)=msoXqB(_Y9zZSY6tZB4)tDsIqagJdpVjoH^ucv074 ztinr|$2?kMQcM5)Px_+~7-HcRZ zg)4H(aLTyN#dY;fKkjri1z&p&e7rMzT3a&}Go0yg{Us3{ndm%Mt)&g8IsM-D*e&fz2s&y7|rh+}hfK z(d8;-3i_zEHC{Qwf_HIwVIepY+v#1gm&*AaSkG8PrI4Ggx3|8>8!ceGFTQoDwpQ84 ziQ_u5utJx?F~vlDigc$i68p?zdVe93H#<8`MZOfFac%Q}^87|XKz>LKRpX5-=N|^b z+@OGbO2kQo1J+bTj+iUziX)B|I3e>sLHtGwF7CZFj@|p!ytO!uDxWFDuA^t3_|3cVP8pTiLCIxFt$&0|__(QOli}n(4Kl z^i{RBPRYI6;3Un`(vd5)^Gd9g77(|IioM0jqw-^OP1gCh8wZ=o-!BH{E~ zpDF?@lCeB)@h{d+*j1zMf5o^_8$5_QT+82di?5al`J}7#3WwNX3hnsF{=r<>!--GBWi%L0)& zK|-J=Co8Liv|v^vgej(mkE(W#Uon+hB7&OCN4_>Yavwj)3ymL`>lzvkisshe=lCK! zfKYoMJUnvM12jBYy?WIbfA##~hEz*NCb!FSWXLlhEcP5}TJMh{pZ$IXmWn&WqJJ%Z z-&vUdww^+w6e_>Apl97P1j|Eydt1xEP(WatSG#gt2)#8T8nU^2u(ASb1zffc!hJ?bMq_dtZjwNXFgQv>fR}UnLKar z!%x}|0a2#c(n6j2)&=aryA2Y|Q1y%QM}1?11&hiKgoUXWLwS#^67KP+E#?{>ov%~A z)2-#S^c>b&fL}?tbssEIZn7`+o9v?U2FBR3WL1}w%h@gQRU@$8{gG_ zgI@$wwzK%O^&8piwDzK*athJq`L8jUepZG&Vaj*!kd1YOdH520=h9aNh#;M^6l&Wa z+b1ti?por60uE{BbH!EP!LkreNV7n32ezu+63Vo+bPX=>eN&p(s#4D!*;*+51TeGL zTqeH(IX1MqWh^G|M!a*SmVX#Ud7m(84vR2*3!lEUJshT_pMoEpJ0>m`2^I->vv=ea zsw__;qx0imX4jQBdy?fB7kvld`Ocm{wq`y`;)ddVwr>=36_B z2L*e##`5r`xU1vM?n)B^Ex)f4U$CRyX3LEU5rX!vQ&#Nnv|i_NBAK zde}$y0H<2x>DyCrfC$T*?4|nd!vveF+9edge8xtx<34=p9--2BFq*$~VQ*Drbb@rW zmuf}Tk{xMpZ;73GpQ(0BP%|GX@M-!*Xu&g&TGz->U?t&Y6!S?lDckaLf!>Gd4CPp= z<6-e9c?EY4R=fAsZVCwZ$4x_>r`lu}edX3&CrvoHk~IGco0AjmgrCVu-HR=r`T`VV zS-gNCZ&RxINlMz+gG1P?uj6uxf9Z0?*raL{5T5W+rIZ{+)*>c^b7u^Sg=$K^R`DIp zeuV2E&p`Z}angC!+0*Fxvz7Ibsl(oRM4Vmyp>y5u*s#HGr;|znTuj!Y9R2mN{8E7Y=4MqLbaO4)bm@4s z>Ig!0!@*&a*zK&-Kedhaz*a>hn?{$oS=8Bt;s_Rg1pbD@d^m=Vt2EEj%-uIm(zm#w z2X-3ih_38LYZddoaKk#yc$=*j-6!;={$6jmIIsMEhY9XPBsoF=SP*ccL?&8Aj}MN^ zedvgYU>pHH7h)3VujT4iv8qiURXf%kXbGQ-6PkW=KOILvZSkItHNf?H*QFGUiRXKz zD?c{)?=CP6DFEU0Z*~Q4C5uaqlaGv^Mek-~7Av9e3RC@uv`!e#$*u~oefJA;(9Z!+ zkRB`L5-R>u-08Uz=R?s$8CE~8!@`McoWZU?h?Elj7v1F!W< zZKo$2`-ddI47JuzVL-aX^aSdp3~V4%#`ly}RD4Jd zQ>CH}+>=B%;%S3mR~isz9SHdaEfvALG9YmqP8)y&DM&rio8}8Ft!+La~fDUosp@(Fx5p8ML6w3kYaYv_m^eubimTn3k|*l zJ!Yq42wcJ)q(It}R#6^#clS)EB-A&2(Nofw&Xc1Qjn({XjdvgC<-0qT=Jdp*n9ECj=Jr#J$+2``FDk8@*Xoy`eI0=(HupEGus@fyHiLcoK( zT=%x@_pSFDEGl!4-OE@fL%okHSH$w%wTG}3az~jL+yMKQGD4i07;sGe%<2Io2Un}i zDttU$UF%Y5akJovT<8j3pqG$>aNM-ZkwQT#78fUu^+hUIW$pQNesvX1+vKkT z1mJjCMKyn=&CQYYYRGeM@1ZccO;~%{e9`TUNwZJZ7|yDdyuZsVtYb5&MbRLZG5~tZ zniM%D?nvWiu3upnUY@xhyKCz$FBGso>f1$Z$x}?=S@tfNzta zyYQ1ywdE{Fb{dx0V}_M(<3T5Briiuu0bJ*US{T&MfZ@36w-f!lRh1As4=WJ=qpq_Y z-aZoe{q@6~i-&XZ`(4Rdp0QN_)F>N03Z|sp=I>j%Z?21MyqJoL16G)vFvE0z&7ZuC z+i(U)V4pqB@)L{YWVbT^s^DXF0u;TFR^jk%Bq_sW(Hcx zdY*Gs#bn*bp%*|lF08L__!6_#f~Ge;m=zMceuY3REU-W>Yi8EfRS&jOfF@`PHh%kI zlUb%8NeNVVgM-^MO>v;z%OCIkO0-Eg*H^{NCQ@WIg~$^L+t; zH6=$|u=$5pItaa|FUJKZlVab4$0~b)I)YQEO|Vnw??P6LlCPLk{N>PpdjX^$&gGUs ztYpT)0tlplGVb^vrbqaFa7qfD?biG2uc_6d3uNz??a+jAr4csBjNljF*vh^^ zIUF;hlQoIS0T6kUxz6#Mbv)NE3t5<+9#NE0k#6+z_{Iz`wJEoq`CURTiDx@#l7#Z+ zKLyFWUQIo7YZ|f1jGIIc^i$PL@e7Z92pm0JZr1w5S*2;9%vIa*X2n?gLm-`(4>_BMWht6Q4Ya1*RBw2Zge{mPR^#c8eV_>zspsrZ!pjYUb89C#KkQsQ z3tvyCqT0Cw{@RUJxC9fuYH|AAsU29RBSr>xlz;v;BT(mzcI)+`x=OrYTgBqWihH)) z=Y{bVKOMQc87dsgRV!S}^;{hYpp`2WGz96@YY`T;nqlmPmTQq$UrTBfB(m7wQ1zc^ zpMqQkHR>wswyfJeW&=5uoWkDG9iP?y`Xtdu9pi8N^QRWhh={$;C{xtZdehNI-!ck{ zm#>nSnzAkMPO|0m1)!zV$`hR-P3@la&*?;(Gc3*fJ)qC#ktm|N6~uU|?Fy}0pZAjR zi-S2jo_2PEcipW+FLLy%u}DauWFvoVEwPb2TisGMT+EvEj|q8g+x@fej8^$5Y6%T* zzAB)){jRwU8ld0~j4ELUDlbo%s*5rBEzSzqVfe} zDRuA>s%=%(jIuShYstw+uGGG}bj|H9rNZ-@UP^v8r2-d0)Y+ALC;%_|nY%lMa6I|- zV@k=t@yrFL=~wymn3N(-#Z-Hx6} zXTxoSI6@`iXKoF&RY|w-07Y?ogOK9jXYu;;o=(`2Z01_x`|uOR5LS2o=hf4p$k2>L z%i^_8`9s^EfQe{0NvDGBAAV^%9UdOG(wmzouhCR-JN7>PdC9tbj>f8&r#0K(@E@p4 zIe89RQMm$tKuxW{HOpWWk=jNdPf1)Pf@ z8C~lw^iAaTg03?drv<$ViqKB3g!r%ISy4V4%efTplR$_9YF~ZY3gcjBnm~J>O8nX; zRal3V)zK~4Buz1RbTYKu;t9?_u}4ubC#yvq=_o0=TlQr;p_K=n_9Xl3%I$_f&>jn? z0@r5Yqd?qA$LB4yF6*YBPSv-BEtH^_1v;+Cl4*FrF#(ash`y6;EiwPHz4d1knkf;z zE=(TXU~p=;xZRcYra|LvSD4x{?9~9@|Uy;ceBJ#JS$}TrB=vY7w8ia*b^sF=b?2yM)eX70$uAuCP`ja@;2WC6(SS@27qBcd$O}aMu>{;% zHMbzqYn@X{{HYaa2&7o?s4RllPi1d&B7Hxtu&(X%erPZzW>%y}&J3DLFR>f^&hN{I z#``iZ;YU5(4!zurb@pEyNPvSu{46}f@^E^QFSBw4bls&x*hqb4zte*y^;2hnK0wzm<2PLdtB02yxip&jP>R4NdQk#{?-S?KdHiS& z?4g2(HTC?e7MYU^p9{JnG_rB|7W!{dF=A?s7vRpFhljE-`TzqKD*xZg?&+Q&8ItOJ@S|>(KNwM2}6fcOT z@BmJL^^+VTJ|!Uwdfwe7L+NItO#W|0VGo~<9q)Q7#UVA)>mw4?lIyy~X^|iP?rllXM<29b0 zmvc!gosZj>K*Oi63xNIMW_USahISI}C)2@$k0mDu-*?5AjAi1ytp4SX*lHIX46rS^ zN_MiB;qp5pW9h=cJX;pELqHN&qPF}>Q~;gGxuDf*V7AA*h2nd{a^p~n`tU5HV-e8Q z`SXSNE7j12-ZxVNOh%N(18HeNgPj_FVa9H)-dQp741eR?0Lr=MjMa() zJpae^HTXWJDJq?5eyQ7T&qOxUuM3FQvia12aysxM6}wZrQ<8?NZ-B3=rK;*lu4V5M zfY;(gmE^phSJ&3&)yqTLoM;J0Tlh^O+sMw$Kc@G7XtsUYGJuDASXjTg{{p)gkrX@B zZogQ3qBwNuy;SS3cH*3=mk?t}oSm6gHLbA3ut+I`P#C#1GHB$Bolpem8g0c_S|$9B)4-vSVZzd#A?MZ%zb^ZT$^K z{s#(OkzLSJx3m?hKn&beOCU%8v7qJZaD1KDQ>Ex&O1$|^aquJ5T|Tth_`tGA&vd3r z?Y;0@@6n@_n9;yHn&x~0AQoA`zs)-h?R^6DbXn`M(Z$gTCVG9L$)S1XUQrxH@nCVi zl;SPL=&*+hT*q)*_6=;kPN2OjHamT$0Qo%OIpg5<%Z9~nK+ryO$;yXspDRo;=ayoR z^!3ZfN3(_A-kZuN`JUDtkguHOFP-2(u1O)1wn&1}`g_1k(K67@$x3E)rJ=s6wCWS5 z7nd!(7T3YjDX>LXSK9!Sea^*$Ta5hrJS~mC9mn+~kL}539yC4AlFpm^D;X5hrzcw~j>#pPUFb-q(JZG7=Zw5R zINP3Us%^l7fA{3WZ-$d*kxIj5%%B&3rnn`XF2n;V?-J+dJPpY5G|hhL^OBZX1As9 z48Qt{>GzeaH}*;*>c3jq{iPfYlmiOs)Y|07t4i)J_=F(URTxyMRjHf5FSTi`AYTqF ze>uPGbM5>?amNBW;CuZKa>r&3A^cpS=Wjk#+Hbl>4TSUUJln!j#AV6jzf+|f76q9@ zqAe2Hoc(-aadOfc|AaKJwXz)Qi?M}8aBg|W(JVT_`U-DXIp~KaBcMbC0p2gGzg-9k zV?8UEk%m4|1Jkg+`t`F+R@!)TeIohp95*~IeBR7&-AmjL79(bQ&+Ur5a^$e|Cdbz^ zq7f@u7e&+7l6_h}5xr~L7~fw5LLy|>ZA;m$mN79T7G(d00Z{^^953HD8Qea<|JRB_zno?ntpF9sWz?33V znSxJIw1(ziYv5^S3_6jIqTS*R4CCa{QUmIBS#}G|bgB!TIp^wbJ~WyWEL9@0-j$B* zg&P;S91XE2tNC*?_x&>09~iQN7fIuy9KpL<&NC#nK5KQ_UG6U`Hz z1{wqvM4(lceAtxdz#88(MY`wdqfSn4d_qeS?T#-2`tex%=DDBGMkb>&utSu}vYD7K z=i^FQ&E}ZlZb3WpYFxJU^e!_wr~3mZ1alL4dnB~^P^$U!pdKVsZ1vOT?0Ku%g2>1t z3Mq+qcvO|8t}So)UDX@wfi$UWHGbYhQxw~@1zko3ss8I!+Q%djAH}^F^L&a?O7=)n zELA;rM&~7;*&qA-+BMrDZP_l^Y{Q4qR?}Z7Q^kL1TDwTGHu9M;?+b>aNH-V5?3$ZI64&Q(UTC+ii7R(JvTlR=lpD z=HaI%#RAW}PS|Y&mjo~ATdDRm6Z$eT1~caLs1KHvc9N54>g>0O=ex@ym*9tm4VOc! zc>*V`aCE-LDl{jGoLqlmmBzgkAXY)YF!h4PcZ{n+Z{>3EfvW^GOh*CKsO1FB&#ZLr zC*uR4-6N!pJ}5%n(13c&K3x7&PZZ@&#gWbQm-Cm%ya76M*1hC5nRrc&5XYAGf5GErE0&O~a%4-Y90mn8Ua?-uXJPyW%dO5!6BKMPG@(l;?CmZt zFQ;>E+jt6AGX1e=KRNok;QE!X@wx9VMeEUt%b!yh7!%#`J=>UD?p?v8CN?!va{U>I+R+YVu7ZowhvLzJs_y7hi-7(6C#%lFs*Jza#h?1c2y) z^gnv5F6-Zb+&zWU3wMLkg0-xsh&pbd5H}qiRFj%%Ajz*RSN@;`W@c<=DFrn0hg10# zow*g&ZrdJJoJqGq(1Bk0I5I5Z=^7fG@%zoTuRMi$v&p6B&$0FX^Phrdd#NXGWe)78 zlEl0_V_6qr%BW$q)B#SJurk`?RM1pg+-CwgsVqyrzO`-7Cr;Ed{OYoNg__1>$aq0C zq}cE0a%0@w+~7y!sC~TJ+&}HREUxZ&>@;UzFK~mQIrH@9eVPlf4VDBp<$@>1pN@5@ zj`O*YTw(Ona>5Ykjh@XXe}+YzT|iep@2$KF(s;-q1q!#z3M)xc7v=E|9~c$`TD`8N zVPyA~Gs1*VJD40J_nm8M&|iG85Y2E^y*+}V0#2de}- zIyDw-DlHmctr-w}mlvL_~3{j7g8wm1&EOiJ^@Nm z^9pfeB-iTS&GVdFcgcEnRI(Un#dD)6U@MfSSteos8rwGPQ0-CLxQR+=m{r~3S~R!d z1p5D3cu!hQad+&BOz3Jm9d89q7$%03%d!}*1_d?FRzHe)0c!lE_fpZL&aetQj_jJE zW=AFgQJdediFZqmY#SMoqwH2+`bX?;emrnHQ6rhKr;8rhS{55J2;YJ2S6zKHrF5GM zahoeAJzy{R^uW^#M_#vbZg|-{z;t+zXUo-@khwUQ!w|OzNuK_;emtZGHyC zaXjUgZMT*S@h%rGkJhDnZTtE3T@>GODD5?7tvVSBPK6u2cXk}J>Oz&D)j(kR131U7 zH$aAf>3WRqFzV$2pc16xD*!grcN}dXE)h#mxvIIbFjaB zQdw2V&GOf3*PH&QvhEfp35`>ILkI`Ht++0f#jxtaUv3zl+F-o+jWPuBrQ7dtlE=x<<`t=l4g^6vI5R;_tUIea= zK#wZ4O_YTyo~~}{D2m&bLrUP9x1v5?FrDPV#3;PcWB^69bW*(LUR>l!Dy_ud4Isy$ z&x>qiSmMU(q(wtH#^PfuRRo%t(!xR&0)6o*(Of#(5t?@Wlo)OW_-MhUdvdsYbI&(B zOhO>F`TrELGrxw6UT0K?(rs->VZ4ldGA!;E!op*C<4-nDsMK~3u~jMo^)lbQ=L%|4 zufWg9d_lD%&NSNC`5EdUN(_5yuE>vf!OcjFSNe92@=SJz!YIN#X;W`Z#^hyr_&I90 zd~l>rG+*%D#*`Hw{!pqKSneNXWKk@<G!YocOG zV&tj`!R(~`Ea;1KRctk&EQSt?cbi*_jW1bj%5XaZ_=DUdP!uofB$5~vGQM+&@ zZ--;zJdJ6)@wJ}Klr|f5rs1;%--T1ffb~F^{9?lNm{k9CreO1AD2#aB;L2WoyoU;TgCQehuLbms57AZf2~l5_*{w%(^HCx2Qj{{*BE4E z#0-2)dH-2VmX<1jm6yai@Gj(WV7lxaC59fHwyffb%U`OrbM&Jr;2c-tNLpC(hy(pJ z0DMtOR}6oxjf+9EBb9nkO)*P#O>s`~=|GZl^fWMHnBXS6v(KNY<==Xdo6haP5Et3N z8A7>77nSiGBMniUMM3@8{JwvyfPbxk-&YwOJ=q!_>WhFalsjQ=cd>hVp%xbp=B-}V zM(rcQmuQ+L+AEVFEDBr^7&HeuL88dK9gBJe zohTX%Jcr%*)yPy_+S7($phfk|iqeI%-|Vd@%mTILx9Flincm7^o}gu1qG-$m5<{6- zY@v3KIP6~g_SD7`ovC5riNrMV$aK%@b}DX2v{3Yq=xAMkN10{Xnq_}3>E`@Jt(S#X zxJoz-Q|ZcF7}(Y0A}Ac7rE+7DDxkr*C3(O5-irj0kYeS#=a@ktr@ImCO~>%1y8#O4 ztSQU4y3-~nxegBei|?;}CPyH{Q$n19&V8h#y`x{jGpK==3{_rszOjGTJ9A+JkW4~1 z%c=KWplqTSsMy(Mbm=hs({aePJyx|*Q2;24-m^i+t4O)jgt!|u`Kd_6H#^5Aq;7mc@I~Acol8pF9ec@e z!7P}KZZxl%nq`=HN882k+GOWw&WCv)Phwbe3fL@VkjT>h;G@SES}@y&dtvmHvuPOO2QiDa)e*~PkvfvEixPhB@1t3aUBkmI_52&Q3w zFaskpx$Kj($zbBG<^z21>T0H{8enMH*$rW&I+bN4zqXL$9wqkC@(Re-WEPcGs1hhb zS@w}E)0XC6;iTFtzKK!e7F$3OX=ny3d0OAojoCgq$-B>SohGWQD_Sn!b^464`B#h6 z!~)vmM;mDc_1V))vqQm`(h31A5GVvfHfL9~(c6`kFUKTPc*d4m*yzNyllQ}LyM(px zgeH0L2N-aG@CP2jclRVas2?zs+I{n+hj@-{@#_GG@wf@~ZV zX2=JoZDJs04%HC!4}BCeuc)Z7o~r?gT+j&=KdGKTU!b!63jJve)rxS9GvGLo>A4@tN5 ze6EMP(OB}fpP{i@Lvuy&uCZob4gH4bt~4>AL@m;=VD7BzmgGz%{MJ?Omm2= z_fr!qeBs-!zS$w9T&ZKebdAIcVQ#!JVVET{GiC=TLkiK z3RxIs1T-d>d3=2ymFh422$ zcR*#eC{XbZ7l2Mij{R)NyhB8hO*b>bq|{E=ez#}Ki~;SGH^fc|N?t`~-z$pn)p zwH)n-&c1kg=uI_I(%zc1rSl#0YDvH7!U6#k9|FG*`lq?K+MWhq(I*9gjVa=X0i5`+ z^*TPYLb1a-;4(r>#bZz0c@tK6Vn)xfm}jg(eMRiPUs>;wh6bb&aAeVs>-Hug*}&Cr zwU9l0r7;dUwCnydY%Hf*{2DeVQ!NW$_1+47XB}uMV(m=LLGp%jojWcax~!ugBiHFA zvGq56xz|Mj3tCE+Bkm|AMQv(2*~};J_a)7`xk&=-Wt-K#I}Vtq(2jVK?t6r(tD(Vp z0GiLWy%dMnT-HHi@2yls7XxH~jqf$cPji(vBt-P7TUd}q2#WaDK(?c6LnAh~L%F!p zp4d|!*MIxUbR{e}7pa1^(CHsDFkSk$7vKo*O{=Zs?Mk#6t#gEp+=o0~ejTb$!v5fa zqK}E+$hW?i5q24LN|uH8M$_a;Q&&B|T2uRaX+kTFrw1fMndbFZ)r^-;wxtQK6Q1tx z^c@`+Fgg|TyT8hnMk%vOzT4OlU(R^xz$J_rqG~7iB2(ga5Bm&sSmV9|s7HEQLro?( zO{!x-nYiAmW#qy#&?MZfXXrtCc{0av_0f|iA2&a)?df%1|2bOb=a7O|JH2?9^x85k zLW>}n=LQ_}+j5o%*VN<7QCA!7x;)M&PKb?yTR=044jl@%&lr|wkI;ekN zzKJfjJ2_guN~N^j)V-dxzBYN9={%RCl(+*l?xp>to^Bap5Nkfi^)Y~+PdifmJ2dbN z+NE{zvEzzaS*d$lC)gIM=ID3}n}aW3&q>1;y1?wm^mSP47w{Y5E{AIEJCQy6$6?s>Maed@PB_CI6DmAt5zN0?P z!FAyN;iW@Wl_yalnGIpX5?ML0)7j;{;4wKx+<7wW65is=Y3J%Jnf=xBqIa+4deXT! z=#PO?swy+%Y;0Sr^Y{UK*_|cV2!&=h-!iiJ4j;;83^BHKIw807{dQ5oY5LlQ7phXp z<5D5l{B-d(=O1h?4MPWjB#q_Nc7a)-;~O6L(TZD}=+M{CGelY~1l)n6}b-x7y7 za3ur}Fvfk=HE2u-ztz-#TkBh4FvZn9y#^)WaxKGMBb1@^@(!_C+1MBdBaarE87{*x zvhkGU(}Cf^L01KVn>UN@^s-pOyH+r9H4%4IkZ~5iglYP9T*kmlrQ_k?Li@2hbsD!s zWz3&5eWLknz9#YLQOJ78eXckCqFvUY7;4b#yKiUjJ=NpA)dJG`0o6{2TlC@{wNn?$%(x}eX8r5+1lNljR-oGxd9j`Mxh~|L z&w`^bgT0dcC$uRpk(ki3!^}>RiBAo;IRy6lVhJmw+-9Qj*NxZfqZJ34La~~!lf?7x|z_T zqPafEbb~hS>eU=pVGA(>5B!|O&0}avO%k2>+Xd3DAKCof0;wW_TRi{=9`mnt5axO; zb}M@A;?kGZL!LG>L+Gw~)orx&Rfd2v;$X_JO~D@_LiyF|W+(-zO@@Hr=8qh}zh%h? zw|vK;{@qsW#|Qf;D*2~oh7&eY=Rh|YoUF_gyR0uW(5D;mW?p=M4rIP+7oko$)U-pj z3>1em4E?Xr)VQ<<=yxgbvM21xvyB`~4F6KF>ZhPjlSqDZ_O`&FLr=|qry2gD@HO66 z2GFCHkDd1Sb*(qbxD$G>r+?k=WM7K>)|_hwxe8t_i_!)0Uc7w~@g!tsJ9bRjv$g$W zXXmGIYEYxg#Sd@Zoo{`wc~b7PI@wz_b8|Ffm5zf6F$S07d~k+iP}H7#uGvnELpwLC z3H14A&V$8UVon5VN6=T1jvXQH7HsF0D}N0-gq(L%$<}`Qx(#!+tTj>GFY(3`Uh7-d zYi6*_ft7|LJV?acZdz^3El!W)+v{%yJxz0x@N=MWM(Rhp_jQjq#;$CIrXP*n`q;wv zPBPU&#OV6&kyKFo6z`Hgh80=WuK4S|5EQ1Zt?yqSG#awivrEDK=$(f&Z;N1yZ{TIn z)|L(MED1hL_Y2R}QJ@$VPkEGi>3UwN-xt`lxQLd@S&eL`_v=Ri|y>U&!PU_E7jzBBhqWFy!FCjVWquSDcOd4SDxNzX&1c4hMwE+4tTC& zrDIwfbv9uh5CzKeJ_&Er^6>CLWK|Or(^^R6z=>Ou)(N@6HVZ!A^rPHq-~=3XZXxtD zzka61*$bL6;!8+r*6Vrv2fwF3u}e|u+7kl1GC*AJiw{8=?062fQ+a0^-G-LaBO{H! z3u^;y_l34I(tq(r?p;>ORs$*RuvIm z<5BQwYb&(BX)gLw!K`dIV?qq)j9FNfPt$Ooi-s7tve4v0wP%f8AB!Z}=?(Sn(deK< zI8bibiq^yp=gleXvXtIaHZ>@?fR=Pg{PjGx}cx4 z$6TJ()T?!dDV2-+t%7kfQ^)tayWbj$W1bzsn_rhEEXfDu`1_yvTB%au{-EKVS*xn) z9AVvvmiqjI^PFd_f4vv+pY8S%ag=?zl3{+a#ZG7L>)A%9s&f{PR5ZA3Z|6}0_JFO1 zwLsJ-$}>ys>9rV@>NJH8k?YfAut*g&qd~tGkoh0MIqy2Y z8av1SSQ467JegF&yG$_OgJ^}D*T4Moqd+6?x z4(VeXVxa4R!jC=r4UkhxCNkh9M5*iit2Lv9?rL=kRPz6NaKC9TQMIZ zwQxKjC`w*}!2!e{U8O_5Tj$Gsa|J0gXhiEss9nt~AgWTJ4xz;1& zD#`mn%KM-xP&$|QVz<{=Y-&04IZpJI8giCf9(U(E zxy*W-pWdUXzi@&}-RJa}@6vsSlN@KQb?b4W^mJ%cVQ@kHKhQkwRH));WYdA!VVDkn zI5C>OYxq*nPB0Rhwhg(8e)o6R`$5gkhha5e2?}<>PmVHotxowY9IB9x2k4)z0c`1G z+{5~j?`7F&%gT8Y%zX8?j5wmhha|qi`N=OYnIeC49yq-VS36?vsq|vaIS58XUC_Rd zT2=6Ho$e$vxJA(yJqXB3CQ9Pca}N+yR!=s`23JADre#pP?HJoZ%KCXbcFc@cuK6e( z>CR`s&_WnTmO!CE+9b!?#FfnBNbNL*{i+7^zF18QZ76!&dmM+Ok~=wiiDQIdDZi@r z7!oX5gotHpe`fh?k(XBUiyL>>=t^3Ls&Lrcx1DXBed5KpSXuh6SLurkZ_wRpEiOB5 zzqw2%kHnZinymeHE#PUE<$-#cUA<|gG`Bf-PscUO7-wl@8IfxFeMHE!!}ouQ97 zI(jdgkYmL3;YoSwD1FgZ9IEh(1PlKQpC)hqE)O07VWjfwsC@DLAF&ZRLYkzjV} ztE5<5a$sRMYt98aSJ} z`uu5pc9X_AMkROhb0$$+^#ILoBvLsSjLhe!yxX%suUBtRW4}CJ-+{k~KF)g{#3@~a za}R;W5=8IJ##?a%=VXl7hl3>xp7vYWa#Nat${$1NUi>gMb0+ii-BASDtQ}Ohif7X4 zK&k|G{dp8k<0t-+P<`J6HAt|Wi!`uHBb4st)WE!EXSx*U>WSFzGX5NxI?~)XnctiA zAYmRpHNpC<#TC2?vPAbiF$aL@Qmhd%skk4+)jIlg)5kYH)YuxZ(LHW4% z8zba1ueg~lIDRkT016mX*Y!@{#}!0)@qi$@qtEufm&s6J-rB!=zvIO>9>>L@crxT3 zdqsmNblBR@t5>Hh$MEOhCZ|RL7c^kN(3L9qaW+ld$(#^gmTx)P#~TC7>QBk3kwOtq zYNrM4`J7FN@D2l0eTz*i($_w%AOaM#2lL}pv)rH@vAz3n`m z)MhsT^SxmU?BH_&1H;?F-})Avj@9S@*K@>dH%ZUHM}(KKE2oqpgq?$f%)PtAZ4@V8 zP{QfH+L)lx?zYY%kTEjaPw?uH;;^iiEE{ADn=tQU1Y!rSd4Tm(N0i9vykRoY9;Ils zf?hQKKKV=A<2$5O&Er$W);AkaSW&9*CzyCc=i|pWZKt=TxzoAAvlKuM!s8Dy0i1&0 zfMOz**?@ecbla6#LJ({?Aq|erg1~bdr#M+A4-#tb$Da2InA(vrnc|Fr;(^6o8}Sse zo^O-hD8U>+#>q5g*Efxn%ILDza1c(~MUS_vl1BrIZtqk4O>CUVJ`7WZ8Fi|Szk!d| z;TZtrmaW}am|8*+OQAX?;Wu7WHti-x#+sK{=cv6q_VFhLX#yebw6k=+ioId>O0T~6 z>Ry@j>q^3cNPJ2az@U&66IlrqJ6&GRsJL&`5Z^T*@i==%orH7ZowA`Zi4Z zRcY!6(CA?@-;CK)^r9sU^+c>}l@h)Qs(4L3vu_TI{m_rTC7bp(unq5juM zTk8H_u!h{#UysswEH#Tv9x!z1zTc!`_Som`rg*-3^7auTNVkxMc}3e$ZMUnNYpRCR z{G0!;9vU81`a+h>1|raq^^j9T#U8H`PKXrAA z@P5~BN2eR1!tvrHJ%ZFon?{lZtEsU9{5F5z2TD(^lug0`CQPd1vCDPREKph!t>42f z6vTP?#oZiUy!Bl~J5qosSy&Y!Y7_143o;xb-cRLIxw?;_c=q(YxEH1{+0Xk z^w7bMJf(wwP~S~a)Mu-3t_l2m7V%S?0iXS zUU-&@-pEuTVI>X_G@)#RKsbHvgTKVa^#es>oV7A{TPiq(R}`#Ul4dQVCsrZSj6oX7 z`RA;=C6OHz>_~f^DA#9yKO=9FIlpn@j3_h@IAk9rXi6Lj1%Zseri8_=8kgj5Z5|W) zhL$4y6)1mtPgh{gZZScjmwl~lP%rWkgLUsD61ZC=L||lyW6=1C_u*r*xZ$kT*VhX_ zh}!cRc$)lk+)|^@Mage8j+}!j_wtQ_4fnEYXpai%Sz|s&3x*XtKEGME1eH(i z+8KOvg!kRAqK$bxRv9xk1HIRs!}~?qr6K34`t=-`TDEVEO$~=unpIKAPG@y!mct3` zz1KEP`Dcx)*zh7s{xHYZ?u-p&;JNa6_Dqq*N$E6+nz5xalxcq^eQN2aX{&K5eb@;L zMIKEi@9inv6j)Gck#u2^nOO>2{s% z`{tcdE%q4+E0TNazD^lvz_V1uBoBrJJ$mQiBMtV=)V-6IXsv54e6;9?fX3c@w5ht` zr~3CeHfQTY{K6oRvgFRu!_sgK_>l<27_kcTtEin}co5zxYR0F=t{KjbO36tfz=@!N zYHZ18z;v?>Sx!ztNlt-s?yEyB$a=qZ2KcQ&{{-h}y|tkLCArC{E5PKCTIZ*65&qFJ zax|+V+OO*V8|{=4|qf4p&T2fsmyj;Peg97M{* zPgP=v0m5w@2M(qmX0OQq+7k9Zb%1{>1I*Cgs5;cK?hD9M)$b!>J)_^Qi@R zYg@V;m^3;o%zPtxa-ye#q33y7eFZO5RB`O}l5I}vBdoA-r)Nu_eHI2P3%hWCl5q%V zW&^Zglksb93^g#O!n|K43T-YUxeYjs&-%C4nN$E#k}o z!)#sD@7Bso6bXXe9tp(v$%3OvFA^2$$9rE3h7n;pgA$SI1k}sXo<_YlI-1y)eNnf8 zEHb{nc;chP&kW2-dNm`B9Kd75}WkfQE}qO0LX*AV+)B|1`1h=?>bafx8>N>mFZW4N!q9@!@7VQsT@Gbe(I< z_#%>K-(OIpJM@ME_nRHvKQ-KaKRGn#43Le&rle90JNDdSk)C)3kTZbO|J#jr&m!G1av$s<7&aas@{~%v%(OneI|tn+d4b5tO$$xCnw;itrqt* z+^m<|$F=8X&HxmWFenNrNS`;FL+&dzBKpqq<7y^A--!rqd(iE)<{cSr1IR!^qf1iV!&lh$#2ji5#1aA1h=k z0qrhg%e1WLTX-a7#2#|_I9f|@+araHgTse@N0vz=b?;*{YD}7m)DIR&Dqh*&85D?! z;6MNg-zp%8f7{~v#Gaa%_XWg$kEU^a?Epf`Evkx-J!WnE-gj68TBB5GzA)q;ySSY$cSO2+vr7%adb z6J9}4@xnf7K8yX93L4M$RjZAF1ozITaP+T!(s~;_9uj5^1bfhFiweHcZMRlzt7L}K zo7WI|9~i+#<hMIKnPE*epfvcjd7#k< z_QcJu%B{WhVDBcboKA6Arh@svHNyKcICYxBzo(}e?r3h0SUVbK$Af1eZbA02KX%Q#-o^8Kg%O-=E=!7; zY1+porW~5uX?1Z#2nYL-)aHBzq@gBx#Kq?YXspUBmEE$k!>dQbIlu+GKTf}}x^xJT z8*_e~n^}F!e#W{#45h9xBKJJoP!?MIpX?K7GQfa1r)nDgKOlo`w^_OZ(9kSgokMJenEPplJ2bNs1H4xVP!^3+^l6&y|LWbh#_YJhjL;DX2I>b8^ydZ zh6rGJp~Nw|1`X9;5^BnsS5-$sR`-e%^QToiTI>En!4dkoxf<`XO0I}8A2uZ>Jh7!}{ulG@^%hAw)-dQs#DsIV2w0N=ImD5>M z^EpQmh~;j7F&0(5Y{jvJLpU~!uk`fq1y0;_3vEwqTs3fLo{UT3Sjt%jmqt}Jyh9Q! zy>(VLx9!I0FY8d;_2B3jIB0z*3-T{CThgljIw1lrQKJ@F)5J*lJSr(u-|9;DSd88; zeX#vO@2Oa|&RM6{k6=uqP*PnVZxn+{o(6ZPvX18|Jbx6zQ zLH!XK$@-AihP%BDddT0Cdf0$pw$vDL6M1bfR8}R*BQ%dYMzSE5dItIh)jiP{2kRsH ziDiS{1V_kcOTeNOXd|fC@*vPqZ^!-8529YZ;PSQid|+c&Wj~p^7C)UdGt%q%#z6&aI^wqJ1x0xd3svzH zfDibBOnqoEQzo1|rgzka^2_juBuMQ1;bZph7xplz%gm(7lV85=5I%@|@DpT0puF7s zk&HdnN1Srw|5$)^1v@RHgDDz#*ppAN1!!v$gQ;zw9Z8Kon7NcIWhxFhXK{yQ0$Cv0EO&!38{=eWu+H3LQzrc!IbV~&jWSlyr zHp3q%SDeM}7N6kRtX_R7u;kb^gEeB7rTwS7SANyL35RZuNYAR4%bn4nsFZ`?Y16h} z5z55NpsnZbJCND1w&TDXDolutnVOolQ{o;A{GHd3AYWKhxn;*hbA>SFCSJu+9RecB-5^>HtK+7yDPK7y~iA8G;B)XzURf(1{`3l1_ zhDPW0Tx&V5i>@c-8&5K)rx(oEpE$#{*K7JgVxH#EtaqZ!GUpVi=08sDz)pW1ifXQ>KtdkD+C-C4Feo_2b!!Omv2p+P8k>(o0F zFa{u7TU%J^NS3~a-EQ`g#%wU#%j9t}3AsXGfn<0E@nfEB~ z3h72;3q`|IDcTUY2NX~_)tvAjV?1cZbPKPhoyd8se2-4$U5r+@7pV4G%`5Zu1f3z9 zBd*JVm{~{owDA<%6`U?9+lM-y_>yN_mLXn$qhiH35sJ`6Awl%3q#S#?b;mw z7cWh{8y<~yzU)h58F5(JoU3)5%yEsswUWZ-wsXP{gz4@1N$QW#x^E}OAy$}zr?X@QCxVUaPI+;E7 z&g?1inA)4o6f(RWEFh*2jvIP>n!1THdGG>iF=o+t$(0rP6&1&0o>(1%Pnq(x^QvCM zOyGZb3&>oF$U+)9Ce5~6(162gBE+r4Raous2w)|*053B2s z$5}veq9R1q7#%oOyU~34_6=P@jTt%!u-BU!g^yCe3M44&d-#z6`7f7T!fq|o0`oK0 zw6nH!woUeWE=oY>*BKTEb9O~;qdpCNfkJzG5@#P6WE5>^(8Fnn+a4q75wE~uG zZ9JF8UTN40NOeELUcvAD;9hyEEhqkVm4p&$lfm@a4)ofSrx@@N5IF{x!AORc$%JRq zy)N$%EjiY^;%FWY!Y`9iNSj=ePz7Ku%5tUCzzIlKp*vcZ z1k$T&^EGoQPeqdCd6*Y!q4mvdQnk>5Vb)8Dak#bK;BNQ0Xzg`y4x&dsJQb;qy-sp= z&CQap^NkH3D)4TgyjA83{;4{uku|UsGF1m+_i7xv&vpJc7I!pTNhCd(dRU zhU@05|LKdeXq*4Iy6D70g(i!K`dKW`#Smr-eSAc~+}Lx;90DQb+1vH?Y8+OA(+|*y zq`~qkxP>i*SfpjOnbMuiH+pUdebZrj=ns)cJ>0pQ8UIjQ`9uV`nj~`O2j~zwi=Mii zD-dRcgZZS0UiHnx;Ti9zoxMQ=XD$Z^&d`cC)I#*M1*e9K zu+yXXXM(cf=PxQu%vx>dX~*4vS$%njxKR*m^jRr^vi=QW(qSVFDtt@hQ#$JOt24yF z`}*P6tJ8qXSXcI1^W`XMlzFh*2<(b}GQVSIKnYyL+=(V%m?)Fn6@#QW&Q^IJ5&hN zyt8Wty*}A)^9T^FQ6@tU@8{bEd9!<|GlcfqcA#LC^m3FJUw1;jy!&2NV2<$n{T6m3 zkijcE?PhGCXvJ^43b}@r>t_5Z*wow5KHE{%22L!UpZ7FP7BtCqn3JvmcJCx+6x)~7 zU5_HrOS0M7|7*|g0f3EKr55j(H)V`rDI1L|O2AD6N>qE4;4>A>}&C8CldRir3kThY2x7 zm_$6*4x12>T*dd)|6N_2&?9-}4#f!UdVDVB=!obLGE=y+H7RNES#C5@yzO2?y9T!$ z0`(Ic*O1H1ZfpS2NwE9ptqd&Pyyk}7{*^gz0WBt!f%gXWb|5C=RCnmVM?faYt=0 zVdJMS-Fpmpywrjrhp%~n)l~;B8umRF#16{h;j4%I&Qp`78Y^Ij$gTsA70uc)Ot`OM zzG`z=19J%dWOHSzRN1NEck%3^|MRNeBJ=wdt<`$B1 zkH|4`aS^JBqRBv;Teu+hZ^s^Ix4+v@E%s6-XT)GUzUrn=tgdgeIdVut| zKE523mIw?;csjo^yWM%;6GixmMKh$1UlH`kOZ>kgt2Rb1At{h3 zkgCgBN{Jr=_pFZ7tGihjLH>6!B49qgmEf!;oQ8I&ZF;cl_bt}BQ#uV+tc+idAFRb| z$Lb0SqPE*Ihyr&>?wwa&ydNv)@4|zitX^jOSTVJxcmelEDXa9ZSz-~K2NIjgxe?bY zN<08^3|xdiK+;@g2y%F-eFDmQ9uqfxzlVuFBZpR#ibsgBfKsiSJax)m*4d&AHfGUU zo2q5khk*11SmFTO^qZimpQR3PT;C_&3=mg35x-a+JOT3;#nSr3aMtnhdI|g5T3^5( z*E*7GkzQ+@SajD=@S!yEkSpi$v=Gg@Dn>AZ4Ss!|!hyKysHTJeQPb1h8mPC2w!96Q zwM6D0Yp<8K0a{}XY@tIoB_*W`)8MeA6_l*`$Y-X&?P2@W==czE+IgNyRO#T1fiYw) z*F^jjS=V9?gya**Pap&X$(3#RX!l3x1A<<2T~Br=6E1H?#fn;0ddmu6ieLbtYo2*Y z8P>_mQ$ky6r+R#TupX>iujiQI=T`*u@u9_lcVN&Gwq$L^-7)Su;JA8?Xj_0DEgOK# z;G?CD)bnu5XZC;v!T^M|sw}^V$lA<9;#k9pwKsFDlcmmaP#MN?d@^`eYt(0z-iWPqS&dz?H*<1Io8A2o9j2v_PL!DqZb_Ny zrllQ99*=&{`WN78+H0GML7clq_Dy;_KGNC7s$S@``ZiMs-&EOQlyOo&@3m%5c zC!IBou53N;?snIunoy#=57HM^4(k5FiO4YOR^u39rf!?Ae7QgfU0R%TDn_aNoUxX4~;Xn@2>LRQ8NS|gE9f+o7S z(1D6ouIHNQXph=!@ba^FWv_J;8HSGsX}PISb}-cQm+%6!-!*HN%SwGw$${Uqv=-3T zI{RtqC)cnEj)Li3>7(gqkvDe*{YBmFW+*+G%WcH~5L_ka<}H_}D|P((!C@tgmTB#crX z07$}DmeA8HECyZPw~Crm6{p0?tM#MwF|0z{=MFP2nqH<~3o+5>Z~&nr+)C$G#|Er*_LyP)x4<4bKuJAjLYT0Qn}v&W)*H8;$_Csm zo@ZB1Jd1_fgNVZ#Y3#usiaK{)#Nv`q@v3R~6?x!)M}W5{+qymR@%)zw*0qkEDEtb}nu=hftn8PbVE@8HDoRyW9Bvz-C+wO0wQ)(g*Ls_6wYTL|#-=KhB{r<9hia>}*-);NitEf`+l`7gmS(NqCsSf2 zjkn&}Ly@q2iW9M~X6cV&w(qJa z3zV8sPK2AGproYdJ2f1}$&kkQN$(%QWMk8FNJAa@?E%2QE8SR*>Y}`Ix&Y$z9S&I_|;75@g zK6R*4^apza6HQR`FPXqR<eD5}Wtxl#BJ%L5 zK&0&B z&UT*cWWx{jM=xD;P#&9IlT@7hCmQwU!&bva>)g6qD^Y=Lu8_$o-8Ym?`nci`8!!Az z-0&&!-syA`kd2Jx3RNFnH)pr{aKAh`T`^jC_8d+YI*dhg zt?4}`)8~$G!v~9Fgj8FF`2mHD+54;6vOna0;Y*=XWQFj;mMZ@Yi9DS2rtI$LqQumr%N8M)=q^pWp79`9ME2uj|z+F)`P35i%xbEC9mH zbpM^Fo2%*ho++7YGZtW5DmLYdO13)`ZnJs?smj+lL28B4p=fx?i572us^0$tH*am> z&Q`RUA?^YcrGZ)laE#dBsI^|5$aa)Y03ai|&&xTsj^rl%3vi=4_LCNuQc~r)A(525 zyx8vJ|BwR0aJ}LsF=&bi1fm2U6+`tAISFNGDSie>!m_Y@uL&FN3q>%g(U(T><)(M(N^ARU7w0x-VSye>+2$!^Yb(eFdXiXm#(s z{;C$V{{j)w=nK}9idem|hqdj{? zV2Z5@hMuQ!CfkV$g_Ywp!AM>szIkp$<09nE;O~B#eiqInt@rLG|MGBzUw`^TZ#Azw z?4;^Sy?Am@3d{G_D3sRv!VUmP#j-bBa!tLCXPE%Xux3JF3V`imgt*)erY%6m05i>m zMk3>3TNNN*tUpXKe8K0K;{z-wFj*pycmTIWOe@^d9;5xT+xS^tO@u{p!A0zO#WFV& za+^}!a}QuGis`uE%iBbgE43)g!Y3;mKI>_UB?us4d<@_E&Wo)*9G${*V_w-frO-nCf5O%d`H(0M`Um32*m$G4aJ# z<=+1Nz!D#|c$8V*DwkEhg!m7_MiwfWccXQ5EJ39=zxGGT#$2A#)m3OXJ?PtUk*I4_ z!v{V8Ob*x}0+~uux0LNw`FuurG*aBZ@$h!7b;;YH#_J5!0sr40K&qX zg;94M^SVsB+1^Nr6wnwT$1oGhSwkNAu$zt+@gHm@Gg9e3ShP~u+u~sVH*YVF8h3Q` z`&$I8wrzpVjP_++-*fk;;@oM9njLCJ8Hq$7Zl<@H7RcYF z%c6-w>WA>kzK5q-w|#qgeu|pzMTH-op;=@_O$=&h%zs^NhLxno;-PR=U4+A)%0y{A z_)~>xTE=|P){ETe_V`%ib1=OJVRR2@F*PmH>)luk);2LZ8)eaD&64R0&bHY^Wf;kC zEl`-Z=_Hoa1u^C~yU%PnYkF_{sE8D_7L0u1F{&h9N?l(-8c$qq1;*XY@`XQ_pq}-3 z82O~dtyWPwVM;n>&N>M|l)G2D9H*_88X7aS!|R$yM8w;d!=EqXW28j!lBpXYYzftb zMZ|a1drdE9&<*2-_!q00AV#0MYXF(;GyE$`67WXXT(`Euxu<$Q*^un&I$e>D3n19Z z?gE>C5-}!6-uRo|rLVl)((L3PCU6^pWkxW_At^V7OV1w4QH%7zj8wZ08A~=V1+9j6`)dV=p5p0~s#iFid*?ZP=Q(El31~GMK;}8+g01=yiOsf{*Z2aKk zW%HSAG?Jgm$bb$e*)fZ1@8(@XKiMl)V5V}z#=J}mYVIRHNgB=CNfKWx5%(cYdS|L& zYQs;=i!pnh^4ly5*^Q(e&}ZFJgE9mQg&lM1+uCqJyQW2=cha!zeuy2njsQkwMTxMo zU}e6M$nE2DQAeRAgoa_914Qx1A2rM*{cd#g;it^T`rNf7#igZNB?I~sqgpgx*9P-R zEL(WYe3or-Ffw6UHVg#xi%~UU_6}3{gsw(-bGaey^(0}(f>ef8PCG>#)FI78We9-N z-2Sx}Ng<>B{}XzBf@;#y>M0}a|3h2>{u}^(spTuSMR*U!7p6xWf`o6Er6w8M;jtQ1 zW~It}O_sMl7txOtpl72#9M@WBMn$k;l>vkS!d_t`&6?Xu6?yxa4X{!-T)llPT>0n6 zCNT-{>eBOFD0{5Bpj;pn9?!7 zYbPzmE+|;v(D1@<-}T4-3VAF;fIph#D-6OS5wUDIL+bwLNOKzsU|@acc+vHIAP2s8 z!~e&RNzlaV>iyN+5}EhqA7An$_?$Ny7}&35hq9B|(u?ggv=mKp4VaTzws#l4gI{-C z=xJyRfVJc$ClRz?gvI6MwkL6ag@2BPxWdF;A0QRIeQ2UbURzalj3Cb~sk`_r(9tSos_i^NAbXdi#Q5z z`ANE< z-2OFK${o=!gzFPY{~BIk0`FJ<+0=K8JHyE_5i#f{AS^5#sinH%dV*~y4iP$Pm^TOQ z%L=g9gcw3xu|*0dOw?#CAVaf2s<9Iow`U<6bS-rW2!d=&}mulSILW zcf15j3U%KiIpr9*hZV4LPl$W2zBecvs+qlA92pI=9R(^>nX-H7AL_Gx_Va$~=d0Ju zem)f_^1yrt`qf&%%)rs7OMsEFJckC91aAgdt?xn~y*gx=_1pLvIGUEjlaK@_#(GFY zOMdrwPe}b20MI>J@FWRfN`NzpXwBb&ZClMV6m@`#ruVYDZehM_%i`F?N!d5^jH?#F z!pF2->z$7SGOc6nh;)R%ctXg#dZ$!_yYyN^ERWGf`f;iNR3GK)ThF_l^aPQ{cB0&W z2FQ7=tcef<-B(;JRGYY+W=wv_ofgKxw1qmkyN zJXM;&qo$dFUV`0i#aQZr5HhVf(9yB^ZhUvx`T^E!TBvpZ;CF=q@>E-|@lU`jC7E2E zw`PGzPwEsKPDSa|^vCEN!*L-}Sbm+cJqVa|uC6?V+`c=`w1nnOOTZHGxwnZs1B79z z=7j6mP0QSj{-?nMQaj%1?%R4lyLPMyDz+vC#$?%@YQt?!wp4XS=+kAt=r;B0-NahQ zTX7OGmo;L0@x`2`<3HD@A6<+h?}GQ*=PD3<;c@N%_pIn|coZQcEqMy=Zi5Iay$ zxY8T|N$g5^)INy56JLgZdR1jK- z6iI+w4LJDz30+(cSRg-Hm|%MM15ONZPXK~qyI`du$1P7_ZEp|$H0Xw{XX(Afnpx#z zJxo7;7u0T=AM9%I3WrP<0ANAuryvyES!rMXHq2$&W4-u>^nq2h{t)cdT9Moh1r}>$ zeyY|_0Bj9VdlB48LrkL9EiSXv(Uh4zSA$E=w`wtoCzut69K_KhV=T!)Dw$w5e@X8N zEeEf8U6AT!6L|`Clzo}p)WT=+`FWEYO8)#rY~R}TppvrZT=d3&Q@--&(v0lFU%ke7 zyg9ZB0o&t(8DE1t${T7XCqN}=+TW1@u(RWCaHi4We>5YjOFk7M7*Ef9pH!Q_5LH( z>si;5Qh$0Pfs6q+3PkE83FS5S5jEL&;eh4=Ki@^F0g}=9CJjts`!Z)H%81>{+Nv+n z#F7mhGiC^+Ch1C^z?Hqe(@r>HJmanzIhJWVzK5Pcv^TgyJm(_X&~Q!Upjk_uv35`_ zKT~8`Mx{s>5ZNxQ=wT)AAEnY8KPHzG@_`dVd#qbz!T6UhJ^n9&y)6|>nBMuS>HW$H zlNU{Gv{>N^W>a|>=f`K7UPOw7jP|6Ld%h=@-n%=EoLmxpK*F zpY22$8oJz2T%&MxEG)c!J<>ZNUfz?9P;xqZv`2E6EBy{S1d#OaQ)4EKUWEU&{H@(< zj013cWI)9`gHqHyxT}LrUEF z?-02nW4#t?2c#Tnb;>HAcj`fw}5}PfP0NFFz4_Tt4 zi<=`k?}OsGJfUv1v;_{-Dha1>*TLFQTp_z0B1T{V1>}HVgs?f8Jk)6l51g0YXx+6* zd5rUolL(b8+QV4I%T`6dbql{^kC)I8%F#*TH6rVBq_vWLE2vym;+f5+UX8?QVD{Oqp^?c^ndnqZzLr8ggM3&Xdl&YVM(g{;V z`*1hAhZhF~OPX5Vo_-`2@oKD0paSP=dkM%o8O=%yQdO+$$29p4pPkHufXx`0fOP2* z`#RVQ0`~T*#6lceTVsUta6p)Q=BU;2mB-^bOg``0?m%1M4X8-nxqNNWP5zp=tMK;Y zT2sB z>9~y>0L@j-q7wL-dqWgvAN27mklOCdJQPPIx`)oHHi_}^&C73f={mc9^W<_JdN9X&K<vt8Hq2{JiAYf2QPS+3^cOi`n26!+W-r2kHIO(W?AA_^=G{-k~98 z;W}nXr;^e;Avn8K+DSDP!MyiX64xXT4e1&!pUwXf`uVW@!`*5MY}#lM(1HSx4j{^x z2<2QAZFpqf9OZ2_GpWKlJYbj#tupsH-W3;YFuC5Kw}*y z&!@MB2TEk)89P=?z+5#NFtW&OULp(%=6B5k6wUHqNKi-PgdLfP*KJ>4-cnz45Kl z$fQjw4vvjg168tLRey_|w`&McJ)@lvU@2d!y9s;$IOkz<2`pE z@&(zJG2*2rz(GRhyL83+#fqu68r%BCSP3aGsEH&TZVph;hbGiKOTdrKWD5D zs@rA*2;rFfiWprd^3iUZHzLS_hxl;Z2NX*YX9PX=uo3TF(3DW$rcNS#zB=)(%N8^* zZEkFATwWH6+_V;k$th%`BAa++KWW~_AxQJ!!i&SgWqgh$28d{iAOMH}P}5dV)1kmA z^Tj!{Mc}J04}tGngxYv0GSGsBa{me(UEXblqBeV2&X#!gH|^d?Pkn$y3^K0iPUcxI z<})_Zg8T+m@>>C#lgm{#jWk9N87MyO{A@uMeVIr_qrsq0Y57zD;3*576r53`e}NIp zZ!k%PHU;!`P6ym$+!!ip{Q1zdAB6}NOP9nw&6S zVf%ibr&Z(Gt^`e5wuTdwFX-x5K3$*}iH|jlju~=JeShjQVaso6_Djk~B@uZ>II&ya zZg*Rr_536KeHP$spp`IVF{nUF0K_Ed+QZkeml`hMSbH|r-)wxuY3a8VbhLEi-lv2Q zcwYAChrkXM0FOh+dv{HX+h4I?El!LF7H-)c8RdnvxI3oS9RqXf&re+z(~eU>O!J#7 zD!9+isMoZ;SS%BZyXSHH&aSHZP2rno5^0FxL2JGuGRlved__51 zL|>-h9@5u^4X5BKdLLFU{GDHkv_x~QQEJM{6ZA`C3A+%@cIKM-{?{KL%A$t|L`2&X zU>n%G%m1R35RhvieMK#w`rG^$Xh~oX+X^+k(A7gQGkG#lj2^Zg8e$p>dzNhaiez;n zkPcMy899$3Oro_X>bl_bTZf+!rp==)PK?Jc*CB-$__j{_O!aagzr!nJ!s1wC{ocTu zN8?oQeX!o38P!emwEqOyk4TApheh<^iuqyG4){o|)|}G>#pPF%k@%Loah7P#x@z}7 zAcy2kCYsE@eL}O*ntESDx3F%C7?=dL?p_s3jm@K|4d*AmA`egF{W}xZ=1BVoM|e0Hp5X zLEba3Soqoi`01iYUn28dB}t2Aj6xn2N!fhmq5hitG;PcWVDsMGEA+e|&}EtFw(?-T zOg?XG%Ol)llYfkdE=>&w`Xc7aH4^N0@rKEG^4^(a$hEG{97I96K~6LpH<{al$0E1$ zbU*R?#*feM^n?i#grS{w$r~lYZ0nK1866+ z?|9bNmAE3kgXHrLA?6j-m|0RwQmY{flIpxJTq6KUR=OfQ-u8}z18QYG{(+mL<9e31U(aU8Hmu~(Q!h**GLt;CqP+X`*0vK!6T3GRYE(X|fY@o` zBf^|K*!B7B4~}6gp%FORz=j8TqT!96P|pczA!g1W4IiMo1aLd8_+{%5_f~jlP9w4K zQuM4wvsD+3zHHpG-9J)CS+xk%qJP zcycB4ud=>z%3X7P?~{=NavAm?qUIS>PFPS7%ugCrZSzjuBO;Ssu^+ ztSFFLYr5t-jt>J!szrW5_YNuo2;G5M7eUbw71`Ca4>4Iv%GkTs&lDcMO|ZsT;52#qs(+nANhU`+Lt#N|ZzU3JtHS>|b`1@vBVSD%f{A zDc||eSQ%8jVxSPBZkhuzxKDWHY6l4DwAEO z`hmAm5wMg!s{xuzYMz(pOZCYwIx!v~c7Iu$W;Retl<}lDj2L4er3yw^v;CY#!B%&) z6g}ndz^v>xo9$B-9~%Hh?SlXQUnZIbk81?)h*UnMtFI!=!~DZC%yw#i2yy{5=Gjzm@H`e+S+!?_n-ej0czqVgojq zO_dOMRM>*mY2h@?^J(ebkpgnurlrUM_`U7vPZZb`VuE5Jr5fmH$OwB?nqDx*1F@Y6 z#TcTS1weXb<+@jPIscYH7<77jKD&lqWFP}%4_?qJku+&)!h<2V7MGZ@59-s`?Vz;=xKP#JR z+FMR2!@pzZi}^z&GyL+swcb%ppufi>9WCttmN4Qm$1|cc0+Rjbdm#(v0lo6UEA4ag zaTum_OwmETv;3cV9ep0bSnGyl@pD~`vW$We9ZiRC2QuQ zph=F7)^{pt>Zv7VDyc;H{j(yP>SbFHGq|uWxaXAXd7RgTD6*;iIMjtc=@q{+%FEP>r-7DERi4Lz!U7!zB<%RaK9Sd(m*ora-T)9+A{T z_I2ayU?{ZE5$I;~O<0Y;*PZi~WA1ZfXttqq#n_GNP3@ROsf)m2`cbPJoi1Gg@pD183Cd4w zSST4qZiG0BM&e7hgs>e81B%f9W(?VqI4~htjZ0Z-d?j5mEWAhcKcfXmRAA$$hI$~~ z;bx|6sOsxXkDlG14b8m5fA_vy`7w2b*jx_m?90PT7Kg|CZ0|2X?}p5&BT4}Zr1o$@ zTle$a(k#Ql{!wg`!zPdimDcgP{H5couCv68+;PhazXyO;3BaC}4gZ8k+H1jZxO;qJ zHkScnj)5@sHl_8=vF&kT&$_>-mxD>1R!7>DnW^3@8F%j68(xkxkgFStDq z%rb6`2J&0vM%*iV&c@57RH$Lo3!_FVYODC@r>}U#nWajFDxEgwCpURdQbS2|q-04z@UQcCfJVm2Pz>dABy zp|=Ri^SW|;I0Q)i$6nC3)&`4$0)F2?S!=6=36glJfy~u6*c7>0&=4y%*U01fwBV(; zvxXskpvR)e5sP_c64*f9{-uA)7(UFGS_9;C%PVl*)5+nVcgx?*HnN@zn?u^*X)1T2 z5Pc5ck5>qgLe#(yKGzP*|8uvfc9q=86c%p${{`S@j>8!h+v5e9Xy$cM+&GXVLPpKb zfoBYmWmNOn+mbqW^#IXuCj}b#zpoR9sS1pnXp%2tmQuKx1E-SeiFbs?rj7lZX>-;r zIYYA;nF`{@bI$^SsL@8z1tVU$@iye8j2|P0w%%v^Su&i9y`B4RrJqXd-?d~{%gk#z zT0;DPeYmMET>UAZ=a4rm!*biCgkEv90scH*>As+y)ZhH{{+AhLq^>nhLH4#Ta!&sV z3*vd{I` z$8EDlP+YxV?-3{X_2c?@Ib9B|)rmsGlGzh#hXzX59GFx7PmHb6r2i-d#0|jIsHioG$|Quv%SOdM z@uZghh4)+DXFcFUE=>n(IC<#tTxuSdd9q}Ml%8W||4Sf7WKGo5Q=Jrz&=C(@ZtMWe zw+;|-FO%>+g$XFcY!6?*3SEmixp_Kxb(jh34IJ;R2<_U&_1VvV5nG}*r_xkW8$~o; zhtF>}FObWhAVGePgx4>4Qf~||6{MU{V&ApO(lgaOQG2~-3gkR0FD=>gh8E^gv5F2l zc7g{1{*Lg;@DgBxK}QQeCH* zG_0{QJqCUXsNsg#O9GD$jcYPz2hsAmm*%`Lwk^I&JGGimgX(ffbWa4OYVed@8 z$F??hz?O0bC{Cxl+*0w0K){=iBzfHD&aMslUia^dJ?&q9lUgPy`8tM&bMsx1PYk^8 zzSmdRhYOm4er=Us9^d=Dx|jsQrPze8+mxjA!nl}k@4OuB??SCxx&M$1Y>NwCiyQ)H zrKmb!R79J<8#XfkFwbzO&F8ea8^Gg>|Ml%HEvXRHK=T)AigAX*G{%tYt+B&oxI7EP zIKz)ME}L=wiS4K9(}WtipUfY-=ca$&Z_mEy5{LmJ|Ho$W96h!t0rn9q%WP$7o_C}z z9v`opzapL%(FNCBL!bA!rOa%yDUN;5)7w$7&f~|0@sjaK(1;KH!Cw zE8q(6rCy4@_|IbJf+aoQS*z!={I4QINc}G-souvv9qfMfUsTAuH|p)j$>v+XkwU7%y|6g?$!Ca>KEW zW{;I?eVx0H$M<>#aX+DEj`bOXhk);N0=Ya`OfV}iS_l2^P^kqz=PE<&tlXZ((Zc^! zyHZuvTXdR^PB+C~2n4H0MG(V9#ZJJVAT5>6p|dXGDV>f*{!-0@s(sZu4_|hG?R%JE z69Di3J^E0N27>&S`L;;<36+7C9{7hUwn?^q=rB}L)SRvKXu6FrrSPaNziIS~fpmk9 zXg#LbYF+|;a?qEjsAdmpi}fyx)^8pkWpkWF?OIg%cysGsLe&YUNbGv;;T(qyL4 z;_+fF9r48fg^LCP3^)a9vHe@B^?oe%|CX0g)`9gF zpIDf5Km+3V$`LDHa;IrN^JLo+|C`j*yob9_CatyzlnkJ6#My6>KzU6QrA0Sg&W_{n zO&HcamOxQeRcH1!*0Td=cme~mc*ul%CBImszUYhnTNTyWRn|#u{O)e8DFv_}sK!J8 zl}(wQgHD5FlNMlY3dzNRKqm5fz=|eaFim{h8Q`{pME^>LWZ%UNZ$x6}cpr7jrCie3 zf&E347$#M{=(9VFu!v<~Q4tH6LUA)_5?zdrara)A!$M^toOe&A93hw|@7W}S6TJV9 zTYev4xNQ2&Q1SV2e6i?m@vvJrn8|GS3+p9C)%TOY@7=n_P4k!ezJ><@N41OPW}izP zWir31D;4JAeN*zq@)h?Dg-46Md0^)aG>(yFiK2C0DtD zL!)`M*lgd`fe>`ziUJHmdA?Rp3V0Hc$G&1f%cB|y-HO~Z)h>yiK0@(5K8gZdgT5@$ z7mFI{ToLv*k&L4O=B{zqafW!=~9IfgUltwJl`n4tsl)LVSXRH!}&AzsV5 z^%p5Vk<|84K^I$!r+h`7w=a_a#3TlhS{BS0+Y=r0;FoY(mCrwD?%l`3y(2&o#oYL2 z6!0^ht2#p69i~mcqKv^(dbCdluNMtkSk=Dbo)iczCdpESFte<_ax-5=_Zt$~ zkUQhTFL7}}<8lpK|CllIbBn>|U@mR$)=#DRTtLVH+X9Ep1@W&(OP2CDb!2}K+Fjfo z^4m8qFKN9%2E`DQNQ>0wID48uZN)~)Yhwv=@-V2D`JiSh$) z>!Y1`71$aOm=X7jRON0s`&--?nS-}}gt?He;EG}X2n&hOL~3$Iibae-Bm!so}2(R;_DrN+ectQ~WaH)crI+wK%Ssh`avy?WKb4{qrDJTG~$q8LN?1A0nwhTPKF-{lgw%xueN#_GM>&_vSUJt?u7jMdZ`}&Ha&96#T$+x z*kAd*QAt}U5QP>O`bFw3i_*r6AZux5+m>>D7Wk=%Jjtj%krJG1D}}Qjab_0Q#}~drL#_+cl1zl(&29K`~rvH z?|8%dl8L44oz7e_ge%X9QpbpdwcmE~n2Hf6f3{4czO&5;$n(kbnJ%i^(Hb&Yv$W&8 zJgO?C{~9w+77dZpdo@kk)cJI`NDf;3L`;@;-HtygNXN`iXEif}{#Mo~(#flha z-`^Jg@p<>#l;cc(nMWawS88~KDoQf5By}NHx<`@J-PTgdAVvjQJCZzF#L)LX?}=QU zq;F8*p{`Y7m4yPUNFjcqhS9-m|DR$I&5s{h#+{Vo6FBFa-Y{fBIw$8T{<@-&m8>s1 zULG_dXE>QGSLfB9rO6JTRV3GK!=$B_lldH#vx!zhOLSG@&PAfFq3`>m+5aBnW_VoA zQvD&<4;N3bR(w5PFIXwt+kDc4o&R@3r|A=qyS&+H-H$9;Q)>B~{#^p(n7cZWg2Kvr zyZDtK-#X9<#7?L~)y;NsFe1`1i4nhPI=CEcgfDu6phT<&B1eg1;=2fdD9^1Zm{TXX+)1y)n^tU8S>YDzH!vr1)_P*&@_ zKg$@7)H*3ckAG7V(ix@KrYj)3yP*P}#I&uFYeoVPs;_~ICxkdpF$fjaQE8=~c`-Zu zT@eLw_9Oq4-h`*>b;6oePwMEjE1U^USb}&1CeEI{_%ytpdVTYmCg*;mD@*8KkNkYs zs`sLc)5Kh^rU|xw?M3C4{@rPy4^}MFI+-tktWU9H=39J!+c3oFMGrcbzzjXx?myldb-ipt20{7ZSs9K}r&5i{P_J;>&P7~?3gz%5p}YUg$T5SYF; zpmZ)hA}lPcb0;0!uK(r0`q*O~%1iTt%>KO3*aWx5{&Lra0WwpapVQE=z2r9Obbs9S z(fs|T%KcQ?($!Pi?cRV~4Hxc3ec#0_?Va7QSY?h)+m~Agv=2Uiwr)^|jn*A@+}W^@ zYsy~%0aC#6vp4c;fk)wCze&tRIV9_TdGQ6th{mUJ6`k({JS?Z>BQze@xxb(`Z3==< z6=Mej$GYw&HwvDYcCot4HuugWa2N$%7xO1!GDwq@d__>gi=LEE_Kj2Py>2AViXMk( zIad#K)V0xaCR;TaD;H?=jp2b?>r+O(ZojoIOowue{g>3d!%bq}c8Z$?3ZD_}6bnS~ zT$$DEr6MFBYzO0Rr_;6kTfE{74NEz94HJK@3Fh?FcF9#;z)Qj*sGgD6!S2SqN$S+T z0BRsfshZH8?)V)~>n>*}cH=&hK(Q<&iv4dH@@7fWi*qgyVdPS^hw;6AyjJJS53~)s z0*u>wg-3;BeFJ|iHS#EV=HiTJW3N6Arn+S2^}s?TK!{oLGeVKIr$r3SMoN^lL_y(*1aHiA6EXL)-Io8|L zWRE&nk&ig-xry)ngSH2^M9YK8W2KBa3T|ok8nS=A@sOy_l1M4lz1Ha13*Rn%<&P`M zo|NQ=S(9tKmrn|AT*kGLf>uIDMRZ3&3^O(fCiB>3qw(^1E+qw=HLo!2?yy9O1ZQxx zIB91CBCFwsu0(y@GFa4ELWVzr*;8c}YYjRV(;Iirm?W!5b<}1SUCCc^7-znFINw+7 z^(O1XT-EqIVSZc+wLkfjlWF+F`1++!(Ux&0H@P%T#Q2E#0@hDv*N%vl7d=Z_ z@7BEXrY}DZe4RuU{Fm7nP5zt62m}P7R)K%rc(_}(zfs{FaUDIAK`%=NX?9@I+P-VdMFtK^>+@=v@~u=aKulYdNzF3Z_T!=uy$D~uPoYL54B*0!g@#N6e^9q z%iuGW=M-9muyBU8XVDvTAR&dXe7H_mQ7hJAp*`U_`e1IZVE=n?F+}2xJ7v&-X1mSa zd2Yd~y$W_(3=^f=i37!tH?xJWQ4%spoDa^VC}q7|Jrz^MmLCWBePHx)e``5-r@#Km zd3nTw>tEJwSU=O5%({&q(kdjAH_vmys#G$hR?g7i`ES5DwCjR#g-Xhh)S8=>xKdx# z589|F)mK$TPk8ZbH~~h3FC*Qh$&Qj#h~G~`CVogpLOWJPhR^nLrh7CsCTq2o%jPTepahyWwWp;tR}UA z1II|C>!0wlIxqdZeg_#)EDOJkWJiD(4a7hDX}=(#AY>HidzKH5JfJ~1bm!_P`LSiW zJAojT8pecYt*qQ9=+GUNRxV$d0Pb#=RNriTU)kPi|A9h-%TGAQ7Q z+eCKx2d611ov-kaEo1w?^?kkSrW_D<@YYh>SW;f_X@!ta8+HqyP~gX%M?lybios-` ze40l6{W;kO52%;_uEGdLa^#iuWsHr@UVXhMb?0I@UyQ7SOGzEM8p?wZ32b z+=8rqw!+0WF72X7zPX2iu6=OFNk`$Q1pAb5c^E=ewU+cz7YHPC`g6gfPE))7VpX?f z$+oFwVCj~vtI+cJP+HOy0I=01 z9oj@OjU|mZI2E22Z~x5EKi%e^40sSDih=gxB83Vg<6r1>^Jb1GVWxVw`&~%un|GHE z2eU>E+awn?^p)i*x~L9j>2$8S+bvh0rlE6x#|>-dSC`y+Cy8Rc>;~(^q0-pT_Cf&s zsMyM}qHyy1dE1F$Wz9N2AKv8jv@BrNnv|Y}U-||K(l^*gY4W{a|DfRS zW)yuDTEx=xmIWtXDQ&idD*~=DGD6JMCGuOA>NVS#_FsG4fWl-<7 zER)JrKPsI(>#TsP7)91(tA8BDs^#B(rI8bU_{93!UliQIyF)8xr0Ke#oJ+-=y1^aR zTnGwCg~G+rMG8LK)~c1xucb=lrj?S`ZCg|=pD9}l1?IKar%%`t}!uzQ#=%edt_pDMLWkoMWW$HY&S zQ>iSieCpd|*pz)>^hf0P?|LH^PgeXU8ocW22o=)4J>2&BI4!wM}s@HDm2} zRRLXyM&W_sbgsgFqP;|ST);VMKyJ`N;U(ckRaKQ|c*$5_bbpP)iBnS+y{;%OAP7F27qpZ59hb&VVj}Kgn0STlb&4+!E241o;0KNX44~n z<(%W0rh&VDO?BGZ(>J$*0__;k)dFl^GID>rqLGS9RXaDl@6iute z+>4_l7N6H}owNVA^@REY;4)>C5qFPq6m z*t(21_Lf=VLITlZt%htpS8p|eS~T28~aPQ+-o+RYU0`mqrLU&Kif18UX9_IrY4PlS6c3sL0olL zAvK;06%LMb9o=RUxxeI0@~S5s`+EYzQeM5_J{7UsJEw+#&E>$|ZMv`iRTg?LiaTYj zom%St?+oDqSnsqiv{curA|vOpR__@}`+K^gQhXz|zpEtlx-PYur7n%KjV$UZ)DQw= zK(FJ_T30Yvxr92y>YX!>Qt{nPC5XHXA#D(`){iAcyYp+ zBb;EF^R4{l25+;0{7ePg#%C&D7JGl;AQZ#t7x|=FwRqC0_R}JxZli34go4^7c~>@N z{}$$(>Z7&K!SXKrzesZTxo6ZDybRjG+h>0)K0SqJ%6#!!{%Z5ggKsh6x4Yi|`z4+? zk`xp~mOhA2_H%$42VTZ6eNn#yrN~rib@jLj3bH3nwM}pOcO2FI=A)pI1g@m;FkM$( zjXxREg)BPF>5P6EujLFpK?{U2Zzd;X45%omlr)% zq-%1-IA|vj86~IV7TT_o;<-Qn6M;DbmXUZ{Wd%&LEaF0PQu53?%}_R{fMwTe>B24O zyY^PshbbbLn|?2NrIXEwqlrt(6g8{T_Ult`FDrma z?93Hl>&+bZ#EscEFI6CrNN9KeoWK)HbJXTI-Yb3BLwiGU9m|{bNk%L zA7c}BhMFJh47n$$`noN)cy^w>?yzhniAMjsM}q(EvB;X^`|yH$v@$8}LnC1<^PtXA zqlconiH;Li++t|ldylo_u;Ws2FLbm`;6hAuhsu~{kAn2u(7fCpZ?CO}%yV*=tc%NG zoV#gX3GJ)-IHKSYg9fvae;zuwBcz|`C+YhO7q~jcy2%gNlEMcb@84Y=cP!v!p^2k5 zr*#_5mcPbfBh_%YA$RhzsUuzW90u2@jZTC~eH#(}DXj3Icnq~<2jxElHvczBkT4XM z`W38Z1f=H}fN5M16C*fuTrxEd>w~Q;x~rtvbA}`8pk|2i8#`Q;v1zSm zANj%4?Q1*Y3IE%KmHqigymC@^n8|z_m-az@y@`NV@Z_<7Vh()`bv4{51#Uk3vtdQ^ z>K1w=XS^MCMjJedpe}hHTi=1l2RY>KNJH_ByoH@FG3K>uDm<2Nby3+&{(5-OZge)( zulNp(YDyAK{Wr#F{~P09;GuQ#s&k3!%Nk$wd?{nh*SX_tjqISLQ(a1XnMrGl3dMHZR4pS!tt3^Q#^FG;nDnr^h4jQw<0rU=EvNA{vzR z?Mq8?;wvTBdzJ|jxZXRTe~OOx&{^Hkg^YfitdgN@u_Sd)X8oVfAUV0>051qjRI;Mo zPEktqUtBuHrcW-alLiKY*`ZF^#6Swiz=jCr-qa8i32M2F@ zY2S{$;pE7N`4m&tarWxaUa`(RDuwyglL;63mtgTZd2PN`X}9u!V>wbUtome`rr+l4 z{7y)1KeWs1f@F@()bcT#3nOd+!n`~vyJc|-~dKuDyV|G+PsX1IiFGMOlQZJMLh42&}`5L3et%W|0(?&-hi9N)VNM5n9oxh8k$Oe^IYNI1dfI2 z^2tYuZ`yA-8c7cJ8jQ%Fw=-lgX@i?^zHA$UG!)xgS*z58UfXu=r9)}#pX0((Pv?e zanyfQ&qfg(&a$ZYZU7i26g=*oVrEDitQpN8^Va5g%tiIwUyL$_B)T&dF4dK+g5lZ# z4Z+9R8wF%=RU zDiNvehAZOhm61}jM?nm@3uHy_^&bC@v86{yEz?u|kqAj3Ya3qNi)`t`M99!7%=zod~FbgN>Ie5Yvn{;G@f$iioz z5S>}8sZDGNhX+f@s$XYR56f{$!HC#9%%4CbIWNT*>L9wDzq~X|l;rXWY*8nyoJR_| zf%8JG0WpMqhO|jIolvq&{F^&s+v*_3th&5PWk3VjJj4u`W+ZF1V@*k z!eSnlGY0&#p^lKQdlD6+zo2b?EIB|lm9&#?(#;><**oxRQhi!B1`a84DzMdvVJ8)$zkq_(ZXv%L~ zVIXb8wyT;+qd|dWFhEs=@ZE>6n};q4lWBjSMJ|@GVM}lLvu(n@B2<9XB&U0pO;ZqV z`!F?$Cq5PitmW*$u*QF2j&tF3AH_K7*x51BNIIP=D^<6$-@mI|1_lOywnqDZ*llg!#n%!|W1X$fb_A&>$!gv;N`{fX+;Y z$q#nUyqSs>LO7x_oZZ6%UX26Qn2ka!L6CMvl?O8+wwG`@Jx+F1c^IV?p8aZiI9^~? zbZ4^sAyTRrjlET7U3|?=bV^A&{XG0U4sFvy;NIT@>8g4v{|uPUAUp}l6oJnO0`Rr- z1B*@9!wa19oL}D+9wiJNSURHD?{*RcWl}%`T_rB8pS zHr%9(4N0fyBsTf}wrl_i^ESpX7whvFuf-2GPkIF!=HjAIt2yL@{@etIYt0wfQqjJWe!p5k=rKqD083;oQI;`s=b~ke&}uh zXZ{Qdp0%$as14vkIv<{En9&AnAHK;R$pYy7l(h;Vca2S;cUfoA5`g-Yq!NKqgmf1t z<34uahUyEk8~6$e>9iLXDSyo<;un^X>&tl$xVUZvDP{We36HOmBZ~g~Z$S%qJB)Vh z?99|8R#(nnFIN4VK0X)zHU871WEpr%|Go0oM}BX}M;8H*lXNU>PHqO(2aNEc@cE*x zX(Hgswvq@qmU!hXMM$a0|K%alI`^&csyF>0zBZh?fT8l|Uu~9=s~EA*%MJ=jW0C&R z+l7AX{xAjJ3oUs&b66*u75~rE7d#55*#u|7JWUD!S4Y`T* z0)9RB0;I1RzF?Nqy!4IgN#sR>0P3KB^QX=F9`vbXHkLok$4SL*a1dC_tWn)`2+Ku;Q+G5==KlI} z8VqPm4&AHxj$5=(Q&652JGW@aED$XmB5hkL@Ft`f6f=d8YfmfD?_%iUclI{F7g!lX z{^lTBZbh58yU!2HqG9GZ{Isxt-=mLrez9?QAYyQ2D-oW`iph)l(z7SUGls&`XruLa)kf;bo1@c2c zT5H_4`+G+7E@Z?5QBmYnNq$TV2AJRWAIljl+if~`w6wl8fX%l%k_wD#|bH34E zb1(DVvG->}`H1J7VUARZ6^&7W4$dC|6XJrY&-Gky!kbGD0>AKMxfvO+fO1jbN?p}L zCm|;Fw$8NtoIB;6hF+xuF@%?I6%?Y5dxZ?OW%DWxFvd)fE;Q1oIdB$f(;C=y3>O|5 zYs=Pun@aZ}e)-WW^?K8A=KL|RRmhFn+3AbN{M_6c@p$x&@eY_!DYSA==ANxu&7gu2 zymDqO`&?a~ z3SM(p%7vG%FmH%bJHR!2X`Te{S}3nVt98cU5KDayPOH}M?swlQk2&Q`SvTy6{CP0?hxWjodNN?MAPA30^+UOEr-4MF@g}Fgw~D6WTaQ4(Uyx7 zZech7rv-=*dHwx?H@uT#8SgeSi=8_(DpUu|6q4sIk*F+jgCoh|N}%MS=jl{Co%yF^ zOh}VUH8Az?u@Z#iwKbYyKlb|g_rqHFOEY{lKfCfdqQNdy5ck`CurL3{@Ha=4l)*zF zeKB`H`-T~82)^jl2dys7y5Sgt&!t#Ml0*)AVk0OG{>Xe_>fT*Cj>^N-X8JOK#T3+jk}cI zCHRT<^LJQ8(HNnfXX&i`Z71)gecDB|iQy%dir>D`N~QceZI3B{uB$^b z@I`>nkPTJ;DG(J6o##A-<8;d{SDr9&P@J~aY@})@LTKK1sinzPz>dFo!k_kuC|%C< zb6TT)#;vY&&*PVm!iStu-(C`duz_r+u984n#5Aj`RY49Q1cm&lL^AVloP5whP_qEs z_P4&rm;OtDFY(!(rJYufEhUKn))3Kea#wU(e+NnKEp&ADYjNSOb;!c)&{bL%QXeZ2 zHtf@h+1R{R+O$Q~yW&bBSd5VI9C@mpJy^J&_eDTstU2FTU~sn`M8{i92!OKlPsux^ z(N3D915}sexdP<)Tkk1&_pc*xTb-+v!BPuY@n^XNr4Ny-U&%@R#2F%W`2Uh&E!Bm$ z=4v`q8)f>tQ0|npN}b)k&CZbh%WlU1)^RaU`ct>gYaowyZIAgy9UyffVRG>GC#jH6 z-D2P9b{7(3!uk_UvfGgDCNS!uw>DbLmrGwV1RAVhA$yyFj@5b ziQ~DE+Qu>>S@@PTKJJ!f*3v1Av4oh)?+@KFzy`0qJg+1yN^Yjwv*ySQdTI9QNkqPjjN2OFN|q3q6A-y73WwN$zsF?YFGaImSfOteqD!uHDlC5>!UzA0U*z25g)S5@j) zi{*?-F8Rk^`b68kM|3Kt#&n3BKNLRZ$KLukRY99OtApCr-o;NW(p8Vyb{x0Co;afO zgQ5=MFu6Uv;!wUGCuCPvS^1hCWyzUqKP-!;Q zp%~=Ndjk0{ zQCzA5P~H$(Evw=1q_Jn5Ib;}Q-Svd;4`fCu{=M;$zC^ppWC2Jq#|PXsWhj93VY5yo zj}z{gJaTn+-zBw=8Zv9{bjo(N|7sMxY?029MO1!6g|+hgTjt8l$%^cC%Sf--7D=l6m2+9N;$V`m43zT*uq1GPtHd+jeaDQUQj<0d!t zy}2tE^ubkl@ih4~{>>WRGU-A`oo15ZG(ne61y9(en2c)``S#UQkx5!x#Ls!xH%;@^Ei6DXp zW|tsT7?&!DiOi}X?mTX{l5_lScc;lQpTvT4@-w1n*XqV0Dg@x~_iN|R@k=b?6GOEr zZmFi^3c>)~!jM#;qn2}k2Vj53i={oY$NFHr-K3{w;}YT(G=I~e%Esv3X`E^+VO?3_ zwhecb=@i2M$t7`KH=<)q>1h33ojF-_eC?q9QtY%%WFHD<*fvO2KAa%%*e#xO{Uq01 zR%d9j%?U*Z7WJ6uSvme-Z}IH>5-)Y2Uk7LO;tx>L$tKdhAbYDxMJ~#+zJUppboz8r zGvwr==tDk74NWPP^Ylm|U)&$m-Cu1KbsL+cKL%a+VSKtes=-e#{Xtyi$zwB?ET8ma zl+T@p6Yfv6_0j#*_rjVQ+$Q29FOinZmM|D}7I-|2q+~!a0op0i1>x+&_1wG!1is%+X%Lg|tBxJqwv(;-UH!IATQrS{)0KgsW0U4r`c1Aq+e41ob zJa=!W)LQ#|(p}JtAk)^~eM)iE;?m>q93Kwv-(EBwZ{*7p)M%}Fy2>XG4#wu^XUfRVu;9@nA^ds zFm>*FNYk$!nF*U&PV0AG>;}qP1r_WE(U#^Ci%@8u7^l)ZP*0Z-1_=Pv56C~fc=^T3 z>p(IwDBHcUFER3Y{MBCl!dk)IEqRWsw`@)Ngoj+IMF7V++eb`!Jl6|9JT6=U9%E`{fYOuNtPmXFv!croz(4 zkDBo@01%jxF7b|7+#yBO?%}iK;5z7k>J1S@GB|Hyct#2$8cw5~m?Nt%5OJ8chk%48`<1eiGp%Um8xwSthsOvw+Ee!Q#jcr$o&A}nP-&+QHeoiyYlwXo^ zQwY3Syp=LuT~55-802$&lrHnSgMmOgGQEl|j>7TTQCDOj-Va&mFGYQQ%DKAXPBv)$ z0q@u$v$;7_X*v%cR$7_b%bV1?jyW&gw@G)FTf&seZgvtX*g9nikgf+a?~x9` z4e$_FjWFOtY;p9^mo}IL$g=2=l4fzh z`hh@1%)WttgS`enkDu(#(bp~Fc5dGN`Nj4%#{Ca-<#XOmW<+dR5)5LB8KV0I!&9Pj3!z_K1hCPzrs}S~|MM0)J*r1D*Q9_vC80t;36gI3<+aKABqK7SbOTH;S9Q*rzTon% zVwDKiZk5;ggN+SkOT)S+ovX96lykiUS0K$jY^@VPIXaqYVm<(Um<3iPV%!-*PNtZL|W*{Vmv${otIO6{Klb!wv^KOJljS=9IFW<8y?uL=| zMfp|>KTKpxT`d&9v+4L##kQ{gTqmPAXj@i}9jxJvK+mT`z&9VKTYr=gA2lenXieLl zf55EDbam6jLi|JgOsYr0blB$&Ief z7qzMU<6ihcYOT%QAY;)KnzKfN4Tq4Y&0;9A8$2<(^3&x(d_mQ?Ntlzfyj1$uuesZ~ zF}|9}>vyxnMeHZ5iRA~D+}_fK=)IACWWmnPhibA%$8CIk1I970zjFtx|BIMCtJ%vz zlsefG>0N#~a<#ehA2;Ir{5dKs5G<)WBYtmtq4b$nwyLI zW?v(V3piSojE%27w7_Lx)ESL%Pg~tj%qhV0T<#qXvWxr|>-+T5N1S400MZ=MGG8wP zjuQl|{m~2>dX?+;Uvm$K0|@o&e`w!?r}wUv-Ir51X@yNKh5M&y?NFvKVIb-lf!Ej0 zy>)a*~|B2%0E9GD*!ST3m4*s z4J6EP^R{tR=gX-7NfX}s{?Yv7(2;FrvZTU}J|frSs33vOuuu5X(@o&+TjZ`skhFur z5%Nh+k1qD|-eGawb;dg5KF*idv~>8SH?<`1mHsZJ)!BixGI|0Q5v*lEv1UOlkE}b% zdsU`W8;1D{DE*SQ_BRf-K@^g=bxbbm;1fLK)JlD;K9Vp8>fNT2{VQ+9#HNmk?k|zv zoM(9$Q%|c`>Tz>mEI=AX%xZci#CO-CUOw0=B_$8o`J+ZVJySWfwXR3%!H?g5A35#hrQjn;_{n*C>a~GFdfDDg5Cn@wLBoH;Zuns5z4`_ z)2~%=KDTTf*Va9~fOI)Cznts4^8vZs-bW#Y_qMmq?o;IeF2K@WR9evN|v~)YU+rmW9>K`Q{`gC@n4<*f3 zW)nZk-VTtD6jzX6GuNeCUGDC*Qv`F!Dqi}L^@*(8!<@4D1u?FrcwRAsqthJghO#K9 z2Ad0g1#Fa6{Ztz#xx)UQQBmc$-o`~QOik5-WL)IiCdhb_T+T7Cqp{>gY+f&{$_=lo*+na1~j z`*Om=h8IONiVgDLk>JLeBHei8KY@lEbW^D(^r|KF2J+)lCBEE?Q($HyYNJGUkrt?| z-lAkr@wh1c4AbU@AN~SPDhX@OAZ_~9jZeY)zPv;NyY8P`WL$OJi32#%m8D_9_VGxN zhnOAReOks?Hn+0iAf(Q5!z^%--bZW}+`k#S39CuPU!Q5Bs_>V_RGW|2O(s;I^ z`qoVkezee+hA1-M)g zH`YlU?gvH+x3I7jdwxoY@h?U-v&jK_KYd6TD&oa5sXfk9y`Yj;wQjR9WSVCRX2WfM zOaJqBe`>=LH;P8q;9$xd9{1+H^U+r3JFhav3?|R!i@!**vyy069OFWm0E1phxL!H_ z{bI^}l^lOnhieLk`8pwU%{BsFsc zs`;F_IMf~{_&9SjQJIQLHS&s|fy9X&Q*47QyX5$2u}L!-1RI*_v1+3EDHn==&LGLD ztxNrXsCo;isJ^IucnlDvL%O@WTRNnrOX==z73ogt4(aYt>F(}skZ$-N{Jrn{t&cTp z4Ks7+&bjBFv+H^G-sg9R7u|?62&ow!lXM~xxy<9uP`w{RUp#g1i_iGYqM0*m(IKjp6Oy=kQd~g-1JZ+j+6uCV!S1&+K!UMfLgLDi{gjgRBtH3@gXsRM#`JDI+oQ1@i>z`z0Pi{~`(cEyyz^;GII-8)+_gv3cXgZ5fo4epFPp%aqLcorQ}`vR-)zB9!}4 zErF1RUj6t-838RsFN;k8r(n;KiisNW%ypJqOSvanDI0fVhSPFBW zi^0zlde$yWLm-rSTJA&e+s_7&Af9gvcpzFA2l-G(tz@79U{$a@@0n8xD(-%^u9KGz z_ICI(M|*PF6d>?WLNB0x&D?3nf*6@GoB#tN3Py?B z40~n_B{?@cIk$?TcRp6j;J2hEAc9+qi%m#(YKLNkgbSNJl$nP?bax@qZ8|kE3%>f< zw&R~)*fe~u!290CDh^N|M+|0Rk$B%g5!vx+_xH>9L656{SC#(j!+(hhp6ipt!-F25Qa-$00Cy?x^BCEUO?oAO zeC2_YASa_d!ZxC`a_U9SHo^vgvzLZB-J%i(vdftw<$YI6Pv_cY^R7Mgk9*1P-3dpb z&)C+0MSBOy67(#sww?BHZ%x`_Illo*qV~Awo4|J2hY4*k&m>p=&z7qnJJ6*SXsoFy zwZQ(`wX|qUu!ev7pB?cU_7Xwn6i`~ zBA1i$GRTQ??=Xh8-ITKU9O7Lt^~gd2GW2D?m7A>szrH{XB(v!@kD zJtW-M(TnWIQmc+yF|$8_F>$=u7|p%RjmeE{V}kg6_sKkgpaO=l;DRj-gyk%cLG!G< z%mc{Mko|avCufrj(BT4dSMi;> z+rsz>E+C##Q_M$BO6s5YMGqBiv$F`ma^X8{Mjc2mC%gddXYj7-icJsE#q4L-nZGx2 z1M^pkpBZHyKHHy2D?F&#Sb+xf-ne7XtU}&sA>gP#mj4r7E_v<#3sC=F|I``K5(UBY zdIwjGwl{#{g{;qlo37qO6p76N%s!(nSzxIJFAe=SXqYwH|D+5y831K3!fGjfn5D5P z-SR498euF&3k-zsK>!G&WcM{BIV`>x%a=*vAB}*3p8IKskh0}|58+q^M+2ivJ~H1Z zT&&Zj;E$2>Z9?wtT9*+KAz8nXhabWa(okmeCqmJL-3o)2oN1u0fVRKtoxN_QG^x9) zgLcYoxij>4PSV}ZkN-9K3YY^7YU7IUZA|puc|EhZaCKC4yeGZ6Ba?ncSOuTmsfaB7}!Tz@c$eJ?*9_yFxRKbLha9Q(QMqEwLFgTxCpc|02ODGV-@6zO7 z_#qsiKBpzb+I=%T{3I~xF)IB6LJu{`m86#*O-Gv~xEFTOeQ}HUEt8T|r{Zr#2fK~d za0-5NF$>wp6w{+PAg)94WW$0lZ$9!lB<=1(+j9Rz7Ucszg-sgU!_WuDpf=z?q#r!G zcBd68*P^u&WC5;7j6$91pzt(ZE?`>%-yd(mFwPyjMJG0tFsPz{?69aqckMyn3X<>U?}k1brI3-ZbRfZqok`Siq>t`u zBKW6;!gv0WKx^abvA!!~uU2#;BG13_E1=rV%+;x`w~YP&CF`-O|IlTsxvUBGB*R7f#?1tSx>SvG z>pj}OVR95?s0rn;z8yg3l(;h_Q2>y~sP3zE?Nkb$Im-nwLg z89OpKqCu?y8M{H^+;J4=g#+cBK)T0rVM^mhnDrH}h(8R_Zhjd`h04+So4`|+&0eU_ zT@Wmpw%Z0Yp_>E(^g)1*0?kwJQ?}UbX(1c8{00GeO8f-23>$=F{5^0eYSVKqmIRTD zMMBg(-2fYZckQBdS*LT#Nuaky?rxybskX;ZKkCieg=WmGI(wbo7@(o)ZhgpBtLMtRXh{KW``K zpgxx{HiTGwb&LaNQ)YF+hnd$*G!u3&w4Lo=`I^x1ir|O107;VzHL7BxvyrmEHQuc7 z=DQ!Kb|s7t$UEfEC<8z)zVUkI@nv0I`r1@ScXwS)jbbIy{Z}qpGiI%$nW~c36C#sU zTut(b=nBAm)W8+%RX49s2qVwNwqE~*w6~1gKr-kF+K8TfsUyhm2QE|y;G(oI0kgqj zP9LK|{)lZwbMm;r`A67-)Jw>OEs^X=2}6Vx-`KpXA1qvi2DZpyp@RLxd``M_WqPe& zr`TDqUZ`|ykX)-&@MZpc36$C7gz1&~u21FOwt-w zkEc2>jd%lv1H?C&OQ4Rlb^O}n2*Le;^`Tj2m(C@sc-8K^2#Q-c^G@vW4k$)RZI5m~mdgMW2kr-JpYFx!1t3A==B=aXW0fgsG5&Im zONv(tW^RR*%VV{IbnKGnjG7?r*ecOCbJ`sWG9pgK?0uBrb#l|J?X}FgPtQ6OT;P`K zN!*R$z()VVhkOnBI`4jHqQ##AyY@~m?H6uvCk@$*;!l>98yZq^Fv_APLI(uq)K2M} ze6erN{PbRL{KRm`m1Ucmj~g>s?Q&f9#jg=dtLrpT<}p|=r#JNrO_MwHIZ_AM*uhZr zLsw5A$+M)&PystSuvuA|G;&)7WF$V#*ZJP_6=04XJc{ zGS7K@h&UICqqqR;9QIiit<#G|aObjtb-FC0Wc*?`)eq1PCfI0hk_xV8+q zt|kwAzPdIQ^IxDwtSa^_=xXk)A0A?i^$oVlFSHvamHCxciAWbi+jup$Zbcm&K$C-k zE)W7s9y*Va&r$lxHYVec%G+&QI)M@;R9Mz29_Po2% zV7=orcH${&ol{bkEA6)AVzK+`+vNCFTwpshP)!i&J)iEVDG57l6f~w33qYlWZvA`# z;eRpyQCVGmgskT`a+dD`NEOyr!%6B*g?pVaLd_W14K6>yIxIHN5ZpS>S=e#w?CQW$$D z1swvdi>b~DJuyB7ENB9O=KQ0S01{vanr^E?r&`2hQIhv1Bd7+wCWC*bokf8N@M67#>AA`ZT3CL0~eg2%uMl8bJ3vL{3 z_qX(%@~@^Hkv<{eab0h3Mu<_qo#}^;-p>mTS$)*H>AQP)6#89@KcD7PqW(9K4|AyE zk~W``)sOaVL{LogU9BR?i+a900LCtgh2HUixFHyRa{94laM3t+#G_;+Yd&c;`jT7s z?BhqUEiHno;x_?m-tyR}+0CBPZFzCDYsg{GB`ns%hI8`{Ar1=CGgTO5wQ9sep~hRf zoH+1RwJ1E0g!6N1x5k*kovQUjj8p!@o~oq0T0I9#g$WcSC!e=JHz~*-Xe32>(*YMo z?qs`$sJjIw^`0SB!E91;lNMK_nCv??h~+4DNN}_;X4Ej=&9ND9N&!e&<P7=BOX7G!RbcpPxxo<;Jv?3vjcUtVuSr6dz6#`8t`*@Qc*I>qMpw1c+BMB8+)ll?u1J&sYI1iz_%f}VjFTUqVp>ty-8

Q1>g$*tQc@B=8{2cq;SibmWiOYt>yx43RdXlN_&-yhqmpKpj6Ifv=Dfb( zzr#Og54(e6smx#wf7=;>Zt+FER+q|lCj#;gm@S_55SL*Xc|HTzGS zAL65&c0HipM4_~SYA4fA2t|6>yv(|b3zo8yk;8hkdBRdCfE^JK0_%63C*ABX_K=?MPEXu=Q2~zsRMflAS26K`r!n!WBc?#;Wj0t zkZTA%r=qx5j*0Ws(!)lm5dc^g$^7$qb+3d=#FmeH24!v(3oW4*r#&CWBMB98DE;b*7>Sk4&@$PbWFdUiuM|u z0{f}KR|{U1!KdY~Vphu(rk=Cvn*j0B0@Suw9G1gguipof-W$t-;w!HU7$Us3@z+~h zFsK(3@_Q=F#Y`6JnT^}G{enS5zH0+7fnRiR&b-xF#kfnLJs{>@{hy%xsS_M-6#1bt zZ||d%yKb=G|0K#ePz>xARgm={l)I-CIV`PHc>&d#QpYxLZ30o%mfz25KAs=o{j0?b%TuL~UJLX5MtvtcPm>pCZj zMtg343e!RQh20y!&V=+R;h$+Mjuoy^cq71N45|hg5Yq!g7npOSI*I08)JMvtA<$fC ze|~`qE_R1TFXGxil{55M5H;9~+S$b@58YyT;&iJ*nSsG9F;RNXE%+p#MY_Mc!N zf{=z#X+22J8rkY^!3R9XGPHUkeL5li_II zM4Xf0&`gSDyu0%?vY*d6C%vCQh-?1o5xe5sG(-Uuh`J-pqoC+BQi|;Rq!jJhJv4#T zMt1Vmx@vaVsWN+h7%2h^8iFpn)1e}Fwb*d>KE{l-&UQcHQI`2GGf*;ENl}fW7eQ+A z>c3J?>~_&9`|)UKo9Rc=4_7`?d_RFOAqGK+s8H9-*_?W=i&*NPXIq=g4#NmObdnS| zSvR7)-;Z%kLh*~zI2oT3+EQ2_;&VXVpy_6@Qms>`X;Fbk$4b?COeQrI$4f6X5qwNk z_dt44{#Y1^R9ILHj^vgamknU%c-Ag<1f6CJ4iWLK&IetY|D=yoZkPZS3Rx8&)1Pus z1tO~Uk_$k>1H|{ad{^SLWasaNP5obn(L=I>-0f*d_1fUJFmBu@o|@ky>Q8aTH8A%ne zr69584~w?RLOpW`KGJy!$`SDz8q-{^>u7TsWY2aorqzsQtCl53$C5>;NeC!7hW~wY z2MUH9YuLA*rvI#dEtf*nNu?E8h0zonZyNg+5*Xa#c!;pULz%{B#_Klbd^YICD4RFP zW<^jk6Uni!1(~^=>P#O!WyH2O$$brk5Z?pReo}(X4>qZ#F@1c&w1t?r|Keh-H5Vg> zfpZG+jvz_j-J`AE16KB0ET$^n>kj{dzRppzWbbU7-eS4w8gPW}IAapst^`LM-)mF_ z!MQ@;5LAJXkqC&pR+cnsQQ&Uw{Ae+iHE~@;O|Sb?D7(0+r9{ysQ|4+KBm0jGbPpKk zTqYNcNV+h}Kwkbw1>%gA1*R_b+bj}N@23w`4xZd>urD8%0Kts)&~K=>)zE-FNpgA) z1LteYRD)bQ=#kM6>MX$j>-UEG@;T2$H-k#YL*qQow*wJ~o_+yJH8dOnIucNw?rFB^ z?3!Y)8EIuXQ7S3NMLHrz(#d2=&dzR$SbX%FqjT)-min5mYB@f}A*h&bUPiMRFE?Dx?XI@_83~1* zT{=dKiceZbl(Cds4S9|GLJpcYhNxqDaxlSRS$>*xA3JZq8{QZ(|L>1DO-{-S>yMBO zx&Y-7$v>>eYU6jwI|m76%5lH8lP}80zB?u?Jf8OnzIjW4PKyos1T$i;@mu~|7Oop5 zj%%L|N{S;Fm-qJ>b*u8K#<807$z6m)ew_smp@mqj^r+A9wG5ObidhGiXCkU`X-dxG zWlpo9@Y!{8EwB8qTGy=RHFV-rt;B6iAPOBsSaZ<6nce8a_{C!_)t;!`Q46Xr2UzWd z$Gj4|jXVxlm2;SSNo?EVRSS#$eZftYpZdOP7hLO zEUh}d=@|Bz=$CB^l8mNe?XNfL7rWwD%eVZT%H@*scr(q=)5Fxatf_>cRr?`~S&Uli z9Ryx$CiMjbiYw($9{wO={$=MhLlTFIP1VU&%haiEMPbalhKJp%t6che!IG>$yko^` zZXQAqBR998KtB3b+tm0#yzm-3JL%~Ohp)(OCh{Tqx!imo@+rGyXso_KfdPZRk)_(> zj=a3u zW1;gqy_g`PNh)$d`o6Vo%<9rhclh}AR~^>_;eVrnjtYb+b>gx>4mC2XvCI`wKb%nd zf=s2J?uvgqKa7s;w$%Q0~Lb4Zj1O`2nND4F++qJrKWT|TfayK zbF$mE(%xQw9MW89E%D#EAxzh+h8CdeYda+sc;~zQJKi4&Au7ia z0m5Xsa`qbHkMdjIWhnf9{ody6Z1Fd)mC^m-8REuP9*P*PI`02`2_71?>*EWekG8UU z;2qy3D$V4-qb9X$`kdV;?bSCgL{y8sto`LH`u^`nKK*#V0|#D>;PP_ZEiIaEtLtm5 zsq4>gwAQjLMHi}-vi;woSi1jR_IVe1Rdrk(UpGoO%5>5lbjIzq2Hy{~ z{DVMRPI_;*Lb1gWByhsH!qsouO72uKLf((={oj$FutuqLo?rdSsJ7I4(BY&wo>`^v z$0G43&b$Cgzln)mlTcyOQ*R(6f~RWabC%7W`nFu(@6yVgxW1PXzJeh0+!UdHO&3NI z&{5M3kthClW|D#b<{4j^kJHS4Ar#y0^ux09llok<(VlF>g)}A%1X;fyvvX+hJd99{ z%iDAH+U`5;5|{V(yQn-@x!p#{CoDITfTn}W#r1B?FhV_`PRF*NP+55}(uM#1f+&m^ zSQrrZ?p5?O?L)WIM7{gXOglUY`}FM!19&9C=*!XRV%3lqItZ3IjvU9M-Q$boFiMi- zu;G4M>IL2K#8wE?r&H@qW1ZUk@)6mRbm0iP8@JvC)7<@k3rhhijEq|6B?SK6HQx*0 z)vi@^0=-$szXgxU{H%TbZcQ`bn;)geEHm6G!j{FC@!j7N zjys*6o1)M#t`A>p*Uh@RtuYEwvf<>lm%VTYQ93ovZlr+4e>=f<>HYfqe{%uZ5q|%L zmXtLdwu`<*&vN-lsenYmcjb66n@hG^@71}aWibc)r0rG-s*^40uiZKC(+<&f0~qjK zf4YMmaO;Vjp9Xf|i~})3ey^e*xi!`{s(x(DQluG7+Qva+s2cS`HFQaRfDAEHOxS z6@Y~D(}z5Ht%2Mj`z!72D*6^3!E0ud$HLdigBBH7Z(GfEZ`_pKtcL&aC^x&=-+s5U znbi>HTweGj=NxjH{DU}}iga9k36I@_2PLZAY}v=u#sx#btf5yl@aaVi=H~%xi{PIm`8IYc zRw3TvGi9_KJLgJLnS(Xe#=F^o;3=C*lZD8A((-WmYQNYys7If9!!TJEEr!E0GoIiF z*p5@_mi1msoVh^%Fh`0$RJ^?CKphPvn_4B3I+t1d`78c&;;#fzCj_U6wsZgGW#?v6 z1@S6*81F^m-RdXa!M3t{9oLU!C{ko7DE;M!gn*#iX2x^hqOgx)z9?K=k^TAj1u>G+ z#%3INAV-mRM#dNrxXFR!p_U{kb|QP5uqmbS>l3lssXEqbIEZkz_W2PeR1FmkGB1GV&D!?w}(VTeDl_Nn@hf>a&rTwkqfKf5AK`3 zW!=YRe$7+XBEtEJuy-t<&o|QI4{hh>6Y%l-IVPY48pRa#HT(k~O*Dr>v02@#|7sEZ zP*a?D+Tmre)|c;J@-dZnnR1R9_ZT-ib5Ckqyjr+Fvh=3Db#T|b%v+Ohs=8_D$4MyO zOK_15>GpYla8B;7a;CFRJ9(LPFr?Rgm%xe7&9WX$dpVrS`;?a~=S4uDIDY{a zU+L#Ucw)EdYPHtshI6DdhL-a&0{Uj&WWAX2WU|o1d>2`%)u3d8{REe=reeFV47vG6 zKIdif7#G;jW=y=4A)eZHF@_gkb_lxU#ihcby>5Gs4+b&B_KS& zyuGDXLFdi)?jkXvLJBm+x)0Z z?{AWpRDLqc6?Z%e#Q8b8FwmMZdnh1 zWn)ubMHfUeYw5W(79B^6AgY-w17})bUT=R%ph)IVd}AM4w@_ZTvmaR^^zBPY_Bb?S z@%~iHWQ-YB-1M-!ePwd_ry8>Q!R^hsV(r|lu)-Fmyxrjbs~*<6 z%|W_5e=nOh!Oh>(A9?sB6VGz?qye5!Xq1XzBibD$a79@oq4UUMs)!Ms&7u$h>!vS zk82iDBMxsfU8%y(^84Rpad_>{`kF~LQ^oupuW1;oPPRiC=Ni0jiQampxAZgFEPeSS zT<3{XIoQSMcAXE_P=>7rP-mI`BbX5Xr1zuBb^Hze3@E!Kf3`NK*lOShx2iLv+h|r( z>ke@cf?hJiGm}W60-ISxaEe-Ij{4ZD+JXyJR38gf3{|YJpO#q;pOBz*=HqC_y$bBG zfO6KVu4!<49s^+ywt3pLY^9L%HDh9_BleSMc#CK{^w(_)>X0Zdo) z-0mN;CrzvIk>D!naM94(?MmNV62Tykc#&SKmTNOXak9oiPm)H@;PWof$wWIAt~58JR_4%X&uZK|$sODx1gOUc zN}5`Q=qjvuA5^f3?e7h=)$s(^HEH<2cB5et;lQ=gu~4(}=i%1z=!fQQudWR<2_&o3 zJk)Aq+2$K%Y`^kSYhHAki*q5bMCeKH$xQ8kyX2k1zr5g+SyshO*1yVzPSER$Lrya0 z&&)2DnVXl3*+075xYSuvwvk=^Fxin+TihnRyw`rgR;m~8xz=R8vC*QW_B+5Fj{95p zq|!}EzvYp}_^I_=T}|7N9`)Va?1p8yEaF4-J`LYwfUujnL1cbjXKl6~qN`W)r+JM- ztAjz_mVWZ|>!C%3$vbGt6#wvqYDccwX}9{!J%zUWmZGAS!#ka?BX=!FH4)y&%@r&$qG#f#*aHe3csCa`N zhs15CmHp~4zzvhQDtjh(Q!-jIdhYdP?oyAs-iJ_}Z+kMvW@SykhwRWTJ%mI#aD5Ky zHFJxPvFxi1^;P;H$h|0;*bJ9_I)cdO7}_hxLT$mx0B zn>CrdTdv0~5;>dZuBW;8CdsU5 z2FZ{Of{bInTmhWVsLc?4&f>bK-SAg6#$+IpS7*S3LT4(3+Fa`hgdzk1k^SU*2x%)yMD zVkwU*^&F~k{iw8#Ly;vv#c5)URkzu+Nwt9d@!)^C06M6WBqaV_p^C>pAql$X6Sib9 z9g-!axbQ}XUHJ40_2qJghFEphR;;{<&u)QY=F%6r5HUvJ!v*C-|6pZVuhqP}LS2a_ zzTPkV4+XMUB2lYKNKR-o*>|mHYcpdeS+ZJUNL*Ek#IN*9C*P?Ds3#tFQ$NJWgCm1>@_T?mNgog z0u_uZh58Poe!7@luv$9zQ2v!wuox=2{tuz#wXH7i-D-~5oczvkY=iRIYoT(Qv1+rf zxSK*OpXs*)k0PyaFmqe3g<<<&XX(Aq0I|eXcJo<8oBH4Pzwey2sE1iPSUO-FrTkC6 zaB7l}2y-ZvjgP;GuM89?t4MECcDo3p-P_x$t4@&-y;|@%_IVoiVbpD|Z+~M?m&{{4 zfF{pJ?X!Qf5r)ruGQIuC=C!6y(s$+YhZK7~FkEDLiWw)V6qYcmL*Uxc)zUMo|01E1 z}1tvemAI1*uy6ut6f91XqkXpY}4F(fA2sJF9IJW zPoz&p+3o3A^1P<4e;9Zl>)f3f@F@~q4c1RwH+4D@FANAv(lQZxYqYsa&9TZSNTS|& zio(O94mS3oyM3&Bip1$&kGCq)d)mvPZlgmLJC*w4z-3P;t86v@S(y(LqqM(=$$EY( z-sEElss^2ZVil?yW_^=4FbuX-mfaley<4?nH{^O_e;5u8vKL)S59hgByQ_}<_~_Bg zoEC_RHW&m;x-O1aW_>UV%P*j3v&I~6)J#ywLrfM9e=^@JxYkIpdh?hRp`{F5-{_Tm z^8hRxm`#>!gIWI(vG<3dpPPn%RE|RH!q;@LjMt4``vlgJ{FV=w{t~Fp(ShPxqGei0 zNs=_M8zRzZxGfcRQaMPbQ_O6Mu&56u$W0>}Z=@dIJLcy@kk#22WTWs{=r>ADDO`Q< zsY|L2l}+^ADFivX?Yv|j*Nlrp2JOhq`X)~drZ-c^)`K()8~q4H)7vykcq~>GF*;~R z?JI>grgP}Fg{S^9;|8wwJ5=V=LfgQv8~NY7%6wF1Pwh} z)w!3n+%jn1p-3Iof_3K?=Wy>cBuf5}HkjETQTbp=krnS6En%Xy}O^vP!ca1_4TN^JrahHQrTE4@h~f)x{f&E(g@qz*qky@HB?ZDI6g`GNl@P zxn<1rbG~9$;^Xti;TqCVTpc%El9MC2IPI@XKdc}XA0W#b8{3x%8v}S+s@wbCo1fW% zl8Ac4@z>D1L3ZqQBfaI@m~I4~r8EqWyMC{?kp!=g8(c(W2WzYN3QF^g=L1n#+itdu z(ixxjiWuSHcq?rmVZ(#|N-NQ|Pf1HVlV93JQ>U?pv+~ym0)zD}+#)qbdr_JEy={Il z*g6=keLmNt6PS8b8f{By(fakD!`-HwvI(@8^cLCgdb*`}WvZ!p2BX_@-eEL`XYUR}1(%(O1ea4&^y_zx&*GI-24s&T+o}1UChlKQ>0tw3AhlEq-_=skd~cJ=_)R)zU|G-r*jUlq^CxGS%2QhvBmjIE45KN^r8# z<34J~EV|Kharqz)3z#_Dg=jCg4d(T}Wkh%iRLl{Zw`AoY+0Fi@z1tJfsY3IPcna|Q8+ZJ`#Z36qA3E#a&{~- zHz=O2f0(*`qSMZ|GQU)$>Wi=AbW`!$|Fh=U=nri}n6TjN5n}^8{k?Oyz3``$u6-FM z17+*!B}G|q1@yO4jbd|t&!dazO%-Ar8~fh|L9Xe7Shtr(-#b`}8+xx!3SX13Bs)3y zl#ymF-1U8vIa>U)Ib264n*lqbF0#^roy<_&J3p3C$sO(~pqQL;Ey?f>y&kLwu;lmZ zC^#xsMfX}T;s#dH<6Ad1>g@W0IU_)GyO2)X{gSQur&t~nJF2FTE6$Sm3x5g0=wwxe zmCD&>1Eq_Gh`g5b(!HVDY4h1j*?cPw-S4RdGH>L&Eg`<2zuz2GwMb7xAR--h-6xy^ z?!Tu!%>`2IUgHvQXDnVHrDIz??A)y#S}T$$k}1OEw3$^={;YbSM&aj=xJ!SUBbAu= zvZI#6`N(0mS=)-Ct#L#emCNxk0_|JXw>-cq+F{lcyKsC%I~+2+m`R@1T`a5|li}aN z2}HkuTvGCGmHN3$Ymc6{ncrK7>^aTl{;R#9g7m!N$;r1Da-V|*_~KWAVq>T5e3$Hw zCo$NZVYtxqnq-dA)qxaATnpxM7+>oCDp5Rv89}(Si z(v{+&;&_9$Y#0(f|ARWsf$RHIUhmztVEEj=OI1GdQ(6 z%*QPEfB$||LL=w-Z6oep6fq&my#ACRfmszTy7ctH$~tdYpLyXXOCl8tuBNyQ)#Mxm z%HgfULV3M~{l-)rf1wY1J+VI3y@4Mink^ENNV&26UgTL``tigGgmJIAl%#oQa`H1y3S))ZB?M2{y6XhvP4 z@x4FCx5wWNJ{SlqkU$_HNCZRNDWd0wd~-zWiL~G%bQDh)Ocs28S`_oxs*)STh*IK1 za{73OctGVGQc@L@ucKcq|Kad1IzVIxZcj~*_I9sFM(J;?8;QHI)ka`xZEv!PYQVmu zX!YNQ>Gc?Kck-ZukVJL#`c^gHw9n#XVJU(hbJ$l>g6T1oA=NcL^5gpvDcr7h;%hR} z0U(TzFANIqK$zHK6A~htnJ8G^mpKw=z$^2)Wd7WZ>J)rq6BVdhn&S9ahUPw3liSA5 z4qGvF`bmIZIyTX4p>i|p3v50Lz_U^i{GlPAc5Jzzl=-G};C!yW{p?S`pKlm9lH*u- z64LywD6*m-U0D5B#d}sJX31yx5!goWbC#c$_)elX7St?M*v;N$OlKL)x}*LKkK$$R zA3aWr;3ti4bP|_rU9MWYr}m=$)R~vM&e$a6y`(fMA?jIEzO~MJyj6g_k)BtnX?{rR z@m#8Vlw3#HL9I@;nbB>@mw#R9d*<|_4B$eSu0bHXm=$#E*GsT zqB0VOgU-+_y~#tdAe&eF9}Ta0bU z_iuX4Q_X1z8lnUvfdvk;{b0Axc2-jM&cyG0@$uhZ3RL^WI|C1G5{QqWAn*QLFk}su zVO_C3J+Ht0H!5Og;seDZp`0a|IH(ea5mZ=FzYa`@5CW6^`n8q>x9Vpats&>fQnQS88rdnX+;`1F09rCGOD8>YQyo2%| zAPHKw)||`^Z!iix-Jdl#^BIMJbNIfPQXkCjWd+AM#`sGrH9|wMSjaqcAVQppYlAW4 zj0YQt?AtJO?Z}F*WZC1auT(G#Izd=Gj^TySyGz13Uf!h-qW$so%8eM{6j-LGhI(vn z&{&OR@C7f0U{?PDZA=;7UcVWjhi>%s*GnuC1@f{Woz* zDR+4vWEC2b!KoB@t6c!7>iYs*OJgs(jcVg-NR4`xao89+_|#W_WCI&KH@?aQYYYM@ zn20@Tdp<;kii%1BJcAR2^3AIKn^2*{f6(nO-?@uLg8zlGu|8<>J)#LV{CCejB2527 zP01J2UFv?_&MzO?zkR;uA8D`=pP-u=6uLGX81LJk?iK%?5d!(xtuluk_bKoL6P3<0 znpf~Id=63gprSJQXwcLdF1&oI)P{E}-pMptu_Mc(9g1hB^lw}c2)p^owN+CQg|3m} zf0s)Ae|RU?3y5!;=08-9gRx8$WdE<*R7)RiYePy?rXdQ~!NS-}LkuoHzMq9Q5Cz{)PUtRaYsE`mCjk z&!0d>s2h5###F$6zBt7HrU3%AAjo=qh~OMg9!^SubwzTeLR-QFql>G|m+uH#T|*!b za@MECj?Zj?44(hc|5t~(M*ZH`t8YjO3k%1px)6_JFfgu#$A$gSW&<$f_1RH$Ttbd2 zwimQ5Bq;+$?s>!k>V?3;f^$U$myqer6FZZH_z zC*=ROfGN_yWddI?t?NFkqJnlGkHV9dn2#_-gMQmafu+8x0&9PyrO|7_l{IzK@>Mct zNwPv703Hvk$$M^Rh{z~Xu~&vtz+o?<*W7I!lKwlMZu2(Tp?~S?%ewoljg24EEw*Xq z4tmObb%xdx`@@8~7A3K8Lmrie{Zk=Ro>`7YsqUw$g8*p%ZR6lD&LO~SJhYZ1NAN5z zgue8)9-Soq!_-&M|7}$!A^6at9Ok)xn#GzL>FJlRey`e2q&;fxU)<`+r4>)_w-=!X zns&h(j5>4H$X>pA54XBxT5Ntjj{inIUi3>KF+hzq4G?=2p?Y7j#-ai;#f z`Wmx6nhe^yx1YARCsetb3XB=75lQ`7z7KVq(zp`&)9jL8#Us|s1?dn?n-qmJWXqGBsPIv z`cSr(4I&K=h4S@-U4*lZf@j;6;!HdU~=GTO2_-^J&)ioBsl+(QmSLyGGva>dZDlC zxm5oYSvC(B8953ODp=_tfGGIlRe7D*bl=Y-^^jIk$47T3%sXc9cY)6Ut5zszqaZX_`zh4T5lZW}gB=ps{2rbkH0egQ^MKTcHhVirpdwFn6 z#YDktk-l;A_yo^G^S7vB)lW_hc`4=|sJaJ_7;|RNZ4{C}yhk2LVAe-efr4#p+Dj=m zyqPyMYdu+a?z>Bb9|h5c25yYWt=2r(qsEZLHoEIpz&Q~eP^wgi4~Q7RYHm2T7{;$G ztwKW)F|3H?JDcze8}6b`zDxQCmWC4QiI#K|f+%zDaEgQ|xMo1h&~d$b1R$99-?8TC zL9IO0??oI}sVSuoWqKj&!_;pHR{5ioo!3<;NcN}{bJK-yU|+Am(@zrw=| zeAQ=pj~0P9r^zK-lUbIT*s#}jX{wHz_^UeKY5ySphlky`V{WbHrz+rEz=oYbFKkt~SH#;kX1 zP?@mma)rtJChI9VMWE&>_+Z2z0e5Ms{IhR5`bUZAz@GlIW$(MgYO`_6Tu+@>UR5St zPfH%ZRQK4s!cv&q3mk(U^lx*!gm(5RvxI089g5ucW5T2prYb&|ooP!Kv&c1BD#t58i4{qlsFb zX{q!1MI7fkw%?9YPQja4KZgiia9CGc)Z|P?`jdu}B1mj* z-k*@}rNZnKm$}Ti$tHi*h!1|N;{@n=Wm+7qXs(^y@m$aJF8?VF+SDNosG_-w**b@O z+P{^R9d;d+AC1?M9@O(csib;r<2R|IsR!Um;K{&`HacAr&>OCx>G@Wod}%_H}_Vu{p6h*qlNB;pg>yT=xYJ2 z7*vTR=lNqoE%(yl{4oZYJXeM@7G+~&yl&L~v4O;CzMG9@Ij+Z8cKK(=%t&yle1p*p zUL+`pw5;v(V*ufy_tQhd@RQTmF(+UP{vuK~D6%m-?M+bP$xi<8K9kocPWp;LK-XB? zq$XXld~mD9FkX6?Q&3sDL|7V@?oMe?=>`c&X=$Z% z>6Y&9j-_jf?^*o+-fyneU09ttGtb01_qorRZii{Na@@2o{@ljkN1OcGhQv73H2y^_ zKPT0)3%1Cg=e|2sR9-lkCW!%_RkJ8hsk;@KaQ4%NN zN*o`os<4d9OdxiOm?{wOG5xACl9*p9-uUCCT|gCm&BKv_2`x9X*$Kh9LP;i>LhL75 zj0#r}_lCZ$kubnxB}US5e%UR2@=FZDQzb8;PcQOsRs$)|wP1 z5$O|u7?A?!?QHbuDsYGl{m#bpCAjFxLf;pp2=?7{ndVx-5W|B~CYDW}w!B@}hSmj# zJgO<78 zs9(5Na{^;~kk7ks`*6>u`?p?>1h3gpXZ)32>4}ZGhXZ=zoK=#-!Ds_$k-VYInmPL- zSsia)Q34#dcAJCd_|(-ZojAK5zo3Ca>yMQ@D8#8;(OH1L&!+5ncl^!Bj~hB%o5nOJGp_;aHmFc>SA zFGB=XbunC<6TnV%6#Ho-I6RIV09F}*Ixu;}dLL#>LE(<@*)3^#p1&7iX066)ZZBy0 z%vwb*lJ0s><^wuj%vaIUl7NDQuiljAl0}lr3*r>rEZf{D8FzlmKQR4tD~28$!`rWP zy&L)To}Y?xrJ%0vARTPo-XrDb#{$sJR!sNF@*D^YxDKf)1C-RR;!Nf`=mWe8%QP5G zjN6Si65_2aRrV_41c-2&J&z2&0W2w{XZ%yf@v4~@v4Q|{k$s+s=^}r3P88nqVd8IL zHGo*x9mT!U$sM-1!i`etb^A-ts6s$i48pT zi3$PPL#-KlaG#D;uBsWjuDVf81UN3x(Tzsf%txi-|6`vX8Y&JQ7~gb8FhV0Rryjg7 z($sy~v4Pq5P@=8Pp0u-cmoDaq(IXq|#x#xkPlYt4ySc-WLYP>TfEEBG@<8w0aBJ|o zy>_*(O5*0ON_ogAH4K=(waXbh(b2f%pU+Y=JnKGG*qwbSj9M8aME_oCxQ|zs3q=Ho zT&Mr~MJFt*vt^Im^vGl5`QsM_A?7CPd>=Vge<_TVqe!EidZKIxk)=Yo$@Zg z@3tm!7JSah9X@~iMNA{$9C=fLG}nCecG?t*e?1me`_>ZwX&d&x{!l0%3_tR5yXUwQ zJ9`KZ>9CmcS!YO4W<1e`N<0Py;bw#}^_DWVmSXiT`=DQe%?U^d)~rrIoiwC*g2Y=J zowV&|=Sl%PE%`f1URW=Z$45TWih*(0fg87x1o6S)RguG(UVFvpVLzl4581u|xDng# zWUt@1+I37^iN_^>1T$=&H6zdVVDbKO_ES5H3v{db* z2*ATr#1&J-rB(z!M1%55`|*k~>n^UVZ{6n;V<>T`!4ifzYlyc;VRB)VH2(z9RaBbB zHVF!s=K6s6kYLbT=N>OAa_kdta~z?E2@K z%L)rG!+6#Fjb8d2sr#>7B}N_!!B5>-YHf#;SVdmf53Lo?G@J)`ThZ^Yw7kCoD}Dz7 zf+^y*zq@9{8H&oEwiuXrd+_c~UMoSQE;X=}YpHqmAMyo^#k;k8cn6C$?9>sann5Qm zX9Bodmh9)ohdIhx-OVd3tJ5`8(liqp)t$qHhT;JUwnhj_8gy*Rst$`98*MxG>^c${ zS?W$QFunhrOf{YwUHTpyopZeDVhamdl&b=tkvJ`#j`*p7`& zINPJgY>g8nJycpOTjzE$`EfoFN|RwUv+b^NkE{UF0k+sr2pRAQ*_Mxk07!`)d~(nm z1$L}#iw{(b1L9=%uUGiQt>H`xXL|-w%*=8HGt{v6fIt$2cX<&C5AtcGa*eNglsv_v zBr$I5|D?~uZH7#1>pUxOs!ZVX{b{pHY9!YJ-jEL)E;@h$ZS!dds(yUKXaTzm%l6vK zjPkr}0Cc;5#Z7L+`SCN9pEd`s581lP`>L)sM+rQqgyoJarliR=991{YgE%{~!sO`r zRmS6KACBQt=W*}Hu3oF+w=XKZ^fwFhMTE5e88@tr1xVcPf;BVK5>%ZLjFhhK@xOjI zSyfCs*#FhE8cGqu_}AdiXHO0CQLq^jwvZrw=w~1*$DI02TdxtZ%57&U(@btd2o&nr z?ALfL7VlEGT)O4yO8Aj;Yg86mQ&vV7NI8@j^Awn@)PEwh+Yof#kQOp;p(gvH&UGxD zsJ;%(qBB~1w^_$m|Ml-@#C`0CkKXp+`RzAFbbu4HhsYqNMipz@5Xce%IVoYzFM{CxoUB927PAj0_z@a_nh~d(b3vnSECGS)7@tTnjs>r4q}@k zax?yCL_z+cw1ah*>q!e9$9*jDb=&C;CYUkGHWE%%8My3!nPCRwR8KGywcI5 z!?VfXzXLZ`P7V$>nQ>*gT9=Tesf7&q#(#=b?xbQqD?w*Uj! zJsOG}4lL691v;pT)w;WIC#pCUzQ`OE6{S(6a;t%T4fU!qgU>fC>?2^uYnzS&+s*?3 z*#A`n0y*snBcI+ot2thmOXArJO;b@umO?KJQ86)895L{>06#xFEnVE2S%m9PMr$g- z8sXkT3&(ssgqzaii8L$xlt{{K=X~+SSf6$+!&=MS~aB zxiYllw6wGY=CWViR0O=bb9GfDGWuS82gj?&w2rQgnVmgX8eG3xe*s^Hy&Ag}r>wnL z4za0T@(&IU&Y@9Iijl}25fc-`R&;PUe)%j7ef~ry?A7HL*e6}wqi@GKLbn$Sfu<*$ zp5%8IE=Ijb_#9b$mU0wS=YXGlni+3AsZIVF4&I-2IG=yiP>72b0m#yT*sp7rtgfk>%Q;{*5W;KG!z-nyLt&xr+gvAi$xF>}b;E9IQkq+*kJ(u5whz`dB4WOl z95FX@kJ<@lOc=^+IfsV;_ARw?Eb?MU-w!&B_Q?WWPnCK6jF;Z_3HADuwO(`_4Ru& z#49K;L%(fva^JcZ1sUFvSm(6<->hy@zsK*1b(ZnC!lm`na?LP^q-eR1O(uBVlF%1j zsYS8a{r49Z)`}hWZXVFXBhN1ySYn299SI&pxsl=Eh+z+Ty@_mj7T3n>4S9%T)K{QV z8(I8gnLj|O3mPj41{hc64_8*unz;*sfHbet`8rEYPg5esi@dzlHo}T}V3WTux{)7{ zadm9FAduZy7NTR}!)+Xs+~+Kv4Q#{>Lzb}AYQn7-F0se8fRzF9ed}QGcldc5hh-6{ zhgX0BRjE{i!4m#2u;i~fa6g&4S$;bkFQ>JWugX@201)03_c!e7WUjQHcE^ebJ}bMj zruw6ls8%@@QS8aZ0PoI3_1m{26y47>vk{O5gjkQgudbUIud0$hM`QEAy=I^*)JK~tkhGZO5-@+K3;YE27G%|R@nHgmId$bVkt9}D2Z##h4-UKL* zHpGlX+C8=)eGk|S2Qdo^a5yw2?F~T@5Udml?%1Fvk7}i|eT5OBt2}&MV`|ls;w|vo zvo6U<5=0pU#KD?Zcvti#-+ay-9&>5}90k*w4SJr`z0nkC&ZLFoJ-ei3=6DO&kRUu7 zd=9Hu1e2E6^{(jS4czpI!_+kxg!VCXvHoG~Z7H$`WYMexc0i!pj`a{Qsp1+TwZG

VB504a&_qI;&W;6Rhp{M|vfVFEOA6_4KnuV`-fzJSa~;2GjzzHi zAgOS-Fq)4!MfEHGTe1>7o`a0n@%t(U_)Rda2h}IWyDSjxf*qiUu>tknPqoF*fk8lQ z)foYmQD?aFcb64QPaojiZL#P8!J8=l+`yz}8znxyJ?>|Y2H{dOFgOz7*OEgMg;9J} z;7`0X&h=*rRCigJY-Ml>2x^OVcKP{@GeFrgN)?qma`<_Xvw)>KD={pT8hBs}(Q5hm;|w%8oQ&2xsw_hi0Gi8LR-DRr z*z*0m%^l3i#pSh^_;N2mgYsBM^FC)@+S*vDMw%m|l0+|6?3)Pwo*BvEAi!X2DDeHOhbOm<9Ug2i#dAL9QGr~W=}J_5k>xSpQ+64pURAh`EL z$B~JIz`@)wGhIHv1abrq0U$ijrYZo%eihF3N?P{S2@h23s-&fDwcYG=d5_!X_TW0qiq zRocZXiNy=#0frU>bY9Q5;HG~q0^Ne(S5DQq`re+mU(3U>zLc=QMc^lsS<|vZ+PTx< z(UG8*mgnO-NUR*v(nJIt#P9je(Ezn>Ly8?>y4TM!2ZI3E*4LYN!BXciHdub%vR9wo zv;q_a3e3fjm*XC^%Vt!nQVw}v0QhX;s1-r)LE>2ePQ(DI<)EZwVBM(D0KyGWfj?rn z#Q97caIfwV!0G>0!;wiQEAA3@4`+7|!8{lGCSh|oz0mNmz^Uk)zY zRa!fy8~YxtuS?Af%qpiwyJYf9?O}Z{M7-u%`$^Uur(0UjRYyr-@S0)?enD9eP1a1W zoI3dJ+>A|HWo8RoC`gL5(++@+Eal|nq@|@7ya9U_g?O6BauP`Vh33z{L+^vt`pwVc zQw|N7hPbw@X;O`OEX6ewoONa4nB1YiwRmD7V_r4h^v>KYii0E6o_4R2gJY#DzxX|K zNcPu?`01fAKwF3eOopaNTm$3v*@XHXiz-k63^#~!j;-^$fP`$@5}wRm;&!vLzSavM zyOTWcPxwAOI^E25reI zK($-u6#@qYJ$E^NlR^u?Oq&+M-1vwQVQ=#fh2jp=o3C5h1X5Uph3EDE5QlYW*Ev^| zmw#KXLRx})>8-Q8Oj>v*K^$^yXQqlZHD+bTdr^&X=RVAYqB&RkUGm@guYtgCW6Tq@ zm_SfaX<1+X_MR-j=F;kibX$o+gOH5&P4~tx5U0(f0$E_yBEfVc!&hUKKo(zr668*w zHGA$cXLW~j+Vs_|7s`Y~9SyF%__V^!8#Z&AFO7H(1bs3i+KgBg+;p2Cgg^TRD=A7i zIwMRQ&dy7uPZdr4&*-p!VJ!E|BQ`J9L`x@$O_h@|lx zWEyq(Fu53}V*V!9dC?|hBywTkaf~)(4OZL5CYDOnpVQk`)i2gJuatCCsgTs?<|!_| z8%`Ex6+bdO{7FY=-@Zm)U;h;eNo&i&2VkANdr{s8u*kT@WwN&FQ`;NBtH(it(kBtC zIm~^GQGt>fqsp!MV|aBV7vQ(^%=+V2$N0nW)j z=JrrS+j%)zj#?a5h99OJ`U@TACx^{5G11Ws3=BY&d$BeuD(a&Xt@x0eW)5vg@Py~w{xz+IZ zcvllcVjIuWs3S-`u)l*JpD%f}E>Up?W6Z@V--N!r8<DV6_y&T01k0=>Rdkv)X2-IS zW1@YgXlfhU@Cc55NX@9w6MU}HWIFGC=b2{njnO-#z0`ClV}0E?V%DPj4>jy}UX)>} z(UY$+b1Vz*_GQ37rXJcaIP&-bhI_wpa9@_C2e;tw{4W`o=5?G57iSmHC1 z`jr#r?~m5FpaAyBdSbeaIly0$#WG=8di14b@}z#05|sXemRLNdpoE#uAN{ggh*?%7 zCLUi!i{n&p_WJGYjBw;KBh8Krtkzh3sGFS*2L+{7@DU2}gXrG8X0H%&2?_8HZp%Y; z$=_z|o4Fz`>st;cK-OG!llZvQ@3FD5Nl6wwX>7Nn&0om@S8y#|)x!~SJ-z0$y@BV@ zVlxds(r_>(IoYL&goK2qCPHx{Hz3^W`ds0bp4Je5^S4=H9b|BUsDrHn=;i`{Bs(1I`)Dr{K}~mXq14LfN5mtJFBWeS1^u+ep*lm;+#A zedlK06A2D8GLmcHqy!qzqP#cbw!|PW;e?Eo8dCn*-b*zyndZ+opD8w|;)CB~gB9_` za8_zX0U<)|`uPn)+52A}qmTJNC&$sYbA5kZ>3-oeKHn19Mfn7in$aGb@Y56*N{NBH zK=hR9d(j^AZlX~NRq==;n6fu80%#H?^k?BiB)#?B^gXr}sZ;;D7&j#`MGUN}WAkLpfb0>S^!U#IX*Z=<-a5eh(^Pk@PTWQveg<2DjrB4}D2a(%0YtM36Ojss0en z5FklPieB8jKtYMr`S8ZeIFc?Va5I@Td34HEj!(#>jl0j7endF^dvg|7a7&EaY{*i| z8#gxvsI$<)*a-9{J>a!@9Jo`A$TKe^<3k*+CxiRlBaz0dZFuOPFJo8ZH8Q-ywk9%q z&MFm_BM#L#a-ef=&1yO^K|LPgA9R63w6L$JK@;pw7xv^67@4d{=yUk%STG|8Z~!`j z@Y2ZuB$z_`7Xx0zIdt~ITt%YuR}kFr)ahu<02;cFHMErM(Ek}40PQCx@#x8yXi;)s z2g)DSCyxnDOl)RhRlv||uL#dn*T5sq)b#|0^%E|TE)kS>8ZD4VC~augNC$-^Rj_?a z$*meS3=9fxIb2GybAF(>mDUr#1-0v#eCNpUHwFOBFxdn47|GX3WrP>I|`&=nW_rRqFwTNex8A+TlqE& zP%jUa1z&nkbQRsu&Ju~5iMp7;qhPQUA?OF%-Af!`4k<4SuKrA1L@pa-@%fW}1H4gq zN<~YI9A$dH*3o&Pw@~LQ)C^W6 zH#4WIJ%or=y%c04p3|KZ+OV#~dMCh6ja)-5_pT}*Vr=lAxe;rgABjm3-KF|})MRt; zG+qkdUW^!yp>;SOEC%?#V}7U&7OuP2oeTc^PI@ z;Gl>RT({K$nxswf!F%C^1G%5XrMMhkPhoa^Ue{*bE3hgEdyizGvK8^C^lMtz7|o8& zrW)U`+>sZ^+!H8)aTHJLoEspTbrN?f_+m+Y;|pu5Bjs{QslRM&X-nR*B?C83%~)$r z%+7i=$HU5j9UPBbd6t^RVH&dtNKzG_&IZ_e@KDuS-zg>8$>eoQPC?w_aYut=4h zI>t>SG+`?tS9WGjYh1QV(I0M!G@+5@?O3U;TvS>{X z4_&-idDsi>UIIt;wM zK4@iyT~OlWnP+9T#{V!g33cdS=B+PlRg^nf_0<^a%c3BWTn8_Gqj&G@@|@A&;tqud zZ;9bckoCBKUY=1a>7kYP7Ve0+hY_0`JD$FLhSG*{MFB{cl|q_c(wYHsTg~<_M>@1~VZb%&`j|KbAxCcr_o4H1t}TOo1{<)hgLk}9NbdT5p>Vs$ zc89wb-grR;|J%{;#yDh=JJG(@6GIhV;{(}6W5JYIKtl7i9To6e_ikX(Oc@!Y*REh;!-_R>E**5EeF%6#TT0$wmmeXMzKjW83^2wvZ`GHI6lJ|MHO*J#%)PA@1H< z+~0H7&ESMpe1F#!v8zG$BekBVXDxRpH==wV?~d*E9x-!u^oZI+eb_^jE;J;&aBP|W zH%s3s-}`g2h{SO0kF!%*oPP>_hzj)kM*3d5$(dTQTe8iWqP^O+E!QtbyB&o$Le{o# zU%uGvZ&X0x^A2`v@&yV#4b%I+1M8d+x!irqsk#jZa8aZDMDekXf^xNnx~Sr!eEQzy z+i~_qM^dr9zJ4o&KT0gBkDiGurZ=mYQedR1AN)Uge9UBNW>ue~uybw=#O&Ns%k&xD zc|}!RqET+WeU0JGU72Zo= zD^O$|QMsHGZm7zoyOHw{dCWIBC9zIbgxYkadaR%Os?N*%j(=O2hrR>Pby7~;&I)#u zuXUNCP^g5?|9XZpGUi{wp9C+zTh4p5aG|kRs9ur#skai{K1O>~?WE0Rt~Qfq!~%H9 z5bi~NZCJR%s9l#;b`3}NdBL~Lc#K88+{Bkt$h$Inw{|m}vDC}n@1#o!-MBA5#mRh+ zC`H>vE2${oKS9qC+}mGveH9QM@A8`chfWgk?Hc1eqJt=QgdyX~kEI*bZCLrl?uJUw z(gejP>f&>Lr6ux228%+bstS}j#ieb5UG1I=5OXvQ$cae=pT97jBP%EkK2|y!w!5`l zi*}g2+-T&qP(k*iYTi#31i+(Catz41G{^L({K zVh46}i^yw&?`f+88NC9`@m zV!=-g9o0s@qQud#;0r6v7Yc zW}+IVikk-$ZsOk(JowrwHSbH4?lKjB#wnihuK22{wl1RB9yJgnT62t;MP2u1DGWX_ z`uX&W_Ni~LYW?|1<-pttFWTVGYH~1gPQQF;X4yxu`kw*xN365&iC= zVgsY?d2J@Re5vE5|2CE2`}pM6IF>nu(Q}PT>!+i;N4HDaVhV~JtYeVaxq~$FQJ@t@ zmUzbBPBO<>xv_MYRf`epJ0X|D*$7nNW^t|JrbKQBteL5*D%CoRy@k^hEjY$#-9wpy zg~pXrRPV{O-5wMQX24J61DdSKTl7UlFmSNTC*!QN@pJP$=CS&;KWjPI&*Cb!3~SI) zr|AfE&`*9v@-T_q#nqDf@-oLzHU8mIa9e|WLn$~krYnfu{MU>6n#|Z=bU_ep_6gWt zm4s1H0^7&Q@uEI*@|2pR;#3eJkN^4e%Ke$0STOE4*ca{MCJS$SJR|BlE}y)vZlW?A zm-lX(UNzh=?vT6ziaw7zY3-{0&Bz&%Dr>XbE7g>4dO88kDxMdv%q*%u=SE_a&BGCU zwS^67SW0R6qE?-37G(+ zW+ZdmFUP5*&moACU_4ljg3>)SO8gO@qdSW(s|(Z%l2O(aoRVV2H5u4{E>@!Q=JIoM zSlD~9_vjT*r^@O?7u_4*@3!-ciW=ZBM2B{fs_gCC^EBvEaiQbrX!6IWw4%_62pU?6yyP^&)e3Ay zqgaEHVnbLQj?zXCLqM({H7p_MNx|$^IhKNK3TLRhvNA+q|8?cy7S{;~@bHA)&&*%2 z{JpI@X|HpAhX%$b@NzO=u3reLg%?5pZ>jELYeQ}Ao-hlOTgw~8e#w#_>{rXB%P*ko z-7L)9Cwon4ugOTSSQ>s^eEj6HI55BKcC~R#n4(lLkr@%^F%=xW#_RIx>?0Fv6-%Du zu4`{EUr=a*IA>2`ZeL#xFMBR)R+fATp*~u}96AMi>Q%M^R{hZxRMVdcAiNjiSEITG{6*;iXiv# zraFE@bQNVaxc9|hUaa4b#(Yi=9&tYR937d(|7ELD(lCB${Iyk|eSfVj_3QMNpiG&= z_s0F>U`qa!@fk0Vt2s@j#e6l4q%1bvkn$Dhy06VR`z-NBHTCuNb#-+C1sNG^VF&2m z!)FR&O-$ml#b>YWu%)88R^tC^cA_!tc3z;PH9{(GpRlJCmdusAxfZlF>?y8{QP?eVeUBsx-^o->1EQX2|0v8GSIovo;ey=`!{?X!Cna5i5*Oq=4^uY)%g>+9cd)E;c14Yn=WoBd$l>%lI36?|$n>WtCf>ZGkm}hmX8qgW6=br9 z5-I*|;l|qL9u{jE)2KRJduIMw7mH9LmCt$nb1(0)Q0Ht2ks!=1MOCpa6l;aX3ap&W zTJv^#U2qTVxa94k^_#DC@jQ)(`&S#7l0);`x4wyGv#@UuAL2-H5X7e*_-_jybQWX* z-4~zn1+)xauE#ySMXJ|rKUYwn<-ofwU_XHkqWYjw5Cbzq?h_<7=nJvRiaWFUvq{bm z>UMLFi3btzM)>iiKWmj5QwSlmxwZ9KS3?}>s#@guJ%Z+ekT|-#wTYPWkh(isUS2Ni z7bwU>maS%V^6wye5fPCfCqRvOSI^DGb#dcGx$Nr+(`#58G3H$;(?02FKr;~ea(4_YsoPf#-tKP$@-P%b^b zq3Vm_I0k)#nVC!nz@nED`D%@bei(j8pff1 ztxJ-i;i;+10flfcE!7F&)8P=+187}zBmxfua;r+O|K^S4$^f5r8D=41-01)< zT_2-S6?hw#cTXbRebN`tgsT1$%q1G??2$XKTb*^W`m#OSXw68>6;)mR$MXP#&zmG` zD!KynOiXl4OvEs)k#bu;(x6|)QiNQo27kyMsw5v&mK6I@rmKq`rfqh~ju~Ru-}(}g z3Z9ECW8x`)1XWao#v(K%5A4D;HoH%K&*Cx*$Ui-=znPD8s!vtPz~9FMFfx>&6P=&5 zBT+wg8Gs)shW=SK@u}81dCMl$GF~eFFr*CzE;s2MMIg@nv&ibe@9`0LA#z2L#l$Os zVGV$XoE^l+0i*uTP@SmHH>YBD)0;Ii1eCSL>Z&?Xlk+X>GI8woP#|{RE?P)_p9JJ3 zi8&A@JNf~s;^7E~y&w;bq)vqZbG?a+Qhlu@hHVZ=oc@16qCmrRz0Xft7_X42pliQS z6@rjbU}d+;zmPuo3rNlsJa=^!sfGwoy#VmUCr?@_7ytl`&p>v-^QA$k9p&`-dHBx$ zrt8TN95|`emWCZIXe@ zRsLfE0qdd0=Z^_rs83nO6%vksQ^zgM@!M|z0Y5-Z7Kq2O%mUdvT)mfjUv9J^yxK>{ zP@VVj0rqe4i29q}7?}5=KZ$kiaetk-`{>?0lT_;LHNx8C_vt#LTfWguf1r1lKr7xt z|MTmsuTeEg<;%lTtFWtu_rfhW1goU~0>GOb+lF#5Q2u-g=L3W`14H<6E?XIJtUS02 zL=MArptMj$Y%x8I8`cMCE6HWIsW2`0MlZTZ&*d*N=gcg#%jnf^##fghz^g4AO!&qn zX8f)w6(LP*aUbjq)VPd-Z0Fr8MSR~t4=oaxzHZ$170xStOOrtP1!Y*L`;~o10uS~f z#+N3p9J50V&!Dco`Pp++!E_lKTxi0>)&H#XNMchPpgu{e=B9VzP8aX8e(rwc6QV^M z5FG3#G7uCk4_8?0J@rkAaJeL_{ctVt-Mv9@%yw}$yCo+j~vFrIQu zMq)oFD!_W&Vd2e+kc*T2eg~?0hXf-~J+g$N_9+5y*v!7aN@7arB%{CY+EY|)1+i}I zUJUk!-Xo3i9ZvX%M;JS?6C(w6epNFcTFHSlT~4b(k{%-?#7bw+2RzZwU(sePUq}B* zt3Pc;3pTg|nBFJ}G)SM-FTO@L&IO*cL~8|y4-CMB_Gx<`f)9M%<|AC`U}2F&5l9oG z|3{;b=6I1nLvtn?LPD%$VWCNZO>l3!aEJa7k>)^1u>}+b+Q{ScX%1UjA zz88rRWJ!W9`x#;({w2R%;PXUK4|Y~o0C`MKPVVoQm)hQWndfpRXW8Ic{zOjbaL+VC zxBQqZ>cM-pE*l74^C6cvxm=4cxj0UPUbRz+Fw=FJ zgkIkGhCeS^fJZE;P!s{arnl#_4h5d-Pi2FbWqzG-K_xKan z>74h?>K~C*{i?h4+>K})Rozgi$6?ot2cY2=KTIMPt%L-thSPEC-guK62`5ee_IgeZ zFOgFzYFM`XQ=CPvMJto^#V2HaQUBHa4)>mZ2{|7uJNth1BlE<8`U!tgv!@LFuHXo=8`luneITO#ZH%uVM40YGBY zT3M=$-w}+_7E5bIFFzwRu1W~%>gx#FqDcar2E@m%iIIl?w<+oJ{SPt(#YD@cm0#`r zm9DU)$~Yq&pXb=BQKV%A(S7@i38ak>Wp-AJ))o^PvVKGP%0+j>6n7!jnrAvu*H)!q zl6VS@VsHZWXLGsZXhfa+B*65RadJOgQvY5LtPy zAe$X_xo4eKLV;)FOJGGTen>V6`KQZf#7ExM>zJiEshdbf|O) zu?5ROA!(HTuinmxe`GF>itYQ1!)!eT|%ul zpPcO7Sn+mBEX?xO(&%)ek44odaJ{cvk=pwDj2GBrkS+{a#(yv;k=8+fv9``~TM%Hp zO?z&=adJpI%>dc-Os9E(R#nGhkZ_Noco7wl5w7{7XF@hiuiLj zLycK@=Xrw=bOE9>_=+?j_MH{Euh`B}eVzs@Ef=8sMm^czEaSjihRVUcC8gW z$n|`p1aaQGoGw(dkfNZp7bq%ege?ZAWeqbo9Gfs&uy7`QpZEpGx>+Q1`8#X7f|a=j zb6a+A_4fLxv_ehk+qG4Gd)$TlC}$V=*8u1xFIW^8K2c*2>8?aKCa$@8hC)FGIH`Qh zjCQVO;X3ZN1J)%>I2!;=#r0UF_;U$oE|=iUPXpR@4JsLySym~~HtGaA62}vSr^<1e z$Dsh2{j}$hAHpc8`dz4!r{`kv%!>u1gd1mga5A^W!h+}ZN5jxcODh^*{A{|Z><7dl zeJq9>*-a_f(XwAfXNhTG;X;bhQqj*2r%=Ckm%eLT{*HLAa}4e084$*sFhWkqkLNns zOxjnxH=i^q02DDUwQ(UqYSnaH%Q%(0Anu#**_XNrvZ8!PVg|sgEr#QLIk+_a%Emi| zMOAm}5nCMV_&{pK$0`{OP-|fyW1#OZN@}sE@^U4|Hyfu zmtgr*c7N9+S^@eiyu0D`oanx@S^xq!5(L1CTE2Vgp*lg8)U%WJfW(SXQk6WF8Upqq`g5eGMe=Epm0r6@%a%&g2h`k;OXvOv|(p*#>Q(hGLqG~xSvjg z#9w6+EYP_wx_nPb*CQ6#U6@?G3Tw}Dyv~d#MnuwFrjBl&ojzbW3yZt%4NEKF z2YK77$vHbw(e=j*)WwpF_j`N>V6?!XHhyNU)r-Enm+Tol;maO*G7B~$Z>JZs5h5@h z`w?-7A{INp=_$nOt|sGTuL|)2+HWSFcY2K(BH}iMawm@xnsu5mzNQeyQk+4D4Q#`h z8sDxPlId7#Y+7?gUtFMDZ%tyW|GC3EU-V0MkctkZV`Uw%hfh!1nT!Fn1{5v zTm?b*1+RTr%ccS!ueB}ceWCas7l#BLh0_26E2l9l@`N4!`ugy>ytf?B)KWQ7nsE8R z&gAUty(!+!bg0*Q6LiLTZ<}w;(836^FGb*5Kz`C*y<9zH^Ndxb?DNXXcw%CBm3c#f zO6;uL%wJ4aUKM~oYaQWy9&=kXBYZxcHe10>27%PXLc*_jFcob|Wdwa;GSIjB3l|sl z!2k94e+MzzS8ZIMy^uh<9;ImN4_fyBp^ya?`-gX)Al%mpO|4P1)4Ng@J*to#y5;LB z>HK87e1_vINtI>=&|GHwy8$v z{gnLhn$#v*HZ@L>1OfVC`SMFD0yl~n3C~#@f#|XUft|vf92&~2r5E0t&UMGf$F#S@z<^V%as3aQqB=z+U9@D7x<{x- z8*(He`i1sT2_^hId;ADCWUM3BkMsEX#cRjhq)$|FFpiox4*WCVd-FQXR8*)rs8T(O zL#x|KlfCy4)b`lA*_L3{KT25qE_`YJ%oh`T%CO<8SHzaEKM?i-2qsj3q9k;jki1Z$ zzCTC8NT0n@5%)Jdz8eruOXA!3luG!gOWfhfm=Q@dNJD`U?Z#ZOe`5g>CQ^963 zafY}gJ+>|i*esW2I?pScNd&IsA~GMM=uRU~CX`5L7 zX*Q$?IP}KyhO?%~Y)tyk?+y3qA-o0ishqSY zlYevQBqsKr`O7fK|8Va}J}NC89*!<7lnrRr<4Hm2v2(=1WTf zy(_g_XM~mR0araE>H5(-rlW(rdVqo%(t)q@ME0XG&rVt?Z%~a5nbJ-|{`vVcAaNFA zX3$_@q-AgjNxGm3OmfwEARZ13qog2*VtFh7Lln}00X!f4MflQjt6{J56&|$8ofAhY z+N3KngHHBf{-C%xlFph?>^(N{LVaKm_+FV9z@a)KBw)#$9YLFy8x8K~XTXKrR%w@i zJ=}9VF(jsQ(|Epr|BeOF6EDFasn-_bd#*Cx3g8l<|3}tahefr1@53Aw3zU>blvKK; zQ-+cf5RmTfmKZ>kF6r))mhM4G0qM?>Zbljg27b?Y&gc97@$TUoFX7sIKYQ(`*1hg~ zElRRI*)Qjc3=8SEm09VU+YkWysR`10pXZ?R?}Yc>jA!uqKPvbC?&cqQqU+G*;i;LT zNJoEnCPoJ7fnAzOb&AC;PMZi%2Ke=jkp^cTjqt`A^rnZ@7&Z0X)%9aX5Ximu?(i_w z#ACFus_pt@2+?v>KJs*;=1R;!R(wQmgGEixL*O0DTwsucjCUcG#M=L$8w}M?Xld`W z2nz4($9-KN&R=L1Kyrr9J&Mx=t+RE_VR@w;p3r@ zAI=YMr+Z7{Z4p8OPL_IT^r0U%{_!OKeeU|=%+tMi={M+LyMlzCHeUMX`kF*};tYe} zk%ON1+EF{hWlWs1D-Z+}fMEFdU?=(uRRcUph7c3#Z7#owrM!GQGN^6#0bT`+yZlpd zqQ?6of04b&aFPbZo$P(Rt_v6B9Yizd$m>*G?NU#>vHnN$vY=_y%|7Abm_@YO%fM z{uK3XIe--+ATLw0-V|}0+fqC0TfclHQtq-62pd|vxxW7w2=H^{OCLnHY^8ktl$#+7 z9jKCF=g&x|#dy{V${Iea{UxI6)@;m?&8Dnr*=rniom1y_Ha284CIL5Kx2Sx7yjlP4 zn+4!h&DGocdR(3+**q@5QS1jQUqF5f#wmY)5V2FyW(S8sk@cZD(|c~>S#MtmnIyoc zo%o8$wtY`x5uX>Bm(6A&0G2@vzy}3d!|iA0SDi35${CZPQm1|70$(m88=PXTKYwB* z44=XJ>uLKDw)4+|N{VLe7&K_mv+_MAbst`y<5U`RVfED^;5Q&Q67`TBbzJKigCs|n zC`wAog@pwhqe{~6xPbn(Gj(Hgvi%BKUtH|w=7vQ1bSH_d*KUe=_sMvN{4BUo zN>!`2TNq){PD#kP8QkNA)|?bJh9(%X(K1Q@`t|f{V{@$g#k57#;{rhFV%lj$STOyi zrvVdo-VQ{k29=@7d4~T8@~x{&qhTRcT3b_XM*q61q_WabCDkA;LHEPbmh%Q)w1^EN zKT%I$!t?n8pi7qlTy0d2&DO@o0?^Ye&|k-%UKw6Km)EF!cMM0fXU#>peCJ)AoDoxP zFNoG8Y~n`Jq9nlHNRao5+4MDaXyj8ksLAf3B>&@BjE@eo6oGx}-?V z$&8V2sm|cT&?6=k#g6Vp*2#D9eKmM?$) zdP1&N7Qnyat}MAcSJ7@6Z@LT-dJTz7)o#f<%mGvdd#`1jURK-CFaHN|_5H_puA)>) zWIHq*7M(JhtCm=(mKb1q10m9$vZ6M`KgxTZ^1%xFdq|07Eqr|K;WUd`^MpKv%Hjvd zq`dcXiKGl?pAT7a&V2DtNLsL}qR)ZSRGSRMc>|V~UPjwoQzYlGZ=L-v;_7d21b)G@ z@L3l$$;wex>p7*hNf|m8g@&irS7sYTpU|nj#kKnG5W%9QB%|Q=1nR_Wh6<~^=ceer zEQsb`l^HK6iA=VYmG+@V5`Ny%d+V>`qj4sPQ1`hmB~x_iZG)x;139Vfg0F&`?(Ov< zbyz*~(M96+vAu?_GIIUiZc(CF&vg-G9hT6m^!9(^VfG{+B@xi3JUnImP&qn#!8uY2 z^S)7%zF2vW2XiEOkrGpbyIFJ1QDO7R`wo9IQJnJoR9 zcWv8$7gzKPBsCqU((OzgjTk0Y(N+PZ|0Z|IK~z+ONyza(>6f6Kvk1pAo5Bj9N;zC7 z2SK9w80fz&YR|4c+?|~f=gzKqwb)nzXQxR+PfoNdjRrj<6k8v*W1{^ki`l18f$>raX5ae z_X)9j>6>)XeZzx?_u!r8RuWXI4lgCD`%hQ3LPLCgNhb-;s?HOY!*44MY8XGy--2+rfx{B!}HwTMD}<9>BD$HE5&7+n{w?P9p;p8Eal*Z$$IGv)zL} ze2&&)c?;JLtg6-0Hm!#N6o*JSS5}|{}*daw?5m< zUHx(f&I7uf%+{Hc%p2t@bN71>XmKUEk^8mvgZ!xKiq_NC2X5~aoB@^9=O&d7jN>1C zy3mudutXgh2q`Hn@%qnFo|}P)=Gio`!JyKgry@ZkHLZEcV98)~P-ZUdFBMk{gcm*^ae`rP}T; z8wJVT6x*80oeaJw2f<#P$)*vON9m_yW)qJWd%<}XTAGLLNFADc6Bz8e*&j(TVvG?P zk7Hklf=?Bo)Ok`^yr0XkRVP$2Q89_hhxuosY^&i>i~IytdoyOS^7H|O$tKA_HXE|& zS<!XU6 zF`+HWq<9)LGn^LaLV8PokCc}SyYShbzQ@mzc>T{|K;!~Er8(dA;p$cbn%>`-#BBjOF}v zdHo~YEX_vPW>}8L&ywx{3|BxC8WY?+%*RBuXg8U=2lb|bEb$9&L$N<_9vf3nKXCM_ zAwHGKWaB_Ao;?X$TU%VDXhyNAE`C%#Ju}tmcl=$0UZxFJzy4Z#h>i0yf{j7iaU$Yv zUH=pL{b`|iHJV?#YMN^n_8b-!Vc+`F75o1ff9LObV=}BxRyfAl-cCB%sc{QK3{n++ zIq{EJX!4&8S+Itk>8^e8l}aNZzQhMWl3R zQ->xUTnDFcXf;-?o-&MVQK-Zak-n06MW#svgj|uFLgH!o`ss7I3)LJ3b*aIGIl0Ge zs$MC_Yo``$lMgB=ES^~fU(e+9LO=OD)NEwNivIciA&Y{R7gj%0C=9(e`^8oI2&$-qMSZ#@Tffu3+A(RT@Z*t9O5is9=*ar3nOs5&=vN&Xm(T!h>wCTJ}vx$I^A=XD*i~XVZb1L(O&r;>x?t zH@}xMhrEuc@bO;j%gE~CU_qoBWE5E(6}(-|nqL;r<2Iny~4UW9G`0nwmHBw4Md!v_X|c~# zT;q)gQuxnAqpxloa7VSWF8K8P0_(tpQh*Zw3^Fys{OYo7PnOl%rOj8nbjC|>EoT8) z?=J?(nQUyLVHTfZyBHV;^g?G8DL5F$Ycaeg2X_W8N*q}RDeJzcL=!_AkjVhV7YQBQ}Q#5B`Rj@KFUQXCA zV_}Npe#RRVRp4p-P);+IOzN6nshq~fl2SC7S;jqnFP)27E@4&ggNN7mwBq{D0I6jY z`J$`6QdWV3hLY(tYGm(j+Q(bw_a`_!l-}OCEyqaN*r-Wy#`_%0Z&(bi z0DM$D{gY|zNpaY9bIvenTi-v=%FtoLq~v#-auBW0;SNZ_2vT-H%iG!v{`^TNAQ(BS=9&)j?ayeByV|tnS@84upS;n5@r!*Sf z^TNk4==<{kFgm`tc{XX9-;2Mjr4VQQ*%#XD{HE?#tXW!Ge0*|zyd`IHf108u|I*}C zw>n~M?ix4N_Q&y`4k{mB-23ZViWWi3Y0~c|#zyh)-?{SfovSpy7W=+&U~&CfQJ@w` zncOL9VOe1!XQ+~|>akVk(n-PPEsLr;S1dsHcI(p=M{*>-=CZOVXsf*F%!TGc+8h_h zIgn9`dH(A!x(Nt=u#+}WH`Kd{nK74|pcp22*Bn2y=B&iV#>T`V{E~^Of5{;2@-mdF zOntvrD$tR)F|5CD`gqw+J*1Nh>nKj1*rd|XPX~=;(GneZf!M>h9qq~D zipda&QcKF*h@;$JHSZHiZY3L^F^2|a!p#4a%@90CVn{w4Lip(wXE*5zWPBJGc08(7 z-n@TrBMqwPBcAa>u_*|7G=$pE@ooJO{sfRw26d6675hh;3N&XCNw1gZ=1MG!aj_k^ zTNQ4rmwGOoUEyeNC6%0yzs0{kGYpz z+M7zw_rhfVj}gO;X%P#8z5gnJed@iOOEWZgm7ti)xWi+_IXP#Dy`8OoBoeia15~yo zQ`|&tWns(esIcb}yNWyq6V&6Yqr(SKt?m8QeR|u@penQ=*ziw^t_aU;E_mv{N2UM2 z!0-P_f(5d=|0G`qx)L3?;aYwlcU*+GX$(OdyGf|Vc~zo5uec{QF6=L1F{GXc`sW7v zvkP0`z5c%zcYj<;2i6;n6Z7wNu?SyEXE-tO#L!G12%okEBc9Y>>jed7sRKOc2Vp?xI$epkE*s$D zHtLD|;S=6;(ugSxJcGS)tiR?Sa$I#OQMUBMY zN2jjKgqT1&trb=Ik^%M+0}Jz@gfk$SaKsGZ)tuW46>P%zGv^A zthn0jo2{EAX+e*Iq{#$k&BM*ysL1A&xv`ez0yc)Mrx+x}4Cs11mHrv%V1cF8X>!#Z zW$JK!Y0c`0G*waA0_vD+4rq)CqF;m(g>~8R$i=*S|Ni(BRp}xOdf7i$-viXej{qOB zwyy3KFE9Kemx@KJ#-h`;xESVuI+=q0`SA}*TT*$~t@#;ll(Uk4P;TgEFRvagud3HQ zPMyVtUdc+fqf{`EM?!bb$0y~O3GtCVZW&JdOrfvMdxk$f#}Cn7_^&H`dCERdfyQ-v ze}O2x^kx_xZ7)HIxtl;gTbp-RiLa04ji9}n2Ad&Z0Qyu+&CSe^KEyPxeImmIHrGIF zdxbpN0qi&#$p7HoiV9T(E=A$q(4ewqrrv_dLw}`IMQK#xA&0hUq z9EBt3Uo%0}?k$I2+MSf@y6a2#u;e~E^gjM-4TrU=PWN>Y`|d4=wMjw1Js~v?OFvP3 z|Iiy<9LgoKwbfKsMhAmTGQnRfD;?o;zH?`%@Pa=!fC!aesquwY$#Uzf`Og^nI&8zA zX{CV48rl{_P+4>W5*K`MXXtqW|IZG%IRCQVf^&k&RB^Prta1kF!-mFEQhhUNJr+GS znv;_wB-HEXwyutH=M8A^F1*=rqkE5KsQJ*%Z1inI8?!R_=oU19qvZiF3XJ*pNLzHm^GC3Einj!c>dA~bCP=Pvv2?S`C4UGdwM|QnAWU$!5?3j_TJc7?9T13 z$Dh)o-A;X1H*`}A>p_^(!J_jhc~pDz>r^WRmsLUI8)!>H>SiV@u9nImoT!_d6Nr;`i4pE`H&@f+73XzAVJ zxn0yfz?~WWT0cDlq-<;py7c1ia%s=adGXml*Q^Nyxaxb?VHrf-(-tgzI)q(szi9N6 zAfNu%?3@5F)fW^3N%0O-Vrs}&peQz(;(QL!|4se8H$UzguAWnB2shu4Py%g8-uD}jocVStBjVf6a0-&z2PqU$3#FtDrI~4Q{Qzq1O-(tR1gb?$UYp@9r8>{D2C??G8r+Z{JB) zj$}bTido!sW{cl|Ra8FS=v9cw-WwphX~|kMBgOE0@!9Sk2K%JVdn>Ko_ZAHA`1 z^qE>t(PbyyROI8VR?iX#M%%ojJO)yn()U007AB;#a6@DE(FZZg(4;XTcm^E_hEjsMGG#?^LKis@e6GFTzMzt0~SDtx|t zB+Dk0HV$nSXk4At?yoE3VdNFFR#2?_^IoK|hL-vKWHF4sru?VU@cKqT4^&n(F%5;B5VQLX+hzz9yJ>bYFF~+R8KR8a6(% zg@)-;$N^$mnf8KYS9y#uSM4OnJ8L3cJa^-3^N%a)S(PhwR|)4;&`0%fy@Ir$_;|bK z1>g=i{*swSd6F0i~@IilUySZ8m z&P))?9Ifv$nEoQoskQD4vFNx>z&$#N_vc*qAc_@Ll{ekR{?5{!Dd>2|ejU;)i;0n? z89mc|7h^bT@QtUZ1piPhvuhBHbA-HNsymZ_n7pLxk{XM*u;oB%Z&A2>KPAK@*{XO! zpUO(PnOi|MaxO!dHG@W$UXxzEV4Lx5Do88tdFnXp7pJO#D}9&D&^G}SYWp~FcRu4W z7NUjUa2(uM@PK^zFq2q^fee%KU?o6M-C92Kfc!phRcA46Kw&0w3>y z&xZ_Pi=l=5g(O?VKE3!eN+o}>TS`JoLekA|bUyi-yUaB=zjPSB)l-(&nU79r<(9}p zDZIUZVqI2#+H!Nl&3*S3#+|NV0(kpCk(XQZoeWUUD1k5GeF8I)wL~k6PFG;(DX(=L z72_WQUDQ!3S2vrrWysGWtEkC_c&g8ao7dHuucsCs9(bu-01YQ5f1!!(XUT>J?8B;#(y16$O%Ef!$efw@+dT7PJtahma zMEg9KO+Eg^gM_B9u@DS{0+#zaNBb&?qXQZ-&COTWW}g^1l;1Wt!3Hv7j}z;yyoi{4 zxgB#p!c?0%ZL1a7@4t2zZCvTG^;eIaJD30TJ(f9^mXghW6oQIBYvlG~y!HJ)wlF}` zTLMl*({qSF7?Bu}9)`t1wFaw9$%j&}eQR=sm`?&bOJ`);D}9Q4iFU?@u0n$86@`)- zn5g0V>+AGShSu; z8cMeo;XkXL!G_F|g$Udf9G79X(k#w5Hh@ZNarELCep%nyjWE~?XbZTe^hNi_T3JmE z%-5>6W_Lz$Tr6r9`0Wil>eSXB5IjXx{eXL;=IN= zC;Xv`d8`4uW0ebki$;Nh_eYkOf#sz0(9?>yF&5VfD|*PcxrNkzS^{&XqD;lQT|YfM zTh_4C&Kb*_eddv_Yi~CD*p{@e^}HyNocnaavQ8~h-z%QemY`h_*x z*Cq*^*EuSYobAJt*x26?=1CRbnk8=#Y2GSuDeGEvbkwN&xNn&w?XC@hi zb9|I2{#ir1zMfL+h8_ryfVWPfYNxe4i?o?-J!_s4)ffbY6^IAfsWxR)o6A4yRJZJv z)fd3s?PnH+SpzL}0+&8YEBgL+Pmy7*-A!4uZ5B;-zG=N#s4ff%=H$f25h~4IsXI?j zpyPcXVu5mAV^)?5G(3Q6N~f#@xBQ)E)Ay>l=~-pG9v#hP;)o`m?q_~K!NE1>*nb(xz`$Od|}O9<}np+Dr<2`X(@&H4`40~;hDYDY@K)0Hf2h% zZ5#<w8L;dLZJoAUax4m9zp< za$|_;CsY)o?f2Q!w*H~CU)^L%89a0~ccKp*53QNPg`{%;>d-Z_7JY-@51X5#dvjcU zsY9>oAn5;W3DkL*?E+VvsXkb5mra#@X_6ei}xcD}yYC@d(zS5{CMsE7OXJEnCRk?wbc z?Z7ktcWP>`Zf^L|^HT;D68b;cu{+rN$3}5aRcJ@3#W|~F5A07s@{haJhnc1!MtxLl;MIy$y~O9L!x^Ph_ycp z0s6)W*y<$dYTP*c0%W%|wn>N+&(YsRfy(3dJM-rGBXwmx^Ye){chiDt|NqtDrj7s# zGg@Q_IRUC$>LuB0eG)q9GNt2yt$CUC<=Dp0e|E8IjBSEoeee_rmvyk(QWWLq$k9oj zWqbEmZ*-q}45wNe9fyzF#P#&xN6*b)xq1}7cpByE`vWJfC?d-HsD9D0AfvZ;DBy_T1&;RLT#K_8G z=g&`4ETEAbSC7Ce3l@mf%A9_l`Lgfj1IHh;^fa5x3TDo4taM5Nu$`1d5-IqcamU03 z;aem4zWEc!Z)wp!*G8QpPtI-Ej{#N8VKKC_F;hDyAA}T6PV_43bMrM111v>$U*^$J zM=?|33O|jBK7TEP-l9J6>89zAa2@566C~T|o*?Hq>HC zPY69-AKZfRIl#t(u(bIHv_{zutnoijC2LhG90zm@m52F7BW3aGB2S-HU1zYrv zRTe7N;`95uOt|LG6f7*BTr43pLE#}xGGc>wCZK@o#k8-9Y>Z%&w^y4@@rCZCs?vZU zqGc<4x=7JIY4eZV%`YprNzv+>+)Bm6FX1i3uLm?4@S=m71QXAASAD9PjZ|DfLr4<7 z4Y)qU$fZHMe*T!gm*5TOgwb*kzwvi^;IRG9TB4)rdXn(Yq{aBjnU&IA=%fDDcJ?>B z{oi)K7IfVCVygMr1QtUp7em_%d8fA4y5HnJS<27gzF!(1jvYyLn!mdXK>h?*c1Q==_Cp{j5+9*7Ybyprt5 zGYrDnBGKU4 zAv8MR8v}xuU>N*W^Rds6WP%|ud(#bZhhWE7nKz3}?$T}GVV&H;LJm?l#}KwJ)-yM& zc`SeVat5%rzlWHK7#i2}d$D{(iD30u%@W-rEPSEmU$rK-T#^S9pP`2^Xp+urZf%j1 z%s2L6OZUlT3l8X%Q4USRTW%YHyaQ83`-hX(CDEaT2?6`mVp(9}{V5;Ek8y@WY zGw3wr_t+q5)$U^k4E(R>C8e(f)c!}sKJV@eQxVI}hg*6WfnW8MXdl7;SHlOw@>d^< zk(>W(tKa49RMMTBUh&IM=f9-~_))@dUI`T>R5t3^pfmN|26^+$bp~W-_k)4x`w@Xgf3(~<<}x3ipiuM{09}*h(|>Jk{YZy@TYuXn z=-%coldO}h?A9=^U3G--dG@_XipFik&4$>QZtcqX5ky{j>LQheD zkGKDFr(e`=R!t^eHx}iaD*HB83^8;u#~he<+8humw-YijcB z)Yh)G8u-q%=@Jwhb53&)^^uN3NoXkiLlnmC&BH7v{{Jq1=icAA&?bJad7sQ6%^OAI zn+vmnC%iVxeCEE5_9`mFr`GJ;n6}#Nfg}+(GH)1nZ%#x;C!b{`2s@@Z!(n|`Y~iTg zvB*SqWXRWHcrVxq@$O!CVn|HQGS}8VL!w1$;P4yyTP_7x*T~4!y`*h+Oq{SDKrRcm zaJs@ut_>8!_$A9tg&6H;Pc~e~Q({V&DY{}^$@&VMz9_h==_>|Yk6Iw~;n zVLL(~_q2qb#+-C+COZHPzi|LxcnlUp(HHN>Be`x*dS3O%9CqUN&}x3^5dUeYg^nkn zkNXe*&KJtkxbHz}XKIby@flXHRR_pp3Ry?P=|_+hGtE(|e$86Hm5`0(a|pdu)W`Ja zs}NB<<)>67ZqNU{2nOx}=ze}y0OPqpy$&7GRbH~QOswa&xb`3%@qNFsy8zDHLue>yvk@5jmMPJKAOVS)wxbu%u$wB==U zEegdlrHb<@hL|~F-M;8~^ucJ`p0r;nvCXPMZ^xQ7t#EoGpH(HX*cheIBmJDo znps)r)2!;7<9*k|GA$?1FGoKRw7KfWs5sc!sVug8)-sXJ14JmzMps!`)y^)a<7Kha zmv}o^x-N_zfnHR^1nWw<8K=HTQhD6K+K#?Q%d~R$^%8*x#AFTGV73_kDIMNijz1{M zRFKmyY#Z9!(~CE8vpTD*^wV4{8D56$%zmnc1TAh^tYTqX=v^x!9`Zu}(aD>laXh&udqrT+|z;sAWq zG#ZcW&%HZthgeNbR4|nDvgjeZ_Em_7+=;KwSJse`>cG4t74!D6mD8$G72+Pe9u>7( zuZ>IByUJ@n=a&dw<$KcW6JKsT?@?BZ_u3C%P?N5`d~j5uM5`p63*pC!_jiXCUPs^Y zduCN)-*z<$rI7A(nR9FQx^~TEYwOK&(?!iTv2LBt^||d?JtWk5`}XoZY<5rkwkLuu zz75(U#GVbpl^MRMjj4f2WH1eSXc_k+GWb=#)h0yhN&s96YI30gFjkG`^5_QSEz89g zR41-PC$8G;!V&A@O;lm*L|Jj;Ouf}sB3)Oxn40xeobR<}Yr-7dsW3Rqjz#sMS@5pg znPsfpHS%+xv=heJP*n42p;x@s)^zgKiLdrMRxFI1Ct$XHD-HluQQL}%2ekJ)u{w`B zj{v>%di{$VTZq{pfym4b{vG`DeSeP(J>S;ut>a={Efa^jI-ja3x<5USpGm)(*w@g% z)>|mfXjrU44QPpHi3RpKzSG^iKFKF*U5O7CIj_&XWIuiJz8@qqF?j!wx6sZvH(@2j zG-M^%G$dF+06%rRh8&r&Z#7%p41Q}IVkk?$y(z=YETp#Agj56I-(_kowj8q@Hb~@g zQd~l85}7H8vdNvz|GO;<>p5)9q1Jddr+TY;nL2SIC!|+D(0XTb_PbK{x4DHI;rUW6 zaR}u2)Ome*dC`~#z<}OOG|aI=kMyCR>J6-ID+09mVs7(YNg7wsxivR`od&m*jQ7NN z)@O;l>y1IuVP!pkOR37cJ-4_|f)?P&Z~*8%Xs=YEi!qHcDK0y>ubT9ujWn1fFb>|} zvP<%YQz6A-dgqvqzW7v5NzpiRvdN2xUY52$F1d(d)M8&-b#L+kttZVYywZ>{*mLVv#Bjv5gPv^(9U z&0zS*AkKH4#MMJlRo^_)_lx^J#RFlh$p>+X=94zgb(7&k+%Fb#Z}+@q0Ck$0?y+Ao z`+(D)zkQYixd9o3qD?rX3X2)zX_c=?xgXre}|K0gMI zsDpmh#>w^QBX52HR-&o-#*4%|WY+{QhXxc>t{GnjX?V1tq9m+{(Ml#h8_kDIP%XjvJUAJ+Bx<@5)c~3CDPbbuWTbkV&V~}TmVZ6;)R_+Y0>kG+MU42O1 zLGB`#VD^%$jS*8R&EjWsXR(`Qv^Z`u0=AUEJRl&_!lgvOKt?prp}Ek0NW`P#rHlL= z5-3JCaCF>`CNgYZ)W%p_(Fm$w{5U zz11(bFO=h^3kBH!Xlsp&W0vc>=y#;=lrHL`z*ih;mY)Py=Go~iojZ27`R?@Qxa2h~B@x*2T3b4O4|Ps!e1 zh?!GO}v^ers(z4&`Vx-uySGN$yCz%*3`NoPM`CD z0GrqH{MqgA)7_|LV%`$n+Zf?^Ea@yaFX3z<8kC;8E}M8SsbrGyb4=({LTWI6t>hU@hT~*H+kk3a1?c~T<&-?uD1vb zmZ&yAyw4ldv2<|k=*~ZMw9*r0Z*84>r)@EqVC7D8f2YEbqJ{lYs(gDxcqx<5@U# zq=6IjjK-aW9yJo-=6)Kl<`-e-^Zhgoz8($F>!D&_X5 zO0x+^WO!&<_FgrKzdv`T>BsNk0C&4Q(&i7#v~vT6TI_l@=%Ow^I8*mO;4i#>#xY2E zNCoDl+egS9s(*V={G(Hr8_yAhu!wa4e=;Svb&I`EUmtuTMILXsUJ93#ihg zgMLLppESN4gu|2G=BSo$2c>Hl7|fSZy3&xrrpc1l@zUxP14Ll?R|;Ph72)BEU`DP^ z`p*tX`p>bDV&@`Jp*~jDSQmvb2*;1jFq{)TF>6pVGi-fb#O}@T&Iy;I~4$p2xqVX{!Jk&+5d`NHUx?cE}*{=FxqFwLFj7^|4))oJZe*yvA zFNJJ~35N{fU8h>cdo$=ZGw~vw?Ez_Fy2sbi-_d1;|7e;eeRcFRV|%9+al_hc#%1v> z+u(hi+%j12=I3emu9!tKY3~E>9pbw_O#!0FiMGC!`_x^1e7aei-Q_Mn-7EQ(kAgdS ztWoliv}c-QmloYNmQScIYfl<&AL(X%6p>@3`sbGZp+JYf9O9Qe>1HMi#`j@#GT1Cq z%}uQA+}dH{nu1!*hx0{bcYAfbIf?(7d2(keE8D)X=qQfaxI$xYE-!)pBuM4=2Tq3S zV(B*azz`p&@n8>co5HEKjk(^#UHLMcZHoH;8AEO$H0ahx>;fn z`G9$(>(DH9#DarF_4r2#u^8nnHZ%zB`F?(vv`7F}crjJ0lB=rD)q~3PoW$UiHCPYj zL+XzkG+A(}&kGj>Gvw>!o-FhAg)3t??Jgy6l;{H^B1WDd1>@NyMH|WJW6*Kvj8l0{V+@@6(Eg7_Ogm_8Z@UlbD2uGmF_IX@WUQ*sWbzBna z(XJd!pdo$6_#3S}6B~D&l=YYHTQUkRoF<{fi||R1ks97|xz%$Z`|>4451_kMF?@DQ z&$~QsTgzR<38x$@NawJdf9WA=z&a$jh^dnxn?S4WA0<4ybabIbmY#0AlyM~(6?O9S z(6FdPGXWyX@wt=u^^1|tM)I|Xy}aVMjBPnRc6;)Q#??bAA_Z!g>Vi(}Ivr>ggxIQe z_=>TDw+{7PH~^V~S%spJ5*uiHP1iJZvf?NlRaaIvt#Y6CvMkW-@JvP&S0f0N6!?v| z;|^B1y7LPeXge9`=(;*Pk9D$3cZUmcDo6)d4VYyW6g;jDp7b4M7>9@DY@T?IF(7X? zG719kn(OMKMsg!7`mQgx3NL-nCAO|F$6jlwIFZRg03=H_)fh!_tYm0>8fr(qZIOsC z&*&l;_yW(`EhyhwidUL$Unwb=n1q=xpMv7Op%K++Fj2Knf=NX1vR{*Vw)W1>b2yf{Tk=$a#HChBtJsSLAGy zlWBfJ5is+azW5TPml`1p3rjs?BjOO#*28-j@O=OleZ?T-F~8vG3ZieGUhBO`L>76N#`oJZn!-~zMsvonM5 z9)MgcM>pMu8;4XdpHS?*Nic1^dEA_vw+ee{Dfbsu{L}r^%;*^E;xW`qq|PkUvfn)v z8kTYk%eL;YRw;A;z#(YQD7cS2Kh4IlL#29QpOw+7Y6g2om4H}uY}di6Myo3@pT1g; znbC?GL=PPS!)2n}0(V8>YSL9!80YY$pDgGwf{-Coup2J-Ye+g(C8* zRcUj~G;8j7^)H05b$ny)V3(jICd*QCiYkYxoV^`dVB^5135}r*U@s(;#KJ>u`*cLA ztj7kHi%c~hRh^R`i83urbg~nE9k6p3tvW8T^%ZcRL0s-pl~U6kw3;l!+S~WDX8-!F z`D^p;9V)8Ux|bKkd#W&<>L%|AW6e|%{P8j?4AAD;=10J_(s0?e^LxTnm4U2POD#le zV9`f7-DWU=-f;6DLk&edp2G34T#ijic!pz14DLiKtj*p^ zR&GZ_8+y5xxVNMEr&zk57$wtu+rnNq(dE(>9r(mt#GER9DS8MeQVaVJSN8kr_jkM~ zhpv$M*K?TaZ0t|hZ^*P@bhwtLA|AvdGrsxL_E!O9&I?e_{>=+u7j(GLtSh7x1HqSw zk;j&hd-}nyFOGt`xa3G6T`$a3=EyK;W^q9Q9dZO~6SwnvKJUE4#FnZabW^QuHvhyH z_+u1PD500V0@joHs*0kQfHydMJmRCaL-sRcL4jGWN}kJHSHNp9pD3)h))k(997s6%cLZwF?8kV}_hIm)c{V$5cq*(FG! z>R+uJ2nuahRlo#dl5jJ>eEIHFDk4=8#xK&ccYIXfdj^$sIK?#XO0~c4n%E5uy0-FNKE00!s$csxB zO6Bn-3e(-(e&@@^_Py4avsgv*9vo+D+om-P-IYYt|K!P<`Z9*ljV?1cs$(&9ZYQt~ zd9D{7iY*I*XHJ~=fZ%d_I`>|IBvuG_^K#!vz~^F=TVB@Uq;KI`?9_OL!yV|Rcv9?YA->1{~VS>-yj$etga9L2EEh= zh&LLg*EClW^$5_c_Ia(eS zl&9CgpfQ#;d85MWvh9qufzRH(b*$fU)yj#MPBX-!JN&HNOQv~jz;15q@<`wJ&t#_c zW9XXj(Jx)VnZ{{*>-amEh(Mp(iLc8XzN_W*$@S`kL}I<+XdWBje&i)Zy-Gc2)H^4> z*|sKSol2yn3@Iv#UysWHF2!9y3)x)HT*!!B=n?(wM?)7+_lQosj1ZWkJB#0Wy#?%M zKb5aLOj7@i9ee#3AxJO`>HMCkzH=+cSk9LT)Z)vpUb1jKVW+2(8m|uXCfq zNCP=JIr$=+_nUU4)VLuf;o;$~JCh4u2#`uw$^3i{A-FIf*nKS1+=Q+<=%Oj?tu4_R>;-%kJres_!#V+;G=GxRl zjnp4&rZ0Zl>(-FsHmS*6md}Egqz)IS4!?Rv-$ZERIk5HFH5KQ z{q&wJ?|?<;LA>Rw;zB|6vBZ=Cmm|uN^PZOZwi7>YPmf$@pF&dculc1oD6l9WzSc{E zPboqXw2BCSb!trqjm-@5hj*6h*4GyYhuV(DALCP5f*E@L>#{)qZ7i+^$?ZJVv+-}vx3g%!|Y$wN@>b_xJ%28JgGzQ0cd)T*^K0-iP4wB=k!`= zKyE*qw%LK5aZIz?MDCv-rF>F-5jk2J;?u1wGB7A8$Rrsa8a$P81<+G(Sw8b>n|j{k z#y=LRVTz3=UlI|B#1hROb2Z!e5$QlS3n@8SlC$y}P0(AC!vB6sQkAed@r|*(Q*~1H zvm-o|zOM6H?LX}Ah~FN73|VR*fhW$N$naq(`Ih)$!}&w;yOKh0vkC+KgcD5H3}>fD zk9NxPmw3vihX^+=Rfjj*KR!CrrCPYy*;^_1EQrjH4|YT-Ql<)vvA9LjbGl_*qaY-# zNeaIUS_skm>dR=JhVslR#+~YE9qnO)9rKT}Vdz9&!+(@}MfxLxva75QC{*{NAv_CY zl-r-z({OAUPQ0$g_80GAtw|hcCd^LM4>|*+BMc`eUg=TWrbJdpsDGXVBw$c15ljTW@(L%Vun=vPh zu!TQsc{tPNYMlOTq1^{TldMPon7YEKICLncVxKe_2ANuHQR61C8+-?SaUc*m{JWzj zLzCMuFS~UckZ2PBi4Jl&d(G!TwgHoDBFUdY$(wq!!YofSBr}31zw3pwV@HY@i+jY= zAho+;l852N`KXF=jQA-IOIEpQF9HL@o8txfq^V8D?`*DQYdf0&vtOl4j=OpPXs(pE zZe82f=Tai#N=tmq=!=(Td)%zCk0#v;H7nYzW&7#R9+eH_4WvmGCitErXPb0Vyw@D3 zii)2koHZk79_rPPB##v}s=t3P1Dy~i6i74(md)jqJ&1f{g<0xnss_jcX~kWcPB(YN z9SskwZUN=*-&jk_9{Of0@daY>&LIlu_2#`ZCg3`#EX77MrY`l_}g9;GA&P-1hx{ zLEpZtU!D=Zv<@VSRGh02LA;IlN(k^d2s; zXi^$NRAb#0temj)&7=@B{KvXC5OvOWUh6)@JY-#48stwxZQxG}T+2A!m;h@K0Q|xQ z4403xj8pM;tK`OLl4OVxwMIs)`AHAIXQIQE*+lnya{0ZSf;d!FagdnmAC@7TBnGeL zNHecf$-sLS-|eP6VWv;}6sZnj3-En_kxU+j(cSOxSa2I6j7BY2?o1fPfD7&)zsF8b zJeUJy0`buRZ*bR%IM^leIdwhd3&SCH_WwsJ{iTQ@X0|4fYnwh@dolmU-Kj^ZkVXX< z2KVa`uY;cWynCfBv~rk=GuYu29vqL+`t6@Eo)JSn$_m)^*E<);;8YDMFDoi*6O2BBL8bp0tta=Hg;8%Q$W5!U!dqiG|T_uE22nLieE-K z(EHSp88kG=#(%^)#m9=J8Tt;teF=NQyEE@X^Ul{2nxO&BlkeGhxSrMM69!J| zts2pB-T9r;_jJGixbxU`l`_fF%@5q}?!VQLyyqkX@xMu48cH8)TmZyYBt8G{&nl?H zw&S{3bzdZKm=s>PJ|^|7?(Y_J_OLWXNYR}okq*rwjgUscYogW{Ao!0=atkvJiheA&r}MW^dK8k@*Sd`J4_%~1ud%in+k z+oQl+TdF@%+L_G|F3ZE#1PJp*D7PA^xFMN4SuM*EQ$I&|-ICq!;ttI6IroT?mfrDb%6;0V{@#QkYyc)|5oYD5zPad9hTmL)CjiR+F9uuqyzqz;A})tM zEV<)lL)V$*8K{}}L31tEV#rHt z?q5b{fsUx}s5$3`FG*pnUGu;UP|pu|cO*GVlKx!K~j^r>&VO-V*k~3 z^pHaX1I{fmu%MsR)}NbO^VL+jYD!6k_n7f#XY z`~1ROi1-o;74a*Xcco4#9HyE(kgESz$m41U-(MchD-F$UpV<9-cL|*R4RmhauqQ;R zFGPtN&wo%<3~EC;XuXj0-cAod+q5_XlO!XqpUC7D7u#6h^>yow$kQ<3lh?u|11aGE zyqkUn6z+XR+4#AO*p`HDLHs3w4Al`Uc`XqaCccYx`DBw8U|vF?G}Y6(N=kzVOeqR- z2}ey6mfB<|($8fgoR);nK9;VC!b0C!6c3LMuC~7^(u=SR_Z1YI!sx+bbzcctHO6iv@Cvm&YipN7VyWx4qAeGgvS2T89 z$=sw+YE`Z{+aAmY;#5=3SXyU4S!jXC$!Tv9zJZQLlxbh$1*msp(#y!mP*qj^{hk&* z+z8O>T*tPB5VPs98y2}*r9NZH{fza#VSr~v9J!3n2loxJz4Q-BTg1yU8QAA(c|i7d}pD}JoKF_l0y^M{6R zd$xu*jhyu-NHtBbgn3_0kSB;l^SD-F$>5mvLHVzvj@0We+srXpeFx$m0%JLD)wM(ZtaMQJ%X+`BV0 z&gyb|Uw!rqum4yYp30a5`W*w`yJ(53*Ix9v!Ntj5g5RSAVjPG42@y8ms2q4d$Ep3B z5YeG8I36$89Zb!;0S2M+0l{)3Ps4c}Y|{CT;#V2`0y#O`*slPO@I77lGd7uL)n*d6 zaapT!RWuN3p)rGR{c5Kx3np0=mqNcq3L$IC3Vha9gs8dDLT~E3+93`|J#GMgDnZ#+W?e_Y$hmw*KnvzHeD2br|XzaiT zEJG=C^Ue&pzN8v$g0}_8&6D#u053m_cXT}3-k$Y3(Rph;vU;$>iPRO^BHfnw2pES@ zKs_Zo6C&qLanx=uZ~qcyE37d%79gnSv!v`6MRLU1V~48j7v{=%3oxcH$qRP{9>P(d z8Q?Z{&(4>K3_m6#g<3WfxTfS#zwIQCn=s+EG*cs{8U;#nJ`7OCc%+0)qbLrw7QMJkv3 z_wQ{l;s+%>k?UFE=NiDc8y^Bs4Fo35 ztKnmY0x@>8wq5`|e0ZDGhD-@rM3gGu;RdL4Bu;k~$;1_kSY!Y}5rH_D2GmJB+>y~y zRh3H-A$J2i!~i0`dtQhgV zqnrrWmWl?oJ1eZY*y|y$n}L_xI|EZGm3o08@UAZ{&6cY}7aQyl&N9-zMHnF%A60uq z&RJX^2VNN01J(C#NvZ27R)>8SyDWlw$e2Zo5>DIjPxM59hSi}oMXFm)9H5bwRw zcwQ&I-;&rr6|_al?!s?mj0R;x2-a-kaZMW?btk5gS;Xe!>AG*mz-x?(+ylRk{KhZ* zu}G6ggyq-;zktAFujO1m{fw1)(WZ_|ej4#I(IpYi%e7*3yd=?0vW}02#(q7I;u%G> zvbhS%OL?5^IAU<_sW4O)6a~KR!i?hK6L>p>>;PME0934l1qdi94S)`VE?OzARlnru znsFSlx4XHd{boN}Jxv+v5P{u6qmXbk3TaRN$TWcxOr=trOu>8)0(R4!%!|l zd_qFrJU5m=$@kSfVCazdJ>MUCVYQgN9Y_(DQV0#J@l^G@?+WN!ge4tdZcs+kgtQ@Y ztkF@DWA%luxsBWJa!eKUXpbV@4-ZvzpG-3>u&F7kq@Q=N?l}vSS&+9Kc{I~g%$k9{ zGC@`%M1T8t>}5f{uCnGtb78Y>+SIGPg}`kswH6GRUIQXLuX#O%K9C$!I;!G(`Wwv=TYZb&pYlfloWt&Ix^MoIaWYi|KDp9=C|`d_Y;5et#s=(M zv=&w8?oc&`Ytyy~)6P5(@VBnaP~>=ayGze)@p?V@S?x#PKVilt*|){}=S-ZyJV{u6 zlNZTh=*=y$j?C#^nv@ex){N5^}OWjbHb$^tjR)9tQo61wai^5#Q@ zfRDcu>QJPl7KjZ4FTUSKQE5g&>~bkwLGyaKHgTABzuV z^k&Y!?L!ao+mstpTf1fN-~s{yNeggGsN6u{3(Pvz1Bb(90KjwDVK$M;xK#_CGc5|? zllNg8OJOy|*HP9?9p%77N3E(q%!@3eb0MjWy2 z_ZkEh&G7rx(>(zWnoiCQW@ajkjL)`9e*nTmKIz~|1fS052HLMa;PI~izrGOxogzdi!0@FFm%=}Nhv|!G>JS#tJuglptem!*feFwhk-hV0U zyI<0Z)c*NHBYFOfa{=&kr>qs?IrCRvYaoOZ*$R;Er@LzRy^{+&Mux|RM@L7CFq; zGR|tguRds6f2>-bqYnHCDA|8&K_mLr!VymA`*_EMn`;nNvsi{e41zxk3xk7$A}n2x zK*vmgiYmy-xnEzNbamy_pI&_YeDXLfr>;(au{HXCgUI}gZ;rlJ?)JMo#jD5GjR?FSSR$lJoF`Bex2C~o0+QYdNx+i#-@hw6956QffyDUHchajpysH@7Nim?$345#YzQ6FP3 z*kz5L@Gwa%AhG-<`Fm~S%EOdTA~t}X}i>o9al z`^QaJF>4w@ZB3^9^x&$DelLt%K1`A-Ac!uJJe`6%{hk#zBZkBznfzW|@bIl!;*!Eot zQT8PljyF4tpPP-rH$cY z8+}1v5zlub?-}caVdmu{9zM^h_t(q*(!QMe$;r8ew!gjxKDi$&97Cs2hJzcnJhtE# zm_BRsRNTbGASqK2mzMte$6~y4ruD!&mRNO(xBsKdgxb-EraMBNtbc!%B*~)7DgJ&Y zB<4RlK}_#-hz6$Ci9NxjqalYGQ@foD9GHbqU*_o-tet>3=S%pFjq+zJe3ucFZf2DL zEtKA|DBYo^{u=hWY8D<|A>xixC~iYERIM5)wGDbXA%?1iPDQIr7TaHWdFA-9p7^W{ zj8Qq6Id__X=YEkiD1Lh8-eK*`KN?)pX5CH~4QlwF)PV+ofBSczKa0N&NkIga6F|1P zXFeP%&3Q zseNNNw#Y=R?4VhCh1Ns7?4i zI_SO%%QuX9-X(Nx&WBk{`_dNfK%Ngx7o)C%HnU?Qv^*GyYy}E z+=qTxL-BB077M?hJ$Yph8uZ1iE~~$gcO&_pqez{S_LHRaMo-$`MfI`sb>NJIsu3E) zwXd{O1ZGoprV;mkR$4zp4P+N~8XaUclM`ypy znWp0R2a}eVz1lDOym2|ygKsJjVPdiscAl~`jrW&jN&^FEYOy{Bz^y)EZPOIJQA6LW zpg|OW-lHM)w$y=5$w$)^$ftyAirRp68pH$P1_yw1n`Qc*=KcECcR}=rDTAl+7*Re8j2cH5Ia~Evzpb> z6g-pm?FAp}77~lsH9PsBQmGLY#oA~0@-0nW*z%6vGuPUyPD(C&BA(BZIuLPM7f^8J zsLdzib>z?#%exHt*k0pM;vPA-lbnh%byoS!tUsC+#5>%V2YMl^dO)9uNx?ebb)Xa< zfVYo9^FC0Rd7Oy=w}jVKX~52%Q!)G`&*|y06i@e)sK{2{oUXutIJz;+@+CUQ7TTJt zM6KRVww>8$_i`rTcoDQH?B{zu?Q`%o5V-t1=AR`o zzV%}6a{x1tgcqmj?|*b(uCjgf?A(#?_)(vb>k5K(NY}l3vDCSdC-)gdTmhh3;`dUL z{=aGiO}K1HPV-Uw3k?(*AkyYETTYgZ%-Fg=#qHp-np|OHv?Z)@WwV=2eoqaWD>F}4 zm8wR;{mZQEiHWPrtktd|)*5EQFlnhd;i;<9V>I(o!S`9oWp>)%un6Ocsu7(JTTJ8J z2hq+#F5dFn8<19(WqGT@f^omF^F{{Vu8JRMgYwB?+fsld+Nwd-S+Q_4yRGj*XOu*L z?~kzOJUlPo4&X)HrgA&=7+kONEw!+SWG^SG2n^KL^Wru=m=zqDC5AL&+XzE`eM0~u zXN_XDbEwRmR1^@TiAnS*y1@4OdF&TjLUP8GM09n6G`_9C6~=3Y zw-7&Nzz4c6sVzI_XA74_leKqYeofgXHJTLy%Sh*_qg?5z^&X_fbt$(_@uDz_$!y}v zgtgC6jk)WC^7hPyFk5sza0YLB1Ss9J>9>CWgaZ;7DJMGw)Vq_kgO!qA@Fm5cKcbW7 z@TnE`mv!z5?Gb&P)CDF_RmH=9Ze4rCaYW+ydvM2d4|}%uVoIRg#=$4kx0t(c_7o@r zI#xT+aM&mQh&Y_|#)$rIisUe9}66Y_@hT&`{PbGS2c2xC6P1%btpH8*;bf z&y2$Hjy2xh6bh+07G>jft*348yrpI092y@E{9+jbh$Wj4Bd202uSF+NM)3K2OZa%K zwz`9Hjg@HREOTdO{oJU4c{eUHf~RU!M=^OCbdkytp(kJgc?~l&{B%%I`#`{+tIJj? zNyi10eS?_*6ZNG$i93mJeA*&08Qhg)*1qAIr@^6hP$<;QOMCTd`-LOQ^R<*zy(5{( zv&7pUEg9H07AbrdQs^|pc$--no&ce*S6}%UA@BWqOmJNATmVnrW$ZY*$zHy55b7CXKSFHn{*yq|dOaP>Mz zK))j;l}}41Bab#nMZCFq{1C=6{P{6GB*=>79VX-h@Wtmlw64Y6oheA(x?W>na3f8e z?e&a`rN$T}-cwVj+!N3$)yylqEY-9UAV|xzs?TF!qWDD!I-gjHbR~Z=9VtK}AB~KP zR|tzg^8fYj!SlE$<3{!*rFQn9K3SN#HEWVwc6#SgsF;=Z( zy^vq%BC21vm9aAWq;+aD4$FM})Ts@!{V;lQ?*WB0H zzq8tRhg+q}bIm^#{{A{$ld*loPp9kNvr3;+lfF*M=dSFiFS3qO-Cll+94WJS&Xl}m^!-F$O0 zUC=5{V%9nT+k8rhe;Ie6`=4V5nSA7{dT-=H8ZD4&_ZsSq!Gypc0+&7O-J+W1kCzqS zJ-97D?Y6V#1{#SJy3svLE=U|>*t%yBlBmx`@+5)On{V&12NteOtw+>M7eYVqrflOC zn~SLNv{&V3NI~vVZ4@yvDV>76Dn)cO>UkpsP{AM^{`>p;gM&)G`Xs)<3vtJP>ie8J zAxxozcP+?+d9k0Ceu;kGlV&|;*a5X_;O>AWOJGA*J2vQXt@n(Hxjvrrh5h0nuFbWw z+vdUX+P0^^tiV)LJ-_9|5()3Vg~6v@vV(7Nfi|vb5A#3`e#2bq8P*+$Emi?Nq;TFy z#cwN(lgxCKG^K2a$X8k4oUXryGq<;SeI!4HKU%nd%(~WYwzh?pM14UDQ7 zaRu3;v8W^(+<}o|MA3mdwV>NyZr7ss>T9(XOzbk38=DNnXxFT8yBUrTHENd|F@46x zRgKCc2=b5)u^ZXmq8Utn@m@hnDrj~Y#gCp3T1h!N zs@D`(wfe(ZcC+;j`^JRO!_(~+Q0VKJ{XlwEp&?>8ztIBew{xZm_dmqshI8LdI=_wi_h%!{lZf*w z$(co4`A!bJftl+ARw5>`O`44lS9XU#{CJ$5(Nw2T5u!q_ry7hE{E9;<2o@XdST46= z;;ZW4xejbvs*1-0_dE}x&ANaYs}1Q{ksAfaJWKfrL_D`4P7GWY24-dv(@^P!>Q9lj zOX~P-<3d7h-z&x)EwqY@+kW=^b9DuLNaex7cXYHzlKZ})A{YM}jGyn^TWI~GUkSQ2 zNzX0=!2@B{(6*tmv8~U;$dQqx-#Hcz9)>xW`|I6zD%k!GwW1~I!M(2{K&&sRZjW&P*YuFeX5Q%r25}&$anmiYY_kA$w>GK_r8sg zhVt0uW@nqd@`4vo{kcu~L83K$WMr0H%Z4M(!6D66SR>^pQR}zo1CmN1G?mlVt?Lfu zrIoR9nsrx#>x)HYPe?Z?UDJfcW^3j$NM-sMd%C-g{6xu*EUr&ybMmX)z7T3^I)ywd zby{v6Zn4)g*?&jtM=IXL7}R|4a%1fyS8;7Y3Xq?AMBLGq4zc_%la8YU?OEg&|dqot@wKd4cuW)2Xk6tnMK8)!L8vrMGi zPv0We+ai5=C?}U-P%3J{XP>DjA|8FL8YB74{C{SN$T`nhAt|vER$Y$Dm4$Nk#8}n2 zE2sW;JhD*Fx9$zPt=AB+KE$mfhnp1k2tp+~R|XJ+FU^E!S-rZLO+~r;7+qW}fsZjC zz^4dLrDv`si)-JNK`52WFVgGizEScItqa34U-@BG_eX z&$`F$yIEw&WM1_0Si02l^hEpytzTTPWiAo4FVv$V3Q%5R2Th@D6~-#z6OOka&G&DD zV@WEuOj&4f(a$#yhhOMLWK(^(pwbw6v}eY?qHAnl#B64mL0-YNX*RL1)9$Xs2d9^1 zF|r@HLZUa*3>{y_JXa^r|C?$2_o{B&>Wz?}D@7*y`iEc!_pssF66Ohb>BaGyr+E%8 z<;EOrt{Db99jJ_LQ7f)Q<>5=jn=!C(ZJqUBrfMAZ(qKm2f#49_T&QrFOd-7d-ntq2 zx}bfG#)l8!Ea$=7Q;`s7G3E{g&D%2`tr8~JF8Q6wC$~)0?mdt^{E?@LdHP{?3i*?( z1iwO8;d>Mt7{wQtfrGly2Swv8xJ1)uTw$G1O*P_#1W?{ejGBZ(^JZKc}5N%PxS%wP3~Y@wDHsXYQ#8r9dXA_v~@+p zIeGl=53bU(fLZQQs)G6Ln|EhMeCT7cPRme8RdZEMf zDMmVygy7pC;$9P)v?840E_ZOPjMd{Nj{ZV+L8qOM5D)``BkxbeQMa3GL}v4N)^vPj zCCbemhLCULu(aA{tkq>-yL(N@R3}1ImhejZrNi2vp>?~e_^ZdpQr0#$sI||upnV1p z&v3$Ti%*{-pdIxXt`ZWaQ1>$vlU^z}Po!T0U&jzdUUnJPsAC0Gk8&0u8~a#C!aNkA zvNunkE8*dnP9R^}`$cMjT{X4SN8n}<*<7BN`ojF)2Q`CXW00ERzS$WMp*ZT)oZjow zbT6wJR=(GbgO-MXgDdCyuh)6za<$Mf(*4-uH584){+Fcywm(m_yEFOgWOd$EoL5O0xL*0^Mq2=W1__DpQ-6O z!j;K{OsAz2K$L)b^0BQ`X`=?i@gaicc$Q&O+Q#D3xwyjC^!c1kf`gUf6#*mnzb(b zOH#)ADk_Ay>6w{u#Lli-n}T8S!OAqx?xRe3@|xY(FqqXJ5x9W196d#%>21D5U&Q%U zUwm9Wn8q#Dmq#NVz)u;GJpIP89oFvT-oEu8f*gn2xh9i`%dU9c|2HSSFC{J5=5EA_tDwJ}b zcZzs{cV7=1Pj-ZVvTkk=xD%TxGLDbgY^YOef=b@G{`iFjx1sLpS==xWL-!05&s}hl z?)sa~0xLmHhpyCXm5H8gXh=aFE>00kOKaQY*LUYIz|s1SansF_hN$+dvEv1jhN!Fr zmll8ETUT7_pIM@J3YY-a+ny%YNis2CZg%l3Rd(%X2t02Ixit!1h?{#U8edtE_!IMf zCO(+Mmrg`D_r-N5TB(brjT5uj;oluoU5@pjU0VAcEaU^^h?nbDVj5;i-yKk%G$#jM z3{_$LZ(Ml$+x_zUD_RQG;H!KC8$AV7B|}<0sanU36jH~sod~Bb?SA1DWa`3g{A93R zHZeD+FA=E5vhv?gf$5G1x8FcvO%I7X8q&0ryXY7L?ij!7{v(wl%4GVn z;!w_GyA%;{Bpy*(4zG08cCnw0sVPLg29JdJ*U=}sd|Uop&IMZIF@ckhV*{Mu9BfnE zfnM?uMrCf(-U8+7lmVB(JJj+ z=&!Wz&M0(czXTinSuB}BCO#$At;YLsn{SIQsA+EfJ{YNS%_91J&``AhbnGgQ)*xuzU+jey?``JIzcBpWMeT_75N)bCBmtoC7-tOH7~AV}$!wScmC z0)6f9=#Q#%XX1`Kc@X19X1x^Go3-xyFq(BMwbN(CNa)&rTd; zoC}Z!{INwxl?64Hgf7(e-||L!ZR*UGD!HrgJ{;Wb6b z%%s#yPUz#gT8lRuFU%)Za78bb49x4@AF&M?rfup|KF$34|LCiquhd=Dw$^*8DDlZZ z2g~{8ySJ0;E?Vh){#)xkh(GhVYfxTmHohc|B=X3(SfJEnuf){Z+pD3|8>w6RTPJ#y zu`Lf-f0UnqRl2$V`}cmLpNRb1oP!>}p%=BA;2fEh(c$TRsrcH=AI8|hhU8TTjFU5^ z8Qs3)l%=|XtQ(LCJQoiyHVZX|LQ-+#lY z`b*3-%%d~RxL1*KF|o@+2EqzxE!374@cs^%n7DR@A8Lp0oAmMN!7Pjn*X!UmvY7FY zahRb17?uM`6mT=4f5hWBGT9-`*veS^7q^e2tG+3S?X8$gW6X|(MvIp6O5t<5IgQ2Bl=wU${+P&-VJqkxNNNJFe{O&^xh1^VF?` z?!n}e9*bj(&PFvSq4>nY?;CLbJJ#u|>0*0Mp~XBAQ{}0B4`&BLoNkm_EBy1Uf7m zx=+aYX-^#O&5Uh=s8WovKTMU%e-PTc_hpwOgCk~~^PkYjJVGn>TkzPOjEPKhXcW0{ z@{&uyz#Ay^+GDXfz>n!=6irNP@VRUCfwpQ9{$&o*JbCE`>-{BXY&=O_IDU9odcO&a z+6^^?LKG>#C`7{rXtL>En+Y-e)5?V)HMO*I`Oi5=LRqL0N3;1G*F%wG+XF*NcS?)x zib{c)6gwvBy?g!)A@qqSSMuu!1_7UKn^x7}Vx%fb zgzQ>kmfGvMAuoKBwQO9C4%cj^L21NXH z?&vPn#A^i))BV;(rLj!9M_&v}2C+$bTAJ;i=KA6l7^pHFlx)In!(^eY1n(ZYjpQ?b zNTL6m)yVB?=(TCOTF8t%+4=T);k*5~jo^9y)XadH>>zH~b@Gdp6}pa}c<%M5yu9+{ z3afgb*VhJC8IXK=dD$^BuFw&f8kWqC){5D6gK3h7=WdQ}7b{UM$`OQ~aO`bMj4^d@#4sUh*f>lzK_*$CUJsJW_lU z&o|(%>n)B-)z#C}wRms3mpVGa>D;~l8C@cwm%fK!OppL_A*Zde!loZ_o%9cZs*bGr z{nTcuj>@wLvdfR8lG;8#0~MBpN>3EWzy_hoRk!d)zaQ#Hm7%Cl*m2=e@d<6CNNM1u z;SLPk2}^Lb+myH%4W+Ka@Rw5S8&pUN%XK1z>e!mV@($a{t&U0uUF7Ta9L9gYRerT~ zq(c5BU%96Jr!CvVf(9WF>RYGBZW80hIuyg%hC0o(;|1KV{r_2HmNHS_-#gOkKIE2->bbssW>o% zhEnX~JTn6Wr+Rs|Wuz0!uk8RwEX!I=8)eJ+_%wpB#IiG@oVtow)o;`V_%u{CJz$c} zi8%PPN}0Jdb^J3KVurHWJx;{9F)Yu6`HuzzDG7+N`^cl>!ov-Do((A}JBpZQI|Ll( zQHqduvQhO4pU&ZB4mr;Ih9&zR$Q7L9a2&7;|ciVB{K71{Fct+m0-od_CIWo-UOSB-zGEx3P<@=rv>PAY}L$xS%%xa_R;ox4s#ek&cNfYQlco7K?~C0^M0#e81*)%rL1YMd-x2&o2hw(_H#7&MrXrvP$INJyR0$r!cO>UcuYwUiM1*R-NTrs zrMEc<0RQpuuwWrgBHu*Em9HJEbc9X~@D-1$ub5#YTT@Z_M~SZ7F9VG`j;)?3c>dt~ zw2<>a$s@Qm1UBEr zZu7u!CoZxyrN#ENg_nZ*ckV%nY3m5b>)%69jnI+u)eYI88PN|=FLRUwY54b2t-%P< zx=Vcxy7v5FvaUatq1PA(I*`jSbWOiT6fLNz*FYF)9G9sB(Ts8PT#7xiL{k^fcGHPf z_Y*9fGrTZ{6+qyM?U}AOzQRpdOOB$ethM@NC>otdsj9Iq>^Y^u#Ruz>M?OZCJt^Jl zH#V}1kg_S*plB5cf)~6du;19AP_fgaK3gXzhZgcD7xejB-465n^hwHM?ck;t^LHOk zAE`lmt2`wce5ILJYjE2RF5ZWMt7Z99l7?+{zq&sb*{_z^_w|SdKbf#BeIc=-x=Uof=n}X4LPG)0qqafWQxKt2?R}>Oa_H{_`tK$te^@&HHijugTde zYvt&uLosfBhOmX!=s5(h9s+xH#J#DRKtFq)_QO9zmQl=Y&Vg-0y49842cQ63S{22$ zw6w_fd1?-xih%nN67>|mRp9d$%k z$I|tOB?JELha`fgOG!;Z54_XsJ?lu*81aKdU!SJJp6T@(bJfAb7qUlc*0Xl$=`0@+ z$fW(?CZ!-P*PHsU-%P7wvy9p{v;a>%{5S2 zy>op+vK-@2^qyzxJx`#6keOO=S2+i3(o ze9_|$ODsq4Uy@xPwPK;AIS=Unhv(fy@}G2E@MqgUMqt&k1QsSd{{Y??R=BW1kl$Lc<(MO{Qd+M1YPH_1(YnOA8VYTqbOj`MJReV*m- z^tyKCe)7>KQrEU65~&-foUP1>HVtJ$-F#p4JuF) z`96dZ);)y@V7cD^4m}2TXy555k|t&6KCzmFA3;ZAoq&KL{L|i4)C))JxM2}%^#TD6 zj2@VrK>WvHbl%Th&712ZvJizOTgT8fe?hIC&Q`&d&M}#eUv?Iy&f@D+o@mOZPw6G# zcCg+_!wKc(<<;{jL`_(*KmFLVN85;~T(7@4n%SPL@$NDen--kESfEdQR=HF_$SY_2 zQeJ$vxJ4Bv=si$c+S$>{fdtkC0)hR@-$?af=RKXQ;4z-$^8=nc=L?I8DZ%DdKwN0t zqy-D{&L{Eoc;Z{AOF*`>H0`2G9INFB7t7}67HL`V>jiz1cl-OjE6lF$XHH4m(!_J^ zBUAwb=nD^yU^(;Ys$DSxgx%8d774xeip!be&TFg5+AiDuw1b+1<0S&VsVf)d3Tg7h z#j35MzL&j1kB74q7p*Jy_`IL@Axiz$5~v*JT0k zu!O-5UJ%N#@>AB{sx0_2k4nnU*!cZozp9!VF(Hws>uGzmUuZ<+_UX!|%?9Z+^(cH0 z-vdQqJ(qc(T6gTb#f*|Tnero-OY}&syiwPKRfR-hfqtf{QNF^$?8UzgsTf#fYipm& zOG+Mn`^&NP$+AS#0+i;s==wvgQeMhbO7az6;AR)QSFZBd>&1!WZS{mrmhn!Nud9=6 zdaP9iVW?e2qoh5!i}$UyN~B#kCT;p=Jg&V=W@qtQr=QSe4LhS6CZ>f*T|#JLJUv=i zj1h*^=2mh!*|E>Ie+dS>Oq9JBpQ>waqhe)*K3@>XcAQufY)FoS|KAR| zvZtrB$ISCb5FFhb2%Crg5eUOBcREptug(9`>+OhOof8ESD@mRMn zQFliM%DtNOXi*`#Vohl}(Sp=vhV<;}w5`}fp|mXHVIxBdOn=r)?AsGA=WRQNdopc$b8%&zG;O6wN~zL3Vb3Xd)Y&Iek)-^#JlIcZBlPRNHBF3 zAdOUy;Hc+!dT6L^c4QbCZI~Cw-+hpl7EvUVAA9&*T0t*5?mS~c1qatQKEdDrdn$%- z)8NpV);5pb?1Svzc4t-pI=O<$97C>2Z7nS7#a`3g<<55>KhqaGmr7SU_>R(`SZ<@9?(#bw+g z{Y;bbZ4wm9mpvP!#)~*7B7B-5-!)NM{0cx-AVfRx8Z{DpIzD|u(5*cK-c6Oc`AXvS zlOkDx@g_HsIK*IlB1PNw%dhFie^}*GCRA<)`suYD7eCB$^msc&x68DTvtU*=Q(6kF z`%NO?iz<{JXsZ)<%vQ#?Iqt;Ng!yk+(EbiSrG54I#XbIYdYY(>0s&6@-Ecn53IY*a z#o4b3?gOuPgh1qyB-=AloAU|*H(Vcc#^`-%AHN^b;jlmLim zb|2kC3?h!75_QU?PF_H7(r?5ZR3yL_#|^b|#$i4VBtQGrrzNK-a>Bd(S**t66u#K2 zBSfmHIgN3At@RM5tQ0GeaMZ9J>JPnzmCMrAaM($n^0AFN&e5yuF}tj~U7uRY;dT*UaDt4E<_Ff@ zY^aE>P8d}sa=ut7Imp(`L^|L0wX(Flk4XTE(J*1Nz zCJK|M@#6-Z-SK+m$A&b(M{htQBO4scp8@Eq0Y(bUGM9Ucy`9pT zEe4YENY*p0P(`BLQLJK(ybbh>hY#Z@50vTF%B}3xSsn4#x-R@~i;4-p0C6s; z|EV@nlwZ?*I9{HBW=ZJ`i-TEM6(c4|CzzOZhyq3F>8-ZU^Ug!7M)EDFq~p4$0NqD( zB%hWcgKTGhmNeoxp8z)aR;=CMvkMRMiW;IMsE<%0x&_UB$sOUTpvKpy16x|Zi$@w z4WIA4bZK((+gA&0`9C~;1yoeu_x)fYBH#du(vk|&-5@0`f*>Fu(%s!4B_%B_-5}kA z0)l{a=SU7icMUNAJAQuO|An=knd-Y+-56_a~a+d{mOZ|!4IXR=a0x!cViu@b}>3MG)x&*hc8}t;pK);D} z5i^MBTq&b}z8c|zJ*y`fN1RfOoy8uWQq5b|nmmxUv*M1bPE~9ustM`d{Vj|t-6of% zm5pjPUhI%^TDql_YC_GV_Fo|%4-aosrtih<_H46`KY*31D`s5}FCEoOEj}=>;U>Mm z(1$Z_6D17%Iwkj6&BL*n;QmGnZ?Zwd@1gVk`%_B+487m~>nlCqdyF3GsL%l&*y5%2 zD*~DPlL5xr!}&A^%g;_C=RTzHo0azt7259A>vmZA{o1aL(o!>x>Qb!f)Kp80G{LN9 zpthXUE2=wOOH1S5%_DvUn2T-aqtNy7q>}A`6l^;nP@2>$nZQ}_h!?XgPFF|@9lR1+ zdanKn!&W3NKc|1|_?)z0SSM4$xQn<8{b#aaPIxE2pEeBZ-h{)B5NzeLd-t7!)Hv_v zVF}_-+T)nkDJ!1F#_$cxJY!#*rt=-s-U$`z?4C)zuknIIp`-S;h^S!Fk~oB%oYzCR zm*Yva!opQs4imvY!;o*;u9SV`A{(zOa5sD9C(+G%=*ejA3#9$1iDhO$y7d6Qb1_c) zHDAA6lVs~78~B9Xe(qxN7*SH4E$)!FF?iSX#R#A&vh@idbo~9bcW;UZ6jlm4-@pMs z$R=2E$$OjnTo-eHju%Lv*|q)In+bS}2V7b~O)mi0nK^rkNH!%M6Y&FN%a52Jr-ky2 zLw4b!Bh69omp0q*A)iRPN7;!69sF%OG-7r2H9ydw9_O{RX1ta5?@2Rfy1&b7tOZ16 zW@mf(7uv}4@qs!SUPd;3_mi>$IR5U=NBoX2KSrhF*tTn1zWx3tFM`>XNTKXZC8c9W zd#@9^Z^mnCAwT=jOU%Gn#9j4RNPzDC>A&5$VdvsT2CYAB1|#^P%_s=ZH<2+_oF8Rx z`TfI@Zf_wVp*K7n4~evhQYM!SCg3}}ZcMI6@z-s$sj+dj{L-&w?wngp` zz`c6z`y7(lzEja~x1BT&)$=r5Dgph)az#yL823fq(l!ZoXt}Ic2|OojV;&0WU=g@iw$iLxf&j_!ao=HyF7`7b35psPb-JCw8N?o3^fZh9->!1vW|vw3Tb~ z@fB1n*)d<8bEHt;_q~~FQ~mmisu8*S98!rNJAl1)GaoN7wfoW4GfL2aA zmi7OLDA%3jlc|l*ac>8DNQ}J!jYLAdkEc9|MXvYG49MsgSL6^YJ=y|(Y(DGf-J3+N zyDlx)+Q%#5RppHnm+QbFY&VC)3ZO*d$aiQQIqi-sXnO^OODxrL$A)x`TsYcWT0$1fgrG%kl+EmlI7)h$2uv%=7M*PFKatw-6;-BFlOYNyGw zlcj_-<}5gz`nyd%9r1mg`i1W~E&c{(4ZrqM-A!N2PLVez(nI{P{@$j9j2Tpi*9(s- z(>++-7E*Nj8p@q=#uPs_0vMlzXMqa>io4`C>LTAGp6X)1rtZyg@<6a(8D>i2V%q_* zFcFyZzLwb+3PGl$XwPtnfJ><&uI-LxNmTtcEV3$h-hVq(ilE4?#&jP3(#dZf0(~O* z4&C`r4PsEsZ{BZ7!Rtx-FxR^5TNx=37mHq3)JQ&<+nJ+%_~Pw@E7|6-igptc1W-xG z%dFLuJ*;u|NuPQ6i%jAJoY|7(mjAQpP}oIc-GXj~iz?(x1Gk_n1H|vn^cDv@q$u%N z(C=Enm_~dF_W|~W@-&&_A?m=MmD8RuhI0%g~btTgsYk%Zr zEP4aP5D>qOkL7vN58lBuA@|Yw9q^Ps9pDF1BK5otX;CKdqzX%^v`0`(7 z6rMO^2V35iaPiTjaDAHMIzbD*si0DWI~3=>|T;iFD`vD6lR?zzhnqeA~q5 z(k`3flpqE2N0@c~cC^ttV~1{|YTU2c&@QOB4V9OrX*l&_ZhY`5l(aCjKu_Ue#uF-^ z8p+HUiq#o#H0rhZq@<+8#Ep^rY5oel9%9m*_mL3+id6&8)+2@*Qc^GY z*Z|UCmeEB7kF}r6e%m978W=quQTWrxCT*(uK;coDdESo4@?%=9QIs_rC;cQ-|* zb;wiQ4D)S?0U+L$96g= zO=f{3geldGhQ~~!qo@4mr_9XET(pw5Lj`mNdoQE=B1-?zN(}~8;f8YgULWqJDNhEG zM7dt@2=`R2*n3LJS=n0Jnwy(j*w|QES=hRIpUzkQ`SYTB+Uxq9h*mY?+1dkW_cUHG z1)G((=gF^vqju_>XqwaQ?ENTEKx3L_P+0Dw{M9ZD-CjL7(FwU#gj7_Bb7imRRB025 zwxl2YZkB-2H&?RqP;f;60FEyEtJOR3IwaZ;N@H?AabJhAIS1)o$Hq2{5$m$23fX5{ z$ezbkcSpt0%VZi6f8tK;CqAQ0*7EV`FekpZZ!z_Kj_UG*3`Vg0S&^}avLMc$yKZu3 zj;Ah>Ru*=Bw!D3OeB664oCNOjE82(O>&)(-ZZ`AU3o(*+dU{&=Y>hu%P3_IX!sV_3 zP*Q1ZU$ z^FVi0t;gJX3aAy}S1ad}(Dr-bae~2P)mXacbBOPmu+{}^Evm+fy6LT@oC>EopIxmI zDmH7&t4(*rcH_wmBFUB?bU69%AmBVZ`(ieLJQW8U$WwFmmP({#DOFy#g(O8FeC(5B zLYAP=yBM=0e8tW)W>){3g%<1OS^>6eDYc9M$2ysaSgh*qwFKg=Apa>tIe!cegDACcnlE?YSQ8ts)*CEj4TK z4<4<26pgN+gc`4X$8TOo-nY|{%mxXS6rIPjHH&|UDmYds!GAu$lp74N*6gD7L;T%8 zAAlG)VT;zi`9eN+CF%Ulq}w3V9BvuWRN8z0Nja=cr9Jx$Azh=AM-<2Y1piwk5Gi7e z6`vpb(5oeoPEoMB4^_-qAlI=}ysf9Ip4d*!j?ePFPYvp%bKcz9Q1H3(ND%!I!@3OK zU|qY8D%)2vtxZPVY0MveQuLZP(16kYPEmr@I5f@vpLzpxS#GqSS%R0W5#^=XF>#9W zpG4`=3xv_=X7BAp?o^ihL>Ej=3`fS$it#D9Cw4dhF z*1f9mO`0HU*OpCAPBAv)C;t>?I$%7|GqVCOcf+~};oq9XOxRmpNd)tvD@IoNw#Y&{ zf3ipTVpyT(wWDnY=0G7?S2t7omN6ito_kiyeVhLVhWFoHm4I=k@vav14gekL)P=UW zaKWj_>i$t^ntv0gTNI-qXI!E`iQ>Nlf@2Y2_(n(p8!%q=Wf*;*YHjE{C?9)i{cHb2 zotpQE_8SL{+HsSTGT+T@-wa`Mz%?#|dM>S~SJ%r_Xapc$1;!4jVJz?V7(+VtJNkV1 z%|}*t@~TSdnqq&`6&M}9+zcbC%gtSpi^~UnOQF#2N*O~7Z^=0INr`RS$fa@M| zuBy^tB2awcE46Vwuf})E^U$g7^^|4a(<_+o0esLq+ENsq_SuK{@)W<9qzC9X>MP`Z zR-)=Iw2OWiX*_zgmfX=$;YQ<8mn${ElK{?Z0VwQ78!>m9%^ zR8*i4Xy?fVSyStF}2xos|tf)z?V53yS(!k)2s_Glxl1NgXomhpgAp15= zU6JI%(;{W5?5{b(zk9WdMk%!H+=-wG?lYbh`FbEodgOT65QX1kKycZY!4qL_@g?k4 zqH!>?5;vp3BDMC1QQdUeFX?tjHzRTO*SHw2t&_I}l#MyolObfRO-_{1`BszXT^uJ! zq1~$Wr=De<`$yqay1McD>_3>#t0xKo&=ymMNkV8|h+#%%=*jjhNtUi+x}Y-8z5iqZ@p~GJF)v*V;Klg)(-4X{q4LZT%5gxYRB0af7RNj6HvqrB#<(xH z1O%zL+`U12_i^Y5b3PGV@=nwD4yD=vwo{6c`pk@Y;^4aof}_L?7%ShY5-5l_=*VfU z*7Mz1gSEo)evB;hbiRJjU_vmyP`Ad%+guAC_+++Rg#23;?tI0~{1>}?jZ6UfxU zt~ng9=4w&|qOK4V4m;J+RmIyH0%C~=`ts9B+Dl~yH zEtOY@&$^CPi}T~p{(l8c8Zjw^qhS?GhZAc^xoJTB0@;bm@~ny^ZVqGDgLH3+`|d|k zbtGK1ZhSv{D&cwwS1T||71P(MJLU-3wUb=DCF#qr7S%dozb&@!Uh60aR&;PflO6a| zTV3Vx?$MW>M*AES|Mdd%_v8PPnb~I46N^K{aHQ)qs>G-3zM9a6zS_04uuw0;18-RZ ziereMlxFy#`a>!s#6;x2{hcO(f zv1hWvsrGRZuWw#B#E=J#vb}l|aY_Q3Vhpt2>h(Uqy9!I5E^XB~&}YQRKhu@pfF7@- zACr@KTi+Gn&K`Zw?slA|a4q#Rs{J-PJ~s9$){NIt%IRGCf@HxOcT#WZjek(Jmh|ej z#^B#$U>is+%A(tJ)N(kmxjTJ%d0CL@b73lm(&APC!Hb_828N~v>>=N_O1*ETV@Tj( z-WLbIMya&{-LILx127d9%0VvS%m(Yx{g|^0uimDfWqf;DiQYA*>EUUj&Uo671$q%Z z%p#PhQX>%zpIDQ4gdq>yD>m6>hmOF zE@|@i^}Krad;Nft6&U&CZtvB8X7^-#2TMlMMUucJeUcZi#mb2)ZN0vgxzFXveKqC3 zF`3pbVKhTI>I~EMZ_&_{&LzZwGV5oG8_u)*43Ce@!5plmUQ?`QJ-+D^Qq4?M>w z5F>t?lQY9`9%1lY-vhD{@fIy%Hs|G$_;8l~%l&2ALYMO!tz8wV-AQ-BhR0UN4Gu^t zQG008Fgu&WH-eO$G;TR?s3knABCmW=>4U7O@^b1Cpp{KuMkQ{~vPGH%&G>_a?c&~7 z)IA9Vtnmr~3w%Qe7rH)!gY-q~{3N(n-qg}k+j2I*8lBO0xwa^PRHcl4YA@?|S2q`- zjfo82z6ZXHKPyW2hg`>5kR&=O=e^6cMJ@~XPk|`BNOzc;754fxNnq|*;+g{MxfS^T zW=XY8!%U%z>P?PSyGgX)DaFco8xLznQn8C_pxR88m+7xFLW%9qK#5DimNWbq(k@L_ z!D#xh*EI6ECgtu7a;mYTa>YOP^kn}k(z&P{-0wiz`QByPbaj?ON{X}fGWIybQ?>S$qKyhhlvTNdqop|7iif ziFtRs4-BksZVK=G?Q$RB*>|p`9OhaX8Z#k5pLd1nHj}z#gg)cq+Eww@i%CeB9C?|! z+qgC`t+H^vLd``KD6=KD!J9hH3T_KXd%tizpId)0%%;9(Bk>3T$_?JlfuVJAhNC8% zvGfC)RID(JDQ18GA|^)d$wNaMdIg!d6F_T+(kJ~&Ei?uE|2E6>UC2(`D~pK zrO)0LP_}E@n5ov+VMQ2OB!Olc(O`2MNFM#}wWjLf<_D4B z#Yx0Tx2uCW`rb>|8`iagn!H;1y8` zuNcJAQVGQpi^LxA-llYwwQIE1``%cAo*Dr6a=PaLG=r8V*0|}QSKrup^*M$l?Xkff z)|5m;Rd7?Dfolf2_&qqN+5Cz9!L7Qb*MYHT7cX=)Dvf&X*2cL#x}NUb(Ju-(5SMLs70mu}mRL4u9Qru% z@r2NKuD&yGXO=&I{%jsc^6=b_DOVE06jG~XB*$Ig@S~y*)6zR|i5TOWjqd_vK&!3MZ=e3``dsHEfIYE(kWfOlj%ZrM_-qUB9SvlFxsFw+wT*B&X zM?ZQLPr>@3W(FMvI^2S_wlYma^=sfZEX&bK@Cc~1_VgqAFzXV+8O8|;KyH_DK^|ys z)s7q?lW(X5hywxo?p4!{$2NZIJ1dSOmZ>-71LZYWk#~e0eJD8m1NGn}MC*|>^m*|8 zpqbgF;x-bnukCg38?mm?CZq7Pz7{hvc0&iD-9&?`CK}OXPTJZd!Qaas7>HuBIzQ2W zQAR?}b6Uv{O{}LY8Tu*4mA4wMhTm8v7ua5{u; ziobvUq+2CD<#?KLp6^vJpwum4dmgF0A+8w$Z9BhE{VJtJdn~G={7T8O=YK+mALG=U zHNfBtTAV#$^RZNt0Im)55A(sJs8Zf06%Q2Db?_{i7}TRVxVm6&tT@gMF}^`CKj)c- zNP8M_Xr*YPof05I3YKZnXqC&Z)oa+|RAr!P+VK1|pIDp6Gco>!1GJJy_>{LV_|nsM<6YQ{4joNzdr$ zSpMvF*N{f}_LJ=C>i%*DiTU=@#Q(^e<3V%rQWeUepn z*shgB$oZ%DaZe9vf6D(aHgMg^sBHcB{5(NCFdnW#h;3LQlr3e)1(Jt@yf<)jC$ z8@o!)SaBU!`d%wk7vSOUb`dE%GI|qk*V`#=%LXXlp>R+`So=6^j*l39@!snI>6q!h z^i?+AeaFqZf3fAV`tVSts%I5zGLyUK_1oi)-rlG{Y}26nSTVHwQ|QA-j~=Ao-AyF= zc}BQ>vWQt*ueau#T|0vD4%hn0KBRl2PRexZSuN<(GoqvNC`1IU5z0W7#P%dPYqc{e zR6DH(z{KzSk!>^zFoihSw5G)W8cIpW04*kH^4WNBCV{q1W?ziE zCe)`nSf%P(d8gzX11M~9(jMvAF_c0OGo_Rh}9j}Nxk zaoiEIDI0m=9%_74(D)>4_luULV82OiIOZb#cH3<|rITwy=BM5eZ3c^Mn-K;;hxH5k z{(x`X)nJ+>9VwZsa@%*i=eB_{yF_=h$ zm!&#hk7UpBN{!Xo%+}wegXM39!fzY1Z-pMQE-8j6*iMdn8^btDSm5gY^+qL zeg`BjZQw)?4i6a>zkYi$S8oeP?Y32{7I|IS3)_#Os@Xr0gbZlm;QgymTmV`8Qt}t6 z9V}C97srDw>8So_lk1byL|tQinvWiO<{rYpAE_^7mQ1YS(&D;n)i_{imr+D6R!doO zvHvx__L9&{hY5QrhaAb0OTbwBU6y}QHpXd!Y^*#RgGP>e7iFNBMj?nb`x&c;OiT`i zx-VZ;PRdiTp7TX>U%?Ik%c_z&+t{G12!y5kg&sJcQ1cWk<{JRLfjS+08xBZ~13nkX z{n^oQSu&EVa|KUbMqp#yX9ptA4*UCkoD6CsyXEMmNd+BjO6F8VOOeqFmqVqR&ie2D zhRQ03SI5~3w4ymH`)Zd6Y;1izLZu#lpRKRY=H1x~ff(tymJr&%63N@+D7A6FL3_Qi zqIF;yss8koCp9h}yE|&$uImX~nhVy=!hc-<7|#*+{clZGo@vpwyD%RO_d(^6`<3@C zhul@TJXy3u06MrNkxK*zs;Y;~8g_Cm9J*hOX*M_tqgay}KRU@QXxK)YVL+sRPB)PK z7c7ys<_?Z86t1H86s35Z`8{Jg`g_<)1xt#18$FW@Ps{J9(j>2Ys&R%8@V(~-6`wZ) z>0sA}LZ&9&Qw=I%iD!hvzsoya0q8_S_$zIwUf{c?ewpk#uD7ne_s@tY$rhRtQk|Hh zhm7d-O{yL4N-y6mwxBfB+Unu8Km14R(fz)j*eSz4c`Pa7y`a{~zR*F1K_P~WOnE61 zJ+tEQAEi3Z&YJ@QA!5J~L>wL6~1pV^YKc7!il;V3~ zNl%kqQ{^h7t|91aZ#s$%w>!cUIo+M`cU4!L@8Mkd`%`Q!0tcLXt^L=tL_uSDdK&F! z`m(IW@__*kEKNh;z;sGErS5);b?Iu;SgVw(XQ&|e5K0{UhuL*6YG-_V-igz;&@FjZ z2=Q<~KyWyWBwja7@b*LXA!IWiA~;FOY?Yz#KD4N!p>F6)o%yIGtI~k`f|znjFs~Au zTwL4=N-G2@z{uE^kY|U=hX$b|%eqyM6xj;N8Lr95HQWDEkE^diIxUw+;RC8JUyI^|#im?KT_cHXol^ z;bCH92YphXo!Q~8U>~iB9GEKv0SZ;@c=(>Fyx4i;2#*#e6>>l5uiUN9U+W&tPktF@ zd$fG#smD#z=YMa<+=>|`<9DPyZ&9@UJEMb=S?mA!S@-pAa8WP=Cm4f0Ts8Kw};xv4Cj|xE$pf_2|j^xtcH5gxD4D0LIhZk=5PI0nwp8<2&;I|5v22y zgPHws-1A1`J}FE5rjpnA5uY+D=zd;TYgVV`m4@yw`!pKnD&f!jNga#dwTr%evb9+H zR2WSpZ@Qd-DyF^S<%wWF9Vg?Lpp*DkY6U~bH*J^~6iFSN_;P*fegQ|!h89u> zLrGJ}qWERX6c<=fV{uatx+@0Av*a+wvtSe!@H_-hrcT@x(D>c;i zs-Kvf&V!z`R8Cd>Vn&!Zh`P>A_a}7|m84i$P&xN^g`LQugNGJNC0%WmyF{E%3>8)1 zeJ@}$yl1$W>HjdFy-TJ-vQbZ8Nvco3XS(56v)8d-x_2vOVW`yO?O^qfY+<~75hg!FzhUZ0bt9M6t>}%qD zc6y`=SD-4p&WelX-t6KUZ0-F5f`WZIGOx|nX=9*SFyTaleurzfSQAaX`M-tuN*IH< zKgrEiw;RJ&yG2u6k)Sl4miJ+5-$2dq+ib_v{m3e%e0rEvXHkcsBl)1CoXRqF-tqk{ z^0YBcRpQm(Khu)4kG3yU=>$yt%|12me(TvO1cw%0Nb5$m{F(n%XqvVG9Yq2^r8}&b z-2a2VAz>I7U+QY&gu6KAHGKvO}K>;YT|9#n1`>}+D7h)Xa5dTrs(MP z_STy)wQg~MiyBH1KtF2#-!=BD!Q?m8)puzgK2(rQGk19Q<&1%2-&*)Zc>AjZ6dQhD z#uY5Qd`O6VAIDoD`-7~028oP1q4+C1Civfm0mUoZv%LY)Oj~uf7vJBl5w;!;l!%59 zuD_-ceQ1=H&%?O*`aF}<;qg#gS&JnovbV0uH1fP&yvg9rjI;K*aCpmzahTaxn~2c zA1Z&I(-}9+SN$-3%^A|km%WLo7dzd_VPSFYb6B~C`Lr#$phBvcqZ#c+dJ2*i8M<=2 z@-;FiL4)hA{Z?#5&8YHh?PmC6++c3U-PXSMtdr7k^z{*P^d;^STCGR7p7wnlZqx?l zMuOpax!Fq%pL3$$s_99qDvO>sug%?v=A+*0@R@JiYsby8rM^2b@+Pa%U@xM7z9*FU z=rqVJ=H}Q!euEILSV^;>R^s!Y5C7f8;y2haC8{moc);2jAt!`ipOBhUs!HI%H?01! zd8t20!zK{5tZd<1qDYL+JE$Dy6L=P?AMJ+z;zLT7da^TbT0iT1mLuG{KxvGnrD=#5@Z`w*VYX88u0P7o ziX=QfRyH>-&XVw^^!3>mFK{&(w!1V)WydFDk%9sOy|?J?wm99}(BV(deV=Bp-C50h zn6V*(#b)SE4)48#JP4m7`G~N3ts!zSgk;PhG)}cFZ_F<)W-_1$*GBwviiqb)^`NNG zx(R-P_fK7Pf}aPN26fC3KA46%ZrHB4TY5vt4Yj5)QaYL@A0N*x6uCOKDfPftKkR_9 zgOPjnm1AFp6_j<3dah+05AeOs!N z1|NyRFEE4M2@|AKpaY*B@D>aV^JIP0^|3E$use&H*(J_8A_iL9#Sk zt3?WBfkt}iO7pj>){)&dr*o}LS<>3LLC4XnCH3f*pN;Nq4b6fR{0Q(dB)VCQ*}HmH zViWnc78G<55}@gpT-NlQCjODgpkIE2cS`dPW<63H*zk|~POs)kaoeh@RariHuS$tl zD%-74(dvrg5llM>Xx5I^9sW}4PVKq%&d@RNAjdN$PPgxGX;8bgT8m*Xp5xkzP};%4 zI7Mas3;G`isa4%kJTCk<_^!Kn&L$v`oSQ7aAz#Q9%KP0{ueac>Ev6H4RB6s@<%W&H z^pk6J&4p%TovzbWH$CQ2-H{bdn-CYipi z(f3vjXnIf-X1o531$I@UW<9UQ_=c|&-&~mqCdfKEzw{dX5oSE(f zKncYO8-ZX_uZd5Id4fWP| z;$Z^5AL{~}-1D`LR|LX#;*70gK3?Nmbtgm8D>Ftc#O3^&OlDgs1Nk*BLgSGMt76qK| z4)zOL54Rmn)ce!5jt^%=eOIrow#Aqs)Vg8!ttB827&`0-8yGma}f z>ul_`ltq+dxk+tUbj?K3E$MIHvetf}@mTq}f%4&5jNUc3`J+hTobG$gN<%1JTygrs z_vpt%O}ESI>|5lsrZ#=e`@yXhFbhqp6pyHpoed~uUh0}D`e0zNr1*XIs}qmGrb!W< z`UX9Z?cC-Jd)YZrB0~6{{G0F}{O!&7>$1dZXId1jM~oFk*RX!&=_oyUtD(l!t)GbUvph~uiqt8*TH(g9DW}9?A=~UZAO1Wd9gK@-ok^% zNE+m&&#Ig5Xh+``xvFkiNND0*v+X%8BVBX3na}yn>oi9fXpHt@M<4#!gojP5^U`2R z?X367K;~|FsZ-JI$#IVX0nbTnsth3V%UVdUT&yj+0g>`wOvj?e;VonGxN>fGT6yac zvYmk%Ov*&P&WX&pO@Dc^UA~t>Fe|dDS43|qJ!0|MXtX#x6JAq4Sm}L;hstPOCS(tG zk-$N(k-6x$<*X1Z;Nm*dPAwLAlDS&B9#1d&Us+2*%lCzyhPt1(R#+la&hl;8sh#cO zZ!9-RX0JXxXnc+;#V1+l)>LS$cqE(aC&~(IfA{5)@4tvea&l%vzivxBbCYPYyb^te zs#_uEzNNoqwxMRHTZY(Vn~hcLf6xN^<285Y8^Tspb}WqQwzX~c=vAYtWh^OPpD3+8 z)v9udy!u7L$e8yv>mB!`@4U0@t&!T=3?9p^REmLll@FTL$aT3$C{_ z4eG}})qR`Mt}vN>q^)z6kV;EF*xOIKeW@hHbCuC0rA}u46e51Z?C267Vm@fX_4!8F z5>&t1MC(qQX>5En&q%ir#|JgS5K3ts6^&}!ban3$t)Uawrac@`%W`ElsM=e$t zgB*+BFgC_v!fW=cT0#yB=q{8_ygQa5Fa7U|n4nP0%O33mfh&Ab2qR zm7|L>GouFfnQ>&I0BV_Kw-x5DA}VsOVmq-rwL{9)#c$nY{Ihm>_kD6G-;uqTeDfwU z8J>Bxxr%bJa)io)&)#h~Jp+CH#(s>B6Ta#L5w_2p0M!qX5J<;pG?&;^2XI!JnWMG)b+vUpRa?n@-DL)@}S1)Skjl zt!>)Tk9pLX`iKdaPp$6>OLRR$b2*kA;Vu`2{TK9Ex10dIfYeOc`8*q5iH3@gv$eNz zUY`lqK(gWaaTQTwYxZz_N@&-#lUUUm8=CLqy#^j~M9Y1bNejNK_F`m!nxV1$> z&tX)qo&_md918PI-Mqj~sjjL?y(<77qhjY1V!S)DN%5RAseH`~g-foQmNxlMLe%tN zO&yEzysgd_y6GVt+Gnp31vqkEMR3*PqWw;{c)f+c4B7RW0*!qL!w5ZH_)%)xecFya z_rQ5yN$~jlVYY5&NczY7>Dv|i8E-TuL1Ql#J)5|Ujx6|-o~j}RR`;;%OgdFksG#uC z5gClRymG$YX|7c3Tt{qgP;ZLPtl9f&*;~rUXECTJNncfdLt?_^G19Kr7u9Yzy=u$` z<9AnVj0v@IZ+RQIZTl3zpk*g>%<4IM8Q0h}O{ZyJ|6oK@o7?9L7IkEpl$p>SKes1c z=bel3WkKx+lYx`AYpdq2X^9U))bPntrD;aig_ND22uA zE`p+SNkrxI0$V()YdjnoRZT&tLl~kq&`YRy_UbWG!sNFZgj(;-iZc#mBqp)I-2cds zg{WOD;^{sf$AhG=$|hRty?0_(?7b)pvRrfVA5qiXv|m{C-I(y%(J|@B%&IGFYgWQK zrRXWgC`&QpO?q(36nK>hqb4MTixTVq{qw@n>-32(cDF0t!9KOL`d;kYA^PUedPH)v zQhHr3eG4^zyd~5?Ql&*~w%l?*>E!?NXmLf1VTHJfxz!%+KF$47J^gB zrS9*+b6U52%!3*;K1t33C6m!39wD40b0BbW4@*8GgwF3bAgAOsXbMC(L)7xSuk52< zd#_t&Zw!0ie$;Re&#=E)kIral`<K27t}b);*X5NQXe=qzp;ZE%Zk>M8Ovov;wrJ_QoB5u%qu$XbJ^-j zU2$~= zK?#1E`e&>&z@4r=-F@wSQr}w*mm;`WzUy;Zt(b?>$eo=N4{yEb?(O}W`dT6KNXiP? zd83isA5fG75006n-7@> z5+{l%EFde7r4wcJd=*zVF-~#W-Q~)VZs+Chu4Qgs^nsTQ?@sGA=u4K6(5cRyo3yk9 z{I3oQ{yz#RFYDP{-GekUvm>{eYC~^EixxZa@|BRyIZs;OK1VzxS^d299p3A6eQr7| zsjtPV9xrZh{;52%BZ0TEg3ht6t)J82^Vv+vaW}bPi|0dzdz26JUo6BwY?=4vTpJLK ziod1#za?BGW%O0#9%Shjg6NfbavX3)XO6>NZdJ)ZxMwW$>$O66=Os-HBA(yFy;ga6 zLfSzrttw-G&mu!#!HEYd5dUtppZm8reKP9rYW8ONY_p4!2sGV$ph)FfiOc|MM}XGo{T?8u(MZJkhTW6`J-YFs$OI)c!p+h44>L3SRZ&eWvDLJzHrb zA3P+lxbE1$e2pD+2ZJBJ48uTKr3gv(Zu`&e#Ky#8M9uG01qS$*$>*;bif4DJeo_hw z#Sa}V0=}i(xAgDjp^L`b+E;{;WPIn@;fX#M&qdlAmbTI^`h8zH18}@U z%5$ZB`?qWCaqDw>_yU@B?wy@zIR!#qs0S0?UXt*Lz7wzCGA=O@=2jQ1QVQa+a&6I{TTFK^B`Dagh0?mdU`fql2fy zmYLypSu0o7n)W9Un04(auUYKqrW!u_lekc8QAKv4(eEv}tb3|Yma$yiV`COD6>6D- zrq-#iS*MGYilt-v&4z!?PqBu2WQM}jkNg{74BmW$g7}Uaz~Q&(V6;P{-F#m!7@Emn z8DtH#TBztt8+^|GWIM#Q;#hT$yjZ=*o8q|D62(kqNi0n$9eZo08l}I{J~DD)yvdFJ zU-}LCP4{>K^P!w&^ssaac~eiXH;IwH_q-1E5Ipr{4&jVl#vz^d05ukfGM$ND7Vmgh zk+G*KK(j=#E#UoD;gQRh?SW9dgkbxLY46fYT7lF_M%n&z2?nrh+fiY#m&K39C=hFw_&P%>4=KpJeB1KG&}S=-{H zQ#^gLCA;1}P1m%2{nh#fQJa~g%e1Yi{I1$+Q!(^!1^xTmnEHZ$Lp&gKkti4AF%9l2 zQ#lncLp1ba6nWz6+Fel12Iqot4KBxWJ{E{tZuh+4ls15dxN1zTJ3p(}uE1aT`|C>n z^zO+JIIR5X>03wrkkJ<8HgNwqTuaO>`yT!G>yHOTZBe30PmP z(!X&RlJav1s8IIEO=*?ZD061=(p*cTb|+> z4{mSIJ0jB4lPr0Sjl;5IIDT##&tKDyR5b~9hcbuM9HqjA5e|(e11SR5Gc`jmyS|E1 z@3jnDv5p}DU0?Q4mddp~muOIU^L^%^rnYwRGkJ5QD)4nk*Tsq=JDKX6)OD3S!gFf6 zl2Sj<@NDqhq3s7QNGbJ&99@PtRDWY_Y;1W4A0ZAoIq9otz}0(2v|T1&U$IMX{JEXq ze^u+#7WsP(z{Y$L{b%WPpH(PJKa3dFDE)=&4dJ%f_B#S295P&JvM zythAo_8EPC8l?JAl>1b8SUu+qWjoqsu;K+K^_bT*aK!f(lxE32>ha`6ySDB%hhbhP z2}YZjgViqpUIKA%eWiAgS~)Fn0lh5Gg95I^V3G^v9Mf96EgPPQaa&yZGaNP1Xz#({ z?TeQ*Bhe>0;=R+g=i!o`4zaq@ua7gtt;?8azcFTO@NU_3UOhbxk~qKmoTGO>oJ^9z zckJYP8gt0xXf$%$7mwK&9Z~_iX23ZHU%t3}GLPlNYLb3A*M?-E0CNO1<{Qz=**(FS z&>fGD&!P4au48?T=V`;CtZiVP&k3wC)9v{C-$Vmmx&IoORJwlIb)Q@opozT`1o0BP zk8|*qsh!jVed>IMtZO5T7Uon5<-J_{BuQtzUW3+TO#?1V0ye`zVkzvaxzAEtFABtn zFtT9Cod-^md*ku1rBKw)i7jp~ZHpvqP5Hpp%xF!+Lwb`*`AzGnr&Uo2U7@~_`*!B^8 zgx@DZsj5Vr^0mKz2Oa!U$mPuiFo*XW!^Gf_51-y}@4rnxbnDGYWYAJA92eS{_X>MO zP0x%)aI+{s;d!v?Z>?GeX-D|Q41a_@USJAiN~|vC<~1Uhpi{u2>h(@;udTUta5$_1 zAiyu}doS@JNRy3Q$o%u^;P>dYepZQZ@XJWPA7 zw?8q~7A2eouaMC1R7h_8E#fkWzVl6>=qu{w#|H0fqa<)FNb;+Gu$%ro!L}t)^>JYC zHPh8S(1~8PS=g$YzE8xkYBRUw?H(vJEiG-xLhN%bLTbr1G3Lgg@&`36(%(}Ku;o`q zkCpF3mZWeIaYaPA3E`md%hc33FPwiV)QWdmvP4A})f zb>$HNJ0dPsb+yjcH9Ebq3u|3CoRx){aV4y-$*bAGnh%dT;L*%4AuFC3qDP+ zD?G(o{?ecB=v@EC=_v)JJs1IS3V=v_yIqpX8O7;ome#+|H!o83B@kHHf0$M(`8?5T zqe;8*p`ToUJR=b9@*p`*>q#dhjP1t|KulhDC6_BsfArk+>nS&Jgx8>3&AQ`9b8n;_ zf;*Mk-@;NvU!(rS*5$`LHo0Md3pIBwm>O7@fdX1V50>W-@mSyd%wQ|g;VUEM16b`F zd9WyHUIImBZbAUzfc;cX+$&&dx$@mJR#%hz_?R+Xs_A?|>(bV@PnKrhhkv1%AV>ToVrm6Uep(@QOBkB7Y{%y`kxyQfqGw+BDu^_)XV2x`A+kCx9AH5vVTs`#r^UpjXAkoc(2f>B7pLFgD1i+}AroBUJw~^fz5;0_W>7 zMNnNE_W^PR^eS&E$NsPT!*j205B`b+ogt>#Teu*(1eRHQW3t;7+HcPe_!b0> zd%|a|+j)_A_46Dyt7_OU74ntpOD|KY&7JT+K9-{X@zT(lk1|nuG4z(JQP}Q(_ho;o zu4#rrG4-PxHyU3xIL_3g+VyO`%PL__y5lJ?%`fX$b5py{k7{QXj{>% z-pNh6KFgfZ9^9HfuQt(f`0NPsc%JDzX$H9#x|m5LAN%o@N&S+FvSU3TcO+hTl-`Lv z>aXh^h~F?B;W32^A%xl)pch?buq3|UsT!c6JOJc)x8g9Jlwvxb!w*VRe}g#19_)od z1kNL(bTD(XPH!*AD)?m4JWPNln~_pzZwIc@xVzdQQnCthc4i8+C8jMD!#fNUsV&PH5f|9Zk_YuKV-9*YIua<6VVcZuT-%prVYDFkg5wgO|D~4d)uWTsunS z|33kP36juV-}>o^ZdWIZ8j7B3icUN$&TQ`RxEM%FXhXGrZ3>zu=w(RmA4+|xFji`* z?rcX6?D*NDmx=a{$P(SNd-|q)eogig(~xQ{mAqj)dlfiG;_W3H4dzm&ImQU=_dxTX zqsDfX5mg^i?JzKtHfhje$hGDvsFfOLa|^nl0*1wlHb zr5mKXq@)`pN9i28W9}Khzu#T=pZl)GTDoA(oO9lD_SyT{&wh4{v@ek!6{i(kZ~3WW)a36KqeQyH{Y3Er?WWGl*2iELke1I1nnp|)K`^eA%z*&SItTk zVZ_TSIP(3<|3JW~4on6XrtxX*Z(x*MJT?trSffmB?PblKHD`Ta04VEdXb5sEP*>Y6 zeWHk#yTJ95lIQ>iPtVH2MT`FE$*#I4Uo*vlMM%!XUZlw$u9ui$NNxDGv=qy@^{pVI zvMNyDg<$nUUcFm4FmB#$ge5{{AtyoIi;xP2w5{mt{(E+IQDF)XS6j0?QhNjHSJIH+ zLQ}7uJ={-wR*c$18oHp1ud?7`obx~*vp|vJLfYVlB}|~$`G@9+p+s{BfKKGdytKie zj&ksypJI&XX~$X{PaO>F-WX;ynaTUz`pXb}3{>+1J8W?g|Js2~zS&rEvJM?id2u$6 zoDBK+?&4k0{EDsf{vReGmKqvXII`os3AQ=#SeA){BZe-zqVEaznS>xQtyws(CIQ31 zQKs}0(Yg6W%8^791jfUUiz;oN9p14FX=-{}?Z=&WhF-^C>o_l#f*+K|ul=m8-En2- zESizhYS9pLR$q}KOWX*x*Ijj9S^$ZPG+gc9m@~-TO~Zgi_xe)jy0@#XTm{%3&9qMn zs-(|6-5>-{PaKnKM0dOMZY<(ZPIO@o=*(O*V@xJl$3_6OR>{Ag3CLhQgqw%Z2X7r*k3qCmu*gW6`yg}c<|DgVdh!}Usa5BfAdWOs_SwD8ei$?gwk?HVjGxrF| zi8T7u_BK{)<$An4qY}BMgjG*??9h7Z&5d7+F;(kx&F1~q>+|UN=Ue&p^_#&&{__D1 zYy*pni-A(#b8~YG`$PyvKWBj5i`zRk;(vGWnat7C__pIXU{)8(dOj<`>jqCu zTrbhrG*LHYV`1_4g7O*=X5&LnbxHK+>&Q*#{&~{4uW~juG44t6aHG`u4?oWMg5!<3 z>@fhD?7w2rs8|tBU%4DbuCxaHVhZP$F$F*B1b|ogBOSYgyq8%idQCmN&7$GmsN;0m zuQref^k|Z0jHVl_wza18-Cd1{NQL~s@g?Qr1495+vtv)WFS961(O)pV(rS^WF z##5J_=bHW>+F<@Kwd&~@q0eRb)4v@}c-vR?KFF<}kpI22@6j7Mdj!JI0Wfc>;O7tx3%htwc}v)oKw{;!WeE z*lQ;P?Hd!K-AVbJ*v;nS7dT^O(0mo)t8X^vC<}>tJ`jIbegPaX>1VGsn?1T+x{f=# z5;ufjwUc3ngeISzF|;W-tAhSm=$dhKk^VLBi&^6?zR*y>23JQ}npy_8b}2U_w&Jd_ zPI&Rg8fSLii;T-_85ucL5ENs0BE);usZu+-n#kUMxP>_iWRrgO z^x&(l6?jY~o=kc0*)u@liLtTJ%vxz*MOUyqHzoq$gFg$}*tNq$L*|Mv%| z0O;St{kn<`*!NG#Tr#_ebm9z{3po`zJZZz*{W$m=Q%AMn@{=$!Ub#yL})GT+u!`U!;OWyq!lX{0O#7l`NCf7U%nyd(c zH^9w}HXFvc!v%pMjSO_YYF2G#-QXcjLzVc29zx|!jvo3)d$+;+(F=&}%jgHI1&O7W z9lSxw*K^p9yduKtYYQMxEnDmmWa$q98KS3j>5@0XXQlK;aC*KsoSUom_+noKVKghy zMf-m+eGp{Re^QNljD|z@NfZ4;&mp<$O9dz?uGhT-Hxzc9XD3Mu*i;*gFDP5)Y z!QhstPa3Rrx_FB0*qSt<+$pmD>ry+^$9aB-Gwoud4%>Nge0;Gq)k8!%X_~)4CfM`o&WhAGhV29}nIELb?shN^%!M%Pl-(P#lDo!=V3W1yic%t&Q5F4??d& zJO=DYmP+I{A|Z71>T-|Mflsy%29ucGIL5TBE7O7Z<)<3!=|x%c9DGcV{)5f+M)o1m z>FM)|8SJf90H^F8dNC@ca#<;P+q~2y&|eo);UTX4^5y*-b0^a=by*5+3GbuHg@uLj z@$pzy37N&pnjzIyDn!sy05WJy@YfKG+ue65t7ntUq_#SZ$$sK0d+ICv*2gQfoaL(< z9GCoSIHBc73vKH5r>Lg#pqJV}p;r>zfQ7yZH5)l56#Ea21QO$=S$o9J`4y0+6Lq}G z8WwG8IKo}(bXZ~MO+gM5b3M43_*@V91q5!_1xIH0&f?p~R8wu9nZ%n@ZmK*xiuL|F z`&b-z>WKwSf8W|`5<5tcRcDaG%mUFTCO(%Vv9TPE!?T@VtwBVxE=q2wFBYxLIQJsR z#--{2|KoX@3{d2^1bz2j@3c)5ya@elcmKK>fsdJ2N_A1^|A&)F9wIHJoE;%#exLH` zcwcN-Q|j~3u*PIE0vZi`_^oSQqZ&bj&3P#PYAcDNc#b7~E-#xUWxx+#cL7{n5}A$y z49e{XDyrs&x-oX(;`(-vOzo; z7?;Q>CD?$6)$WaElVeB&-qp#z z_KSe}E^-=fEPTm`J z_UX^DVN*)#p`N|&>0xT$sWq5zC>qanfht69fjFNsZ>YR z0v=jMDl=mCF8~DwlTsu-%hpQIS3NzvVhpvDnYr9l7h`JH37dSPMq0jGti0GCAiILO zkHx?jW9zJCW9JIqclg=Inv7aq8~y@RuF}NqPHYsZOxiib9P+>1>_IXKnjFlI#e2zH zw3r1-5PolSj*K-=g=yLWK}KGGaRJ?~+nJel6kx|PGD>GW5w6{tp54EmdPoDk53X4K z7lrwC8ce^F#OEsujbFMS4?4tjVcf@!?%laPn|F?dV$oss0(&cI@TOy=GQ1!wi)Q&u zlQEUN5o%!Iv+TkMI|~qf)6)ODx^cDa%!cfuc~G4*rLtb_NQ4O-)7PgIVBF0MvR z&nMn{Hu;Qhz142nw=Z~p%6X=wX5OI(TT*O|(@>)_F<(F*EEiM?@YD+7W%~AYvshY= zDPs%m)g)!txBa~5>+s9t#T3>cDmg&#kv#kL4Djd191x=KKe|^=!4@u5Cs7}1@?LwO zb0CsR2ejG5;q-d;=mVWzgV6rz%dtTE8n-yc~7{OoTAp3(opAiVY~2|SGn!@7As5mXDpvdiB^{M`~@RS zqi3t=?(4iO_2v;TX;xd4g1CE#F(Um#H~(9Sl-w@J4k@4=70IKM^z*ehwarotANn3z zu7Gu-Z2TmCykQ?F;Efv8r`7Z)fgcAr^B=kZlSwG8PsuOT1}V4%hZ#_{=G0DC*^Dja zwu#-%1dN$cCVj!0^f2*ULU#iYmp)6)Mrp2w;VEER$=nqax2E(jc8#irt2pfHLq;};Qw31f#A*^?@^n32U)Gg zUaeP|iS^8uT!2MK#;%R6{lv7kFJL) zXXY#^TNfnoVp-2k!pcoy&+Si~!B+cF5A<&x4YcFB?gRQ&Zqax*Zg2ots*x5h(NOK& zq!dmyJNxTTP4+Xt=XXgdlYb5`y;fQNgKHr zo|IrMxk*0t*+XdUt04?>1)wbF*<}&EjU?^~nxra(k??;i@1jU@y>k*(7 zOq`zI*rca(#`U;FaqJFt*Q0%R0U%++BS?5Z08nqG6^JW@-Pm!71O#pPPWH}tQ-(&7 z!f%VY@V+`_10>i1msHS!Q2y@v{)cdsUIvPj!wFTpYIC0Ld~%N=i-eagz{@0i|1Wyw zP!`UhnlwK+w+kwcxs!lVd%o50fp~W+TuZdLO`6M{03B+17MOh9+Wd+kCM2g#djKNm z_xGtk19Evf1=)-!wII6RN05H8#3yMzXL~X#{RFU`6NDPKS-z@FPMY}c{0S}Zj~lx5 zTSd^(#5`EF)A=-2#k=NelA)WeESEf`#wjSs^oYK%4COQO^er?b05B^?uRYrtHATA< zGM{U&Dec@P0Z0C-oa(TBI}D1|cf7;VHZTnqQiw}5qNu?#J|2cqh7{@62p4uciWT(} zL9-|!5QPHlz5WPmW{!~^m8}GLsob8>fX%I8zF5O>;_f(2)eH3byOsGy^Rq!)?QOh> zO|a`-7~{ti=M)OTnW|ikSs1O*F7-p?44H(wq)zCTZ-_ya9M?QOc{1t*AGD_!5No-} z_hkI*C$=|=3b{c7cq{WLrp|mv78z&-4UP%(}jZ1F95j#G+ z6%Rz1g&ZV$$Ld{TV{~TURo4yR;=?7yE>DZgr`^a8$+_1)OSUj?tUrpk1N0^QNhvKX zHR|?Yk5IuEp5rX5JZ0j*-?#vzwZYZsLu0IhA+{>3HGAQ3B^e4z zAFmqUp~hIOU1v?~qr;WsgqHEL*)u_!D=(^=T2jf2gSepgsHtQXM@sx=vA+xja5o@~ zsX-U#-+JwKEvyxbesYT<;UPr}Ap#wjKdinJT2pj##)Z)E;Ey#;@kHlcKRzX?zgV=> zkKs#x4Hl^qsa+l$AClv_AI7B|LFc02&`So;;jYaYj3Y|u-Tt2&1i1?YF1{6RLBy{` zGlI_yd*RS4b zN}tV?MiEX~_r02`eJ@0@1QBtr8GLM1bi4?=0I``2AvgM2mrK~}@PsM|FmL}cz$g}@Ar zMT#%gz+#`#Pzwm@QRPRqBf=)&dM$sN8H^L0Tv%866xREH(Ve07x}E7ArDFtI)`2MMV6On=$YIic7^8>}t|X17Qr&());Q`M)JZ z!(lb@!bXbRrRXV*^WT}@KWE`_j?O%)NbRauGvwt zk45786e`{;I{P;y-i987vyHjAWz)wik1RndA}Z&P)!(YRGi;zZX1tFpvn;eMw7vT@ z!kxm>eNzjtxyzphjgtxKZkT?a;K=WppaKJ_F0QSuEh|$Q4IPs;bm5!F*GA>)=&=5k z_JNmbG~^$+5n9ly!%@|p$xaCt2@_mXBB{GI8w_oipKc|QhjH;FR>Kjd$%p@K!YlaQ zvor&;K%xkO7kz?|rpO%niEpCm@n4rG2>fMgn?un1xp#zpfH^|! zEoOM_x=t>2BqNP|=AU1FgvDGG(Fp8J&h-2Jez={vz?Vwr*7I@K(3$M~ z-52^rS)IJIo}>PX?w_U_CVhyOT34OGleWEyeku%r_Pcj?ckAAsqf*IS^)DG(379vZ zR}dViGw5o%nY6Ws&}E^uObVSGjWdJn#_j-AIm5wuY-jVgIpaS>buBKojsAYp6=%~I;S@># z%uueiSF(iZGmH8ORWbTmdNj-t(0nPdz%x`R#kpS3s)F!;-nnaL(E?O9b!y1l&xZX} zbVJr8#U8hEs3mgk|IM0{3(PwpJGDjLkWaJzJS*i$gT%<~Ikxl(_EO>B8l%RD_Ai@X zmDh?q`Y<1R0XQnuv8Y=AUpB%GemZ)h60UHe&Tn046|=W%8+{xvr@M4CnR5}v7F zUGyjdM79<^h$)I`JX6}&cYxRPO~XGjomA!On*6`a?uUP1*sA`2Or$Ji z4oS&bdMUe$-n=&EM#SngS4o#H6wqZ{T^mx3%D#WoZKIg|bqQ)Dk1Nk~x@W%&ZClE= z>^i6!4SgXuMc*|BxvF7cfr0gP|8X|Z)^;rV-c&zHd`|TrM=?rFai6N!rOTy->Jrow zq&8g2vx8DX9;|gRu@A4T+`?rPM)p$<|L)opI{z3k_R>hInKXE(6*ojmQF&F(ZRwWB zb|b(OmVNo7GwJ3(=ZB%?KB8yG*1p9)DiUVZ*23)}zZRJ>Xx501L}06JVSL}L6F~J<-~KtIS0LV7}!etwAQ&4~-&0P%caNA5_eq z*84kiU|akaCL_oYoKq|!|NbK1te^Bim39hbX$0MI&l@1^fY0n#rV=a^L^ij@S4t7Q&kTjT= z4U{@qOj~MCsuXIuN6XUvbxy-&Ehr6GW2OI4;^8sWY4bzi-mcFYStkyQ9@;ldXDoq6 z2PBl@6pXRcmPmMaymVy_d&ME~xs!{J|8dksk1oyiMPqWj z+PT@QoY$GMa;M2p7J`9{xt~hVj)A&!!y&%K z8YZlwWN{G!>&HC8Qrn45vET*Bwvd-cqF(d&M<{EECOfJU0Bjq`D~?DyTWiNF?k&~& zw^+~X=>9zkEgXk?>U}+#er?)UBOIWoemhs}5fQTTQF4DV0=zcdYXPEkDbwjC9ZEX3K_x#{}IUtP!S6T~&TFY^2f3{V+ zB^U@7gu+olN-fKXqCn$Gq)XH7v<5SGFqlJo!D~ZmG_;f4Xizb~1<)AL+9r2A7vPt6 zMR)%7z9ap+lxS8i<3_IH4QOLSr)Lt9`+3;pSoz+gO%G1w{gh{onEZq4iKhVTUCfEp zW(4evwu;ufS?rHrT`bK(^Ce*|ZC&eXO+Hr>c8vE2X)K#5DT_l8a+eb8*X8D{m_V(+ zu&&Jkbk>Q{pamn%Nmu#YyMzAp2S=4%09>-gmXRg21@E~*+i;mboo;}}DZCD8v0ugo^d}IE z??}>8KYv$UwrBpMJWGr%kp4#WP`h^)V^N3DFsB#U`@_TW_P>=gU2@0f>sl(0!|Q;i z*07L%ho2qEZ;iGQX6wy1qNLx2O~gOBr5S zf|Zr(PX=^GAVkXk1(xF1ng298{5~$!W8--yJ^4yX%Ie3g!A4L;29zjJ)a?(yV$!dN z4JS`_WOAL`EE&-~e6^8j!mI)3z7@KeGQ8IMlOyYYCHG786FiI7_@2U)89ElZW5(o> z7sW)85_Y@4D68x1FVX^TP6LUk)Y=HU-(rz+<7mrDVm!Qx2uz3{viD210Ih5st5Kaq z-=7*Us##$mZbD6notjPe6Cw@0();`KV8=t3`RN9`9>^gJk>#;9G@zj|g!Lqb1pw6p zJVkBJ9#|P^(&e0}j;v`m3UTZM0|P*p6i*xF9ptd23<>z2?Yy0qY^>NV7Bl;~5&)>tvCP1&>dcS*$1dw_RiTmPP}h=5emEW-VQtbbIRC$%3at zgZl0{MykZ((V%Zy#mx6t3~Bz;Yy)SG9S#t21d)ls)m9j(k50JWW+{w}GYH@iNWR`>T9!^GyQp9`+|;oh!VoV(W*U2IcW-#t>WV;^sh z-Tgj#fV#4`fb`x%y?po>V@B%D5e#`ZtLZ_*QjQ}XB=(uZ=ILy8(Z!ENP3@_p&*!O9=!vZSV%7V@#K&e9trE`6^mXUC%}z zl`N=QcVxfVC05N&ygeqLam$q*Ehk#NH9Pc|mlcyGmj$h}H@gb0anzlRaid#C7GcIO zuBHa=`CJ$rrdD}Ug6rdA8H2LT<>&GSGP4ZiWe5PdvgOzcYI?YaJRjmdzg<1}?UEtZ zkcZf5j1Kn$3k;BBP(cj{Yk#4>Fp2eZ)|i~qNU`tw+f57CR6pRz_P_==Hf%|c8^B>m z6g;_Q0&=j&4{ zpRFCz7IMt;kg%s`J%9fXCFFFDl0@!0)Q#xsZjfj?`CUDSC&KLne?~@MZEfyZt6<$W z|6@+=Ma}ycr1brCxz{>5no>2KVM{D_lKUqYduAlVzjtNC&-)_k%JC#HOq?>fuj6@~ z6Otr<$m=fjGuVng_ZOeZ{JcqmNyA6pA6ff3*Oz^oFzz{HZPj@(X!STvn7;!^W$1nM z^&JtC)m1ljCqH`!z>)VJd^I)v>+3HzRw2aO)P4>dvM)l32H}0jUB?z&skeQM-H~v) zU$9t9kespB^=t8m-O{#!p7Uq>BZcLX+Y!ZgKAoNXnT{U1*NTdXzrM+BlQIo3AgsPj$p zruTR*M*AfN@kocLyNnjm$jGc#!(Rd}mmdans841 zV)@Wq<66i#E#H8KPmdnW)!BTXYMj5%xeuH&d_gcKkEnRbr>e~BhyWQ^TRS~T|P=@<(h8XD69D;C1!7@K^S(X*=~<#aj!cgPtFG zNKeSyj^w9f3pA;kPibdqddoLt3vYjlyVyPnmvjyKHg%owF_jIWm+kX@c5+P-s}B+b zA%)IorJR*7(cyT9{F406`N*QD!92-xspxp|rc14K>s_Xcf}tTxrwX0OdInX4bJyH_$5_Hpb&9shE=)+Z9gQZ-(wWCzcS@j}{O{^cczEcOSxD zQu6CV5)Mzd{U#ReYC5W5*WK4eA|V2M@U{TtUHJp+^~*9hyiYH)4p(}SYn=PZL**{1 z+Qp?_-q%fSttILcg-IQ@#m&R_dZ*tVJJ z2`dHJr7o)7wfb&lIt=S;{5J@FVNuaW8C0??$BNGmcevxnP)bUJt@#1{n`m{i_@Cex zR4C)XWZN+K@sYO)VrQ&#kWhE6?DoUdR+e^wnt}p*3f15rUmeR0A{#~}m28R%AuM!P zIFrWQH<39@8V!5ma$T&k0hh^8V?6O)t^5*2!jR2oZ@aLyzO4z~eAWHz!Rzz=wzUL! zV;Tp|k(Y>gTH1@M%gJXwcQ2N>Lhd`foP##_-dvgLY1> z^DEwF(>Fa}$0>S28w45d=%Ozez=qAafwJCj)65OKwuU<~(#(x+X2=S^zRDmSZ){t$ zN7OD{6)$j}Um}_$-4BkZr+X|}{rxV}*qK{C34_q~-lIozvsJw3KoP9aD>mc^BR?^e++*he`G#Nx;6mJYv3#In@bOw3>J9(d*SX^t}}jV3FpH0)@+Nw7sUV=l;p zdk-px+vEG-!@JPCLyNwNQcKTp!^%PZ+B^g1(ePtlg*)HnnG`d3he>cQs&2>Ai-dJe zE~i3QRFZ&zZPW77gkZpOsK?k<@PgpcF8d;xSnH-1%QmU1Ap0I>e9?XsEpHW~cEF$D ztVWefMQ&hl`#3jz(B(lZl%VS2Uj+B;Kqs{9uk>*q0m<8 zZQ_cs{z48_9w$`7WpCR~o*^RlaD@z=F<=7t*3{<|ju;3BgBFkNxv8o7+Nt<}J1eK9 zCyI%xH`YVnY!XrUKuq#j!D4H#rv!J4R;1PNAJ#g=C}2fK`E;P zVV!QH3CzR~*`iP3RM)qvwKZvYhfw#~57);XhE?^tRB(N<)9P|wD1PVe<8BuiGPpj& z!+V{^PRpNLPyqL6>FjZ%E&YMhT~gkeq~&^ianz69nx```c&KG#MZoA)I4XfQKFypB;q}XcM&~=JL)=u1&sJG)!cq| zl74`3Iytq<$~qxVV0`1O-8+w{ck?MK1db|*i|zRy%0r9WJ=^dCqL>m(>D%o+gd{cb zvt_~E(%K%_x1~XUzX)7w+^CZHP0;mfQ0K6JZ3s$Y>g(fEqMO6g;%V%!syXxDx6Ric zQqhAjcE(t~^HNDfJiU7vp=CqE!r=+XFC?9tgS56C zfTzdzU@q*4fuTqV>8mO@CGOuS=UaQ-J0}OGsH@Hk;|(z93E_5#6ua*${Ap~Rmqh7V zk!_FwVlm3XyZTL4zbR4%dn0%X-&s}5aR9^3u9;)CBDV(7t&*x_HpSQBf`ts+rLLJJ zn)i);JMrF>gd$_Bod}#c1501U-;ET* zysljrM-Rrxo=}iRM)lH$rb86{Ouc@7yJ&>ErWJ}F1{33o98PV)WYHoRYpk9ES?%1b z6=S!wO`F6AZSJ{@rocWnPr~QD)5o?*km}Gr*n`OJ%*e}36Xk(F_sOD#=GNM?eTo8k zEl!wzG?d9ch+FV8j)Bp8Hm$bnt`H6U#X`wag^&WG$5DM8!9oDwPe(l+9S5Q0&$o1Y zFtJo-VZ!33dFKp}E_8zKpFeYw;ihCuW%r zKqtOMZAO>(sQZ)PVNLq(@YE#fE-&}Xf^NtCE>Ex9I?p|_%vQ^_$E4+TaHp)5f)?ld zC&ywyQBEjOln0E48gvT&Mj$?LcnD)b8R$SS;SSc3d(^J)#N=H&2f&!>o1Bzx-x}`a zXhtU6rD3Y8N1t5K9JLZx5nRp8r zqBCn!DyE{$o4r#Ew<^`Xg(FYMqcOe~Jw4a>tG}O%sbD$DrXiMv1#-TNrw!hkZpJV; z_ilHD-E!Fa3OAEqX!-BCh29e}TB6_Dtu!aRQni~Fh^AH{3xI{q-f$SwG0%*NIV2^e69 z@0R^whGTT9!P1VaR55g*?h<2Xf7xGzI#)i^UEXn5copjW$FvJ6#C7XvA3sIf(AES0 z1*6?+uPB!udFxc9ni)QN45o-SIgNT$mX9=2q=Q>KdDjT;h&JRS#l~;iZ>Qd5d;I;m zpgcnG5_G*s3!x~u0BYgyNXIW)t%|=Do=Tir)F@B~G6piHpNkjF**EPmF152wNT%n` zr2LqP#gg?To-o_-C$El=d)|FqUEV)E_EvhCjQvT3cfYZafwt{&0B3(&D1JV7k#ov@(B9sa42WM2$gsB)ww6k&_mXzdH}WaBy3Q~p{c;dS?k(PRb8_>=K-YuLksAci75@~ z;yRhG6)8?liO)s%N&HrSOQNXZDH4-DM)7-h))6=}H}i~drCVl4bUr@y@_Thv${K;* zT>iB@U`AP$%DyiD1%b_{#`X~UR+ao!QvZz!9F;(mE;&4td`)!nBeC)y*K`3BL4|pw zjJ;!vJ22Uil8WZGmhw${*(UP({70{RQM`UMHHSH^@>Kq-5m7;>lD506g#toQ%8BNK z>c5KiSMXxNbAQRIb*JuEV$$WE>?xzsYjsbom-4QzwHn}5!>%1aKeS|J-tua%Eh=|= z*5f}xO03PhfpPj?#q!A+Q1*6jKKoH&(;tf80D1DHty+$ub?ED}c_Rt`+&petl2S1) zv9r2EcEcq9cNvyPIZ$$0R-F>BqnX!fEz7f|6q9aoCbF^xqly12cG1w(6~lTbx3wsr zq>C=Qg8P-)gUMskKD4Ha^tod>|(#ASB$M#ry{tr=bmtfyl zF3<#CR+cJ_jOuFKb>11fP&?;^jnZ^DXX{0|SSLBM_^vhj$%M7Ul{cz%M9y_<>tltS zBE)q@GMML|^zuCeaGkWfj9S^VM?{dK$;bkFYJzyK*78`jg<~t@cYjR6Z zy{Y{1yFv^d@>Y+6LPG&v5kjAvpp3nqP+GX|{RT%b9yAZ7Jt(36aeu_f%k-?ZF56&} z&SUnyU1HSv8j(PJyB&Y3!dq{EVmY%&}bm)V=a?;1v{r$APsupSEIqIUliQIIgJ^ux%v1@ z>09dAsC2%g-|SAPkbpcx4Q2?Y{e zWCJ>R0S8ulGDJEVzD|A51v&hVW{{1?xqJPJzy3GR*~-d@?B4YokHbu;sN4jjj%%pD;@Fu= zBH*+JJ2%&o>gIE(-1iTNvW-5|Jt{8XJ-zHs$)~n{~S& z1729}@rtC?^rTRz%~CTe_B?Vgp8bN0a@QFCq2cN?O$qQQgl{41*r0HL2LrbSk1#-3 z!YG}PJDHUF>xZPyo}0z;zef{SLUrYrhW`vWTe5l!P4!4By`+il6Sh{d0tcprR?aWm z;hJ*hOuVd@Xw?D6s5l!KUv9;wpH3c|vQCmC;4glrJ@gk$Hn=6%x9Viw zqc11S!UZe>^{Wu$Z5wQfp+8>grKXs+(v;R+4unMF3o4M4{_kZzc!Lv)nDcOPZd;Au zqe;C2XgycmZUo?|f-sNvpL!A<@wk4uuDi!Y`eU6gUm@>~w4r;)wNF}RPN=Zqgc6%4 zN@m!QFctdpxT(tTu`a%n5r9lcwf|Nv5KD>Mzx?D)J61D?gX#%A^dr4Z1?*D zO`Sw#n7!dGVsXgZdychSAXQwqs-d5d&-zaqpYIu8@k28bGd&U40>9zr;*f$;Wn?x6 zkI6;OkR+Ka`~a`T!ain!1OB+r^y(tbe(#hJxY%fzzkDjM$!VidH^Z(C(IdjI6jVEm ziWTiE(ITR2z6q2IH3RDzHbw6xj%@h3t1p&6z89SX1O_yz$;@f6_i5;O!!+$-x&WfP zdAs!f#woyg$UaV4 z%W2!Ts`1U*T?18s^?~P86YFh>+D(l{&$geh*-@=&dSe!yF6Tdw;Hw)OcOn)8>(+5D zT}>GHzaA2Gj=h{WY&?G>EwU33A2Mg~`M}QLalW8?`M?;*d~&0SGXJ|z;gp8dn`%iZ zBiEwkls6;F1hdI>Ju>voDwm$5`P;XgX|EhXi4huR!fB3;IP+9emjpgsDqV$VCiE^= zelwE#M;)jo3gw(QhG$1=@sfiEI%px!{`n!l`hKm3`VpRI)yZy;=8MGss$49T+O3;L z598Jsuhr;0gw(qebeGr=z0{#T4Cd0nGeM?tldF=L>jexzbC+%RcBW&-dt%1lAe$m) z+-J63{5%y+#uSyYoGvNyug-r3P_hO5jclWkY#oO)yHl^Wnr70q@_LmHjp@U;OPt>u z`{AeEx`htd3a0NhUJ2-2>WV!V1THID`&)UH@?M5Yl|E$TR-9$bQMgfhbnF_X{Etog z0r|0&=qp1~9QEv6w~ewt*VMNj2!NK2L|CBC|J8quU|WQcN93o9br@~XA8DUlyTQfG zBcHDi$N~~UaiZhDeR=n7M&{`0 zd@}7}@IfWN{4ITJR^2}0-nJ6Wz{&4tw~@l>I*v9?J3aSlihv+GbSyQa9D4mZ3;XQU zuqD_(T~=;OKRSdM#$Yce|B@z45Ps5928@Ja9j{ zhsI^YgNwz?g%go4MhkC37L1nqSM^i`W~(qX|8>kIKFPSPyfsO$$AalkU(J5rd*V@n zRlZ9jY(98EM3@rlY#ziU|KHuhGIYO7@Ljl`8GX#v?QL)1IQ zsap#igs0pv-K`U|zp@Q;RKp1@9`ox^A&=9%vj@FmV;qz1{WI1ncB%burnk&;qcx|6 zrpGw0?JuSOc=c2E(r?6X{HfCz951}y#1mNh6`ujXl$s-p->1~v-!5u@cVYQvluMjMg&cuUW}Yrzimzcb$IOarG<|<&1aPVRm>Iz z(|Z#V3LpuR8Vw}|(-PG+>_Qbf@W#PFkB)PHzAgpe(Pcmyyv`ehO1OKkgO?BG*x8pN zk>B($gWdXVx9Sr4Wtt#pQOyU^V9qXZnjk3(x4Rw9T+-SCx$A8*Yj#%q$k_!?pNbzB zc(=SGlr7tNKA#Fe1U9DHqs&Q3HqhWa+kX<^Z#q^WX-dq(GR_0RE|;_h5RXk_SV+hQ ziL^*;R(^y>VK;-T0~NeUWu#zA=`B}+!{e%Wv((PLvBHO-I7@$W_VQJA7%#cEx8LXa zQq5v0?PfpqV|+Ns5QLij>GJ$u_unH|c(hHldG#|?G)h;L$~L?g13-#{1RZs{NZBv5 zc1fGAb)FK}xSq&cd@5M=+zgW@gITDB&*g~b6mt<%B4?ly=ZoQf7bCB~FV_mk3QB*2 ztJh56{M0Kae#Q=YaZ({4i-jLORscJy;C1(A=GH(yM(cYFh=Y*NU$*K`7)?N|M@)kX z-`b3;C07HarP z1)e;Zi!~Pmaa*zG8+Z{beNn@t^SVIj7#9I zuGc+@nPJ6VXXj48uB|=6FX?GdH_>7;n{)*07V@JsGM~ezJ}u-ZJe3Fi@c@^jqlOiO zisIGx>EdwxR6%90KY^j;ZN|<;F8vUI(%P2~r6FTo08Sa6i))x(ZQfa;Ev6Ku?YF%D!2(6k&-xBhC#7wt!O@uD zhH(pWTl~5pPG(kJF#uq;)?$adI0I(yZe&uatCNln+tS$O#mAGGI`U)3)v`SYr+0Uj zX*fY-`tl4h4eI-EyJmEjy)zwsr{iCfZ>3#CP?zx>-oNsJkNFp!2AtzxbO1rx!%7cCSIbvQ` zma;?Kh38F=p8-!;3D{wCOws198PwH(wX_#s^V?89as1q?ouKo7EW(F8NvThBKlM8h z0uad81UGapv|y(VM}Q@edrBx9`X#fF`&9j{rV0K%G#WJ2aq8WjO)^1LsY?;dLwK#N znh)f|)47QV?1al?eH0)7^7V6!9E)r8A~n&<;hqr_ntVm~PVAYjd;503 zvxidNiKLiE+r-N4WsO~u1<+#bvrC9OYvf4WN#1cyU_0bLThsQP;E79RNDOgm?J|zb zX3WYqW2E)%U8@j-?+;-Zhi$sz;MU+Ducp<3VmO|$!La`Z9WsD`a zxj?fx*ga}=knjo66T3^R+fgOoZ#{JExg=@Rn@!FN>rU1mQ~an?vm60*7(6q2)`)C2 zZkRT9Jk4D$22$C|awdVC^L7adVcec5u@laSOe+%f# z9Gy9|C6JVwuOwiEy#C|=by?9sq!-8S=Q4AgrJdt)=-ruXz_A^|VDem?${SyWKiyy< zL45s*!nZg)mVVbJ%K(eqm$los=V9Ua3hRD`V(go#pQ%>$NAHbI(O@@JI4{t*?m22S ziNA=n;v%+t&g&EEoIZBmWMqwJsc+SYc=@G#!IRjKHm3HjPuNAN4fYbO7=V3(G28@q zG0Uikmu%^zh!;=hpZT8swzErx zJh8W`yS{t=EpKi^d3am^ym^jI*oNe%s>;8nMWK{FHCMVbFsQnzro_ICB#GyAbF(g- zYW+iHMSIl$!`4?vMfrVSV~e1)bfk(#_B{ z#C!4c{r&eYSxRa!6J{nEi+LP;i$e=%`MQE%j+foR?hwLoc!mxP zVv&_j@216~C`ZvpHuu-|3szI&49tZ+U!V`9d_a&O<)aM?EE9c_HVXWP2GSHR=Hg-!^LGqmn8 znM;lrB;9qcOWO%%D<$E2t}rqa%a}JM+%ErFj6Vg3ykZRm;#YUQc^nq=krofI3zN`5 z{%9J3x{p2I*Rv9s>5*kVSgPD~LNAeZp|7kJwW=n(o2i>>tQoNYl0w8+-RW>mjHO0G zN7cTJvGz=BP(UR~Ae7mkoDkSwHQSxv`)nRkUZxl~nfo^Bkze=$m*N)Ve)90HrD&v! za)4z&@d=~fhk(Q~-?hiO%FOydF(b{acE_*QtI~ph#BbA|zxjCT3up{CG|$ zgD3us?+I25jfX_IGig-=TRS!zx({0Tvk@jPbZQUT{16vqu$d`dJ<@gBz&W~eYnAYG z>s-_!ac^<(6&YkGChkp3mTj}bd*pWlBkc0IPFYG9tHG(k`PL|UABDi0|31(XgfZ%8 zTn~&*yj7@YyGLtC08mgmiw)1EdanH=PDlr4ckJunu}V$M51B%91m{|?(b1nPoB9}@ z&Zd?k&d&ARs)8b1iP)E&o=e1yGEq~_>3i258Rw!-*6>{>Xkm$!C~^l|S?7GEytTLr z;p1ZY1PdV1zI&FIF_$wB@Hi5Y0q4cR!j5Sl_MA(CTsZD7X!_ylmOO;9l=-PFzSOBr zjCR}hRpg-cDaNE3hI3N)3y%!VX^}Uv?Q<88V5Ij$^(whNs=Bij$$*W`BcG4p4Wz)j zNM{&4ZzrM(AUOO6g>XBXuHBF-vrHGfVi-Tv*Llw; zeBh%(trv#+Ra%lb^yvn5+h@B%mN!=}St8<&9xV^}J_psz!oZ~Ku}&{zg3%9Vv9nZx zTNmIXgLU>r@%AAetrMsU)e>=zOjh=YhS1OhY!W2s07GQfDp`J{C z=LW~b2b$$OMyvxZ?QNA+XGbN^M4w91#?=v*(zQYD(VS^1ulm+@yCf!|c=3DDa`_yc zM*uG$PT@M;j?B{Gefm6@t)u2wQJHXdxnc30j0S4@{WY^(;H{?UZXhkhX{9Hp^Qa%@ z&4?LI)6YC6P5*E#^qUD9ccnZKnxH)+x=MS~t-9B5&oS=(MRC|oQ2F4_NlCdKb#&@L z);psD5U|AByNKOEjl6M~<@Ppm_o_jxP;-Dsj=P}&dbE|oRWq;}A3#T^!7ZMK9s%>qGRYYxX zUKM`7RmbY=M&a!RSx`rls5GqXB0=GAun8cbS_Vhq7P4~vWyQo|wHmiBen|(%h6bBz z$z!3e2f{3^%NKxsL0_tJFT6hJH%W&1Zd8BTv8hi-QKbco(3& zg7sq8rq8unSr=&8YkFj2mvyk<~)2fSi;jf7Gz#lTUTWVdk3d6^Qg^~ z)1*pD+T>)Wt|>^#I#kTCkHU0-gsoevA1)@Z3thp)>V*sr#y>#nUo70FC8um#MsFeJ z1?=j4m%{~XlKJC5#7Dr$UNH#!2c7N;#cq(_xG!;>4fZaTHN0a;iuPRUaPutA{e4+( zHjn*?GSyeq)Uy5FCF2UZJ$obAD=3h(UgM&If_M2(i{jqZK*4u^;w-@SV2IgITtqxT z#WJL6ytvfh;%>z%0XY+6#8ehHxCzEdX9r_s^BrBZ;QauiCMkD*TWL4w?IQkq%mN_a zEC*LrcN{P~ZYbNxt<5T*nx{1*9!D74v2}s#-t@)M`rd^T06+cpRXJ&(RD0q|_eqre znliw_3Xbg;&V99Y!|sj%(hON+DjyQDm0HvOJ|~penp>_lCsNTAZDot<*t)nJ{O#F1da=8#AHuJ z+AECmaQmLZw(g#{^6q>KGGFX^GsoS%(5S{h|NPr}Q5ZZzHkL6c$e5F;Cgb{gr_MEq ze8(}sTQ@p0bqEjlvKDW+m4nEVBSpU-6dfg!m~d$$Jn(MM{&~MdF+*?6mZ2@Y;`_8v zs4s-s^X*{kFeRL$XO+fo$z9Tmx)BmI_dNm>ato$bto)fTH>x=WI zJxzZXt=r`<0PT!DyxJG*-z^O{lV?d1%XkiU3eX44U#VP&T0)VBp=*-2Lh4BlD?Kz+ z*k9|Ao_9I?>o>`+$!;T85>R^dB#ShB-Q$VY8y^^9)duOiU^6>2B$6LuZjj!OI1cPB~>Z%@c5e#DAZ?4Cfk^gvNg!(74b= zg!N5w6N_KfKaIwYZ)mH8n7DB=$!z5+&iDKn`P+-(Xj`Zct>ca|vR9OddtW$vjH~cd zSSx$Pi)k|U##+j>lh(Ho>rx3f9v1&G;zro2d4jdVTzMW5zr^coP1>_v-8Y@z94BmB zb?Nu-XhR@&!dWJ7#i|>=OAE0-RXf?tst#jb++s!duFN(#J|(5=ti++0I$)H+t&*}` z1lQzqXSM>aa2zIZEzZ`D4gO)a{~57$#g3*x7dCXdPY$IvH3_bq_u`lqdEjvT2M$S^v(>B;?>_9 zz>R@h4|u(d;l5c76Y8WO%J09-$5XWiizalMc0<)uYF#m^#j*Jhp35IzWt6qHNxXSB zo8*5wEPB$Qom{$9^xWFC_~*_SmHzaJxH#TD9Ye<=2^Pjvq&q9a_z>`at*L9MnK)dF zf1;G}{{1`t)#|a<;;A`#?qA|}Xg`HPPCnYv(7B&yIoF$=0tpLa+=+b9v%Mzf?n$ZNO${+i3B`TfboQ z#jM$KrX)TL{--3!dUJ2S&dG zx0R|P3wS->xMwW=!5~rh^W!K;(laDrV_* zp+U*k@w!3_TgS~Od&u?KTcSHG9z})<90ieVgYL`W9=R>$*w@hGLsjPxM!4km@8#(9 zRzAnh(kFBZf*Tp;%q2HRm#=1A4?HbrGZ&yX~S0T@e>zMJ>nQYhNwuDSGH%0uJv!u$VK7y#`1 z_Mdi-{N~($y&tMk{2@}q#_}k&x_$=U0yORLn01Ng8=)QeF&&?S*}7v{za2;yEn+V> zAj*9f)EjK~w#tNgx^)1H28pBs{%^xZ8PtiVE41VwInVsv}e_0 z!z4|Aho*?eG%%-xY(#!qCa~CL6;8U3DFoI*eJ1DepVVN=#?Z)8u{F%4L2^dSZxFYl zib~|}W#5)9~KkD{fE5lxYOdki5pEZ{(|K>ufWMf2!i9RxMb z+w0WGYuVQsEYnp`OyCyPI2zE!L3s62A1|0<1hdgZ{<@9@xq^X?Vz2=L6}D=Q5-FT) ziQFJzozUbHrD??KY{K$p!udZ+n=|nPg&t<*gB_x;tYchTUoa7n`qth^RlN*|7oHTM~bn%?&~7bePTlS zIpdsRoonmsky0CSUcuyg1yUOubAPL zHObZ_0nLb9ZQkF^ngb<_5Z-;$daZf*^qoH!sgbg&Bl zc|Wt=*ja=-{Amy5cs@C-H#XH9YQ-sVdu=_?34#-5|36Fl*Vu&bX&+(h%P5j4UGMs3 zep6(XWD|g!)XAYMw-6v35a#bDB_XuUY%m_V-xJX`bl7*J3_o}LnPlAL3|=lA8b{du z%t`=3M>;SuS@G4YV8=XVqb(s|9v#~|?c`8Dy}NN7#QpcZ0v*2c?Hn)$Mmhc}3dFsD z`1uUhrHTa+S2M60jTv+{e7D4OVu78h%694LvlqTjn3gW9V03jPBFpe$yBEDcDz`iPz!E_iV(zXoLoq5H)Oa;$vqH-({#W_P`0^wuSdB%Nw%&<#+u7imnj zdTXKu4u)&uxe;a^_5gynvWqvK277=qtg?y}%`wSpaOBh}HD3b}TjEz_pW8!}s5m>} zdon2h&mO_zkY{`7HpeQe*Y_`q1ahJ+tPz#d{R<} zkn|;UuLaBpdoLoj+8Kyfbd=5aoP15dIOtuC*jZ;O=OKY_trvs2eap$oT2$4)?{2;L zMR)7=Bk{lPdYBLIPCeSJ$6UpV>l2$KQb zrj0Rfc~6&Z|ti3Z*+^#(svGIzWhyzFOzQj)!f3M?bl6R-uW!@qe~u)j zPdzWcxP_Q+5+CoA0MBi%tn=SQdiXb@5)H%{0&c=J!M{G(U+-(=aDtK=6|ej8+!)cS z$_P08#v2}P{?=R8Jewdg78x(37am3Rn;7geYcmbXLxuxvZD_yW{OP0@UGvfs)?m1eg0$(<@DmL z_kh+o(wDMoVt?>=Fy#2g^vt^){$#q#b$v*eNu7B?L#?xtx!;-3J6@Xp#H8`r)mk7c z27R2b-3u%=pREQn%l?S>{pKYgv%x0**BS6=a~2?Ab>-)v?#cVACS`QOlrpTPHAz@qP^-7k*zscxRAxS|T@=77rCnTc+^ zZucKpg7@(F$jWxp)mUGWa|O!6X_EvFlFvb4@z#na6*{f040}u3Mv7`JB~LSAEBC&0 z7$fAWHsxAfT@BVNhbQ;%f%*Y|2>yp-ZX}p%8Kb2TU`i?Fp*fcfc zU^e2k0JnFZNqt&vSil64Xo z4oYR_P@t!!BI1fDEo>+%*ckjpXsr3NMK2JB>_PZuAU8x_TB_8mTUTk-YPIIjz^6b6 zLoLt&hvxf>LNopE3Uhcru(8_z;OkGFdh?uIKFN;N_@)jOMHbQ*tKH1TdEJT}coRoc z!gGm#0dB4LvDrtRLCoFa%HG&YLtewcdjN&v6-#V#wRN1()87WI1AGgj$VP_iN1zc$ zV`?v#jF|@N7k9JHXI*0UL2wm!_h?37cH*AjfU|e?`?*7@G%ZPHx!v9P8z)L*@s#L6{SHZbBVNr9d?g7j*JoZQn!&YgE8%N+k1kWHQ6O>1~V$Q8lC z$A3|l{^=EkRJbOy-2DYLc-j4`gLl`SSM@5WuGhhA9OHlflBm#N**|C|G@J@qPqmy> zEG?;4+xs-s4`clhg}gM=%VIbdCBl`JdW^mB`t9veYr`sLKXx~E|0s^q&{Tc5!1=7k z@e5%arjA!>>6?gt9-%JJkH~KowsCV{JDEc+`-Y<^Jjm(YegXbYMj)(kN z$_V4{>x8U-R~ULhk8`pf^MDXCYScK^B-q5fz5mp8USz%T$&1s5(VNK^sJ)ZE>n^EM z?Z7+4$hO96<}gYxpoi9?sqqAOZqrBLI$1RA7R z_1A+BUZ?`Jqh+B ztHiFxsdScnsc2cqQg=modNQSazuui`7cv`eXyg0-it2JmOzEqmzO*Ne%bGQt;IL3T zV8-qjgQF2;zqgN5p3MfMh*5S}X8u>z>^6fyAs11Nr>J73Vj1P-|F!JILs6p4<4YWc zZ)Y_Z)an>T#^MKt-LF-YtmvSSmBkgnsKn1H$0d}jJrj0R?`k3Lm?Fo4{R2OO#{prb zst!Nhu^AeM>FH}#{~a==fo5(Vqqo2FjehXw9aZm$e}{&$#`-pUhDne?a1eYao^+-T zCw$xS<_88^Z|}eNVNFVcnk~#)Yi}DT36mxJYW^m*)c#JmB|j%?@prGgGRNuJoN3+8 zx!YW40_&dR1?ZijrcRTchpU0>40@KW+_dsae`#Bc?D%vY39$df zIKS{6u6D+43N8moRk_amz=5vrCRoKU9p3ICw~$$tHMa+(-cDwY)o6Ixv#iteOK5lx z{c>@GgT(h{^8Z8|KfKgjbpskQKc=^EV60H47lqt`Z~d?zF-y(3IEfH7-Mcp6>iVgt z91~l=4mU>obx~%CMtkOc;vL=dL;fOXAmRM+UWSE2V{1UujW6 zB8J&&cmDA6L;Ph>SNjZ`7;V9s;~zc3?(s(A2*yU-DSAOiss4x0XHBWhhf zj;>!*WXKt?LJ|>`nmdYe=_!cLw^Mo zbLbuLLQws~u?iDkG}}1LPtz@Ut06yfch*EDj{vPEr7rDcFP&kQuw2d{ZcLb}lFaZ@ zPb=a@bI!7js7C%^ z1IeMD?T(AiV}tG^`B=$gr^g!9k2f97c(pr!UGFXjJ}H|dl;5+oJ>eX8t+WKCpY-4P za;dzG^=f&GlKh@ys=J@e?)h;C^z zldK1%g8j2QIN}%kMR}}9IxtR_Zc63oI;(g6_<6QYNkYL(`h@QIH}_w;m<|oB%e6f) z^3su1&@b>K=xy|sZZP1cBVa!pB`rtOcg0R~m9);c<)!yJh|quUeN(%zc=fa>Q>EYWOAqwhVG_joEU0&xzh^%LV? z4ww1=UIjrkF!J$&7sv#?mrS@@PyqRH@X%0Up+ViPaRr^09sBj3&<{#lD524m-AR$4 zl`NRY70`hn@RkshZ|C~tBnf#LtDE3x9&NEP>&pFN#N$Enl<_J0?f(ga}m>b_fcb&c4vbl7h97e z=|x-EpgN0ITz4h(@Z9#vFFl~nl;PI_A?fSFXVR}(Eo_tryD|I0<)igu0v(g_n32_5 z@yoKuF)=%NCg}N#GJhgU-$gv8ydl9QB5fdP|6oQ=wJ?8&^-vhL%^&r#%M0mx&af$I z>v;TF^USBg!NPlKk>Rxy1{yF!Tt=v<`ZVh1L`SIWrCXidZ1UJU{1U@7~r7ep$PTIBj zi`hHtIuYq!B0(9RX$B{!fj}3yrL1aDyj4Yi|PHX31$Wot)<@J z^5C{QNnTMiFU2Nt|4^EAgjHjdL%Z`k8-JviP`*Rmpz3z20F%+_&H$}a4GI5R@LPkR z`YevZ3@?Y%^f_MHyWg{GmJEA)|2Ya~vw3xlCzpTFGf zU(;yPQTbvrc}TDRBB(EaY&wk9?`@R1bjOHbzqYn!!78K=BDHerGA6~YQu8_`<dHCjSz(!vbZn@e(m^ zL;yhSZdCviE~OB^mqvf=lVZEFEe}lsJ%rl1H#Z-oUi_DBAKE$f^abmqme2hDxn`d5 z!H2#CIumMN3X9G9S6U|nx0J5lYMsb%mEuQ>c2zzmzBcYZtwf&Bv=j$nc_>u zGHV@YF`=y`vqnamms@g|{WH!8Z#Vi8`b9?Ll2_VEH*H&pTBvgOQHLXUgWG8s;pGhe z4k7y)UQ5S;v8`-8oLo9^;3&*@;WTnt8(h!c!nKjU+R^Qm;SEM!n#W2{A(!a}X+-O$ z6Un0$XjZ~3t3a5ir)|$a@~14be+d&}dlvu7@S88^EYMv(@8`MI>q~K!%0lU3yN5!t z^WO>|7-7YsJR{9Br-i;n**y44DTV`v&O+LECjd#e;MU>;YS>)REEZ22kXbjcD$;6o zRccAprZ%4Fa4y%cJ+n1#v9Kz%w~}BHpe5mU?04ic z5~xvG5Tq)_K?YvIZ2f=gq+N1z@vvx3H?(VDYq!nJa?%kyj10od=Le~nnYIpe(Cb3D zCC)=b%_1>-fF*I{nBZWIJk0w0hmVsg3JFXbv{fpr_d#RZ=aI=t#_6=*>+vznI9QQ= ze_1)%d=3UR*3;d2u|ACn+14wn2rKxW@86o5xvJiJ+CF^t%fWn8=zX6au?c;tZy;mH7Pb1$2Ig6U*{`W*Vqjg1*|}@#hhQ za+9pf)dz3%WTsh{#uIYoo$~qqVZ8@^-V@a_aX48kZ932_JQ3x6(7CJ3JX){@z413d zU9dHpPh*ahCjhtfG3d5dV_Yzjjn@n~h|Ip{gUwSmefi^};qvfgx^7+qawUYAG8=6C zym8Tk`_W6(Vhya3f&DQMd;UD=b`|RxT;Em#4hVS!vRW_qPN8;=(9?s|r;sz!8wvqaN3TwNBt-gdwB68tBU2%6Bp ze4BNhGNeDSwx+9N5`MlCbw2tB6xP@f)3%lB+6vM)vNz4L6yh*fuoK7Eoh!GjC0pfNu-X$gbe^F6XvFU5By)y@~hT z6wWx@rvf7u3B$ou#|eS7#ZL=_sQ1n8b}NDoT}<9AO1~>aU*tjWaTownyDKGU#vfz}3(gG9NrYsz3#< z4Vx5ABd2zUCrnl;>8~1>ie~%fYMZ?VcLa>$g-wL)25`y@yMeI;(D5}In_i|DHGKC> zwzNofop-j7{bYm?h(13p^n9?l?fKv_SvWON!`o^XPc2aXlk0cw#th>R{5pQohiWUsK=qY6I<91Gc%>Ko4# zaoCZ+-h?@K3a|BhGID-93a<=sMJU+n1_q1G?ne(Zg{A>Zu<1Sw_yHA1o{ZgFhNaxl z2U!e#x)&*mPqR4! zZ=bC`EiuCN7`2OYCP9MK?*2D%{w@x6t%%X^@Y1k*x!t@3Ge1cG-23*D_u~^Z)W{W( zmi^NLz@%o5ZKesTUmK7rjPctncT~i}6|H1j#a0+1(%NNHrxf*u1A!kv&NQsQc z=F!E^(;u9FoyKVnTJ&o{TB{NjUiMVQQ!AIgg&t~skB1XZVm%wKs@m5$_c>Z0lMdZ= zVJP}C1$jCId)d4`)I^u=;=y+0b-9^8F|n}#@_Lj@cE`1W{B)0^;ptS?CvO%+Uxj3) z#c5Yoy6hq&C$ti$4iVJJ9v7dwtY$NmJRS2=zBo(sO>ob7Zu@Po;m><{PS0pR7;XuU zUqXp`c%FS9MV2CPqgEiEd=`md(Viud7IJNbwQha5dfhObV8EMi9=o7+wz@MnVTU|B zbs(`9ZaEC`U4L=L1w7IgR0=&`xUNqG#3~i7@h=I$YuX2oA`tUBQpO4{ElatyP)BHk zXa7q+(AYOpmbO=1eh_LXo&`rXMlg8%G3>`ndD?*Zkz=)FIk=kiI)=R<9Auxj2o!An zpsyWuO3pl-Sa{zn3tmMZqQx}hbj2b)MC?Vj|C-CqIo|aifiNSmDW0G zzOXb;YQCmZctyKH-1mYS$XvGc-VD8M)hPCui^2=%q%R$J?WtMEOb0y49YEy1*Ops;BYb7)vDMZ`1e-p?btQnQ;nGQmT6+VeM=+0 zF_2$F4kjGjRPj#pfK?pyUftAT5*ZvSGZ`EbN}DxFqrqy!WeV4u`TJ+PT(W?+6Wd#G zpHq$JvhrDj?G;H4Wlg!Cee}6S)cKpZ8#-t-B7*GVQAv&DDX9vcfQOjb{UomX#Q~La zQT?l-+Zpe<6UPuaX5^_tL1|6KVNE#h5&qNvT1tKe!N%HwWHN7$?yZD2G0JDMeF&qT z+u}EkFM0<>B^HUI?TqY(UiC)?5#~A-+{?4LyNpReUN-h!=*8*=Q0mv9u8Xhq$IK9r zP82E+`b6B2-L@Q(E4QZ@2~7(ws4OyoS4UjuWc%@8DI^IN7zA7MDz9cWp<5pm%&!gA zcg8cljb{}p>B`gpw){|Xw{ixlrRHk1{ys^Qxw}hpD0{W$G6WKxz{$WRBsdjRBwzbo zvysqpMB?5sne*|$`s5${z%}M6rGEG+yqP|xa0vlv2DY4j4;*f1E48_-T3y*>^w4^;m z=`|TPGU+yB)7Q+r)=(?_DWg@rk|PbPrl~V*sXs~Bd-5_vxa`gOe|ib9^kqAxLF_VAC~_L8~szIX|!aEt~cAZrHZ#D)|Hq$qS>AN&P3WoXfp zT44M7es*Jf#_rTU04Q}KvlvlZd4Xc6p`^!k8;qBNt@vzl@a>&a9@8SD}fvCEQ zNSK=AaEp_NdjrkW9LZKht8W)-zA=5V2MT*%rl%R0;hQg~_j90XYyQjk;k9Z$K79O? zKBWO4Cxw1#qz%i?53bU$lrrQP<+y4vXV`GvS0 zj}!kfT14LItS{k$n^9wVse;<4OZ1s9-6>CgW|*U@`-t-k{Bc8ytHkjNtUc^P6IU&p ziw2>hNA8z&P-h+y`j$EICA1dcdG`8Lc+II7KkYBetMBPPO6ZTjQ|?-|Z^j@R>bzDD zAAqsGvwtnD&iC5~6ZDmjXU@8hD^=BBu$edsuy(e?;zu!BK>d#rMiwb{!;dvFF|i8- zh_JG>Knxfq%44ecar24@?W^h)SHFnchJZ{_kbK{D<*~=m7RwDhbZET9Z<7q!@+54q ztqXCS8b!!i!US#V7e88C|Akwkp)u_Yw``7?nWH(WYb)if$@2{gX}B4b8r{qecPAtb ziO$9IRs8L2y=Z4T=?lXc{=`P)1}kY#I+yz9cmPQ7|6SnehBaV|i!47~yU1q=1N9%uhPWQ|&q|EMt}Z7FaQ~WQtsA^p z+XIVPSV>sAKZ75bXWo2d*N=R!rQL|ivX(}l>hPXt7-x8Fg!*Q#Y3_`TE^EK1Jp|q$ zKE`nnoMthwz?(dvrvSpM_g1=pIlAP#^le*@Zwdql%o> zOm!+op`kwuJ~7!e90$9!<)mJyGG5ZCpv`#Ish6$gL!FsM zEXALEBrkqKsyqaQrbIz!HXNvY52JBI{~yGqhwlkAVV<7qpbkN<&=X>4Vsd1CuR(LS zLIKbs!0o`_ei@SQ*aK^m&7;%@<$0Llmym81ppgdX)=F6Tkjy#&&suwu3DQ%XZ%xFj0<4UoQ>S`%uorc;xc|%=l)YP8_kN0Kz)cJkvg|9R99s|0rdw2vKQW?85so%egnZQjTIYlNA|7YG+jvqWz zN=`;!p5z-$vHKmOC4M%u2RrYh+l7`r4!zZkr#MwIuQvL(vX@^Iu=SvGJ}tE`1G8Cj zo>E#}bSx$^%5M6KuisRST5f~B_;7SR#Kxk_* zqK5IaH0>txn!1e zyelXX^ym$y>uQruNt@B1LH4d?+0!c|8Il|KYW;=V(Ofhj*vo+^21QC>w@6^RMg|Wi zHu>RIqVM(5S_0pi@5Sm^Qc@l5PrC>t8rGacu=exH#|Vx(0Rw!G*O14bAa@i_u)h%% zcqEvU!d(^nYQ-*(ip{L<)>Y!i4F`qdxmlRav5NFwvBQY0S*Y|0uPdv0KOU`|lYnxP z<|4oN=4D)7xmtL0*3f{V057Wp8B6(u22DtFoOsgoh=#xN_ug7gIrRj>OJ@3_uYE-U zn_MMfSi?BdIoO2|$>kjX8cJ`nh)AC$r_Lwy4UVA%V3UqljK>vDB4*b|ObbM&8r)iA z!p3F2X6_f&X>P8r+Nf?>0Aq5@PgB_X0+4yrPG?AAadb^Wm7)tDBXxa4SM?_EwKiq{ z`1rWp@y6cfn8*W$w==uc7&pKnpks44d8>qFVhW}U^5oH@?TmUv zB9Sq)_S9Pj0FEDn7NZ@&j|y~6eajw-Vm$hK3Oxu%SPmP}X|LfhF}<8?(NL3B23`Li ztHUY@<<_*%ERKj3QrV_VghDy_{v`<4Od2Hb)M8AMIVUcQ7t(!t)1KR3#e2lP54JVt z$B&$%8y5r?>mBP-E8m$ww2*tm3EZ zama^5d(a+zchcEO5M77R`T_ zNwG4Ti02KpcDI}cR~A-1Tjjky-sH`fgRTq(grQ48to??RjB7hm^z)pTENemXvKvqu zZo6Vzs_npViOIn!e743xbr7|jQWJQ^s4N>?{ny@gCAz5fJK&RdW5&b718lAgO`*m;n4U?cdqEGD!_33zhBWDgBq(EKhrMx{p6P4b;iEB{s_XQv zV19c;UF(^inHNsxT%s1-C<`Do!M$L5Y%xI*lrY7iwaYq}@>mJ$OI%A?sYa?lUid|2N(LwlCHf8LSC^z1R- zEk{U8PH<6J_Z;n6cs@zXLr*ftMi04|2*K5l>wGC8Z<9_p4VR+|eki9@DSb*lXDnh2 z(P68=#9mOQq6{t{rY~u%neD2| z=PFo4c*_GZBd|5M0@v4#&HQk5co?I5x3vl0)YR07W<&<5)}+WY-2`_JGxm{C(>8lh zC)PD^NtfUbTpqu6O{r7Li->j9=;x^V{WJ8DfZkxOJ=}4WT_##X>apw~IhXwU_T?i{ z96V10TMV^hR*Ne(Is&#NVOTmB?>)7LD6aufghJr{XP$4!focYM3YB#{`rxe#3cKeu zr_c&<8SU5M=tQ1Ge|g{b(Lwz`MaY5(5&j#Y8cP>~R>pn36#W3-r?{;0@L2j~hVLxu zZKIWegz6r#I}Mg3k;>GdZE0EF5#P*`@+0xiY>gH<@TPY=F$z~xz$<`u_N$Xo5A5u| zeGI>(_3)qxKZ_DYYU%@&LJ&Mj}YIz|lyrK6ApRd@R*V$&QoM`zp?5BD9%(++f&J}EQ2kN;D zWxaoSF;x%CPGmX1cFDAE>3P}m57P&Vy+q6ipWuQTN%c5!-RxH(j3KFU;#omBcV~g< zeJqb(Pd-Bc;rjk5m~7J@%yl+6fUU|iK03SF$j7@q;Y9qlm-5gf?v$5joa0%3ZCdm> z)q#RaFubtV<`XAj5XX{xQ8{v8Tcpue|Dw8tDvRyJ-K$jhl;L_^0H--O7u)C<1njxc zBo>`mxmEs%pcPC~OEs=PMHF9ZPdt=d?#z&YTC8HdLP_-K_F8tPOlh26UyQC#CyI9G z<)2j4`3tq~-TC4&j|vWD6N?9V!d?$jINGHk-_@SmvlgTs0+@aEO<`u{^_&?RPLsW% zq)pkJ=Y*$5V}OnB!Jo6b80^BzqRe5e!qJQn&mxp4hHRT3fDI=Qsh&+RTAY>a_delP z#C6vu!$H@5Y!^=Y$KEPad^M|_RZF<2uE9}UyNp3It3UWH>{&PJTiD3wtSigHe+~I5D`&+qU}k;k!@i!6ETxoX+p+I= ztsxK(L;565nRt*F_Z^U`uxNToEA$vHw&;BeHAfIz(QDH6&W>0XN3Xk2AT&L=@e; zk`aE2Kn3&IC62Z;aK%PO(6s1%`!Pff*Lhf5zf5W9mar_6J-bq+sBIrUF9dp9;_x=^pc#LDE~~me>2oCn~qQS zQtg9$l7BfR3Q8uh9Y6_l1JBY-z`pj3mP(FJtZS$X*FiGZ%8El@wGV`^Io1f&d$n97J$vvSC-jJH;TJrAD9OfS@ArU0%G^RL7b3}w^%}WQ?w+$1GJ&B) zU=TT#uj;99y$%wzH0QbIh?+djnLFW|3>L_D218tuGh3>w&}^%)*MkQ~bD!{2T}wjP zn*Z80Gt^Cfgv+>UScx38o+#3i2SM%SgdO_bPT8tF%BMN-GW4FcL zAvM8M{1wN80L@zSFes(A=xdk57!ZU-#Q{Zn4(VN@%YI0C7G9Nl?x=5VPqlPG7Aw~( z1{{l*7PH=)avsnwONy{en!R2ULXq(b9DBE;T7D%`ZQVVsk_R_VdP7O1LUDazJLW>R zQ)&`$vfY&?lu}KWNtoRpDCUVsTX1iA8M1+^h5zh14Rb0l<#CM)A7XYS&S;RHsvK4c z4*NV`FUyG*feU|g!PGDBDbK!3tO#aRlPTA<%e?6BhRW--y#U%*6m}1{6g;!E*(GJ_ za`kF^7cF6ncC3bBv|np$9|wQ4D#!^Lj{oL8@;3T*@-bZOP*qLN%+liVOpNm`+DKt0 zul8lPSSd<65i)kqz(q|gfU3F~pEFm;cS5CM$##;3H*L;17Da8YW z#-opCVRHp`_XMK}86P?3eoXI>uP7&Zp|1x%Oi)6TvOTG?jx=ry{;bWX5!)47iIP;3 ziptThBixgRz!LMZ5On9a|Bd5NMP*`)oLsJWyw@qSWqlh7Ry3T$9=`L{*PV=6}b84sBY-W=2O>!K~Gx$fl>gEm9J!-7po4}2)Y>U|# zQ{8Q&B-lA1vb(u@BTmuPwjM~LWHwy+SlD0Tq3_`r9fAHXwiBe?6c3_@B6&Z^SrS_y7j&T`@hcK>Bic-Leuxs}jyrzpWA$eO)nB5oFs2z%qA}M9^i1-Mw zI4IDn*#~!3xg0WX)#HobUvN|4IuK2J$1Dvg5Vx`_F#TH*Ya3!GML0f|^W1*Tv+(~t zlD?|k97(DFzaz;tm3Kk`Frx&7TTPeU^p4PKFep2^%Upj~a{pgM&f8J}dYks9~>lwwpX)>Fe1I%+v-+$v{WuDCpG zM|&NNq+fZ=*qdc96ul5g^LRS6`NL#ROIYB`YT$xecvuS`sVYTf8NvoUb>-$7l~(ip zJag&&sgB8GVRgYdd(fCv&u0Da8?R3hj&s{SpyYJi5xlVb(NG9&solWhAg(uEXG6W> zwkWVD5Z=qnD3trby|B3Vy-y+&c;{wTxM zi_5*SFcDNcqL6wB`yH;5%2xtf@Ul2OK#>!_t)5GJ3wb&g^iKQo*S_!BR`~^paGc90 zg*$jaT=<&#;B$TB$J?ogT~Q%B2~s;IjPE~jc6Tk5+q;4mar`@L59Q(J^BJgWF~hFV*?chg zHb@)$+TJ*^si@M-xc0_B%=|Z*%SIucojp_tSGaHgH0f%1?4&9GjnYUsE)Jh=Y$>cR zX^pVPPM?VAp4xa=XJoYENp{OBnM$_mJ|&^p#D|aKq))zwufR+j<-! z!WVP~@miIqVfj-IX~HUO33nP0xK%1N8Bm8*5{2glKS{Z8!a6>4a}?Lx)Muus)FrP}yQ>b zC>|6@POUfFfl>NPctDU_7HWY{EUaDgdswCD`S|kBgtdfpVUiggDt_WEl1|e^Y6+Gs zbdlP0_CK!Upl7mv+jV;xA|Xtf-pLAAK`Yp+y$5IyH)99XFy?e7{7PdsuH40?Ii-4c z#f^(}U8dd(AiyVcijalajbWq%{HKVSmT@OYprz6j@%vE5 zzKzKFIbz$|7EIvF5J+UzW2pa|>*P|(imH9#$6Sh{r3uFV^A`~SG+D9~$l#H79Bmk$ z8+-L>v305r7(ND=8j702E7wQ0_uHt>Gv{{dIa)+nw~;R?K01(6>GcvBz!<`fAu< zFPL_>V)dV~oW0hWwN6Syc5NW%=Z{W{b%?k80+7-EHEQ8?lMwd0euGy(tvM=`zl($m z=$2JgQc6oqrQUQUl@s2R@G{M{!IZ2X%jN4;wW7G;%cK7Z_CkQd03iDmPW%HLnl{iv5(H z$E)Y172U7EaadY5thgy6h7FWp_7D&5ar^NU6f2k4mQ>kHJLsK6$i+^MuduC_6BCvsz6 zSlVbQ`=CMXrP*S9MF(u~8tVY@mAb;=SduH5c5M?|(84d^5WSR#=!3dUP}k)@pp!aq z8beE_JK(2gp4IkA2DJx=ktGB?aibxr&SVBA;tgUmLwxoU&-UeuR26_Of_Hy(7iP*; zUUO@P9#jlY@hZ>%jpwr0tZ~a>Iq+8S@K*BiU=w9Gnwr-ixkpuBR%Fln=EQhbp2!Cn ztLdGh#oh_;9O|vsEclTZ6c!Rz$%43uG`*x|H8D5Mv-X;F(H;zk?vE*m5z2@a;#4^_ z{)jv~p_Rg;2NCW76a|`f(FKipZSPd?@{o1VM3&DroCn@%Hz$kKh0n3N)6^|4Z&C`) zNZlC~ItNsX2A8D|H%ATZ!&-Eip!d)q!*8myD2gtB|f_WxsSilqW)pvz7j|l_zTO6+8Vr)FD3Ku+T3fFI3Qv`rl z)@mIjvbjCuC*y(rB8Ct;j-Op3HKqs2BG?d!7I-HgYcUnUjd~~eafxXbq@L?QB5>9VOgP48lYWD79cDAJt&7Y( zig8}%z9Vo|idC57E|}ik$0GZvTbVKx0IUX5IBD`Rp^+u-!*nT?E>L6Ps9%0b+GIst zDJZmc0fjBRbsk+TbMV(L{5_@yFQ0>6DCWws_jGg9*O}YBalZzUm_GsVTWF1VXKsU$ z)(TfGyBq#I*>e{EBH#86jU=+{K0sZ~tpS6@S`8|jzEa5Sd0_2WErVrnmBfVIVAJ> zL3y2CjP%mDSle&~0Ky&@fuJ6k0kFOhR?}04>k}hInX5-(ugzDtME-Mstc|Jdj2>)P zfiXlT@|9g&lRHDY54zwDFnvR@kHTC3-o(E?*tP5Dum0HB^zVgAaoIae%%L(OB4zJN zhlsFyD(n4!`7^oqccN(Mtw!lylQAGlpQu%?{`(4#9Gj~y+gy+2NvFPi<~XaU&0H83 zmfE7h{V?Nc02D7kJ!A`SFq((04Nt}$&V{3)taafn?mY4-m#$osM?s0jH z0F}P=MB-eznO~p&fyAVY3!rBU@Nhoq5_Tbf9;!ziA2jGlV#;V#*5;S=(2&X5;I7II z5P(C9r}`_gg~Tca=;rq!4I>7fV9>?8>7<64eMyXHNh*_bUoJIv| zN*$u=XP0=nPWAK0eM7h#s%gZ`VfVd0NtoJ-ko*dfMukV$0*XA2ec)WXuV#5c=kVC((_Sw^6K7R?XK``)_ zMWCj$Q+$gyNVu9)n_r1SDc2TU&XgC-0(s=cf@5d?{5#&UAiUp)x6Edjb0ilF-wqeg zf|m7mv4kebq!_lxzFLWyQS>7Fp@zLH2He-|D;241z-jo(CrdD|=XaNp_G?7InXWy} z53ITeu)vOSW*2m}f}L2yn>_x?mMyFJtM_u-b;q=;7HSustuF6k=tW5>R3GRnElWI8 z!;P5yp7HfP7}B2(;?WINb>3?`oiB&6qK)7^{qqyFzcUfoUqd{7`lODB)-3dPW=2sS zRdJnf3keoSD8YQjkasoq=x6${L{Qy4N@MDO(f}(iZD_sp%+Gc9GAZWu^N85}ED|&s z$!qliMBN7`O0U18O6n|g_Ye|Yk+ zj0Ozsi)WCmhy1GJXN+sPZmqA_D)=$@AAsOOIGlyE!gb}NP$j0SB<}dI>EaW~-YRFp zxZ^o`B`8H8$3tbXEq&E-vXEP&tfvS|Yk3#3D=W~r{jO{+!60@^!unRj)e`SwhviEG zrRj|`5MNr0s$W;fz=h{k-KL&tS z?b6@@M(YLc(zukrm%c1uLeyZMXZD-m=Dee=HF(=cDc2-6FWfExan_^B=$PSSOAN8p z5m_aNUL|u1j!peEnBFpxua6Eew|GF6PY_!~HMKoux!|EG{>7FU=r=Gr8~4pW*$F0> zW|O*8)h+2WzlaFvi2w8pY#Lh0C*t>&)vmXyZ;kUHl>37GFa9>~T2XZv;Y1WCnN}at z)G|I@1VKJ5t?(AN8%`$yQB~-Z1XNXZn(0dqq@!qVgZpwv1S**M`SSrU_2^T8l+3z9 zP6vB?kDcG(!KQDU%Rbtg?v86xS|hpp@3T&tm)6b^?-SybW#_sa`EI5H>P@W`qL-QZ zyOY;5NFb-ACP%Dk^~-X-dWIEQ^@jH6&rEwXsRh}b`b74P`3K4e$=bbgQ9oDdosi|Vr1QyE^&tEP3B@mx5q zr0S2I@iC$HWHi}7VvF$+F!z{$GD!U1eJT}D1)Z&JXlcW%(>J30!Y_4aiL(?%OK1rE ztHZ8G*xVQ?IjKJLlh%3xu?+yl$6a7HOA>KR!v>w^=mF-#>BzIB02ZG*V-RGjtPfONq6?Mu~crWl3mYf z3IRpKnQSIRb#JNVq`Hco{ZT8)ZVjqQge3KE{i^ueq;`6z)XsP|I2VF&#_7l#+jzHOYzg!Ew=Q^B%~q-6i@o)cOP0scV>Odx!wsGTvkCNm9|kg!o41ylV1iYon&)28>J zq!w4msSQtkqlL)P1yyahm692%Ss{rHSvzF)^6`A>z5YR9ZK~7Q^jr82{tI&yx1abO z%l<^(KzJQDdc5#czk!RM_)^>3(NScVY~kF=(S+S%I@~7&Z?|yAs-Q7`ncX=GH*j~4 zf2U$Nz%T2mop4!k(I|^b`!hlN4_iePsWKY}GHRMmj{Gb|?vA|@_5DB1wvHb*SwX;f z*Cm{soFpYBHKC4@HqLxc7mx&Gf2qNEkUsZ(Z#k9Nk=8F4q?9#Iuk* zF96Z;I_m4vx7}E0!laI2V%gQwKuE$^%Jl4Km|8}@N^v#(o}tMJ>h&vbkke5p>a^2B zUO5#U75A^`#RjQ(O``xyi-A^h0ac>(SLJ~N`}r7BS7=u14rl5n<)s=6Zqb=htIDol zbJb)^rcwn};FkGv zhBBjnUd70-z0`j(EV$p|l=FVd)NcQ4!W)N#ht16AvFd(y8f!gVgw^NHt{2_w-p`oKo(d?{!MB zE9xU14UHW_lmx5(!xeG_k>Ix?up28sL|TLSI83_Pafz;X+`0d2$9<1#02#miV5ial z#ro>2?aQv1(NLeICDPi zISb>P3fTsm8Q^q!q8De6a2qD}>2uo+KtxrsbdYmg(c$+f)$PFitS;l*0Kq&pJF5Ro zW6QqC?aVQ}y=>#cMzp5IxL>ZP`M%Ge1h!;P)*wM;d?j_<`JD(5L*IO8U^jKvKd*mW zhxF?V{JQ@#Ewqx^-4+De;UA2$_P7Ui^=&%eHCFBQYZ=Vnqt1ntz!!y}Y9tlwNpiW3S zn8<_%&;kZw81A?yZwZQirx=C~(?-8vJ@?njAf7C1bzdF#y7<@qb%#tODC1i}LBVbB z*SqBcy8jTfkAz%a;oOE*!9PzO${ke;Q+0b^KwWC*6jfvHdUInUwXyoRlP!-qu^x8A>9SwuYs z6cxLXQ@2!7MK|@*D+t5dU&{5GuH(gltA*UWEc3#z|U%2p}Ln@ z%i&>-db2l2HFEZ(bW+*6ba~dk`{nK*7`z04Dh$KLbm$~^V?tU0_Kzypk-etor#hENjmfUNds{P*}evLOc$ZT^6lZU-W*+!vV-_2v`loh zv`(pNm8-tpa&yYy_|F+aAr|PtmPsYFtA0IIT+?}P+fIj+>c_ascqqD`0;oD3iCQ|W zR(;}=fk%9MBB_D5PU80uZq>?KA#SzB} z&Td-`)4o>-%NnsrLk{n_`Oj5SG99au9A}sE@|s-#w z zYnSMS#XQpQ(H5l?omunnn9w=LW%{=Fmd?rf)HvLpV5sn*09}-;Zpr&p2J9N0<5PPl z4J4v&C(%M0$aUCGbK1?CfO|H;I(wH~tjthLQ_TP#E*JneOCt92Q{xgc{MaQ5HD#dH z#&mz&j{Z~6sGKjT$HjB^{@XH9aQ~-qrCopaJ>&hSh>G<&;NjH+-E(zNe833ta)2sE zZKls5pg5{8;sZPKT}^syyUP3n0ty1xn;vld2HM_AH$%5O*CYgtfMGr9@{!0vPRhTV`kBPO+6e_A#O=P8*75 z@XtFtJ^zY*k;GSrDb;-mnVf7MaajEW@|<20qv*Kj;Cgr0JEr7h*rd3|`9*Y2n6$NF z<6}y}CwanNJ^w0;XBnTqxDAAZD~E+ABbF9ArAC&E|7l5XEJ+LdX zX0!I-(NSyf!F?u^6Dnt8qX#}Tb-L!lFz6b|Vf}_4DsbiRo2zsQ?=HkuGINM%}FuYAM-^rQ7qT|y>vig`Be#IO;xWP~f&E!m1o#OjX^A|sP@ zN{)XEODI|>$}Se#)hEn^9qBN(hzra+v?LWbpvYaAo#rO3F5mGNrEmXiOUjHPf3H^5AeA3ybcq zF0$1i5aGT~yk7x$w$%s1Hm}I!842-~KwBz$+hob*BCO&aI7J3=_|y~uGb@!@b-<3> z_P_GePNFvXq?vMK&CsWQH79%wb;=in51Ao{LqkR2dWNAOl7FfD>K^Xk#rKY0r@7?P z5RFLpaCTDF3Oqe6^F*F*-h(s0CpJme{RVNp%k75DJUq9o?&Qo~VqwrpnNxGz`nas+ z1npz0BeSkI;sA0770vv^sI;_-PeO8_`P{#|%_$n>^uu*ptc?zbprBf`AFDBMvE{yC z_;alkWQ3xXmRE8df)#>sF>m{Yz0PI}wT7Rc=sH2ePGq`I*LDX*n^0UEGXj`VjA3t( za+$0rJ1S`rdUl$J0*XQOh;FJ5#1)#_YI>Cz=qHSfhM;pPW9XxFfv--I}at8c^u}A)kIIugLAcu>U$2*^H-uz$O@2f@CZM)#B&<76={}3N~^J-3qG(ljKb{wqog?s*R@2HU1r z?=ng;OiWZ~ZHOTMb9=?L9Pr#TpsJ?ix1n_V)4e%tFV)MxPT6wMJ;oKPSuhD#)`bEK zsH?{jvH(1;zq))%J{K`RCE!6)Z~<7Q!(Cs;^~g$oR^1vGQTGG>F_E`{kqNzY_h77$ z&Ai2{$L;w2!ysWFOoNS?j6^`@1)4nueT72&fS`820$}t|krzjWY*V&c9tNu*nu8-2 zL3QbtU-_I@yZjZGYV!B(f}`fFK>g#V?jiWppSHxpXUgfMbwq=c%9`Q~M1W@7_W zjYcOI_iar4bgL|9r`WJ#7K^l>mmD|lLA5bn^k}b`ZZu=}rScSM2!5pxI`a-n%P4$UT#TpZ)=3q#F1NYhkC!acomiy)R8qWKQP0*?%mD&0s`CE% za#;6a^dng1g*;kw+7D06|u*Ti_>qA#KIAKptvUj z)I-9`yWs@~en(Cv?>}+CJQ*W)AJ?DBrVz(DTQ7gU3PP{U|4!m%gF!v>=_-(@d$|4$ z$d3<{3S8_0uW5OUov>hs3Ce?a1_!@-uXo)joASJ|&0@Bce7%l9sTz>S-}nL;W>p{7 zy;I6Ne*cB@Y*tKg7s^lhMxaBQJ+kPz^$^4kJn1*|^$%`^kdCn%9 zBv*i{g@zX3d(Y4xHs+{P`A;sb&)O8>EiU_^c=Cy^QYpiB`w8V7b?djw1?ZG~lNdHu zv8j(LF$13qp(oqux)!&zb9xb`OPkcA0+cp3?iM>xuedxX2HCV4_#{_RLs67syX18w z{E&t5F=2;Zi4g|*moiYG7=O2WFNe1_}suy zx^*^p`cHlYC1Ot}h?zHNV!(NzwDiW6sHI`1jATQah*LBvk-Wr~l>- z@P3&uo)n6kTjv=APo;p%0L01v&WmYV=jky~uy9+{Pq8Bjs5p{bDWP#0(JHXLP?x1`Jy~Z}n6%$Q zs_P)X(0=LD;YK^Xz3J&pnRL)AGDRCQcoE#~(A7*yGX0>nHnGp<4M8S9Ehw~NKBG7> z#~nZO&lS(k&aowjmK>xb7xg#R_l|4O$L(DH5s<6*swC5WAG z%97v>jtyant+KYWnH+MQT=C_b;kpDhkP0AtjbcoF1Ccy#n(-->sJQ=i;efb$0k8W4 z;@F%)ZB|&fzAdNx@-UnKruWwue4DIQgpm?YhDBIl3Y7vqXH%fH9y!iqFD!5*5i;P z5ol&zj-~$r4l6(Y-{3F|llYp`Rqps`1$|vVlyRB;?l5iT?y_S`{>bpv_cvJ}!kib) z=Z=l0Yx~8@{Ag{4Tw@JtzfWa_pXm+pRxjp%&soJy5lbXF&-M0jm3rE^uYzkV0cBpS z%cp_Y{y}BxQ|OuyAyhJlyPpiXI}YqGK;5wZRC6pth!Gr`r{&~PQ7Cf|!{ocPs5KOz z_M5op1->7xli65anO(?w>`1a=63pAIi&YaH8vI_qJZrYUx(t_qjJRg$B`sPyKAhde zdi$8a+;=!!aw+YvXJMYCkUn%yQ)SQv z#ps&y?bg;vQAwrsl_QgLQ3Ru7{%hO_&?WCA!yXm{UOE#kjZ34y7n8VRH<+mkQmDpf zzJ9nEvwBM6q0ehzo*|ODmvXP6At}2eEcg*^AT1}co^A7)braJY8Dp|)K*0@CBU-B1 z&6h2E*9bP*DO(Rk$pk`%ua_6fPwr!Fgp?PR_i^w$vkIi#V$~c^j@C=bG^Nu3bY9(k z@3;W01k@_gUMKA5M}FA8os67^Smd2sJR(%8;k_{Ouns4l=8MmpChZmS*D1}*bEywV z@`ub~o_d%2;oXn)QH`*+ys{y|tJ~HsiDteFphIfOWNoYnFhslEvE2jpG(Q;F}3h0yilYW^ojAqz%I8v_YTrAVl@(mh?5xIv7D!G$6?@AH1zKU_c@*x1{Fp8vJtUkw4r?kRJ zPG$Df)l-wHzIxM{`a%RsthAF_!t}aM!(7bq&}KR|)5&XZcexYR z0+fH{X0Z@g7eT$+Sm69Xbx@3)PBWJ(YyckU5Zz@`wpSe)XCQSP_KWQRpiz$ z>aG=pA<>>C`Z9#`qS?CezUJ3zqkT+!fufOjdd-7GaYu_MJX8IlxN$>JK``H>Zh&KV zyeu4f=0+?jN~(H!X*5<|$ii!7UXZ`9m}IPd{`&OMgP9E{dxT@C&C%2_A7X7rdG?i4 z;?8E_gNC9765-Up=~k7!Mq-_pJ={ZMZ1UK6XSwoBm>72~bq$IGi z<V{*jl_V)`tR2f9JCUs$`;NNyM~JT``{f~lW-Im-L^>tSI85MGnoPsa+SP{3BBu%U;uXZ3 zvD1ss2iT!6Vo+Vr%pc^Xzel;me_Eg;hZ7RatKwgd^cIwHF3VY~VM#syRYVac6DXJi z0xf_=DRm<6b;APJJF6u#d_rwjG}L*1+w~4s2npEi5C3zrQqUM{Dr|$HwU!2E1qmfD zYxrOgTBXLe26`_C06@a^=yF-MBI;ywdWl&8-BY-;;N5wuC*0u-UihPSH zWG0@gj|-4t&`fU&9_l{DRnV1%_b|4 z@p*VVGWaPP5U*eJB>W-{H|Nb-*--@(B;!KIe==%DBJ{%Deokn|vjb2pSVT#4cyc;c zheT14PQ4ZEHAEZLuWH>eG3bb{6aFvXUi5v!n`Z!E+nkm5jIbh0>W{MhAv#5QDWuTP znemNCYTe;7RNJ|gkg;g#_UoNzSAemm0R=9}Ru+bf-P~#sLSizG?XCXjQT-JuUT;m> z|6X&6T!-Ms&tj`< z;qGS=18xCBH}pC&!T5M6vxdF~BVVjm2_#g{9s|vf^;d7eE>=9ky((Aq5U=90!n%0? zF=%ss_j(Jb-YaL01aAac)_(lYG{OW z3o2u?T?izJSu*mg-g47&d}@D9`rl^;mh_TJ_o&!kNe~ zZ56O4bkb~nXCx20MMDVPDID*H^K7!wBVIzv>|HZoOkO{mFG_8e3jsIYpdrt17;FS> zYs4mf703x>ShDMBjBj|o)TA!C{Y@#D-(mjYgQ%4os5l_VH4~J6W@9%j)Bpho`Qh-Y z++}xPKIVk_Ps5t<+VWV1+2{0Z7f9;^!PWO~N)C8=bJ6ljgZX)uW%<)Vgoem=zpf_{ zNtci{#(+i4yN*do2UQz?V(q6#IGLv3f0k28+t<6KFZV+qn=B@!HCO2GPt4_IN<-`Kf(>opvx! zG-`Fqx3{}!K7}&g!5n?U@u&!D+1($=ijHO2OhOR@NsqF+4x{P(b6L|n*Zf^D3Cx;B zI;a9Zt+K)^m2Mas%?2)Jk*S`6x(vPFFvHr~&qqmjrmGZ7iX3fV*_TZ(H2L~Cbj!2L zceyIaM$l~n3crsKW~^+XiAjcOOlMf{Xf6gev}Wx!+z)r2y{Mq-E9PB-Ls zvE6SWjftUh^W0iF5z(8T8r`}(OiVwF=&yVbK5JEbfc7san8|o8tTPALuTvivlaE(DS9&V|Oj%>|SL@?^J;Amc;jcYiRjT!eYRtc})-Kly3 zH1-3$o#{2+pcc}7VF8LcX$@D;W4ePyCJ_jIXW1W8{Ef<8$YF}j1hV$vF9K%$RYq7b zWN=Fe%Fe83i|W8nVskYrD5r~_I*vni5pa6PzxK_pS0MZEh|g|ZXh|t=ie2=|Et~13 zgwRLeC2kKCJ2ASgS(MyLHaWs4ZsI>QHe?a06>7$RfqHo>SpQ)mWrNjZHOGgg?t`op zYjnY-sELATgbXA*m-MV;#^Vx##I@o8{SE^%kDbR4`bA1V^-iAH+_&D|*p8VppYI^B za-+FeiQC-Tf^nNnSTRiEi3~Fb)l)grBQEYy-eqI;U)ob|C8vftzih)&h%=aA1luA8 z-8gc#j@oTGV!O=oD}(y;Ez7X;;oH~lV1J&|yr+hU`hUOk2RBxlsdmQH$47$uJT_DME{~_&|FFE&7q&aoV^*U+8XG}Xpc?Y>y>#Ki_ESYohn%a8NTG?D>W%nlA*?ji9hrNewdr?KR5-}-X&R@r zi8LA8WMVr_ms!VtMLq)uOjuOjOm)4}-xj`P)(H9qs|nkI=8=uz^5b0xY_Eby)~Kuj zq^>m^@LhVlz>`Ck?h9fz_!QxcoSICm8PW+e97&%P8u0w_`@<-8uK{Z9=<<(q{#w*# zZ7LdZ7E9&S9JOm6O6TQOw+QB44efukMhv}GfEr@*Ua#VidtbX?cP^LTT{BqEzFt$K zX*j_c730Vdzn|lSEyRVJ)B6y`dwmjy5h^0k6j(afRxR9n1Yr zuD1mB$J3qNsd#z@|J=oc*|)|J^OuXYf#bUFKT5_}y6L;4p&#|m%ehghqm_~oOhF-_ z#rRu^%wd*$Ef(_WfycWSJAFGOm6ezkF<@eC}ZEK;3ofhfO{Rsp`=y$P2)8ktRZ zhlVFFX-+~Nk|%0*&lnPRLMgcso?kfiL-gi1el4{5gxCH%d?YB85$#?AjXWLMY@T;( zefCm6WDK6!D%Xa6TfMl^W}iQO_kP*SC!2*vJ8#_fbko$FP0wy`&Uzl_)F$Luy%NxMZ|}(tJQn5Qal9 z*K+rBVbh5^CVn8qjruC?@^HRnueYq-wzu7fNutHfZTO#p6Z|0|-<+MCYbnl77E2kK`zV97JNuSM;IiljsN)Fr$~)JbhmhF3O!*_n&IK3^ zSgf?0VPh`_cQao#+bV#=6hpxrzs6=HT%n5q^LEhjQ%!J52J?xVTL^^9wn!G? zYD3v9o)I6tRYQLT;gsE3H>?_<&161y9PNa_vZunj7r!jVjNBGRkdMc^dbw93fhF-0 zIeN^HQL6>7^0EBpNFEo_>Eisu#!5fF_qS)FWOC01XTs?=r>s|vjn@;k!DjdREL0$+ zZj2f?dt#Y3Q~-5;P;RaHzRK{c^t45m{{=OrXr9-u^B8^#&y#A}Zh-^=15Xr&2+Ck^ zbmDsoUu=N-jC=Jl4(R|SS?)91A~P_GA!v|OJW^Hs`pm&-?$BSE>FrFtuE9RUx(>Ew zFy1QH!8%i0esU-ByOd`zA*2Z7qDUBe*;tLrAnnPwvR!=0-ED6kGS3Oy3r-yIm;0lP zSp-BxN#xTn{D!#72UL~{cf=u*t;T1s?By80I|q+BdK8F!3hKVAk-2>0YY)}TKv1U- zEIRL`wMU?XXl-8QdyB?IPhZk&sQ2a-*=mft=DzFY%6fX(!gv0aa>~4jZji(4BDfcR z>Ac`J?5}UTqsiDUz{Vz5Zm%wi7?nIkZDU^1&@!j%|6W?pL}yj{UAe7qC8WyI5} z_fXRdVJ`#tNoYNUo*>Xmm=zzBeBu;l?s{+>QTJmvtlCo!;x*skB4Z;N>p<#&kFT`! zeQrQT<3m@#hn9_ECd8y0ux2`Kyc<4m!*y+e^IDic#zK&BCG2Ub*YDfOhTjJY0)4_MOo_^*Jl;YesDQ-hdwH*?IyVQ{7Db&t4)njV6C7#Wo>qKu7!I_ zA6di6=%0S1%(!RCwXBIPYuQ@;@G>os|NZ&D)mO6BlFnVB@gco^MNjK_No|w&@c%i) zcheQ=@+mPW2R=!l4=h3Cd~Ax7*osf=jqti1$i;_r)IJqiQll+yw|Sj0y2Uv6TR0wt zbvqr+BTnK>iC^biGV)NJRa1H{gcULLKw&uXg!W7(1)Wlg%e zJN2G=jpx`xN4m*JWuCcG)-@)Z!&)ZfAwU5U&Dc|mI6`O|M92Qxf|c9Hm+K7`)Em9{ zpg2<<>R(@P1s z3&WuIr{rtbg^-VvQWE|UN=jMvv3r*jwBXW1;u6iF^{twF!vT`aqH5`P` z8{Q8%K;`GvhXjLT$ZaW+_>Iz=f5<6G20yOvIr6-E)$wmq!jUj@?(C?ffLYPyh^6G$;(c0kQ&XAE5pee(zn%7%I!8smsJ2I@va@D{Ruczzrl_gXAwLJOKYkCf zd-YoyY(9hZJnY-IFzEYEbIFjkjXzf~+mW+u<;F(3XQAg#)93l;#I$1mZ1o}+Q3W}h zWHwee5t4J`*=F4Z$u9kxOL#%3Fv#U$jt`>P+=$DfhYF5PG50_S~tR{E4bpmv+kmR;|SPt^&aY`&oPkBrMGAdoqZDIsl zVKG2zZ7Cf8#=!V%c-=Wnibyk~&gNCJ)2cOae9XN-&j?isU7j>@S8L>~OG{U_oaFQP zLp?hi4_aKOYGz9vH(bM+di6iu*%jLcrllWdUg=mBYkW*csjFyg73c5cAEEO%BMG8t z4(Smh?%@2p(w(;8zBw5=#UC03e``B?A5j~N_Olt7E#~DpEYKgv6BmD%NW)$`hr00f zKUS^9^uBcdb8)87K9rVLQ>@RgS2o7cdESo5sY43W-=}~18J24>_Xx9_I5p9{#^zhd zJ5leN-FT1mUN-kyRmrep<+c~6nEFAgVoVSf_wni7hBTvY*}w6~u#zsDdHYU=I2nm* z>pjzx!G%9nR;x`-;ulQj{fp~>o2_1`_W16TYj>>I!m_fm0Ud^1`@)J4;r;_*xECtk zqlL^ZQ}-RUg`*P>qh0Dq6iUyFQpG>#Zu2h{TSeqBhJip*uSm33KUh2I z{DC$_cWmhVnd#(jv{t0B0N5gHLNkIt00XXIj&4`S6|WH5eUH8F$-lZs0}bEG6%>0P z0*$+v$Up^5`{#g4cfokENZG+rr`T+~{R^jUaQbU7J==MIegQuZd3szRk{*6i02%LL zLyfvOf-ZEbsglNWgbKZ^EZpOxpC#P$9T%dDzV1W_aNg_}+$ThL`%)#WHP)Fp$<7HP zM3Q!st~v>voS4sOd*9JyMg*5*9=2kx#;{zK+rWiT_y0sSFX&$EHLM>lxOOJKdUL*s z>HiLEQS)ads*f@(lAwG}L)eJnB`^z0N}1eVK41>&ajUMochq3c118Y3n+x6Xa|&FEv=}r0K>z@%x9vig>>g zhF9WU=K1_TiE?@zL+7Kgw_aE_#%!AJ`e6E}YNA(s!*d-wSqV)Wi~hJYY~}py6gwP< zNZ{Uin@j}$qwDsMO2$)0i+3z$u;{$TlQQm%=$m{`xuxj zx>g`!oiWm-tIvdJCtH5)!j%)lRdIi?a<>`|{XC}Ya#dV1R#-sa?YN+dhIRM-{)fz| zcqIi9rmkVP^u*P^*y^*IW`cU{c!Ll&<9pFSbpG)$)5?%gG0X-St0phCB%rm1;xjsm z*_fqE#aS33`TL`|MKig-28Z)&la{nFj|-AQ@Jj3~F8);Zd*neXE9ZEE{gxQyc3Dbb zW(CK;UQEJ12XjwKh`nk!j5JV`?0%6OZvsu7FG=LPnj=KW>pK7raDp3c*#~LuE+Jvn zjCe&FFb5c4WE!+B(rnMt_tI=3JfjGlwXiQ+qh7N_nuFc=J5w?C8ur&40iCIaS%4|9 z?7{dC7XT=no}I#(N~DZ*67owM3$gzfU2h!~W&1@9BO;0@4U&pTNeYtE(%m49(%m`0 zfQU3mOAAPsG!g?!NjFFiAss^wF~oPF&+lF9TkCyqmi__54EJ?kan9cRoL!J&Q_lW- zPf1-Zf1HH{Ir^`&6G;Vn_-TMRun4{&+oZY03U6WL9)p{nE~R zi2}w|GE90QwYAjv_NATYK~vkcU2);Qp<~uuVx}RC2}rX*{m~j9(Cj!pm3k(n$hQv7SEONq!o?Chi_BNZ$t>~5NfNv zdnYvaD^P=pk&7GWjI~QGty}Bj*F_-W2@nmD+&P~SJAwJ`LJ&rtTbiigS0_P)fnztZ z3mWFzNjBbPSyfrhLJKSGqB3OX>~qZs=9h;)<;jGqI)%wm^mcMDVhal$6^YTL?&_a` zp7mxwzoVNF;e#rUvV~q3D%o`H7ISvX2FnzKkY5HNc?ybId7_|8KEC0;&Q>>Ec3?Y$5EMj>l-N;)E3or6+Atq2 z9Kr|9_8i$Pn!Uc7Y?Y}_(y7z)qmiCJ-~RZ~;%3<%R3Kb?+Bv{I;_jwcK0uSEj>HX-0HWyPkFjioJ2V){o3pLd&(# zrso*Q$r8N$84m*dM|LJRv7gCXJ@agq>#Z1iyPaxpeGChH?|lQ?Rn7C(v&UF|`MqYV zCvSPjhW-=Fom5D0u@LzJzhS2T@K>qQlXMTG-V`@NgpO;0b+n$UjH>1Ko5SXl%pZ0e z7wdaNh8w>I-~LP9>MeWhONqai42@3`r8yb0HS@Mw;C!6EYPMIU?j(e}=xg& zZ~Dg|uHBXIxtGBGxO~D_&jKC%5F3+$F+XXk?{f3et-9J z4dXCN8jBt6=hoD|KPU2Y!cjlvte(-do^KjP=M59(YVYm;UHmsNs_|IaY}3{Zfuy=)3;6G5!$MC_6TEujUD3e@m{Cz?~A;5$RnA3 zRaWb^kO(?FqlrHR(!B_tx8cHJ5}c}=^e}SP6SoFaniv@$7qOxeA;I76yvOj*4`WJf zvo_v{;$WC)Z^j*be3??8*2Ea93Mn4hVUSfj?q{*m7Yru)?{o>{d}LhIJFY0f&;Jod zQO(1wOXUb}pIq6*f#((|fM8xH8#%FFx9i%yqHI&H+jbcX3LYI;5MKLUFX+f0q#c;~ z%|8r6o!psu$q4X6VxklegtK(%(fEVUsA(7C6JuvM0Bg+6U?yC6gM=nyQybm~p+;#g z13s#hu-0)vHhP`5+(p*h13HCQ0tQeogibcXUI%u)xEIK$9-j66 zrYQs(sU0YI8SlNy*m}w-l*BYCj``J>;AnLv{Rgl539iS@@#SBjl=zMX&0oWvveY-2 zoB)2x5#Zbv;w!*&l3R*TaYe|QQ(B%VFs;#|oIp2nb4nDeI!*NMmq>>n`z}*jv>y9P zCPTLKYvtu;!hNHX@9YL8Njq5BlnTg%9QXOl9g&_V7w7L?>-E!5~joM_b@Q7%Jm3RD{xmyK8 zfc!!?vV5p&+ey07=5ktb`Y!s%uC_za%ihfy2|Q8M_E-Uj*!n9-4&>9oOUC2dXHl}`CgV@O zj}N5u>_*?MaN7E`JGZ1$$?3_LP^-3o7)Rc#tGoLBIlOMxq5i(4tM9u z5w3lWSqq4CuXCO0jK;~8d$)cc!$`1|`NL+2wVNGeLuHM#B!g;5M(+fz zLNzmg&rv+k&dDAp+ft_2p|6|CEm)2+;ZptM^OayY!vo&1?p!q~AQYg!vx~fhUkmX& zE%8feXdyE(q69ast{3Nzs>-yry;}tNr>bri8@T*q6janJwlUD8Xqz%3a6;vLW~R}3 zW(o|xzQ~R#?|k=`1X~ryt-3d2Efj$EB^wcvs1KTB?b^lA6@DcxB0G%DxFY1;zgSfg z3iI>%MnIk~Ex*xqQdbc1HEv(bR&)AlY)LcmG;WTR@VL^#pS1Y+-VFE6V2$ z_cEBC5*nOJV>78z)SxB}UZZ7$U?J$P_QF$~$Nc3x>X}{dY!p8HM$Npe_?RH( zhG<|J4N|WZ-hTk^ot{3KK{R9;U&D;M9PDts&Q?>b9U_z_FJp*x5JzQ7*oDbr>`)NS%J)_G@wgDs8LC?~GH?dX6?)JLD{ zG0pjWTJ6P!9-)9<d6@GHr`;hjx1sY_}1~O@#a3^gv6iR&f9;M+{5W2Y1J& zVQWBKSAK+Qrui=Nd*;0BJRtkT1y9}{Eco#3Z_hc~~VwkY-+S^bw)?`?mGw zNhKawc^Oha@WbRKb+S#AWc4Jp5(}ESy^WR}3jkw5shtyRzf2QlVO6LD%0b@Gxz;FR zhxZ*>IR=VSbJjGkbH+f!NI`{yQaQTTv(13Op?ki+(>$BYhuaMrtCu$z1`kg!xw&Cp zyhOG$yR1gzuhLFvTE|imc2kw!vtWraGwa^R?jN-YhLUDN4g;)g)t~WGKmF?3i+p)=bStc~M3i^KSVW(pxK-)~1W*Bt2cXuKlHuKl zDeRQTI>yjLAqRBChhan-&nwIYO_(vz5c@=7&xq+yupVa&r|CvFnme`t5%MdK(_kq zcW6YS{ql^ihO&o0c}G{GE307*v&gl0zKhH2yrKl0tY2 zB6EBpZ0WlsvbZ?M?H*I0(MnD~aI-MdUK6lMyqwtT5<1VW`>tq)zK{N#6F-Ipz~Y;C zMgHMck#^iqCThke&sS&lUs#zh0u=LuH~d?7dnO7Dr;GdfrW+x&a{W`$r;<-BqfwI# zD&#eowiMo0E+%(Lz63v zsf!%AKyJrgbALDl*B2K`)BoA3e0q6e=Gr=5%>9KXQ53@W-f`{k2>fNME_cM0?{0pE zkVCy>YGU~?N`kPZ^YNP-wgOjVbhN>{&N|;5LVqojKFVsA__gXyONQSf!h5QUC3H)6Yu?WUkSsjP*@*mcZ33^JwV_7scj2ZJTf^Ys!Ar zz_!NYGxjv97`uw&yS@JqABS?_yaEc6-|yrJZ0a2~aQp?%V!%*v#>mi)x~RT7zmF-2 zg@H)QKpCRqNa$4@*`0$N90o~d`(xo<8^Lr^udx~mfJZ&E;2^&0Y3NtGDUTp?DrdN( zuH8{Zr@wkEn~FrZn5&zo^9U>cj~!#Li(BEUwAr|{xEv#(khn50divQvUpl~WT7SqO zUI({IX{apqxgB`WSMNEUoab81xt+i_t(z-fOStyWzR#a0dwAoC+E{LOJcm4hbe0=I zBpN*)>04$(*FLnATSr3ibixkAn^|iUPp#cXG&Sv&RTKLs5W~w}oFPAI;%cZu3#^sp zPw)5q-ADvab`btHog}22MRaBP@dm)I`9r-zNT08XcHVpX3yrtv$7wRnNeBtnVZIQ1(KHV>Wi<3|`gYa>@%zewiR94ff=;@pr*)6y$OQy0y zbU^)tJwt1iWdgiq-i6g*o{@6R@7qsy-QkWVKeq3vTGL50f{tk?vWVO8=jjjwv5w%~ z=juD9epx;*z|HEgl+00)F=NiWJHe<+0Y88iMsjP&7Rnm`$PF?96^Uzn_|MuuCA58S zEArYZHoIRuGvP-7n_zK<|9CZl#}qPYBZXpOBc!09Efnz7F!30C{NhAY)?O&t*+`GR z%1jNIZJ!iI><6@B8N4%&kcc6S{DJInr0mh28-|yIW|pmKR@yjgrr>^z|J*no-Pj$C zniJIz;|EFI)29o&<+<+%b71O>)tlqPZo&=UCowVyU&|Z^J>Q5=-xyy*4G;2znWeDKA3^o$=FU>*-guxnFVds4}X}TRO8u>pb$9miHw^v|RRuM{hv)XYP zKSo~03jJ?>p~-wu^`irn>pA&I`=g~v6$*U`m&N7XOg5q7g5M=YwB1CXERUx{!!xng z^*)}@A|=W|j(;NNHG}N_(M)?^=4f-EyIf1+Iupzhev&3h){}AN+p2#6qhq{YQ;<)h zuz6W<)A5Cda3zYTrkT6iM61@aRw{}R##fw(VzMr8n)rVsCxduj~{PVcC zgq&5O78PO7P>)3+wZ>e9s!;4#lNCc^!-VidWo|)7Y}5b|+`!|?B~1hBSB9D>W|=Mz z>v`Sn)xL&y-t+5^iQuf270XM8YNvLq|1$=kdQ^_oaS-{5APu|yBooi8Uu!(9z0LFPhsvIaEI-SRb;_PF6d35)xaDlb z{OtAa4MqR=diH?L_!e3k%coO%ZNLF_Wpa%94Kow=f0Mi_FC9nW+&>rW0!lI8jAPVK z41h&@SEi@~mvx~+N7y+jl3}SXQb;!dgSmQ~5L=O3v!Kwr^ z^I#sSOpE`0o{aB%^2r1aPBV$=43)dx^5yn7t1lL~4%NUhVl}X$< z_qwCpLZP$p_}b>t=3j3wkO^-57wtPb;RAWC_)@XFcZVUoy{?q*P3rGAa76o&1dsj= zE`U4E%^3jtF_1p*f8{6`aU;K28uS%<>~%T!vEdb59RWJ8QP}QMC|{lwSnwR;k2e78 zy33}YAPt%pF-ta0E=$&Pym~mmg`G2RFoZfkx7vAW{u?ans;Tx6qrbw+zhmLAdV8`I z@V@-Cum+Qd&cMor!@awEnRUVtSFTvE>?S84+w(OGy%4U+QM9v;U*3?95FT`jdTE@w zCQH~3#Efwx21c1K3o*gb`W#u8V4<8F^!5`jAr7}ol7s--n_pYUv{M?EuP!-bLBj+s zvFq&c3g0CwFQSzN-p&b!J9YCMO7cE!l0LIudmYO`-*q8DqIqlUCbRr0_^GJwg=ulEqJf+L+WWf<@8-6|QK^1MZGVBNPAP}L z!*Y?c4a)2seBLl`kn8`uNbmeD)&51$pBm@(1LOM9wUzHC6$hS5bp);1%1totXL^g7 ziN~nw>rOmD;3%=q@@KVAr<-a!15&gw?>pb%`IzWMQ?m}x)sQX?RSmm2BOO)JL1yc6 z(J>v7JUTVI=>HcNn_MyH;Jxo!+A9uiFdGH%TXu+Va)TULl4h`+& zJvQxIqRwg1qQ#E8CD7}`7IQ_E)nNG!Iq039ZpsbqQKwm~uHy9QhH#SLyk)IqN;sRNnO5m)Gg$s{omD64qG| zOLp>}|DhNtZRrY~Ui*G9=Rdkgj6pz(6+x;Dy2k?I^CvHbMy3Ug+WFX*UV<8);*5c} zrHW6KDvT-%l()=jtnFe9BD17vX@UT2%ERSJ_KY6yI6}i<2X*-hGKST@duUt3NpUWC zqu4}?z|ckakkvsALY@9pkGhL|yZCh|Qm4fFk{)6h_|odV=W$Tao9N}GaNOCJ)6As! zT8Daub4W5FkyHKr?3tG{uC^aeqovQ6*5&#?vA65y&j$e}2HoSfVKRb_;x3paJ^n1T z)5h!JceNBa!OYIZ05L>!jJ=UVbvvCzeykdtZ}v@Xv;5MDiJ0b=9;o4>hp1il48Dao z((G(-2S0%vg;f2R`Moe_v|@O+&{CF~nEjodz{ueK{8UIg?|}CYQPF*`*VldB%h>Wc zX%OA|UsWpgq>z5&zcSg4RFt7>GKe=wFy4XwG#WQvsRad$2M-MeznA}zp;WKFFbqG$ zmOI^}|Fdgurcri4fuidjFBsGAJs!_Glt5W%OIu>(goeL4Pg#~RZa=aJt$qAW0R(fP z{^rkLSuxtPbYzq);AEGwo^g-Q0}>JNvLI+$K-EbgQL;|jMg{urQvq|0vy`fCktbQi z(uR;y@2wo2(B_1YHqE&0wp=<`IO56^utm}u>dOWdOTWs(rZon9C1WOWsPV@&Aa%A* z`tBP6-;Lylr_fsqZpii61+ch?iGf}5gXtuhY*B$afu3@iVS84vhPoA&k=j&Jx2;A= zB&{7i>x8c*@4J5%16!1@h2C6cqYl|>`JS}2yw9@W)naqVu>hyldl?B__&_a7T`dr1 zdf0$y_bh9+&Vh|ier%RTq|#rgiTa2h;|Af9h`W71lrTuQ-;9Bg=a2m#owI)iSp7;% z0}y^Endc%kkcyQ%(mw+SL)s*}h4lgP)eXxFc~a)N{;MQh-6N<5?B0uaKZO)YPoH6; z<%EiIS)(w}Tfb4XZ*|WLv0}#XMe#*>vYjgWHYYJkig@ej48Ow*U4!(0ht01oqWdNV zE6{*-``e>-G)omO>T?i{x8miZXu zGae{VL+fZbr9d!KNn{8GsGmIlS4GRF3U>yD7k5Y$)Iu$L#-8eA&^`^5e@~@f zV8h)#PBfYbaP4G=f)H509)sx3%(q4Y^jdeya?oN%$G$zIhvQ1&hWb(CS&b8z+cu=QYJhnHWI90P%KPV|CnH#4tW8Zvh_ z<-E5T+3(0>aJALH*1y33TAk~{LB{`(hrPcx<&a|+63vHn|^+;mQS{qV3Cxt&_ET+@A5BxQeKjclv-;ipq;%z_g|i`s7))&>8K z;q#Xk(_R^G23P2J6g&EKkl}3dS<%~FJSVW)@`1{#Z_MT?BO~qQL;@~(=@ko$*%>o( z6N{TT1}fS2Xzycw4kIsl53EXrNFirt!LUa_CVYbw>|oUbXgWFKCMX$}2Cm2% zO6utn@l(*HVmLR1$Aud+?sfB{6CkI){ypis%ik#ZwEpoksTi#TDle{ zc7>}kS42@F`{O-J$)fLD&zi!zDG{Sx8?kG1lZV-t`ljLEeq$DVcXa{c*MC|7Fq{(q z8%s%E7MqsScc`+K2D2cI1U~T3{`+@hNG-@nR#)rI@qN(A-WO)7*91UlqDcc5I5!5H(At&Nz@1yY21?wyo@>8%k^Dx`rBj@Bf8jv zu-#wn@9mrCwRbmEct*l~?`kd=`>u-O@zI2d>9}ykyto@tdmLUn$ll^waAw=`bqc+nUhB zR*)j-`17QU-00lF@8$lOdjB+W^i`AT(wZ~UmwC64W^XwH&a^@~%t zus=Ax#eta@ZNMnq^=h<%yo2)f{!iKBNx2TXRm0;q&#ev-La9*Y!Hd&~1IcC0>{xMQgcRt^6^z>XCibhz&$nf=gp6^c+vhR$dosahGJURD4dl-L8 ze?n)&xar$A3EHTG%m2eaDs?L<^ZO}mzoWyP=6v1Jm~m+&>sgo&^_Ppqs)#xdqm;~z zwaC1m9QtQP6VW(#3HQCr%Ue+8LmGO2gbIy9cu+e%UrB4lY#hh>75G@6cDi| zyEXh_a36V@I#^tWd_|WvlWMmA6@5Jw!mj9LSKL;a{0CrnyyeHH{-}$)0@w ze!sd!l-FjuVF&EPEUzu6csvTJX|y&@$G>YcFf4flukLhVBW$=9Co)E_`dmT0+uZcanK35j_hT+Fbpm~hrk z;*QoBD@csSw~;GnqK3wYm2NDqK}`#LE9C_CM>p;)+(YZ)27+PnaxY#%ule{wcb%=6 z3^y z(MW|zk9B-4&(x;=W(?ju>~*ZdBPA?u{MCAVm-z;AVvAkLd??+Z5I#G|7s5R`l3~a; zY|ikmefRo}o@?jrQ$bu>Sh!D z2~_J>zSbUO!1IvTyU+bl-(a<|h$xE{CM5`oY;Z zWe!0Zf1At#vGRe6rvBd!(=~K}Hvvsb*q(+W03l37oGjPd$IFg*fI+0HV9F42K?z*h zK@3I|MA`K} z*UxiXZpb-&)&57fqLw|QD0D*0>D_lzGX@x0P9kG`q$%(FqW1D1+{~JrtJQe%CjlUh z1KYJdmH@&&Guj`!hQbypEAq5UR z_T)H*wTMtv{o<|E)73u@1&jAa+boyP%|CSw>rnmG8f4y-CR8x_Deq+h<*a|LT60sVS|1`8O5! zT{+9i|LXRj-ekLd5}^)2)*X3N=#}#2kukbt{GH5uB{w_MemTOX4m*r|S`ET@n?+7N zABcMe{|tP~U57g;cF}5X@B+7Q3_4f}h`;jkt0GE%830-9Vhf-Ld^6#P>*^QJvo%XtfTSb4t8E(8gSzuF?@K8DAGj<& zVP=cH0v2rof08#-AHRdPefR-}D`K*iHhl#|^?HeVLK%i9bQc#{F^93-j=MIvc76L< z?Ywv@tKbzs+204#%yi=86Iv?3zKG=Q*g5&W``?|QQKN&p7JvNPf2TS-z9OIY@489W zu=Y1EqJZI8NPRoi_nt74K$+KrrxCygzTs772SM{eH0W?4Wya-n78Da&{#R^)|1eTE z{Dpv~MKh(c(oMf1r=>pM(UCXa=H-oe_}$X9Fr=;U0C!+;CQFQAR0*q|yu@0jwdVo} zawI&e+{f!={MzM9yHVruunL{6h4eaJWJyxVEoXRy%5L$bvvLYG>_SDVBbfD(kJ(9$ z_LF+s*@$2S(})XvcXz=(L+P(p_wP-$?#m2seFS{xEpSUWJ;Dq?MyX}}E&@Z!=eJgn zk_un=}+y~E!6t};vx79`Z0pzdh2m! zL02>3CfW`4+45zPkV)3>Q?*H4damr{*>h4p^mxTF8} zIWvnH05G1#MKkU_#E4HciBVQaAhLTTscA;XWiIh*=E+~y$zqrJp1x|~CqQ`b%B-{f zuK%f)Sji0|mkbs32U37JWLTT!y@X*xRfE!#aA+OfTR(B*)>&QwUX7va19_aB08-Fe zH{{hTeRjdR#lyBN@hp+^+kV2gm6Q(G29=RS;LeOOUg$iufHu=kRiXKx(p|o0gY5;C zs~#teXaic`|I_J5*_%d0K;XXj$VYNK0`M%c3SM5TPuR(!TLy#@930mooB^PwJJmy8 z=S;cNh_B=ECq`(=4MEU|lWDnB%tL1@GIihA)Tj@B8~fr_d_qEh3O6&j7ZA~mbvUJQC<j`DlC0npu!=35-g`IyDhGBJwI z2$6muX4azpI}JaD@kK53DOG=~YEezu{tj+SloRXrjmSdwJ%|#CLd!chVnf%jN=vJ` zIn*P)2@iK|LMwjY0r>3ChFU1te|*Y~<_E&U`UI6HS*!>pf#);*&}W~;&+2NXQ6aPa za&Wz~6}yrGzWSt&p9KsjLaXr_$@v+xlNnS#t`aeWJy|44EN;OcN1Wlaf_XJr6V7l< zY2N(Gy_ro*^-!YbpWMuPwpsplRg-)J-a70a6V67`>Fq9A!Skbq1kaJ%3x*qH5i<66 zs>gQKO3d0TEzA@_nuR5}1!5D%oM-Nospw6Y2>$#_%YQ^`m2?_-tvlO4K%UFJ| z7B7+0r7xL@Ij0GfN<+Z$ti`vN*9f|Z+nsSEL-=~1byt%}vicrji)!Nb#?6SvMy`fO zgGEkGU^F*G2P-sQ2jImBfj*5Igh}5l^l^QVf!{`e3&ZZ!2!CV3;8m4h3^$%iNC?&+ zgx1l_Lw4ki_9sB^_avLhUapHfbSw34eA~5-H>3f{{I`_<;u!WUewgSS277f{uMcD> z<2!LR$PJUO6ljy>#h`Xt%_8oPWg`feYitGnl~{X-w^57oIP6G#e}bV$T{(mIWEx#3 z?>+iqHl)+Rd$9?~FRS4npWGKCl`S`Z(YM=p2sMIU9{-cAsiAi{fe0sz=JOQ4c03*A zrt{7xpPM34HGBdiDgyYGpTHL$4@NvzCTuBdTy|q^zeE3F8E}S5fNfDk|FkaM#-$pb znEr0aBj)Q+`zvyRvdyXDtRFKAd&2dWEhNNXQ?BnKoBLkQc;FrENdF1BK(08e*el?0 zp6LQTna@>jd9kzej7*-#v)b+grqX@<%X=Eh02cT9XuYlok%Q)GPbRW2!)H2fP!TmZ zGwRTovz-E&7JdcV(dlIGiF1q`I>^-XkCr{SUIWkXuUC57AHJw(G?J=BlK2W2-{F)= z+hibm5tX~?QSiwb?8u)^ktZ{rGQJZlm&PXc2I&~Q2`Z{~fzWqV*F@)FsxmHMdMVWKXAulc2I z&L8%XqX&sbze>nV*9#%L5XOw(8)pN`24J<}OD3PEwdSmgCW6t#7k~|99b2fBzO>1&?x>J+!TQCcsIC;(nSvrav-j>=Di9mT1UPAM_HIFY zPEq<6!cmagn zXyptH4?@dvK*rS~BsQ97(F_~KCwUo@JrtzA(}LovY}fJ_Hd{K1uEN=N2}aN{1NDh- z+f4eR-oJ56LET4BO6WiOIT1oWQ4m3Ie?)axF(u)|^`RrO822qS(IU~MV)3OJxWipK z*7QR!?`8*p`dD4!+Jf}CL6;r>ITP3ylC3@Jy|RsIav8q7Z^c*j!%6*DhcDp4SKVwH zM3hW2C*U_fI4#NHY=0BfS*U!PigRNlanlh>)IWe&5BnE}p{eN{_5T57hS8%L)1 zN4sO7l_3@cI{mYtJ{|V%k=DVUg8UP92a^su^Qat~#A+QDmd&U_K$|%2YUF+$Ysw!n z;1u?qK~>f&um|BtiY8+E#pgT@Bd)6$AvnwsvLAlux$kRjPqEvJ215R6tC4H{3{|}~ zRyH1ZQAv3qmqStlyPNd`kH=OQ3YxDw6wI+1$feZClO$w( z++=)Es2yWKf}G1`Q`r?Ivadc0!Cs9_AUcbS7U(2NacFElNHFo4>5` z%*a=YPRVS$I(%O0U0>gRuUJyS^UJ=uJ2ei;6xv zyj%b(k0a^$?_GY@sAXizllkL8su?Oo)41#MWdD=#d%lDxiyRgy8>{6-+J;UGQ}8ih z6jaeOR~E#(rqTGu;y1$s1(K+>?ltwcXdga$mAv#iv*QNrtt3;DFe+a7(QuxH4Ue#% ziS~?#pvQUCNs~APs?-YPeA!!(FY~qSrr#Xy3UncNt*-We7P1=b41BxuRguK6Rs5~u z+BSbo^s4yb)HwXrw~eWkBBor&ZAFi{)u}_-VBT{Vdzn9fnXner%rvA2w;AQm+hxFe zUG&}q#|KC;jhxpMUe0+xH-H>p;m!}z>^9iLr{E~1!wlu=;G=~Squ42*0{fL`cEZ<+ z($N|2TXmI#{8d(ntX8~v(^)>LzOrBag;!WNGKUap2n0fnrveGP8he2m{$lm0??_*M zn4jM)?l9Ow*w1KBC@Q-s8My$b2(Ul!3Vf*`zZQFS@-*$kQMCHYn30i>8>JQb%$|`! z7De2br`H!aP)vOMt}6Az0fm>k%03|K{{YAqf_qsACjc${Terms*<7=-Csa61Zq$1{ z>dStWo)=Wu^4A_!B^GslnVUQJ?Hwwd&ntG03Svdsn>JeB>i#INQ>Zt2yHUBeQpe=O zVgE1TjYE|gq?{Gk1lP4}z6hbcH#YTr2flSR&ppwm*hva`m~N3Y!;szxbX#Kg2G)kU zNaKA_w{(OS9A2z{7-rQFg|0Ei$PfPsVDyCn*z=|00Dq6*O5g44Fy ze(nY``3;oPldvZU%D*TS=JuhW)%$uNkE1474z*}^k&pmos*m+-TA<5l%&Ry-Nk;CZ z58FvOGWaq=3d$xRM{qj{fIV`G2~bNAUEPy{I$Z2E^(EC&@r3+{3B`_T@{YL}6L@}( zeg#q$q5bi(2~UyB_3(OJNmr2DG@}N@vt=UgL_10cZ=J32_-x1%hJGv$M|{QlcpIgA zBNySn*ge*K7*!(Rz~Z@?ZpuUG=aw3{7FczDVnLjFRkf5}=K>S7ngv65m!eC5FJbVr zdw4MO)$!ncdEJ5H#4@8x@1;wM+-nO&-||esK=XB9UVo)&cPb5o)B6CtP4rwWd1_kG zEY}uRTG|h^)mCslx!#8XnD=?_q*P+Q2A;xCt?p&zvKWla=vi7;v44pF$W{HdPG`G4 zs;MW@jCG=qAMr%`ainx*$$0F}8fy4St@-MD-^)RMm(`0^uK^WlTtb#Xm#@#08XR)x z43?eq-F_9S=X(q2iN}BRCsz#ICBga8v8F6vCw%w%-0#gFP_7kAOlC?p;5r_!9W7t{ zCn|CD8p#z(b1zVkvMRQh&#%YpQJ%Jy|B0|*>!ItnB`q#dPeg=EV^anD z?dJNicngU!{dtTQ4tm*@%SX`eMpKej{=tD-UYza|GAJn&n<_)9@}G&-XsVxzFUlo( zZfclzMv(Cr*6JR_AGUXPI;zL{0J&S9p0iI)O`eK;Sz%%KJhgR@PPeb`8YQ128d@c9 z$OI8utK2FdTd(z&!VkIm7HA9gz4#H^qEcoZRVJd`*R1>$C+dn~{3~h7HDz5NoS)19xJ3EpAZnzx3lEA@TC?ARFXz1N-Neu%c)L+~SEUsbGP zM{Hj+*b62uXO*G{> z8Ya08D}S#w?gYx}Z3Y(oyp~mQnq`Gn%B?SjVpMz419wE8;g3v8!+rXIRfz}Ewkx>n ztM>J}`ef8|=ih4Vg!CyM+j|2UJ8w??TZXt_<6(&55&7a{kQsb)jlVwhUgjlvLhQNV zRexrFvgqhW`#MbhM6yHMY>XL}(slfr2{vaC)~rx|-*O8RE!UmRZ%H8VuEUtGIt#=1 zT}UN|X9GXu{Z?!RtULFIhVWR%s+A85uX>JVV(~03?{zY#TRr{$VN`KAHb+H;h*V_$ zD;uAIEf@ElnlSd=wawx(RZ!H z)+p*qi_fQ_Tp0b45juy!sQ#JAay)DfB(~Biffdo(lBRUkf&B8IaxYu3J^Mi`r1=IjC(&NMzV$ zB_?~78h^*=@@JC6mANjR!~D@mIz@)l_`SeT*gOuw-e_;Jb*56ww1w~L#h*Mhf0vM> zjx&HwWM*o0;|ia&l|tboZ;y&E=yvU8hKM1b;YaykRK>g56DSj_X!Jb(2oDEkgt zQ$Q_b*NewM(QIQGz9|^{Wg138fHRCOiTy^A^$p%;&K?`{ZKb zu2n+Qq6fR}?~C`k&K7Y$FLt)zJQ-(L+8!tUZf*`_4>0Ry(#2{l*h66ki#xnQB1DE> zP%9ltS&Oxi;<6O@*jW4F#lcFiL&HX1wVa>p<)s6{9>c%(W5IK@_O%S=eU!+~3Dj+W zsUtEn(!6SF(Gjem&(F~oQpjz(I9AR?((oVnO(U*1n@{E&q1S$@L|9J;g;QmV`Hv?t zYc@)o;C%{NjKnsRWoC5P@AnFo%|@)Y?Ef4>LY{#g_l$ENFh7tVTgt+LT;W@vA!E%6~Ut(II&VVowN5w69TTOK^u`-Rfy zm!-9hDDynVV!H5bM%h?6VzBjcSLdPz>|uXDM)ld291nS9b93|IYJcO+BVp2+u_nmo zQR_9${~oWKZu0JDqT-kWcXgWE#8YH{cF-<&w4y;nQRAr_96L|OsPVJD@9{03S7oK# zEvVh<(!1Rs`vcG?ABf>$kj)U~V7#Zk7t3+tlXC=x+v?YB77U|d-X?vxH<8-^D83p4 zz2mgiKdii`K-;I8D$u&2t?;xqL+fI0Xza_n@H7EXV`QBd{QB|>Z%-X59rj`_i7-Sr zr0$uPxa?9o@q0_sFJ>Hb+Lu^)C^aSc2S`I>qbQ7BT@OX$IAs>aBCix4O4!dKlcq#gXV92zvd4N1~Y!q#*M>5$Dw|4(fx(Gx=}xznHiDBX(ock7)Edpqtey3xchYS z#QT_Nt7-o~ zEx-`oMV|%E>_I~8>q+dJxxKY_c^G{91J`da?lON5gM2Y^GBuKbl-795=sx;3bg=QP z@NGJ?@*NEyO{r#(_h%W~mVE_NePRHRZ2mNX<>6f1j2ZMDqhCD*?x6xN)5Ffg8yvz` zXvcgFh=2Zg7W18V0&U9z>ldelY5m(WRFKFc`i`h>{5OaxXPtnUKp(`X(;%6yH;SgsOZ$KXnUdx!hlfTPh_TEW>p&F|ogm!(LZXzDnG8*V zL?)KtW1-m-=Hw8C?qUtYr)aIhbnNW=pZ!rD%29_3oa)v z*_#*!L$6$&nLR%L&W{Voo%B1!c$`bmPrtJH?FDA49{i9o!Vr@pUKvkYb5$Y8aV}@{ zZxtUcG_DB!P;golai`-E8codl%EUxV0g;i|2en2R`qkqlD>c`t2r`zi4Kxbet)j5ul`w>wOdq?*rQ;dpEC6=E$PU27geV|DgBWox(29T!x*#>*A|B8))3LZ+7X~G+Lp5#Y+5n+2E`r zR9RRPx=*L%5$QFMmIA+idk!-R8ss`fK3(~4A>!MXmLkvQG%@x$32tz5z|N)*<1w;2 z`W?2~+*vsZPxEV=O-b2bm1lRmT7>4qTPogY9kRaO9(cMtmfYQa>vd7*LykMP70zT2 zk|XHLnw&#onTyWwXzMA=L#fT#G#CP~3Z8!1S)El*(bhP`kJ*h241md$nJS^-NfZs-*6HLXI9M%cx(I^y{n@)|?bq9P%Vl%NJRrH1mILk~` z(Q!IuKTY-Ke9g7#5CL8$jq|){Dg4i49HsR8_XREIvMQeb9{Til@7&S(;45quZRpJx zTZMbzpw3o14W+;k`$Xyd=M$$(o(WvId>89L-oq37aoX4S;Fu;=^|_nVtf@7rL#ot~ zWOpAE3r&WAfvi*=PO0b&c#d`9aS?sAh7H#Z~m}Vb>-qbQ37>UR++@6 zczq!yOW7ZLQYPow4%Wf#En9JfELvsdEL~>zX+E>!JrEWCV2xH#Lh@>w$dJG#d((;| zrQSc&eVDRqcc#Y^ZFrOwg&Dmz@W3KyX#O?w`47=^ua3A@+HQasJnO}$d%#bi^3?kN z$f)A~N7Y+LMcIbi!$Zl?ErOtcbW2Egi*&a^gLFx!ba!`m_Yl&JG)Q+h0}Szdc+WZC zTHhbQk_FDgJy-6%uj|H2^|{(sRw*vp@zC4aJb_>c zD}>#F2XMs12je#vhFsobD>Sh4*K^F6AsjGt5s51L7d$laD;{gWW#?%Lq2VQ zc)O%SLiQxK)vtOEp3Pp!Z)bg@zf#Kc!bvAS9A5ax4@^nt2)mW3Johs3$8d4OtceG6 zOyZ{AAl%@76?zJ{MrWz;xOwlE!|?v~a495|^mLtv@d zA~EsrUdo3u4}*`x)mCm1QUqhPc{e!3OW@-;uS`BGnzu~cw^t~(= z+Ydvx$uS5F;y+g0niG9FUv}w;SDtU4;yXMj5jw?UC#8L-5St@cn^vPjmHK-B=u6h^ z>~!DsY~LnMw%4Rm!B|Pj!Pl&l)bBhfjs_sj_L)Xk3%j>i@9&0NbVu&eHqYxACTVx) zKp?xE+YzPk_ZNxJcHd*y-1lhiQ~`qRjR+=u#UK_U@5&sp{jJo{W7P`~t-YG_}a= zd@D|sRtpO)4`Znot;}?2^iefGy4+@@4JT?IE>(o@JNRoOdt$#9=uJG#)XY|YlU=5O zi@tg6@jMdFnMBt{N{OFChBB*dG8e7>H_?xob*( z%&S511eZaxk2u5^hMse^3(d-WbA-m$DR!WVgH4b(SJ2FE+^?to(V8*PBve~eSOgc8 zrGZm2PIqXzw~uCMWv(?fEh-#5&`(tV<>=7AZ~0jgS6P{Y@!|96O1pl8Il7b& zu4di9&%rKNx?j5ohq@iE<##>7S&Rt%aQRhh#*H(Eh{BVEK=DIInY2PA5;ci{Cd+G= zw%TsJ1H}Fc+a0qh(hm0@i-)bD`ITb58b}1ITe_?gu6Kd6_60}$m7crDs{#-E_Z=iF zQ*~>XJGTN$+S_6HtA^qfl=JLg8&kAJN^jvidj?}lCD;7-4)MQqk=7WFT&4kU_8e3K zcwNt163V$R=!x2cJ}Y(k3#ynhMi{WLkDB6QGADR{=Z9`X%vUVO6EO`0W}^RGjbV?H zio$hjD#iTyu7-${wmCXGq)R_^FyYG;M4iZVH%9c8!`3)em3J_C={pk6=Q4h4?DE(l zdziL2ndq;4MWxZq^WvI=Vk4eNB$~Jk$KYC5bIhDg4?Xdpb3i(cElo8~c3npFqvCos zNo~E0@qP5l+(Kf^eS9L*GKnjw0{F#`V31~+ZoKRpF8wrCnKFST4kO852~@TErrng2 z#-RdIZy3K{PuDQYEzjl<&B%&-s1|2vt2_5LS(YplMmSp3h*E#SU9iY~6`Eu;IPjGO zE8%JUeF2O1kznaqn@cn-ooR|fvBqIO_Mc&AY-NCf`(1Z$=(2{vc2OyNrKS>eBlMc# z<9l=TFy*_^;rF^7VuU1`M`j`lpwQ}s<1HrLJg4R*pj*5yO^oDUGx%Qt&zy0bvjMui^ zbwgu#&5@p;;(|uVcoOH~Iyq<7%a|UaH#=#GBOKEs=(uMpYE`?4z1#0>4grgrQw^-| zo^~NC4Wn~HHmS#OAq|W_Dq|Y$cSHmkK;L+5#+Lu9zWvwIs*&_`UkG_Q#Sda!z^N)c zupRA}uiU_fMpL^DAPY@b=N12`p8&TJi9%mfuW&D(a1_QijGH*H__aG>9Yms%+*hER z7^PHjtIsNNztOyreBC{kuCL@>=sB*#SUlz*A=j%R_Zz5GO0wps-@pxt=;PMyWR_hcW3)tjmd@J~P@^Jk2JOd%NPAe!a2ZS#cXbr*6+!-pfY4sniMZ>!DMYi;udjXxcfNv4o z3^}+V??H;=av9)6unp&J)SC3RH>bTkmg`u=;e@eyq<9KpxFGtHUkd$Z3T~elJCRfb z%b&_acwaM^&;=(P9cP7nf$RLbVd;bk!hCmk7}e{Vg0Io+);5gsD5FS(_0Vy?yqr)` z5py{%RDE@>DX(-hRmeY@5wWusiVw6_oHO?^A8_s`e&P`m(+>~1T39TpLnf9dg^VgQ zrdK1M)ZD~~(2ajy(_WW-!yZNu4w;?R??)?mV|DjgMngkJOe}v4Ut75&!X&bTbuE$y z1p7eZo8id^v?h9er(G4fpB7$`JKSFdS6tXegX1Yre4e==+1a>R=MR^IxrZK%%Ps0? zh8eNRuzcxm^U}@yq&HgYPVtdHo}4y%qP1$%&Y$`eM>!4a7sUK=6Iha+Y-gH^5)V@J zwPzwKpS2u0P3C5*IyO`FMcHgRrYF^P$|g!Gpd zR^D>@nj1zGZpYtCmaFR=T2pbPV_WsOdjWSS(cV=Q5J*5B{MEE5d;v~Z% zGM=nLism^{p{~QpO|JS=9L3C<{M5rmScC1d5$JUIkyQ?kg%@3{)f)>-^g^LlU&rItH-i*}Mlz_7NN;=F&bAF1K;86_NC`T1!j~$`l+BUN*OyvxJ z>*m)tx=7VU{xZV{_t1Pv1KOnh#EH8&YUm1)|U0cD#ItS8H1iZg{j1i-g2-J;yB_ z1MAlk*hod`4p+^I%)0k+1~D!ohymEtW)_>JlmR-|2(aZXFu7w0z@=M&vqe|tI6ySodMjAsAi+8_P5_6#W)+Zb;@LAyH>(_%V}w>1Yj z>${7YLEfCh!^DxgHzVwuaG*}`TF1?$+dD7L`ANBYszR6eKB9q=suYMRyy9t_#W8OS2I;$3wj8$An)3ZM0xQi0nt7G!38HO0BrU?3VurW zoRZbI3;FCWS|_Vl1T+cm+j!f+Qe^^eP)ljUY}>vDq2T#26>L_%e^m3(Q!eE)QHU*ESh5Xy zJT3$5JT2G;NVT!*Dps4X^-NrhXQES`T;M>oWk4=1Kjq$CPM2KQrfV--m0(Gt@VNed zkvvZF^?6pFqoeKw4{9Cu=>|@2oU5p-zNU1s2yV^Y0;Xj``klb|>E?)~n+Dlwkwjq` zkIg!mw^xG$H^NfQ?XG{w+=K%X|g=}P)2?Nm5T^JkCyYG0GY(=y_H#`eePJ$Tm zugkeoP9Gq@E`b6#oV ze&xB8YR&N$gACkxY16{fbTx~$c2v7C3#@(rw4Dv$s*@-uYC=^)9rx1H3ipxscPd_| zzljTUa$gGU#Wh?2U%>NybIcB`g*H~Gtd!iCWNs~`H+LT6(t{>HGCM=HRG4!Solbe} zHhL1CpC)+@tPbKHqlhvbA65f@vhY6>CRz+NrO*XFLrh>dqi+p9JztEXow`zkKx$Ty z`sB_|z8~M3HzlWzS1-fgI_I^vKK2BEK*l=Zx#hZ)JxqgIkL;UH@V7MF{uR5Byaua7gHlD&!(Jfum(`%-Z z=b5HsV1^Rcja0Q1s}IJ{p=cz)4_ZUc{nBB^td&`DHD!w-@X_Ew#zjv3^Kz$N^c;az z64bkwcHKAZd&t^89*|zI*zWNSKuNaYS5M*kxp3SSLiq84jVqQBUzlZLwulH;rQEeIPG(Cu!HqCo`r=N@I^RcQ1IQ4#UI;e^i5+G-4*Zi2c%@Pu+)qqa5& zhG)S>LyOL@%14k?C<|^c9%V{+yj2QCQ&(*m-8;u`M3%YA?EsrKVx19*CSNODkBZ@M zXJw;YR8)#@Z{>4y<#m65de<-IMuD>zDlGncQ_3B5&ovX*<>$G%-Ja;B+43;<=lTBj zOh|C?!|((l9A;MbN0+T!s{(!Lzup9JAwG|%j-EDO0~y6A;XT+`W$gNI##~hJ5!L)Z zP?{ej69zl4UJC)_+6T5X5^PLR*1CAq=KX1*{N4$P&5=zGZ+-Jkmkt1hZ%S3k8c;(PqbdM=-Ts(1(b`al^y zILM#)&2w`r=B z6GsMxSZjkR7~6*Vyw3AzGD@BoF|6jeFOOvpJ)g(Iq~@S`e5p|$`hpM6e!c>M;$tda`W8#Rq2ama{z}}q4U}xIrmU^epKSh&cr%wJ{Xth$Q4QH91G8y=6HpeZE1eu2Y=U8e(oDfdT9!Y{lOJ&o3wYK*eUV)Kr)jK| z4!1nE6a#QJBsPzsQZ^(UhCf5n{-7z@r}Gk7TA`LyMpP?^i78@A9$GSm7u(86X5UF- z5FmN)6p-oMi(`B5dhf!4h>3Bz$zxvE;Jzi}tzqrbu{U>0sJ)4cVI zLCgWeW5K^CJ^943Q9n!toa}-S_RNE<)fNwGz0Z*tF zncmcLpC@LJ@r=HHmOlX88Yl>Lxn7!LktkK#h{gyUAZC*0s+}Wwduz0~7i_k->mMi1 zjoWtEGoGhW(?f``arU}f+i*m*owl_!*le|+xuH`^;4uctnRJiz3GijhX0z`q4sKw z4tI4XF%Imwz0=;>UV~18OadpG%K)p*R*~LWOHwa$ktAz;eYMNyynq{fOfO@11gJ=>o)A)|95s&V}*?*~Qs^ z2d;;sJ1R7O_`*uwv{m;s*R_e_G;_oe64M-}=o$f4uBFyfD%V_NJU}RoQU+uJ;I_L% zv*&j5t}V0!h+q7N^(#!@Fem!b0QJZbf97eA+Ah<-(3X&H+1GzaooCBEDGFfvwgH!m zcR0^?c?ay4Sl@biN(yq^b5~kmlae z;GMDFiEdqy@#D>`=o}`f({#gud=hFM$0eZVwcpF933|WogMGUm((>MF+JNt8!rV78 zwTx+-KUEzH96BfWp35cuk+|^UAklB<8^j$#B5usm=~5)Ge)yU$msXNM?<-RxNwMHT zZ>u4<%8y+iCc7-?e^zlkY*d@?lI>qnMvCC+*_8Kgd;gL{my|mWi}l)5lz5I#Ev|u`z?CPHQV~P^;)ZJ= z<57p{OqEwzr_8q;sml+&^=?p?$V~7M8!d?q;)q zYl^_~q*|eP^zhL?qvTg^xn4SDB%#FQ{x>)M zv4#_`FmO*#=T6;P=v3b8S(y#C+0JaDhrn}<6yF_SaI!p6eY&2QlH-X$0EYc*7r8-a z$VH{1w;f)cn^+38O!4?g6`4A&_Z?9S?z=h?db?lNgST;085vb|y|8hnwnjzQyh20UQJ zNB3Xr>85|UNLDNEP^=Sy%TO^mo>ov3RFy&eFhMudzuEUmCI z>#`%mR49i4D*~>l8iH&4g+eCp)M?`I(S{t?9RJO2+?3~^ zijMt9IgW{#>4)-XBS8=-HktiRZhG4R&#Mnff6=jwOjGq`=xD$sK;5{5E8kk2bUnf#?l&=k%Fa^bc|t6upkSz6{;O-0 zljWi8X=S%X>$w1EwTk}?qWNu2;`Gd4$AnGhF9?}uVXXj{`Q}9Lt`{J2LRU0QN}0o< zT&3X(?d@UQy&BhCqGrh-7R&0M3V6wV(P_*P@F}IMb;jOZ7mT2(wvLFmnCf7IY}ynx z1nPTCM8jy>-;)o#5yAGk_WZ!Lq7ePWFh>e<4dh#)~ByPOMe>W5(T}1nU(+9 z3y@|T{_Q44v#m^h3AlPzSfTYI6#-76weF|hU1uM~9 zmM6sGoQ}tWQTXskU^!5_uB7Hzd!0I zI3F!0GY%?uS;SU2J1bX*NaLQGX=Hff@gFw?b`%PZQJ!&$e0HQZ?4bjoU35bHT~!>! zL4tpgo>$+q5536-XtV1Jw-o|CWw(+hxMt(psNjk~}8whZc?yLC+kaHdOf<}#n z3-{%~_ww`%hmPu`kC#tpzen4}-oFmy9hh9Hb(orJ$zYP0)(O5jOPIo`FP&Qk$8@f& zDMkLh>ErDtFHy7A#QlS!M2@-9o1Zz};^k+XvJSB&FRLXT|ylO)(Nd{@Q5UsFJ7aRq;`>h$9t4C~!e7!~!C0bH!Ib$L)rHkXC7#7gBm0;d%l zQ=MGp5b3C0S$%wMh^%w)0*<(`o`n=~6X91JTJMr8@DXf(#< z>aSO!7|F}GVuenrc}d5(*rUWPUdvaMhAoq8{zPyR?j;nF6gc?@^3}cI)3p<(?6+ymwjy!v^P(h>&kU17V9$O3w+O$Tf)6tlbW~K^m5&$JmF=8VeqRmzfRF_8wh4OmpxW*M@Y>#* zrg0S}vPhgtG@zp7G$b5)`uv*))3(YJ$tc919!Z9RNlpV%=uw}4QUzBQMIZrJf?w>t zJKaWjy}UF{h9G{N@UPpyfKWOe>+dy}Uo!8oEiN?%A`XE4Un?;Xd{|1SLuzL8nt~iB zwrPs^U(l-_h6XsKzS}k`oZ>dD9$8gBJwVAw(+8GD(b7RWD<{?G;D?td&G9Z6UlxNwEt~ z|I`Kjm#;dyc`H|p`3?{M*#KXT&u4QJ7B!SnbW8DK8*FK7NdzL;lnemR{p8`L^GM?) zcz!#%)Zy$h^GB)&)1ze>2aX={!FQ)1i`JXgm&}{E&Go{Nw)XFr*G0uM3ZV{lbQ#n} zRln|Rf&gOW8snZa>m-zH;0?J#%V~q7np~l;Fo1g^p$dbsQ88#X*m&~1Kc!RmT+J!lf=dFU(Nlsrj6AE!+{I0@w2>mL#P<6yg2cRi6x?K1nJ;q;s$Q}+V#Vq93fM~L zOrpw`u9zl^0yVj53Nd$?ibJm1sx7Xn?*CQyM8;>|@PQ++w~Lu+F09{m14bN(h1Yy` zyX<+J4q2FnJz2??tIPJ_PQ#571H%#Ehn3qSq_y0E4#<^DzI2Qva-qMu*}g}MW< z1D7n{5$d1y`_bgvY56}sjn__!b7L+6PN*#&eQ28aVO^7bTq$3@b z?5;W3SM9rCbq1k8#d@9dvIbbc`Tp9kY2hr5R0c`FiGIDFx;B7$I?Kqo+Ee&3K&sCe zC4Q$Y!u0}?@W^d%j#X97k+rYj#G;!>5|QXQP9vx!YH*=|nEgP7O9gRO>2>M!Wm*OKeR>u{a+z;VhO&Vz%)ZP1> z=SSAvX`?^wY9B^<9ursj4R@HA^$ZR@Q%myI-QfWcga#a#O#atO=)fCfJh4E~_-p*- znubTooroVR2TX#@kNn|d9Tvgr$U5kE;|!SM-?img@M()hUn=zIq>RWq!z~v!VM|LV z!!p7}zN{}1eoi1R%}r{c!a&Pb=W<^};cdJj_-pV7Fk^si=#Y!YM$|m>qNDrW(aEHt zCU6(`3(8#C+Q;GLIr_|bCj0($s9@(V6k1;P`Z62#;=%*0ejzNrWM*B;7cck&V%QZB z+GJ8m=pnUm>VGPAD(Z}a$btfBdVWXw@Sb5 zDk=Qr9nT!4jK;d~0YT@QnFbvd3+e8){VLsrt62EVrwWXH0taJ9jquVVD^7hr=E52pu>n$CHP?gP*u}wMApKvd zZwqibVBenqP6;@#03-=O?-<1~P!6D=zF=s_ft!s;d5RS@CzMoV@?!lyLw8P~KWih@ z6eaM-akmz^aEFuIq1U^W);We?GO(hKo5OJ^q2R^msC`KF56+(e=lPeEi}-MSnJ#3) zEAGyRbE-e?B?RtKH%Aqp#4cTXT*4jvmxyza2!NLcYzS4pIxw0X?2C`Zqv1}J;k2Eq z;F<}Gtpi$iFYfQHUbz>v^bqOETovLvIlpS-Ukg7X-z|5nT5$MM`p|aoPj#tbJ#KE9 zB_C%+?ZpLBU~wOW8gPH*@SOzZfO9~FseU>u4~;DdfFzLIsjTtCEGt#8vpP8En{XAQ ziqy2hw-%r>+MoLW>D-GZ2PZ0FF+OOgdj)p?2f;cR{4WGsO!^jxU`!4kgm`R$N3A8g z6f6_|I%omqVRJWMTOv5_W+_>hHL!osr1t2xl)oevWo*TBCV2{+FL@jkU1)(X>iswS zp=8qEY}CMLf*%&qFUCmqBLdmS=pUC2Boch8xCdi5h6kitmLGgVSa206Me9FShCQDC z!oYnIkerc#I*V1Z8&|4W+&i&Acr>zd828kx)1P$&L}UOfxJ1Wc69RUF4H@1yuRErikjZ(sN*28}NoVY5i} z%Cot1hG*%3tr|GeqrWWW`w-2MtcD}uWu6LuG*}DL>2B)v76d>{h3?%?<0@6(?6W4W zhxnqw(UebJ65SD`D*z)&GgiHNCt96ZKej4d{d7h8JaEAUz(yFf_Y`1dkS;;Dz$&fB zN$`h`2MKl-@6JDYGCK@HIv4~5y*!jdr5k)TKxC|;NjV*z!Gn;B$~moFkGpjsmPE@fEGq8#zwzeu@%YaB-|<3ePVudeDrZ-{Iok;w z8$F%iUtSp>5eI}E`a(pi!tj8;bJC}QOW*p_o1BA)T?ZSefp)@U)={$%{<115Jp}01 zDf~p}0(_z&fY9G$%;9M1F#H6RMQihi1e$nyws?#S>IuAq7w%**i=@EEN7@;26nd`k zG&WQ{fV}$fi3o8JarssZ-)HxBN{$V=`jZ-Z2umKFAsg-?Bn4LyO8TM2np=DU<#h`X zEYUFzqc4=$i~&(`h!g;=1N?T_??=!i95#~X-Da*%1NQ|qRATtY>c>)4lG?sLjT8%- zWP#%b_*r_UHoc3}RZ~L%s<#1!V|2kzp87-F##tkv{djYu2h9a&Twhl_Lt$vkVh8G@Kr`fMf4`$_fi>?7tO{mH1VAtBLPEE&Ai1*&X0EO^IH0s~S z3S;5Y>4t^w7@zL(^oM+L1y==| z3PD=g7qiQs=(}?B_gzeuafHb|Qk(GM}xS+!R#^<s&6*yu>g!m>}^)~PSX%L zSi-qu(H$zhu(-DMoRzVL3 z;bx`kbI)@%ElY{B?Pr&0LXF%$8^d1#{tElLuwKRTf9Wf-5#Qnu=2XcivOJH6)B?-X za|C=7TFlAi_jdep)wxM0vU}c%_KtW0|2HGlQh5`I4L}?%>ctkd0Rbqlfn7@D^NyvX0BcTQ_CK5lERJlCbS z(OkDo^LoEbnoTDRDMaoZ36z15f!v^r$<>fK09Yxaeut&vF{Qo4bx<GNl(81g~_fsHmM8(JTj`j3-1Z`(69*1ih zlNh6e7g#JKU#R8Iht(UA3{H6X{#`3W^~UfU(sKyaY!T`28V+AFvE1}qr$|DD%orYK zV#<(n$la?=k6ICY9*F{M!pYR?o%7cLCQ$V@Sjbu2wd^hccM<}^C7LW{l!HeoV%ofW~pm<2Xl(T;R zsH^svEEBsJHk$yO%{bZ{b5a-JBv(m*eSbtbfaK~x%ZqkqkWTH5xG2d1{m#2vFV6R# znt*^Iu)hB1oTukMjK)mKWv)hXiY-EhpKlGUtW3fBABVLLSot59l$a$802#9mofPAa zs#@n(NTpTjV9YrYS+Q~&5YHIhC!VKv53O6Tt3-2Lce^D{EQAameF|yf3ri+@WOed) z4T_$}$^!|I@R7hi48}aKne13R+FVo+54m^0Or>9rJ>JX-aeGphg!- zRX_nC_fj-U!v%q_o-{nLn2Xwh9_wUT|MSkC^41$wduw0;~j@^yJ{zr6@O)nN00{(CXnO)8^-Al&UmEIdXY7|_r0IYbV^070 z06j#4IV%I4@Q$Cue*7f*z#1q9sGE@AKWP1bIIGd{s+ZJOl5~4n-((*ABCYnc@R)W z@}d*16#O5ZC{SJ`+^Pc-i*udWs-Jo@8XXr;K2W}e>=1Zk**l)y3F^=#tMO5e3JO1Z zLs8w;{DG_~4|1i|e1_~b0QtRb`Ho7}H zU-)a(;b9@h#}T#nj!sVE6l4rpw8C^R06DTkad0{-j~HI* zw{2~f3l0gD$h}ug`xg^)0pngLpwJ0rFbD}We{oU=LFF5*LkUBNY#m9EFg$EiGnHJ;$L0y zukn*Hh6)>fk93Redv6xSJ#&JHA^PGup|66x@APbN`(Qv38fKfOrYrBeEP=xvVPT=f zEsZ^yD%W-jX-|(q1Wh8Xx3uuer?EbtlkRpS+u@l-x4NtrY5Sc+N}46Vx3Roc`POFr zH2<8@IJeq$*?HM{U3uN)5$L?Yw5efzP0-?$>kkNpa^84w|Fjcc7FsKW6B^CDFXcP*-;z0(JCCOGe9lq!I&GS~4YqdE(h6Au&hfy6g?Qz-Xk3yY+?1S8mu%0_r z7HdA5oUbQ>``3Y<7FB6U8CBRD5PTs@W$vZ=Y?LmiAOh$(hN^o%WA2X)3fT}F=Kp3$ z(Mj-u;ueG+y0PT0TtMj*=VVwW)@YVWG7*uaV({BW8qg7liwLz#+#9eM(yI#MuR3I= zyy9zH!vd&ThSuX*KWYRp#Tm&NKL;0brpw;@{(O|zvSZ9Dwi{-j3#eY;k`V;&jzE83 z|9u7{Oc7XCPzwvJahf`5q?(8Vk*N2KM97fZ`{-M`45s61td##Sv;E2P(%?!L4I)sm zvlJ!UCSTq;+cy^w|L3pXFC7Ze2ZqUSZ&^SJIb9GyCGroZt;F)0yCw<1O9p8ol;!qt zsj6(E-Qx0{C3~HZyhBdkP!4OM)%*L7Ya2$6J+o&F(^qzXSp#~_KX4If0Pt{8aa;2+ z_~l%VP4FGM6W9$vz7%vm+f{k$T*_#vxg+7=^EABCsasqQnN0f83kA}oRpZA{ZLF_= z)prYuj5bNSdOMxdZLf6v>007>k_LVI*4NT@ zC5{LDnftq$N0gM8c^;@E~|u^PPqvAJEBR{gJ3BHojL$hE~Ri>s7J zSHa#tCTSzpre?|b{h3iU9V84mm~?(3{D7j3x3HRzO>T)?fjmNJqM~!S;$J_TYqwNl zx+Q}L9Suqx97Vg!%|6yR7$6dT*h|R(CX-VK>@Zgh|3>R9J5MDH99n-_tu3t0CU@D- z9~(B|O%yyCjpgRZi_(f{seCoH9+$>5oJ+5>P}`;kTG zyhmgpJs$rw1&^hk3O-q{KWQkPlM<`CJ0d=)CZIJ; zx0dEXyxwCn_(ZD9g!eN64)y*g6-oawk#HIRmhh%LHX929{v#~wXXK$$FMOrwKO#D| zoB_jY#n4YG*?;PvRxt;m0vu8ehRx@+NECC|AZ}bbuVFV<%bS7=P zmASFkOq0DzuIwoLrqq`6-vm-5}`jD5~~9;5Eu0Tx;U>e^WtA zb8cnV;QWtpe=OH2#iHelF#I-j`p$Rhd?|N~?p<(M(#dc>17b65=~CAfOr-li8Phyn z1O{9)Uf*{CAZ=C#aw2(hy4IY57tf8P>QE-Wp6j@`R3yE{bh*(Mk>Oe zOC9=-m09Qn=}D!ofyW@#m^EW^(`{;O$JKJfZ8E9Xb8Ncd+DL+D{*;ur9d`QoXkI>G zQ#X>&4VpYkTOe=j#U;E)HvGI~Ibac?hWX|r#Pn@8B7FO_dFboj%dZV*U1a%`Eu3${ ze#+s*1{Vt7-xGvFSV2Af3tzW>`TX{ava!%Uds$=W%1~y_6oPXcVt|DA^3j1iQ@PT4y6~RwJY7q z7y#1c4yR!;KcCH6f8ydqf_6AR%vZB_JdYOZJh|#(nb{VyGk!x=C0sX zI{lN0@lk;3Td4RR`oQH^w)1re`F+JLM0;7AcAm=kkp?I>TgpS=>C|1M?UP5{r8lh? z%X_iL?R~-gnI+3L&1MA>+~PCu)%xE?3xbhyH<3%rJD)zG0%EC~gIE0`#RBG|icvLE z1fRQ31}1tALg6Lx3SC1xXcm8%29^Z@Gk1TyDa2&i=^+ySi!*qihe?zgr=6~;^8bDP zQ-#xWHliJu5HcrExVlLE&UFqR2y=-efQ9qjM8H(&oAQM@>=&k9+wg##D z4u^84m>$Hd;$y$U41S-X;j~na9R4b(LUCBc-u^5&@Od!Z!zm#-<+t3qnwQn>rbpsa z9Nj3cH~a*VP;G8{u8j24Mg<~{yIyi2Otm#&O77w-j$O+eHig*l9JV3omJ3X|!R*9= z*tN0!Fl|{RP`(>_4~zm}8!Ps0$56YLD#Vo|08)Y>aMjw)+PaVy4rms58=ijsNpN1< zH#so4z08vO)^-{c3RR0UJ3zw5 zM6}UEfCw*0h0uvEYo=U8RDgOf0teKJ_i8ES^%v;2f07Y!>(zfq){NDG zU<<*={$`B0DU%&C2D{&R``+oOYqhR25Vu^KM-rT@M6d( zPs8V`9>}sWx80u8+Swa?_ivt15F3{?Eo)!1R>AG4of{=_pPJ2Crxt-C~c(?nC(G;O=_kMnyCID@+{gHhO_EXO%clExtu5gHI|`osq}wg zq4t3)mKD45_VxN;4)8b8u1$-;(R{(pxxZnhg`ng0r_-Q?ps(EEu;YpWr3nuHGBzr} zOM7kUoL@tuq~A{?7xgAU-xx3fYv(!@kjV4-J!5*JeN+}y#_%jG>|a1Mad21S_*+o5amWpDQQ=kCm)WC2s+tpGAaNX~JlHoXL6*Gq02p6XaTznCxh$7{a8*{#)yrIsomnZ|xd+6AV<@qy~$jdaB=z1>c5 zv|Rw1Z=;{-YNBYb^T@?~uGH@8R>Q&&TvluArs0n&rx+eTt z+>PP?&61tYPDAp$MaLUJ?e{zRd3+_gzfnog?P25}(a!|NVYjV$J67xj5M5qW0HaZ% zVoMcOR9;kE!g0L}**AkB2({VpYyt=acJB?pO|bZ?CI%5qUMJTnvn5fzDG_62k-q)- z@vmmWPqVtnt;@$f1Sez37D&tPSo&q_E=igKfUYta>YQT)Aeux9tElp7rMC)r#24h% zD@Qr5!d3SqH3^q7;$iATnhpchVk>l7EQXB>$-K$BgK4y^+8zhq>%Farl${#v&70MV zU8#4S(;LM{D$Hm}FUan4x^4S)371tiqNDz)%1Tc|ZTlpbh6<-7CUFBYNeN6OZa0%z z-Y$hz8te!|^OH3-1ja?&ETSgFt-R$~%ze#Y9=D}`lwb7wB`hTxUL*ufSy(_T-BQBJiuu-RgpQD4*%LzR&j6l@fIn) zeueN#j=p;M+V}_iF2;S4qV$_`cLIPvjKP;^H5bQ545nTJAj+mRcsV8eH)5#lhnYfg z0V`)%yP5x9fwud$5Wi;l2{uNtT23p9LXqG=V1#2Q$e1K(ZIi{H=ABU2TXf6CH4=?N zz6aXN$cp0__t*SfnjW88D349~-Q#CVzFZye@Pp_i~f= zN8f&ozf|qZq9k{SFK0wXKg}{V=OHGp>)8QYPbFqLyaf@D{6f!g45Nk|Y@m`Hw!qO6;!O@vNhIqgtp0{FibDk$HE0TCFrJP{7H?LP0 z>mk5HJFm{P*<@cgETvLPNp&~YYu95L(Edm4eHU`^I8D(U(}0N78s*?TQVvF8q0py9q< zT7A76+QzXTbXc4p_=4gHCE6dbboUE(kCJ_K)To!j>Rj=_a$mxpq)E5u9jrUBv2UM0 zWJf1!p-6C-nuW|WYZ6%#2n7F}x)5B$e=b3HX1>oWX-kdJU)O(I+`r4yo^x z2M69V;w8g@6ctOMm)aRivq=z0o>Tt3G@M0Ij>AIfR0(1pS|Z3vPSSkTUYYz^Y(nkp zot)kqfvuu@y)#AfUWdbv4yk3kFWDOv?se}HeI0mj&%C)Y=)at}PH&hJ^-MhGVFtp7 zcWYyxEpoM(SZT@!_X5uqN-_DIaBb|-Ml@F z<WpZNI*`-iVggh{&Y7zZXGdSQGjJkydn5#pN+e6U)axr{T*U{)*YRZ>}} z_31AQLF*&8=Ari$XZ_H3U?_dFm#N31W;0CvjQj!Q=ese#t5`Pq488EZyPk)JvQH31 z371u;u5Pd^OYQctI4wW2i>jP2sJD+>U+ZS%dTIeFLymDnZRFJhZTj@5sbew1l`}f= z0Z;!SO1z90Q~*UM-bz<@$~`;v#ts>d_hC#)FwKz#)l+mGKXa|#n(+Q<HeX@hxmOk^0oZui8pqxO;m-JtY*H1E+}e# zc_tFih|eeA6AcdAC#U>k*~N1LGUTs#gSNQm`K@2)1AeQ><%V0kEaJWEEoN|xPq3*! z8d0AyG(4hTW59roYmO}&Z#YrjoGwy|WXSavG8yHus2&1d zDH9iaDPfymRBnXj=Am-CHE!ESl>gs#n4lrJixJgu+2ck)g+=p5$j2F};EW0G&H zpxYuso{V>2#!EUIFCEx$n@6qv8WY=NC>KZ#6W+Rv6;36M+f(_%P{(W7*1O*GRg)p- zGD4^9{C7=BOW*|6(L#<)*VAXdT1suCavy)^er&j0UkS{zhqhKVmQSEBwqw#N>XCIl z8b)6++zaz+qF-1(yJuNU{}{0_;!;p1lt2-lA4p=hlKJJdA4w_)wnXG zir?gzY(a({)^njFEOxFs`BihW+N5GX-e0gDmqPbu8b&CSyzdO_tjN7YoOLWixi{g^ z_wH;q>VrMGOB`QR5c(AdoYgyc`S&G;%O(QlvF>5WEJ!swc1Viy+(`e8*Od%Nlr-!; zdzF2e6sHA101FrSNm%>{&s2G_;bEGi^<&n@knFa~_38mA!h5~VU65lYIDvQ^HpXP$ z#3On*!iePx1((SJO4yn|DJk?*Mif2e%9y$r($`zLee91V8AJ->w;02$k&inP(c*2j zl%F_J!fIfcq(Qqm>yy2m)yoPeAwWQJ%h#+cSqXGZbIt)08xfUbA`5nNS8x8(EK2FE zZff8X$H8&4YjURB&!4OWPl?VRT6lznslc+c&<*~N3l`;aTQKLI=yP}(FS=$XL!F2* zNdY-f?$bk2EV9cy{>R+O0qltKGYOU`4}P{o5L)&=F{H8N9&&%eN6un3tuAK_NLjD(2Kz)lOld|D{=c!eapf3>GO80C9xs0J3uHu__t?f{ARsz z+*h%oiM&htp-=Kch8Ax!t^P5h??`tF;(;mzDM9`kY8RO$MF01F#b>{yM}&v*w=7vg zrL*Vr=P28B;pvT?=6-D`sUnK46LCf#m#@&i4a&AnOuGa^-`z+1P8OY zf&>;46IwjR$;I_G{5UZ!_yE57`Kt%gHVREh*XaRZ;QoK-4ist$aGDYI;O50997wxe zxBOr5bH?Ji!OiU7WO_N43`?dU+mRmqW}O@d@;8GxEg!pWKH@Y*VqKf=5DoYyDqFFC0_IPibo z{qXD4@Auf=kZe;*Y@IIZPiOH`xlBJWw_?TgLm;0L95q$IYEtsFFJ3cN2yzupS zcewOK`(r6?v|Cry;Xs-rK6z+kv7KHgKQT()JkIo2i zeu(m(yoWGXvE4H{URF_Sy2|YLy9(XzhPq|uu#*|!EW>hRy)F|)d>-V5^=1N9qhSZS zmc;BOj@yU`$7c+yX>5E6Om0bMUh8WkL`t80(I|a6->L_i4SzXCR*Sawv90{SMSc(h z?93Gk`5%G)fXWELh6Op4y|;3W>7v39VEZL3d9I5q(erSp(*HM*Qc?b6UHjCR;%~!` z_nV3HKU#23`bS`ST zbGe&ecbB`$VP+v+1d+ova z(OjNAiTSwQvEP}rU`SJm1#Qh1$Dcg7S2@aWr4;VHNnFo=VE)vJ7$tg%zZYr+v*vdw ztq`8m&{}jtHLM9Ln-u+LiI-s+;xcvb+S@mHK|N`L0%~=?>kRXs{d+uA>3V#u$L^9c zYvk4~xtQ6UW;LX?P^Gb?*!zS5|EUDq1Icr;mh0}{g$HuYJo{zumtE{xa2P$14NZ`Y}F6YRKseBo)te+Z}^YD-13o#MJT{p?pL(IY+4C zN5|2j0xe8Z)Lw7Y-s}X;`1geAKYEEHCg+hWaK{IY?-G&kV+NYiA0W^j(OOz>PK#r! zQ&^x5T5l2c3S>={VuhY~x35KSQ%< z;%>S#j>bmoZ;N`8b$y=v!<)KEcdJN1{|bJ0c-)a;X)cKT8QJKqoI=c;Dw#4aTU+r& z;pG*&q&sQk1TqJS8U>dG#=JHU@@t~D_DET?^Gd}W;Dav$d5M?1!`0Z>Mn3-RCeFUo zvzawJbLHpV>hmk(x^!T94?XIa*{C>w~S4X!K{Y39qo=ZNy8vH6gMc}X2+TE0v3sVrfW9? zh$}Q!6k(nEpyOkWh;rSRz->X@2Tug`rn1pbI{ysVo&-^j5H zqz9j1qv|PiT0b|c^QOcMrquDl!8qMLvVV;V)(nFp>uVBHbf8G`Uh#R~XCiGmy|RDE z5?G0dF7vi7D@--rj^b!a^zzy3B3UPJS-ek^E3!*6}X=J=xM0oGC|k2EBuF z<`EU;IjJOxM$j4XlUzVJcS&?+HF*1S^xx zWThVuQWYp0Nq>H=0lFM4?r9n+$m=Zly4r5sbpGBYTK#L8rK^)NO9^Qb{j})B1Hc?@ zTyeH%!BEnMUGLk96+$B;r;GQ-A0jGc84=0Ks{c%JL6vlJMKIGfSY)j7m-7ryi!*{3 zEGmuWna~f0UWSD-_ov>R#d`Esl5W6rX;lfvVUgkYw&0mTnM3u$lwKOU);}&K&KsT- z5WDIb)E8`h!%L&&`->~>uMc$-^DF##)*ImhE&1*OHB5epsJH!Fri-m;)*KwLafW!m z0e>9yZqqeXMivyVi5DklQ`a~pQf{DMq`Ol0s0b{p4nQZC$V})x1`x9|z?Z1FC?Bgt zen{=ZXw(FQYmY{pi~Gos6%`Aukzunm%DYZFKFc4bWSo$&fgpoQ$PO2r<)+4c`aYiq zF+ndS6g4+D8Yfg=U9KrNi}<&UKOhnefQhX4**N`KnW<)-wcAGH`b_0nr{FSdw@o5T zRT@o}sQXvzb)=w#362p-+f!gOAqi3e|b;_qQ(U3?-ZH`YMr2>^%=Fjj+D9qif`) zW>a6{OOm+lFd@X!q>H2HuKLCT3=jQeL)g3!^}ka(3w;}{FZLONn;dNcf|}d>V?2sG zBd_;klp_P5C*$SpCyVr{kJFY&orW3p+7lDE%+ZSilA^P&(1LHZ6AISZHV_DbKj!z@ z>-B-DwPqW9$WN9m5h|+YrL3{hs`Xa%ZO#hf-4MIJnKUx*Y($Cj!%H@ouseZaIRBbw zMzISufz}*%seZ!l%#7>qM01_*+Rnzl{p^;p(?m>GMh|oTC6M`~_-i*f!Z@F;d*YnE zEXBJhJZANpshdnLKusk%;WG)Ac8-KI@&jSb!62GDF37H~Jh{~6Wf341K_S2$Z>%B!yL>h=2{2H`{HvfjGW)1jU53pq*to{g> z+w{KVX7*FVL`;aoj+Ee8*H zO+t2R_4{p?G60zxdC)U8Nwy z&GjnDzIxtk{jwP@z8u#WI?yVe?}~~rLMJBPyeBp(CUr#~D=8mD501sK%IZc`wphv7 zU%fB+utqs6c|C4Ze<^W2?ukYWltW!v+o;Kq!8`Sr0-VH{{Di@{Y%5?SOn*VFR#d4x zU%!35fNJI($$D^7k~mLWjn<&)qb!4Jt~-N}zjfkR4={UWC^R-|dAFQbLT0Q+2pZ?6 zVnINdx9|iL)cKNuGwSDlKWW<@Yeb5JM|3vtGY!Yv`qLZM5DdvGRrX`)bU9D&&Z=U@ApD1UtVsHfBN=5@r?I5G?v5KQ0UEeKR7%L;^5b)BEyX6(FCw)S8;WnpjYH*)-L=Ly zWy?l-+W1%k%LLwj^^dI`2?L3TScG!c^xrZ;S~zm}Jul@T(t2!BPdAGcH5bj0BZE_u zotLCRdPc}>N4t{%pn)WIpMhv?uzLQ-3wQR-KED%_=k*TTR%&6aaB4j5LIV-+B}8;y z#fQ@{(y0Az)*^49Q!n7YT=okp^V*WzmH2%^O zU3~$5ucuOco|)p#gwTJq6*6R!_}>(~T_IQeL`xbil$(>Ac6;3o+y8NF6yq?>_GA-o zl0iKb%|E{s)AdZvo+f;+K83-eINLIs@RCbHi4DHy1Q0e0&BUcrL*t6!YxHiR4Fm(5 zf%;RETRFfjfh|@Oi_cvu4OX}zU;FdJ=OR3QIbgZ{ocH~UYX)TQVxo^A5r%(5MMyLi za<*Yn-2c1beMSh*$ArF9@u-2Mg=Lb0S5%@DsuWrOWVg6$STVtDULGII6&#!fhRS9C zSW9X4$R6TsHfy^hs(ZcSZpwQp4zYrZUv1ub=sBH>4BmwpmV24lJdCx}oBLoL9zby~ zh%Lh$WQPa6DDtKzqP?rFYcvuL>n2BaN7XOKRJYyUxZjaf3wLCckjn+>8r!^}lkk61 zJQYccmV*wqY!4_C%(mNxHBRbvG&eh&_FE>(D74989y+``ChNsO``w>+HAK~J2cd;4 zTA;@usqkHXU8ljY>H;r=S7?(Ibm{BT-6VIx4Ow=IR8$X(4dg>L(|4oN}*80H?_!0BuNj6v_E0O{HIZn?p3)q74hs z9T%&9J2fals4{kpuS$p=ETw^u{Ygp+AKTM!VId%%(5Pks8d2a*{8 zbv{?vj=aNf(E~z_V%G!Ay(ElFf!i5FlA|8KzeR`klcePMwvi z*Ebfvj4+j`n(lJz?X3}ogaPr{n?58-Cui($7Qa|Q*B*+R#}MAM?u{Cdn#V~GfW=o(!pSW1PMEQGn;ql`4;?HUfJcN;lCOz`R@$%h)PPPGr83sr$gw&a#$mRX-C(e z`1XIDfDN??AId8gFb@HooGQ-NMsf@Xk}V`76|kowLLvtvg zmy+q*o*i4E3H=!(NE+E?pIv>z^zY_6Y#}!BBMpCQfY5K;B%?06EWq7@vjs&s)&ca9 zZSQqI)L99!7Oh4AVSGEBe^f3hoLJ^-GhV83pqdopa1+9^LTHCLe^0^!KT^tTgg3s#nKoQvJJa+1y|QNlm&&_)@8+KKHERvE)d(w4bi+~ui)qmiQ)$WTig z*d4m#X9!xy{9fYQl}xq8MY8$T`;-aW@Md=>_4x|xM9%zGwy>p7-LN4aM0BWx)9oAI zcc#SGz6``GtGv*dyQ0vX=Q@fJs5#G~vjP<#M6xu(om6WK)_QF&prRXse-_G$N2dEH z%*bfw)Omy;OOK_CqJR-m?{2}S^C_4NnV^w#NrbY<#8NN{E3iI~OUJ3|)}OJKNlY9o z;QQ$D_S{XBN9Jtk_%t%O$ep%dRM`bN{;G083Lz+8GE%~I388YZ_1Xw{ zm+g<4A~AM&JQklMw(@wFM91gLQpdY7EU8@~#35BGt!I$|sX`z8F1=Pz8&R?Q({`j} zCZC;NW8Bb8op?F>n@uSS-mh%2M#kNq>%8GGgGxy?D%ZK+=7|+I-Xfo<{N^iHUU?@! zREQ%*3z=fPLz z6MHF()_sjcM^seOfSg+W8|`$|KoRZHNGdz#KL zD3fZ4ei-$agXk!VL@D~F50z0l7x3JK7A`)LNi+?S*_%0!E(=-`RN8eCk*`;JL<#>l zc}`|it0$JLLE1I$Qk;RK)zeSRV8{oWZ@qBvCef;dWvDdLqO*5YIzHOjz^#AK&b}I+ z*@gbO+VnkKq%FE{9?o{L;*nUl^2RlOTw4GDljHWgD zortoq!+TOJPj?QtlLT8&PD#^LC9C#Cb*}Bxvu}s?zJd(YeSSbapMtWTDVd|9P@9WJ{(wpxZf#bN#;Dvz<%#Eky1#5 zZ^rgD-kqxL-}I|)gN`YV1miJd8RC~=V}m=MtE)iuHv_WxN0HH=J`f0z$RIqfJDtk~ z5$VQq>Ek+A&+^ZdnR<@IcC4k>J!Aa%*|fla9?{>kctKY+#BuCK$c|S0zm6?5h>jNE@m;|3KZt(SEWTrQ+@PTLJdJ*+`k5AzJcL3H_m=xa<1G`j z{AX`Y!&xH$Oy$-a&HHp5?9CF1|BSU7s^PyUhOS7qEdIDmIUP;4zs{drnO7rc6_zLo z14vOjW&2iQj3>FU--kXncTSO~+2@CQl1&ClV5-Av+lVNAQqpQ!D^9pY&mkAj%<*9A zw=@_wy02eXy|OW{>`(Jf3y(-+Yux6o zuc;-2Ne0c!{#xB&he&1r#G;;Ndb4(`=DDle-4)$RB`DNcQRo3aq_h#2+98!cYGto~vt_OW7KYApES*=r+F?~v0%$>~A9 zpS;79YeuzIo|}9S{KAcOoPc3|NKgK+(0C1Wevb0I*JY>HQBk9PWMW(E^x@^i04J6d z2Bf9Q?YbpP5Od>=bt+V1JzzMP^1S(MQ>p!v&DyUCG6I!632oY_3n>=mL=%UML&am`nkdh;6y@)WMKyeC|FJkzcNs&Sz9uA71 z-`+cLMWzt{^>0&TBwyCHx+`h{ZD~6^%Y|D^e_!GtcvF9QbB>O2=rc4Z4q)}<;qf$3 zm^0n)vLo^=!e?wih^vcFAN_q8e{+2QF=UIydc`r`EaJBGRn{VM=cNieUCXue1BmRt zhnEa>7^B#2%ks2UzoTIXh7^oxPy!A@8D$r!f!X7 znH3!>8{&;;n!0mK>JuE5muZe9&>nw8!KdQST0l#Yqit021^giPsod2CTu$AS`B@Ut zc`|iW1bC-0SQ0Y+IY7Dy_&|Uu$*jbepD5QOepjOILYzz%rLwKtwA&nmE8pMJ0@j6s z1E-ef3C#Q2&zrMO)?g3u@=4W7+~KJk9oKnep4UF(K4uhMC6({t-yg$K)vhW$ia<2N z@Sa<9tUjuo-khln#gR)Asd48uQV{pQafUDi9Ox9q@~1lTJ>CLHYy5{5q)&yDox1k8 zSs*&GPhJlIv0$d4g@g#;yp)uqWQPx0-wkA%>N`ki8YX~rxx_*-I@931t-TqwE{)#Z zP!kygq9S}CW;~TPH%1E>g*{`>hlz+6r^j0vZm@PHuQN~tCh4`g)C^_(7UMNW>mC&i z;ywv67ZK+V_prvn@OU6ZTcBG1{x#~4n$9pVrpH_az&{3J=Pb zgjm(mOo@oqBv_{2ZV;_BmB^V=XrdEiZEJ!G8Ah`AIQ}nO{ZFx+j!lSo)N1w=u3yhg zPML0hPrlEhfIhSdwO3*4UapiU+)9gb2k-JLHk}fH$M|0963*fnQ%PC!zOERtIH_LI zf^RA9P!IIgWBX?w4Hhf<*oJIutkHRN#g8)sP|hDHpQfxgHYnU>AfAZF_D9982q_k_ zgyv3-J;Rhz5cC`Abj`B=s0C>O{}_lWjOLHKV>>Y5nMEdo%GfC+PewLF8rZr?IaRQN zZ%;vdXzB67hOocF(eT9DS;#gCFD6KZyA}W*K2K?S%QBM7rl^OycT6*?0hv=Y4fqKR z2$0E!h~0nw?zP*M;M~I7623I58WHxcW4*R<=Yh^iE+emeMJU_Q5m)^SRdJ#PW(dWr zP$Ib!nEWAGcfViQ7kI}nu_3TmPya_SU{;}Zt2A|}DF1_aji_r?7Z1XCq z?}W9z-*%ZwWeuq;)lNQ(23QsLLJ%09s<`$;hd3jAXW`1*tBL}}(S25;eUSM2Jn8#& z08f~}E@zPo8{bm@IrvEj^AW0?PrilEpXvJNq^;E*(Hr};ZFAbIHY zW`2mO{(ai?h-=qFb(-sAk<;G$9cdmpqSG32p^ciQxhqcnPC@kocZ^5Xmt zPg|;ISNhA0JfUcb7dDgl?VW9yRUBvsa7tq%xg!C$fDgs@l}tgiE;l|s2ytkMh?mGrhs@g;fe~!lC7RF6Nh=^W_OL-F(C{=j?XW`{(qfjHUO%$zxo*J&0S#095Rl$(~cv5W@+PSjfbrP|??_XD>fVu+{%`Uan zS#$jF!bM82Tr5HisexjYuA(Q|?jAPmJ?meoPuUJ$*LOg%HHERkq+w7U;i3rp% zmAij`#JW1PsAxt0>GMyG8Hwh_*|{d?ReMya|D%7!Tx{4^uni1CEsOc?n)6Aw zg}OHfn|P(7PahCE=lt}-V(|YW@Md#Zk3`Mj6$NhsX;A&e1qw5Ea7Cz5_O+te_3344a$(uA?e*@c5%CIwDhO;uDBZO_&UN`%BBYB#Enf- z40#PeUQ95}T335|Z+!CEh~@&x6XkJ38eM~+Tt*w^0e*kt@=N8YzrFuRz0vVc<5F^_ zV44R5F95F(0x*dmQ8kTM`QFOq%QeNVK^+hNo;F%d%zn!Fp-*%ko#c!0hRA#$_vO5l zbpwxzlZ9u{FB2~Ue5tP?yxD^O(}60o;MG$HJmdy$B!>Q83fJ#9c~=r6qEdKQ?Rp~E zT9~f~`|*TxC`DL+2)8t;K@vMrqu^tM3mkuo2_$KbH#2~rzAg>JnniI^iTnyd;kb#x zfh{A`Aw}wl+QltcHZvsbzQ`IsQ!40^!D(U1ZE{q)1C-d=K92L`6IUZk@j|{S_p?gh zJ8ATfs*}X@XUxeD9Bf|VVAzBsOc=O@Y`w8H%Ziv@TfVSV9&iEI?kudlo$rtydl{D> z_WxTzYz%)Dhut8ZYVpE4C6kPvQNVoh!u#zH1^7z&~YU_)9T4sidFjU+v~~CRTmq{WCd(6jIqQX4${NZ@y89nBZeAcRhcSY8tl8wR$g= zHV#v+_&-Mh?5omZ63}w>l}T@mImcBDWcq)ZXUKmzs3vwid!4F(s{j6W&YY%@?ddmn zp#n*r|vt+(uier(@9|EwBqUYtr$dX@iMhd`_Hku1wY z+&&IG1surtGA|>eY}qtZ(!WEBTi}Kz=LJ!~>~CzXtYTsVnBxvmbhO}Ka*!YT{_tgO z54=o~mZl{c@V$!eJ{9>62F^2ye~^$KS9IZrfHOnCsci4IidA+`jGF;w0BD%BN`3B0&Gzy;hklF(zsLowY{EYI926+o2jSG*X z=*_=cxFTcR5*@m>oWCulxu%O_g5af~*_qe+{y$~F&&CWn>SWTNUj@Wc0!yR#WqDVj zQ!rk!kGqr*ZS*Qme6y(cRjbDh0P_Np>cGR_dEQVH7PFS% z0*xkG$sIwHpU|UxWI6>^6fe8-vhHAk6${$%V*Nk8*g#Uovpld}14*AdtYF)0&)+I$e8cByT^asP&jt_1g;?92l;0|Z`e5<|S1xI0>&VL> z^huwX^mUqoV+DG+!Ns})L8T3E-uy;u+?_lChh$o6K3IXGsQd}IJ(g#6!7s)CL@57+ z1;Z9PaQ}V&`H*X^0+3)OfCTfB%B@4UqhoUi6!3{LT`UBc@IlIYI8zkJ0tfAUL4!az zjM&0rX&XNBA$?|wf)9KxvFAfmRw0XjFIn0mGIG!2|CBzZ3GjX9|FKLWDBtBZeY>Uw zeN;cgnjC%8_#X!f1-g55^*#!L(44P%Vt|B5jU!n-o0XcZ7-UR|elaoAS}*a1z~kkx zhit=3ObmY$n*h4{0{K5{{475~^FZ|>-)OG3OZlV!-A-pv@vg|fm;VvP$miSQ3z&La zXzQf>*LoAapFxG$JnEaapY>eLi11OumO>T^`9m}TCC@CsWsw^4AF8U4L~MRtOwrPtGN@UGQ<5s23SK1DZiP~4MNLB7_vK*F| zGZhN%7iQSKw?CLB;xhSA`h41bc-y9urS6kgwA+kJf6{;&WWi&>6O^Eagj?5&W4-eq zZiH==P88(a%zFzLI}U5>n5E3GgumxPI``&J4y2_Msut+qb{0NwOH3MZAXkX3NVQ^b zJ(>}XvM+~U;KHO`_#ku6!k{P2=!Gz4(3(2x2>!VQro*XZqW{NH3_v!L8uBt+P$x*d_f|hp4+}%g7(R-y9E;NF-BUz|NY)hH_y?IK@ z;+gYcRS4c*yZz$-IQneaeJ{_;`11D3;U|afA)?45SVo4IsiM&)y;tWKZ#j;+SMnF7 z?kGq51DF-cYY{rUtoG6BzFBr1_peb#7)V#9ZVzDlp@)Rq8P)HMoQ8Y(IVe;&xgX@8 zq}7y6X^7?OpD0$8GfidQ1=`0a+ZhjIU-0<-N!wMgiLn|r0Me-b$xzQ)dE$l7FA0__ z1U(tOfALFit;A^!u-saX`bb2#$&76k_0?3@xwzs;p1nN3P|xeu=lUNPAftMHrTv(% zT|D{A1+KX2uTvVVN{2jMcnt(nFM52Mn{<*T!a`$XdT24J$^*kybqw_V<#?H|u;U|G z^7pf<;|=C^dZ)>`gWB7b^XQy~BO0vDA;;;bq$I}NdqI*3eiy~gqhHqYKfUn+N1EfG zMr9nGgR#Y^^ZawQSv86``1~)nDjPnII@4k6ZVRT1eQDj|T+a17L&cFn`LLh98qRWh zH?R^uVQcC>e|n_VeUn)|cos+eh9YISsBI@^Im??oIg>KCE^e-&=XidbYyIZ?SF?rB zcP`G4$}YT??dXc@C#i>iiAVNKTiH+X{`?Ls>h0c8H#94gDrWh7XO*b9oT;s~#$|Q+ z*2K3zne%S+i|h0;JEDxYu!A6`L*DJ<8u}1{9yd{_)f33~TpC&vfz6XC>Pq;|0=Cet z%=W52zr=o&LQ@_>V#8YT1@TpvTtP)^>w4x)37T{^pdKe4ke>M? z8(!AD#G+%AjX&bn&z6>xX@bs*_X z=;vK~wBG@LfZ^+{f7FgMnU&$#{LXUJyV$u4bf*ETqP98$hZr7ShUB{jl!TI#wofwc z5h^FK;w_ipIt+i@w3}bvv0Gy$Ub?aLiVt!}L!E(N$t0Qgo^PD3H5|5;>X7NiK&C3V z7f+hwL&#y37Mp}n_)Y4|LX2`;5u!8G^ZuKsxn8=|kYNq1TjyQwa@-ojHug0YCKgEK(XunxZ)ku^}y}UsI5Q4PhxAwBLocbLLhB^i_CJ$xE9REWqIlU@5lCM zR_p0c#v;0Co>hC{o-afvO>m@j5IUT+9;BMDx1Cy|pNyFU`f1j+g(!p6Lx_K!9xa(S2Mnj3YVAEtleb;UzI!b)=u{ z_wicH9zH9;?pRS`e7J3rCWyxTS>IgWay?0fYB@lWNVisrKz3%v;kK5}ettul2%|}f z%fe7vWAr2xe&jW=on+G5&Ij8nMD9%=_O?wr%wF77RL=VP_L4^qO{_MX95mb}Rc692 zwq3P?+-s5E>d$xG)|)jCQbe_dj*f3HeP%Crcad78H8p2z(5ud6L8C)Nh30uyB;k-a z{4#nvV++60Xm-`OJ&*JzqyUJ-fZK*LS&F_G3G)Z-H)P=G;0#|wN+g|_Z zE-SS8?7#@>^pj+_BS$5f$a&A3W^(8~L}>kL0`<*Ep9&&x)bMH00BIZ=Y{r7OVsARMr2vtwDZ@Sg=T_skqGx? zu3K(GQh5S8a0u=jl41bP4LO|eM60JsK(`XU^x1_k2_pm}Y@F zZQR!1QaX+jKY;LdXJd~DuqvN8Bj@;vNWj=6Phewc#;MEya?>~Bnsyhuw)R@jLyI4DCTT0ureur^_Z{9H_ksn^I4`?Tjx zp+-hN1Gl=UqSt`_J0M8CNQ(>d;9$`5issQnsalN5vvfeh`87y?I-mtmdX6mWnLQ4( zTM1O0K*nf)DRS4LUKu?Fp7W$@n~3DVmVgnTac6dY_9)s*^n5j$CJXlHlra3?50R+L zji8vTI(8y}-n8D7V9|5(0y;Z>mhX~}d!pBB>y)WY>^XTe0*yvb%=YpiOqx8kc{J$H zx7i3-3HIQno`}>EA3=K^Q@;s@TJ6y%HUv9vNJ$BuO2Mz#K7fH`^BA9yABU6>Y`eW? z@D1Gh)|-xS2A8uJT!>=H^WO$W#q-VcAS4Wdd;=gs?%oXe zTED43oTY@#Q6*8zTHEQOBpOtyymMDgQ!zo3a#a1Ey;H(jN{+DBHB$T0lTF3v3OrTe zdF>dXJaZjiL~TJE&B<*M{{$^WXa*K{$fWXw@n=``*1%4UjX4j8I;f@5WB%P$N~1NC zf|AUCW!!|1+)1|-A^I>90U8pR(`Fm1LL`Q1X~`CGBY!&6mk5f|*VJE9cxfDv$t`$% zuP+jz?jG5Tbl@H8xVe^)ZXFr_Zs}Y(_l7ut+<$%X)4Dl=a?1?V7km5fKmhH=pZ+Ht zc0x@amYSsb_&OqtO3L>0 z$6u5`r6aEmr{?$KA34Uok=FzX*0>n&%&gDoOYNw=G?ZI3fof1oUb3!IV&$iqS(w&9 z7(-KK#Cm-+mAAp8jh>%je;jZ69w&M|HAs?J*jKsa%2QxDkyNU$y;upCvE|O+OY7;g z@$7TmBf9XzA4OSujlW)sP=-DWq(A@mp+Fs& zl1NIHWR<>nCN!o${)bWi6Zwd7!42?M!yl?wr3|nWre}C?j{Pk%58+Pm#3)eHc6>1s zXpUBMSbL>%yc|yt>Ha0aF|lp`^`n#LF>lgiG1Zd-1qC_ON9uHsILkNmHq3=JQPwX1 zv<7Ns&r`_hsB1p7OA)BI1M8Hpmh>50CnnTp44f9{bpvy3oy^c;?Fmu+mP?s8;0CX` zuoiF@aPU86=gYMg2)bwL(ss3gk`Mz?!H|X{iy;3-?=Y@2=)E-aJf=yMkWegOx#lFG z$6x#+=A7iE>Ijm}u)i63KpKmme*<_2kKjT+o7Jbvw|Hr)t@fD`=D`@e-gRCIazU!d z;q2sEsFIRN!|*@5PSig-R*rjTw{`Q0Xkt*OGZR#FiYzJwukgmezBH zqxWuOo>QZK6P(?-qH#L_$A5fo^>K>L*>-#Tq-yN*vRNOROVa4u=zAS8ypw;mcmcwj zyY~fZFYa<{Ro-_PT&hScWr?o5FZtyV^8>Y5lhbH=XGw*S(d(4*aXIoSB}tVIq2Isk z9A9bf>iGjmxIn#rygxTElo(H2t%mwTv5WXm=bu{wRhvp3LKK03&}LY!q;FwCQBo4} zjI|V77Jw8g%%y)pmPKyzKJk_MXHqDUZ*`f?qT{8uM?E$DfTK#KU0~Q!|AB@{Y1@j{ z$)F?0?F36&Cesd1(Ecg3@alk$T|gW%Y*zy~(vWtBYOpobYSVq)R6#_;7q{7)D?_3o zOvDWY(RhpaXXmpw<;Jy5{Z+VsG+}PJKHj@d;xu`*XHlF*wRNI@Yb*0F4jLWBWc&gL zOquqq+p?{EIlx8O8je;4B+K!#Z@|a7-h9#%9@W?KBj>CsX^i*dZFci%&CCgikR9MQ zw%$eQqk{k7MS};A)3H^WwR=Ba+8D2*+>`tNSbGnsCbO;BJj$-~1b`|Ni1-e;e4iV}|35ojNl>fAftCiti3U;g#!F9w*5fO7p4f`WTb z9t)a&H^kV@L)647WJSjuiZutE1aL%CDm_2`n|@Hq^IKhp^Z1}?+c@=9>vCSk!JSRT z^=Cqvw&xuqqVn&5`DN?N{yAmboOg$qXy)7dj~_g~|0wry{+L%tCdfmqhCO}0FeXj% zmB{7mi)+K1?2wE5lC95_^Bq29z7va^>OUWU!1nw|1ONG1f%jon zJfDDc$mgQ@9(FI#)M0yCO&u780 zKth=KXCdJMa@E1c&xYr4k6n}{X0E-jDvzB>nD_962H7S?a$^Dv_H`WjwAM@Wu%d<#8?n`I{h`o( z9kKOPX;R*#y7W;&Q!D+Dxo`cCw|aG1eRZQFofu8)qKIxqU!D3lV-4}L$8MxfW6|m9 z>1pME^3-3G-+sD?PM1~$cAIu?rgbrn8pPbUxRq?pVsCH-{nOZjt1^nRXA77v8ddN-aw3YbkTY&J>j8}vjRR}qnMS&MxjU8ZN>qZf(A z&=1{<)A;QnOI1)Lb)TVd5OAKsT4u9I=jY%2pn=2!FKA}yN+JbhWB={XU;n)66pmg@ zjC>uuzA2huq{aR~m45aokM6-wQ7NFqz1GRD333{&;EG|96Z&(rd8X!D0Tw=qOR-=} z6gBL4{k}1RO+mpG`@=kXdpKHSk-eVR3(OmErBWuR`=0(%N^Ht79+bmWJ3$)m6Cz7= zkV#qldNLpWx`&7FogAjz3Fg<3KV8`m&N;V%7~6hns#rUtbPvj_4AmSd#b^QAkt?P- zzA;fGFp9JePguwh{k^vn_hr@RYrI%N&IO(~rM2oQ`O-1>s^jiKrOwB^=+$5YFp@8p z`hnN>+-??jh0d9$+_=3;vw7TTlW?Qj6x^e*O-rqg;Pp^&^^B>VJ3EZp)}&2KkI-Ia z`#$*VTC~iFO3jSS;ak%uh1xxD`bnv|2M)f>Q$D%>q&_mppDB;M5`L!PnPMLoK6k7; zQR`|B0mYpbO6dP9hrmN#uQ{xr`>R~+uMFw4(jqx|o^NF4=8F6JladHrSjljlvRW0v;$Y7>(uULSa!UyA>_-YAwZy6*I_k}W^+&KcQ9P;1BQaF0};YUDBzpF2D8MPB(K6#LQCGD_%Xiwa(_XBLpy;SAaG?&c~ z>KglX<@d8Zz(!QFZ$pR|M>a0pl<+stuTHLCy~hT&Jp)z;74iGB`EziyKXaP9_tB6s z3E0@Yd0}FTOxttMcj;2F274R7Obn@B=9y*vxwp#Z_PmKPe{P#J)nUP ztImjM%$+@eCt;-H=4REBFW~o}oOa!cSa6`tlBnY{$VH#a02!#Oojf6V(E5{NpfC?l zo5i(52lg2?+liUFYp4zD5y3nkzSmN$ZS03YH+qVSb5csug?qlYzXn~96b}At*!{BQ z>K~q?L?iA#AhpLLX=&hvp}wK&&L<_&RH~kB_F=vie9eP1S8&4TLIU&8j&ygLWFvn! zi8cHEyTI?dCb4~O9i+_z8Lt#_P0jJ2A9OJ!FT=a(3*&}PT%r?e@Z*(Q@0eE_&HqvjdHNTwxX^JS7Ly|LmI zV+Jey3S_9N*a3cVsAp|GwlhlFUIjOsEtZ*&h1S$mU*0q|tz+DKt#vLl`&<;0|4SRo zv!|%q;$r3!vi8F*bHFJ_{Qi&vUrc`P==B=l_~HZao1YG!XKCuk_H!y%J#OaP*v7g= zojr9XgIaESOPaVDZli8q_uGR9!iodO4|xPcWixu+p)C(@{Qmd>tz99x!W58^3j4@! z`fYu_zT3}Z|+$mQTUsmll-P+CMFFS9Tx|! zvoD)f>r>-Wgt}ELPG-@jcE3LLf!duyDBb-1HKHJk0!0CAL6ZnYSJ~e^fmDiHQ~N*9LgcK_DT+>_(5J z!zardVa&8SgBRB)=Unn0=cjAS`dYwGq$S^VD8B!Da##7`PR5PveKpzqud|0-jsgE4 zmgY~e9Aza;BL5;ny_K|nY_gvC9uJ%sIQ}@+!QuH#?}lT%n-D?u^P#JdmS=rFpxXEW5J?V#~Rn>fEJ>U6BN-yYEDGQ#wV&u0^A}2;d`lc1{ z3itL?sM|^g=)$Y-e~nne^QEV5S)bYuA?pTS`|>zu-#%d2*RA${RRzq_Prq!6H{9Ms z@chGK6uDRT#K(m?b@uzSCzfOFoi^T&R9gNCT<)Wnz3+?UmZPN84Z(YYvgt{Mng;_R zQm~TVPGMESX9AvOdPOx$^GR*`oq)_rpcx%If2(v~FiH3n zd(O?v$}`03233{kD(sh-6Q*cA?>~z6Sf^mktOurlgu#J5;Pm|Tg{N#~T(7}po=FPh z9GhK|hs2afP$IhTy?tAj^xSM(|2LVb{N^AafyCzu8%0f4)^fDJa9u^~Nz!U~6Q#7V zL6B!?5$-2)XhZ*v-i-^XnWCna@BhHv?7KgeZ{uJ%sca-_!?YJUBQN|mI)eYs^WCCP=xbYNOPZKvHCFqYOkGek zX^Oy9N~SKNldV7(2Nk2!uO=lD%&k4DMp6&fg zqX?=PB)|LB{#P}KI+()rTA_@U>mRe)LDSovSuh~$tfLr=PN#!$phR$oL2qYeTJhIX z1l%MsW}VFI>0Q=OF)Io&`t9RNGxnGTRA>hastETt=|Af*+}}Md`H~`gnK;)&a)`L+ zJ4wpSzLhV1&=Ts@Ij4~r14;+!WtCLTDa|CrC>Sf|{#wE5+%tHH>7DkH0!@sOIt;mi z6g_J8F1-z5P4I#6nqUMfIG}VbPc-GS# zxm;JJ#LSs;UbFJiMsxFKDexHt7TT>Hm^Nt(z?@!Y|v3Xf!3p}S&! zTZci`)&2$Fr_4m*P_mimaDU3wBPnUKygRk^^{YOcvl<3H2YC@*oU$Id;L&bt zSC!<-4(Y@y=*g{qj}$3-9uaQUe!jK)Enh6s5#_h;*)cU)rkn~w3rE7rQf%aKl_{C= zo+`-o*DGxEjy zP4M>kt#CAkM%+*dn5(}!xp!h%|5Pa>EfK6Gi#uu=ONtBnTZT)j+0teSYuWh>{rh>syI)_og<7iA> z8{C@{d>!uaRYH5|hA$F1f+acl!G~MWSbJ%#34NfVgc#}mv`7+?0M?hmNg+p2c8FpN zXvxip1rY~Q^AmX-t<|k{m~1@{9CQFJGnNLAUfSt|#Gw;+CV8i`k)RyL5VHQdF<5>G zIYK10J=pG_Avu(#_*7KT?KEYNBTCp+TpK*D-?D_w7^cr03Q+~l8bW4e-)4z(oC0r% zIH6Ga6T{sS9mdL`j@CovQZp)wia5tkbC?ZO&ReVuNYZYeCTtJv2cJ9m;qf8FV;4{J zd2k^JPFhdKrLs0Z^)pslF{av~E>By7XO41@#M2lf7pa9FFndCp3g&Y-wMpP?j|WjQ zh}oaLRipe7=bL2tBt10H=d#&kZA7@j9VFAbfyU;pTn$k;3W1%%3O$HUyooJ@!%8EKK zR^lKvpmfToW^8O4Wz|d@`ZfbbL&w_rcrDA56YD1q8jzY<+s(AHq_HXIit&y9SW;}( z@i^@`Fn@u4No!PgJb2AxeAX%(Szk)h0DG^@tPBLnIWB(CLEF5JpB@_8>?DH+aRlLc z863dvQT+xNaEA0-W+lNn-BQS`QsVT-H$csKfGfBj^B!XFF^s!fV$^=71O0pKH!K0+ zqnD-L&mkGw;5}!O%{p<6{=U|Ed0f;6Fyh9t>5YWI1cB@hYiOd@$VL&>Aso`Xy5g5R z`0bLrEqNoYh7q_h8C>w)v)Id8N6m(M6rFB%_26CT!S%EuWY*?g_O^%hQ60`5XifHQ zOjoOxdQO692lafxd__lh*+7jPN+~J0^m4DQB~1j0@)A46O6)L)drc`ikXloklQ{`) zw=H9|2X(mU>)1UX^|D@RZc8U_-Y03YBG#Si*3_mPE`@RioFg z)5XP0@lcbQ9nNP}VNoH`!ONPLGbIzN=L%Bb)}Ll(9HbMfLnTv%vnT9S+lj=UfuuOZA>Sp^a4U&O#;#sD86aP^ceSQ34iqzQ6Cp z)ZEV0i0DKjHFeVKSqUi)&kV&~RE+SHEF|W<12(mqK3kpAQ@)S_7@`tzbm z2%s8(tFpMB%|lQY8gj);v+p(0=ZwPQB>658R?|s>Ee%5R%Fddqesrm{ z*CJ17$>TV_fvyiE<#C0BoM7DcV4n#%-f%S$w@7n?wk5B~H3i;~OIj3;V%GuojuXL;}|c4;K#{BRR0&MMaUG8Y?!h zlpv!V@4+2hX^W#8m(`)AS|;jjla36yiQ;JuHDJY$WVT)6ti>!KRD1}2l>qjTD{(yS zk)BQgmNcrxFxxFKNJ!83*{ia-2hn6TJX$?5W^k}SdSXVrtS=$P)*8y!9lIhgkL%o( z2bZ#xHF?~TIIzz}TzB_33T~#HLJ3(Vk&4I#^GqUz>PJH`74sw6&KDQh#?4rdZ)<94 zpqY)(@mqeZ?QfWf&?!5FT(l3ysU}77q1D?72RvG!2!&EP+Zsg79`3GNy;D})L9feG zQL>?D5s)R7foifO{<%<^Y2UoGcNL6Ko@1gost9|$vM3&uV=FlFzHjxEO6XJ9NwM>R9yJtf}=KGD`c~ATWdICK6^_}C9IF6vGXW8iZyyi zgS;)th6YuuWi8Z+@2tp+=g%>z+bL@|@JErG*;pO&tM{Ru)NC2zj3tygIoWLu+cgMu z;NSHd8st#7F=e02NE>MrhnP>Bu?^2XQu><|HtLHzY7)VVCLO$BqxGdC%0_a|&PEP0 z1GSh-8o`dLrRMZc*|?7siL@!4yz~AjfsNkbQvA5JVCieWA|4AfFEEfOut=ne+8=!z zOCm=q+NA=(tO!O4G5#)g`c-U}X8(|dqLXsph!Q@8wY^H%VfuwDD>K_u;+;7*6$J@Z ztKalVcOP{&s1P(rFK@On2Y!zmZi_jCI!(#&WqQigX&V&?6Vec!69@- z9qtGNJd!f=TEC95IyWf=KHs(lNP$?*7Oi9oxfs&0gw0hrLk&dP*V7Y*mbRuUQ-WIc zNhF^{aI+aXV#mbBi4j>cTIgC5xyqlCZ_|M!pUnK0J~~@__^{8S3lR z&m`pOUOF8+;lPrXpeY|6ns8V`vs|%a8V{TLL#5NP`U-%cPB@sGC}KufEs#zrJWlY% zFgo2vAvq1CjyLV-$0j69+Cqt%N=ho4t3EoaYXc1(^k=5)7|{?Jm^Bmj)7N{wk&vJo==>-)YKIX$itcXh zwxDrVTL6O%v2LVQ-IR&y<1V)O6j6IYSc#K494r_G-<^TRxyI0p(h*|=K%yIjw)}{BM}aA(><||OwG@)1r74X zF}~heY-H_1gOCXa1jjG}K^~@RCEL)@J2)*oGnb(qO5T8J+r~HTbq*wyGGAxkQs<|# z@R3)jjjE?jz~pWBx|ARp9Ag-@aQ)M7d;|nhnJ*~;Jeqh4xRoSx!=SNw>Fmz;=gpyX z#l$pYOp$L!_N}y$-cTnJDYj{4akp!&VH57}^0;7NS};wrn{h$Vjp;Z#^+c?InkFoN zm^6P&kHX#V9vRL`13|3-&c&I)CB`QpPTATwkJN|mmhc)E;v<9;w>!=JO$`*#=@DF< z0?^=mpm!38c}0W@3e-gl>avAx=1g6IM?C$ z)NT&V-~8QhG+5DCQ5--Kfi9-_k`ims{5t+Bo}{(EzjW>F0h>r-aH27WZ;dZtaJD7B zV{XLPh8|>WqPW|7{L-r(A04%H@VL;7xqPW&;zG<^S>7l`9ZQD6Ep0$*felB3@EXe3 z5&`vn%x~3K&MOsk3HM=r

I2EPyHZpf4Nhz@`S-(ZQ6#sd3-MTY-I6LB4M941IJ9 zN4afcoD7i(m=Nu?nYuDDKU7^N-I!YSF^NOo_B7Wvb)2Rn9~jO#9q^ z%O^tNxXSad2G5`;HPFDIA~z=LTmr_5Go?y~GWvZby`&?*H@GU+gE)^3EgjsJ zKE@LbxND}D#RPj&j7YLotc`rR2e{=nRM_WRL9$Ly=J5=7iRh+AtPQf>7V4GUZAt?S zx45V$9Z&gbpkIy_I&<^1ymq;LG5D)lHVWK9YyxCD;w1%xlEYa_*It!1!;0r3H`bTl zg>Cui(hi5Q&^9R3AvC$pEMlv~mH8^B3DDEV7-b75B)`c0cCl38cH|HsMq zow%?H{W(`iJP|t`c!ruUO^gR?A?+*E$8zf`iz`xgD1_OuJ1d;wXI~XjfL?b>1J&9( zk6xzMk?H_pCNNn7X$umyfXP0qORGwZQ7};ydC>#joYgZwLAA1nCN*!QrGe-tsJ(!B zU&Kf-*P-?RB674U(+OG_Sq6&Jrc8m>~Q3zHoN6ad}nrU_j@yGjGKF5jboYcnu(m!O@0w{#KLjM7*c z;?L|Vl};HBFxc3%Q}b#xF@#|MH2Evdo2 zzJxq9FB+noXMvGcQaYodh9HNj<3*6~w%SlNn&h+evI&0H~!}1(ue2h3S=6!8shg$77qe|VK=rS(jX{?x zK3Me31I8uMIlz6|Hyx%(;$JOG%9(v2o;-vjz+G0YI3EY2+Kc1?X44p+?>^-1y)z{d z$$BzT7N(t*UH#zUG^2*UARF*>KzG3HfbfPJG8t|b3aKPB1u&B4B~7O|Zx`s)Db*_A z25C=O3VH-%a863a(!1yTZe@ETtly(p$q*MK;v0-Aj2u3ppN#)0T~xC=Fs6 zw{JQqmJBlLwmTtE5EPhlY%5I^=M|GeuU=Afpod6DZf0v$tTESn#fMXZ6{J}Ay;l~J z24`y->eRaBIWn*FMr>Ufps`Tf?GMTXC*3*))p-k?w{KzgKI?Ueym!B5Ic?5%nq(5G zzE1|%jvNscl=hfMaRV^`gEiCDSf{_{zN8d3$^90rR5iQl9!V#HUYHD9_Z|T4Zq0oBzg za4lnG>!hxH@Qkc5l=hC?jNgO=g&J$9IX!IAv}RN=0A*mB z1iSS;VMbcOe>_>f#VD_w5r84%EmJBgXiwUan&H)bW`*4E70&RnwUjFV(8(-KN>o!` zE-$hk7FmO^x^gxnA%^B&WanMbi&z#yQTZ1$eazfxlhHH8kW~Vgh#SI^t6`DhQ2#ME z#UNUh7^{Hc{`$zVrF=%9U$=hc!O0eTC~b8%7@^I%3oSTpqPTX$113|WL-MEPGt?7z zD1I4!Cgfw5gX%Si`=4e_o=A+_Y(KoeJB#u0rBrO$x*8vxw5kSz1kPJBxQrVAW~{%$ z)r{*NST{LbXIu#pogU!beFrK=D6$bqrS*zZYZY;THqdmaj_J&EQLrq5ga$?$DH=whF#^HiqUHS^(9>{jsRm_$N<4|{6g&>wv$L@pQWo|lZOk_) z0uS6xrY=5I(q7#)IFm9LwLy1wwDoVPHuu517M7vV>Dn#TU1yAHh-fk%WnOJoO6GD7 zBt>nE2soc~dI_rFo3bbbo3xq$xMbHvZHKNRo$F-*H?`r;C5;q4G0QotbTqI9z)!{Q zHjVac!!1)o$s&o#9AQ;>%jgI}!6+{bKa^mhETJ>x=WbiRknRjprO7 zS3X!lx5E)y*qJ+xt)Pe;}HEDE0Q=SWbqd%~) zSFN`6&dlRpBFQALT!DJH3#Ix=-%wgr$WEm*pD@BrvrxQ59u@k|afB+O%#y8ThLy}jZ=H1shArku?D zNI_~2gH!Jl8htbGL5v=< zs3{XI?8tZ2OvbD0(C_3;+f+FrWr}{n_@oGQXd_OKq zFx$udRbRn@%XoAv^cl%#K1fte<+5gE==p|7;hVIO>|Zeu{~mU1IZB{w>Fb-&4ui%G zxLgBpzPZ$;H`G_OA+u+e1;8%inwuuzcd=zIr}jB_GRGR4(QEe$6uWd?hihM7?)MO| zcGsYnx5W-asL&#T zS#!d%UrYxHHXlI~7t(rz&&@kDT8R|}{ z5Z(8>&*Q4>^Z`~xtkqXitcBjl_PU=M);$C;iF%kEHWN(p7CSY}5sdL21|>9I^2U+G zzRiADc$I!X`;Si~pMIe;rJ9-=!*P!jz-NpxBJ|PigzZ$2Y6T}K-FOLXjFe3`p4Yk# zx`=y>dm`PSnKRl7J8S`U_*$MAUnA(e<1)lUEgO366I}C0-;|5Yr>8)yUsN3`$u)Sy z@wF(q7T;e74qf>NUTU9hfKf9x8aS*aG>}_Ha>(;H26NNo!&N;@`<1H% zd+%J*30eAb=qa~^>?xUHg89ud)?MOk5PJ5NF|()JTB%>BYF1MgV9L}sG_!NACvP^G z1TeHl!7rmPK}tP{^g64OV)_uzK1Ez$VI{@PU-@9YamLtRwOb!iD|YDYuVy_OVi(vHEs0T1SwUX7!=RubZK(07sJjzb5ww&8C+oR=o* zHCFp+450?q>zT?EHl2Oh8Z3RI#<6#9HD-Y)_-yXxR=M&t^!g@`*q(`wdKLF=;q_UR zN*Gwr%4KHVcz2;-j>u`+irj|lG51g9L`6x;8j^>Gy@2x)8fQ0n`rQok;6U&<`CS&s z`H3RNO5(J74LI3auaTKL78R8dBHY(ukSuy?A8ite{&oWhOgKwB-;M4Ee7oOjWpJL= z;^Sq{g#gz;EIx9n2CC->dcRcslI#G5<(*9x9KG}?&*eVcB=@pIOr8%%vBRKz=i1Z& zTuJtl^`Z}Lt98_pZ{^R`uBkiG831kcqzvTt*&;Ml@g)Stfd}{Dna)1akTlRxD?$!u zr8+S@oA&wQ1xOlYd*76+p+b3oj~x`TKF|>FnFwx@!39kWs~4Q!cM+HFH>fUD;!9wX z7M~#$a6w4N;uSw6fhj(|dX?OYNJ*ol=H;d9`)pap?z!@@q{wdT+fXOmmw zB*%k!Cn1Wv?fD+1@xHA=SJeP*i)O9LyG|KbWv(m^dd%B^1{0mWd(n{dlT6fY-fupk zOYM%(ygS;X4f!PtbTEu?yXOw{6Vv%ljG7$+D^OHJ`c8_RW`XcfqpX&F%?d z4VmGCS)_6+RXn6wc4KC&m@q5He!MrNUvz2BX)$)7)fhttVgb!0L;ZzGamK@w6$}NT zGtn)Ty=UZ9Bifcp5I$g$C51M)N8FKehUdrgrt2^K&o`d^)}{yG6G3m@CA*DH)SDlF zcj?C;_9r)%H}$1jJMQUkF?0S|_R(H`&fcG|Ctr05{Cs=!2|%4Y`nu}RUwLwXOImlI zYe|O?dw#wiEr$~|KfV;(lrLbc9UsRnF!ui}a^a7E*8`R_fqTAQICdW1 z{Zqfe?2(^EZr{7{e~06}(dF6mJWo?4?;riqamzj0xc7HpO5k80O;$MXHeB;_Yg zGN1n^(s+30J(QGp%YC?S#cz^!?YvR>a@l0ckD87HF|kE$I)^?Og#O>b`rn81Pp?1T zc>ck>NTdQ=($PY=f9p*;fSpGjQg&VeA#CYA{f+-Ed4IOWv;V*A>$T()|Gl?w8J#ft z>(Vc~mCikpiY+oakz^aN|8jZHe=yAdu*F;d(7rFqt;>(+u&mwYkGl78$*J4kO{ZGE z{J%keH^r^XlqW{U=(P5ac5N{L!~kdd577RnZ1;~O{ezzm-g=j3Rd||G1$BQkd)erJ z%q~AsbND}o{$H`Zt+LU9(O=%YN{*6@mU4~y{RdBf&$V`RblNt0r2PLIvTaw5egLH4 z%O0QeM*Z^fs>Z*i`o973f71{@N42YLlCP`ox$sUhSu*bTA0N+Lv~x5~zL|zNaWDS= zs1AOV{O@q`A058uYkf1!bJD7O6Asfc>^Y|Btwdzjw9$P-BZ0;SqqS{CsRPx>({%5u?&#ADP+Lv42kr z_a5|JSG||V?T-~ZtMj4A8XBQlj~Xa z7C#d#q*4k-?C6$B<(?$MSrb*HwTaf!>)2maUN;KXXlpTE#Pjvr#YPi?H$0?n2Pz=8?gk355s)T{opXPt!``b^jKV7~-O&xXGvhs}= zbQ+)uq2Db6fK>ehNNgA60TtS6?{+HWU%3Ff0U>%`ml*L;-kL#q9e+QkG*;D;j<*4(TT4=pnr5U?P6(uTM3|?d>0r_ zb6p|4O*b1m*RbsTXX5VzHkAMA{Am|U6GGNGr6K-IqFV789$5oc%RN!mc3$)&1^Ku5 zK00=N7M87xfnP8XS4b2DZT2R2;2Zc-uflsKs?z=q=J!JgVht=bAd@~|JPfz&i}LOb zHP>8r$^2I{pZPi2L9@@EyIei(KPyx;iJyux)>A%Cm|L;$`8QDj6XD2G_LfzgVVvGk z!=sqWX4Shf?+mPRt6}4h>E(le&kTY;)u!*S&q1878{>6#u8H&*n=n!P76dP0b!Es| zO9wQl{Al{ivlka5eo*uSsI{D6|6_Jh6(3)k(Gf|PUs@UMU*VN|hEIJer%7H1p#1{l zl8t|Iz@rC$;raNU`$K0(o+7arJOGG&JEARM<5VK~?9#&DI{#=~m+Df)bWa)V(hAOZ zr9$9ieURQ!`AK{gf}eK*J18J1X#@Mg){jihW`&;PcXFOKLL^=0_p>&t?+Wg$&!uviH>Qd`a?BIp@n{X`Vej<2y+>H9pz>nUz&*7ie z-t11uU%m@NJ9KAGP{*R=MRr2FXR#wm+_Z^h(?k@zB6=mqY0Fd9_vruE^oW+SK3CLH z*Tc$gKqc%80L^MtR%_w?QWG?|qOUec@BYOg*9d-;E>@S1XpFbvc%8C%niGZnWzX_$ z!zG89224b~%1r0qB6H2zkJJUZpGj{SZ+_U=BFkRde}fvRS2fXzx48xPYWx?oyuqk= z&BA73MC!DL@EyAu6{^+LrUsX?5mw?~p?J~(gl!+d5zO~3bf`M_qXhoE@o~M5YXt>z zm(V(jzXT7pqsiF9{THzryaXT2Xnn)+qqnKX7;pja?O&-oU$x~g`Arw@zh>_Db&RuZwR)*kN3b5NNW znYLi|WBr7J`E85RM{bJ*^S8vK+#he;cQW3*a%6HHo0;pVo~6yW4wC*t=j6c6+G}`B zZt>`2&v>Xj$Fp)SAjLSxOFSUp0^{aW**zV2)q#a0O`&tPK3%4QaS;&{J^T#_Sc&6g z$dFQXRU{#_`I#YS-M(gHNY@ge=RF|KZzkF{Z+~Ea(3+nv@Z~FW@ww3MUoPOZ0ryaK z_Pnnn3^Vu^jrFUHT;s2RrYT9yJ~4&;l6|Gh)(L=WwdKfdC?dS%&s5P5YN-;*rpy51 zn0Zs*j5NL>c94~P3@Nq3 zaW5N2dtN3(ejTwmS>ORc8LUfVUf0nG?@{<=b5a8}KkPF_YTep2Vy^uTGsYO4yBf?C6wyKApebIpxq!FUP8T{^=IJH(Z_Z+OY60Nv^+aUW1 zKGl$&eG(yhD%@25rD$6?ckibYne(fiEaC0oh1jqwHugy`;v?zIzC z65b-*xuzUrF=!)0pPzllA1n4;Tr_&ar4g*RnI5$x7+}-rY~bfPZZg)1zik!XWQK6~ zV=GA&HA_4)bpd0x-IVCaK&Z1XI0~w1In^IS)-=v_H&z1VXeO6e3{Dyd*?q+_Htl0CcdCiBB} zt&N#X$h8Qr4=-!xsY(!S52JVbFoM@@>RbTHbtq3K7_HW!WQ$vKP|w9Xmt1f6eR{E|jg)K_&P=(of`7n;qsmv_|C z$(Bq*YC=rnR^~{l;0TJu1ruHlAO18|cQ|x^l3$+0)}i-X@At;I@IS?~gtvl=Ls@Bs zQDj3nxUqzfDuRA{(nvB%uhBE^d{TSkElxB*zWOWURea#sFz$QIU6}j$?X8?_xX_1a z=9qy`xL;UI6p$Ezc*TbYl9~E9&0yJ)ffq3a_8ma}lCTi4T*hwOshDB$w6P>hcn$UO zNMVEwE|Q&6vn9;6hTh4D z>&IlPYU$zUE}oJGUtQ}@q$ZJW${2UYy)$grkJBq=h~ih~O391x`T5Y1{s!;?6?7up zv$mk87`1gfTVPo&q__$gG%K0-1qe=!pw`F{rO(gI0T_X!c6!VDJtEM9J$d8L zslnnb2tSK)g$aDrVMn*ZQ58{WR*??5VLkxJw+Y-S44KoXIfXZ+ff%|Dir@5T@+)J! zlg{VqHCkBH18qRUd9LcN4A8~4Z}ZZdUchLnxxMow?m?Xm_!4ojXT_Apyl+j7McpfN zXZCo&wjD4JEn@+7822(r`}2B zMXJVfyqC;{_)y#ujs^v}bEi9YKqkfxs$_{a-y#o!f>#CTB|EEx&K-)4l4a`_tCPTV zVmp`BL(nWBJFW;LM;}D(X#5JpCgd@OBGz>+soU+mAb+rpS?&BKDTW<$ z0w?{wyX#1DBgNajWp3kEYg4LIk&*;J$RwOwb)E;^7%c?AiFPjBif8$DYZOeA@Tsl%K3SH`2{Bub-JOIIIyeca;X3%Ug4_w?BZh>wRg@~@OrBbn>+ zb){!?%jyC%Ia%4B5zEhE zA7%c)xmY9|OTasJUtk>|Og=NFySJ*VL8;%rganSA&EnLU`-xDKhW}jUF1=fv*IO(EnD{bg}cC%m1YpXd)np4sqii}#NoEYuv{Tr`{$V-uhsWxDS08G}zXc|ROeuX%XPY>M)I z9%LQ9d7BqVnR~bN(S0(;1`^@!{a!`w?l6J=J{zy_uyCk12RxkPK?tM^X(N9Jk`1#7 z>~bdzes--v%IkIx{RDaB53ZK#f-QXq%1A0CY#N(a+Z8u;V2>?=W)2`No9$WD%pKV8 z>ODg3UwjH?mwAO^~u;Viqjp22N%el}-H`3Te z7)OXS?*~R{#MDAOwPJ~+!QNchx8r&bnU%v39}mLS=Z`#nkZCW>nPyB-TOyBqKZ`?b zaoZC_Gq+-42W_Ak`KO&XE0p-n6W4RK1ghp=531G&j2bo-Mh|j#9^OO3m)G5P@F5T~ zj|qK5O9LcW8`alOM1fSS zJ>2W^LAn>%aT6!qi_&q>uzyR+ysE@)A|5McM7*mC(W6ZjUw(OIhPZX>2(a&H(GjyF z-Fw6NobwIpuQ`ft<=1~k3Lbso zO=BM$2)C*gEqx6AJEk!3@nY`Ynj!iq~(0tEz`d01V881!EXag-v+X$ z8Lf{ie{_0Jq9|^$aOop7{Nuxgjj=86@n1zA0dDdB%R^Y!H9cXS0Jqi|6J1vcn~^I~ zr+;{AzQJ+|C{c}wu=)N)2W$e1p!ErTefEf(H0y`+Wn0(nL;0}jk8e&8ONiRymY&s% zePr@im%!{d?;3DOv$89B6c7Iyi~VpY6*$fg6R!KfmzYd6fj@QxQvdv&?h)u|K9oNy zXE@id{D@uO%M%<5+8PMK0!1i=$7}a|Lf@lO_BERet3{08Jj;Uxnt8@@)Tx|!%~mqU z=9^bf7o@Oh*OgD~qUsHpG=I(-;;2;r?WA>DHhc z!5_naM5ZoON(P^+TpSJhz7Z|aoPc@3&9)3l{}CDaegZPK@O@)Nf)9=09*+`yRR6I+ z@kK%35^%`^@Lanni7x|F=QKQc`psvLOx^-+3kIGK;?as-5;v%^p4uUHTSutMv)0!> zxBPVd(_U=s#aW63?^DU0)PS8OtfWcGRz+?lL`7Xlb$<9huq|&TUw0*&dtl`1g(w7! z7of@HM)VO1^@p>6F9@ivCB<-JY*NC)%r_}*3i`+SVZo7j7g5g2$_eYrAA|DqM zty$~D0Z}Cp0q)QPAk8#u#3q)Mp4hyxv1#IL4_ovxXXa=8ti)3!%Uio=U(m*K3lg$q zH%v}b*d$gBbx9<~0p?j<9k|c?ycql2Ex%j{5jd%M_c>`bRfR#!zZw36=Q%GgHGGB= zWjic|v>BR)ZBIaPf$IymaUfb#NVYUku@Dx?DwjUqH?OodQTNQCdUE>*R{*rS?)SBe zwLCV~q=h$09*up5FG3UeaP0^bw^30~uS6TxmL$#644Ad+{(C9rgJ;iE{Ldbj?k10x z-k{E!F2Uv~RHO#mkrQUq*fv)|4Vi2$F&9?9$oV@Kq2e$L+gM&kLO|8oChC|eapFv? z77H0Zep`c4qE|1QD|`ur+FFt@PtXaN_4-#=zW$jP7+UbKjZO`SldmD&d#5(PQ8g93 zq_Lv2^X4wfkFYExAsZ_;tVj5XlMgh%wB6CgWvaO+?!>>-dnr*J8}T!&c#pqGSTl_F9B-I#bToXX6u{^rEIqOu z$XpK_&omCAYQg4)v8tD`@VO*RyJo<^ijW>Z z#n-?W6dT!T*eIqAWJ8QE;W~!Rv7<~?3AyXTqp9B7Mpi<@r)ll?|9elt( z^a*9kUPK_g^SqaidZ1fdBVdPY>RBejL$q^ySAw8d#-EGZ19{06MQ;h@{`1E*!>DD3 z1Ww4m(Cs*H<3-4--N6bUl;s`Ueyjrs;YYFwD?IIxet(7;NHzpfQV4fr?Ixe8ldtd^vlsH-}x_@?HLD3S}KZrq)!Y;1iCZb?l@AMJLU zb%+*>{wOs*5o@Z5Bm}9Aa)A%A12uwM*^4(LpZoB0MeFGcdCpt4|Du_KeCF>AzsN*n z0NCR|7U+5ne0#?y6h6I|Mt?d^>GhcC*f}5J;p7J@JrTe>YtJ9sO}A}aipq$hMa>9c z*qRoZVG~bSeWF`)SPX&oZ<;2_^?` zA(Je5S_msSj{7hcrNsvmpO!c;@xOYz_MoQDES}afY)cCU>!N_c282-rmUel`OC`cm z5-12FhS#Xn@(d6qB!JbnU;u%#3Mdq^=!)fC3m9XP3u-W>fV`Ff2_!T@2!td&5(wdu zy_UoK#bb3pCZ|LU{Eq9I_3bAnoV1DMb2 zyJayDNoTr0#^0aKDY%ty=7{`x%B!_rX%3#GC?8&~u!_k4^pMDliSX8c&~M^}KE-f4 zkM&Z}8?=kaedKcZDssv5=HMk8_k{^CI~^XpRFE$>G#vSnkNaRVtoPNu!M_6H2W!O( zY^hai5jnt1$oo0{@a)6CyV|;ZC)IeO0*@q3q63fg#d+2S9doafHK(u2WAr@1Pj^4| zLa}mV_pm`yv%m=Nmf%RNhArRR_Hj|TYO(;!@Ihh=+Z%PkR$Tx2@EC8pnj^C@v!O># zH2&z`{?p&knD#Do^EnIT5=B!GOMAYk6_@?QUdZLLYE2mO5m0YEs8{^JVQ?$NKYOPn zSu=%8 z@o`cdFu~;&P-9va+FLJ2NhMA_HsMoF(uP4$Pi+M1>E-yvFZ#Q<->$6mD=y!>iK^WT zPkTB_V4yAUA#4xKTWI6f7k$)-o=q<%PFI_cg&L&3-~p~!F@0i*IsKr*GS@*}fAs3d zoJ7ia0BViZOR>P?_j4&nFql(K`|~`_yw(4teFJopY2<1$iM6hc%NQT8*TMYy9tMNu zhywW2MsRJtS63%L!3JxV_uAwzgju6!P{jENEPPNoYUIe@cjM{18Mk8WqV0XWlUe{Xo)XBRR$$pgmmV4K1J zTxYSs!4BbDI^rQ9lLHQijNUH)XdctVUjY+>C(87^wa^CC_U#iW)xIaw*UMnDvD&(S z%{Qirsl@9~s7#{6*es7$LVdJv{iW7DL9J*aH97sBq|;mz<>kylhQtZcD7>u%BjVd2 z7)t*nXr~L+2Z1>tb&bC;b_xht<1I*>A`Z&JHk94u8R3Jn0rvsArr5w{gYkTCMa144 z6_SNC^1f`9FA6mq;vweg5{x>9e0R50$tS|_O-7!46PMHLaQ@;vuCsB9VcqfRqXg3H zDNamq+D3z;BJOb&NTr?d7xI%xF)x&ng})F2o{UP8Kf_wEm=LEOG%$-wdBNzEA^l}* z!Jmz%a7$tL_WI8ZFVN@SA9_j2NXv^4^9JvA@Xt=I5k+zP+lUROLTMMt2>#F%NS)(s zqd^4?wengbU$V=zb-PTQK5kZJ%UT7BNub<-=zDBQW$%1nU|{UH=H950+>MBy>1*^w z5R)B|>tym+Zt(2{tArWm@zFHRGb-Ae#Vk?zK?5Q7^*&!d1WR*{y)MZYnS$vYu-P-6 z&(}$RMr)+W)K(>}imEGs!s*g0-}g<3$sLQYj`|RtMSL@1Ad6z0?lU|FwNA>~%-_v$ z#!1R@6}o_B!jdaaB)`6^X^hb&zHTrmEO2(mV^|lxSacWJdehgq!?{pMMGg#`RpJ&o z*h?}xuCr9*6W3J6|kPct|h36SQjczXO} z#Y3H&cwz0$G*C`(rD8}QMg>!{1z>?kg9AtsrNba%O{ADlWu1l#TvWy7+PJX_Q7j~u z8AR-kO*nAbNFCo(!BM43IxI>Ay9g~h&Ltexl(T?1({`Pfj>RHI0`?2+RwqnXO@Z}N zY8O)?zllio2W%kN9}ZRVrpumgs9WUA%5)qH#Er<G9Zy|LvD)HyuW@U2q z3O1X&*DeMdW=Kvhudm*fJG(Wg&J6a-01UxIk-TZkL9WtMP*Yk(O>%K_bMv$b;LA^ zRQCBeP14~_-ECS!h>V_d&Mhg1Y)VkeQ92PZxw4d~B3(U(X$)!+XoiyyK`Z5ei%YtI z^y1;;qx6~>X|odQ7+=%LssWT)e%%x$)U2kABuF4YusVya;hcB&Y>h>Hl?^q#V9!v{ zK5;Gb9+aPs|4_MHZvnCRL$S|q7yP9UN{a{YYz@EcMsJNQ{mFcX-}@jaLjrBWu)2x< z|5bb&ZWfjud kmV3ytep^&n{U20-TfN5adAn%B?2R_>Q@*H%laXKj8!Mi_7ytkO literal 0 HcmV?d00001 diff --git a/Documentation/RAM2E.pdf b/Documentation/RAM2E.pdf new file mode 100644 index 0000000000000000000000000000000000000000..56a7bb49dd52c30fd2659281341cc5258244e670 GIT binary patch literal 174198 zcmaI8c|6o__&zGBNJPpmjjhBW#=h^wP{}rgvd!4{eM?y)*|M)$#!eXf7L|P$8f%5b zShB|$&NH9y_x#TJiO&c2{L&?g(mIJK1_5?}&o0p4<^sLpgX@yMezB&poVFtSz0btnbLlU3K?x zvwrS))#t*uww7TB$%Mj^9=+3Dl3SZ8o8q2NSSsRUOXYJ zB1Oj+KUA~I6nu-}i<#RGK0nTpKRI?hec0!+MDGtQa@a#E&O+*;(f&iv0052lZLa;jFBj!%38ZU--9=ZvtQ|63i|bTbVy zi=ACjU&6V^oG<2d;1>Pb))t$hWftxxxI%LLzu;6uMaL`&9UM-*wg_FO8FwV2#~p5q zX{ebVd8Q;UZ-yPwUz&!}G*kRp3sjIMMbPE8$?;ZDpy$Wa?9y5d>U&eVYp{XVxa%1f zGp}6p!d5v_!!zA53iYatGdtQSjzR5h+L*K`3ub$t4xSn)DGYa%{0BpUe%{vbg~6eX zL!s7O1;e9IJEA7y7>fFmIBRQ8DPFSY$!chWSmFww!)Pb0+N8UM1wcmEDkyrrgeG2l z5#st_(o=Bi?Va|Vc8n8zPogG{=T3&(5suc^fKEow7t6VKqMGX2(s9whbe`nYH}0%y$v7*|+bX5Tj3=GFy!M%4lyBQbuisN{MY+FZ}4i$@dU zQ!VQ>v7F{U7Pq2*yVjUDioQ%USfSZq2)9Tl!1^dRtPR-QCK7yGtHOBh%to`)evJbY zrgJx;#e1i&YGF&C)x0gLXM0Vbu}O+jt-CEM0#7$dDBkg45c9jmQ*IX4b1n-`Fs%AD zmKE+ez#QuE6MP5+OX*qkJZFk*;(HyJLF|A>Rp=CAs*I7e27Dcih6_QxxHi{oof|UzO9F!!R7&lYJ25%Pk*Cwz{(!^CrQ{fN6J)gc& zZ;QIyLVqHaCtJ0jmykx?3FAK|KdjR|y05~ByJ;VOcl)1ILI z9chCg+&#Lf)e%qc0MVkne_r$N(1BFsKmuELtrHi{tt*OoO!f^iso6DQpSoNQc^k$x z>5WY#A9ZM>1y=dH}5Tzw)I+A&x6yTjZBvhqind z{YK)gZM~oz8kBWTnz}pK7F`eKqni;VF-8oV2@w`E>*P-MKYh)?+hymq1s&XE)6L8N zMZ?eH-&4S+hgs)M&V0PVtyKB$amDjr2g;0;Z#WVh9aIY%i;SjPUO3O`@sF%)Z0RVE z9{YfcbLMI1j>33y|9kpMXnouk`PM{wh{HVXHB>2yEm~8zdaBefsxYjeNP=u2Jd8^^ zzb0;-SLf-Xc>@@_$QG|$hhLPaj$f3r#r!WY4(-~U%bvY^Wkvtq@7cG*s0=+4R&E?^M3<4~gp)esxP<+MGnRQki4DFpxR##4?zm@G0Y+`AuV&7z%{k3~N6 zm8P5(Y2)(y??%4 z$Jb=dhvDPB7`A$_&y1FGTU>4!HDJKMV4Zl(g2DIi)gOERryh`ua4;u ziz3$y8}iZSzM9Ry%0fdd^|@refpsV|;iZWlDxa(mWIEqn6y zm{QGHpa~_2H(^|oWe#BXz0<)zD4^&y*l|1;Ue^?g;d76ze0%N(6`bKn{oVikd{1tS z9yl}0LL>-FiQru@>kH#b<9;lpHD4nxD2M$1m&^*i)(OkggX#GvlcQ-DIiTNhDfHv^ z4SXC>$_G#st0AVm+x2ThZ>@|Ttr}(LimmY_zx|a}Ylvome;}J&lUyuyj+uFcuBeV1 zfd=DLB_}oWu1z_Fo?VWr6ZWOBzkSJ> z0Rrajj|@#jb-)Qf(v+fKM6Rqca^%FkqqnOt2*Bm%KceJ2?2gbK7G^cCcG~$lL8JZo zFz?#;6w41^BXpI8pr@v0yZl5GZd5BRt2pvzXWKN0$KwwFXH*OS4V^kCJcRz&=ORdI@@=`c4(gl*;**Fs`5)`OEk~#n zNu0&Yh%^NT4Wwy8aDxSLYiWH_%w;1hOO`cx6(cLFMy2ofSw^&-a`pyQESo7i->q}G zJW0(?%OpdBQ^D%Y2f*|Q&*^dtZF#_UJfXj;&~lp>tWF0f`SC$x3xUU}C3v=zhd0aH(dXooO5uLDXAJu2eKD?ZF$t`Ns-Ht~oyBhbNOO z^A&de;%l&sh=!jq%b!9*}lXLY==6s?rF}BJ$e5s5xXiZ?d-4-Mq%|}ee8XzM`@iwpLxd!bo znnz`)@pzlrRh*KAPQ{q{*W-=I2GGnBQu=(9#$z@4C++m@Ctp$;bpwvq>82&t=&rx? zjK+Ua7NUZ36)C4w$?b4&(OtiQZ60W;n&45u*Xf>WDZ6iThS|&V|G0jka>w?PYT5M* zQC>j?e_PULrmL=B*q;^eSW*sAGSF*@L+SZL3o~Xqo*P%B+S#4sYR+C<#72;uFfpb- zlB1rIaInjH9eifG%6wkQ0!#{}hv!OF8{oNzR5AJABYLqq)eLlfcQ2E}xlX9{D|!bH zh9Q#^ZrjmbX^Q+X3;bQ?O(<=yafc%DTKXXJkLA-W^D7LU^~bcJjD8=t!tj7+3h$JAO2*MASmj$YVd8X1F_=D|8{za0 zmh^Do>^_b?6REgn1d^37kUFhS5IEDUEf>=J1?K{Ek#Geuc7zHsvIb55xY@Qk;2FECdN zV8wFCCc1d?kK4FpBT~Voa)*RCHDBp|(+BDztrKJpVIR z?OF%TjXK*v)m;a)%C4R8{e-Hrg4qXFz1lv!p3B zWR~pHR=c-*0w##i{I>LgBu7*-oH0v>>)bK0rOMl&s$(^4vMj#L+16^V0qbrtD#rZ;!Wu6JUdQmQ{wIB1{R=2j!7TrBtx%e#BPkF`I0 zqIz;`Xet!%r5QFb53=J7=-(mXA(>l~t5}w%WpA!w5J~}z5+-ByZ+M1m;6z2oS zQe>DP8<|f>%{d1?cmibih2%PTWmw6W4O&cX~!v;Ua<7 zF7QQiIp=iI%?&fc!JF*eNHIaq?Pj&H^}L@Q?GmRfLAGWrB%6?{9o?Oub?^Snk)P>T zdWVFEglEoC&pqo+yfahSH;aK_99Ff1EkExy%G^3Mf5<_Ppjf%(5DME={liU;D*gh` zUUIt^!?Ai6Ds};TFWX$veFHey`dPm3xo?0;l+5WLiKOyg>7@y$H+}lvVN@kGtrXW( zb!p@&*~F+)+*As^3NgJkd6h^)b!Cdsa6yGuTaS}FYI%AUq}w}Tc}ccOnVMYeAb7u7E)0uWE?nelr@DZZ zqIO;_hbY(!^34bqeqZobUcH|Fr?x-}cD-~oPrr>&C9l5A(tmuUh1#jS^~|4tdH&fn zwtyf&-xcX;&T+=T+~2?2vCsxZ>#XXmgXKL5KYPPfSh& zlOe%pt@7I9jhk{LM!mOg)?NH$gt^stX_4ic0)K+f7dsOEtRfzF)(|5D)W^e%A=W1I z=W>=LLsy3{N3G<)yz$_k%#~4l_JX$v{|gq->A8as7b2}qsOo7iBH6G0Hj-PGOt$B- z*S%eyy0`YIzRiqk)X&w;ue)l|({c-U(7m|llmF85+3=_Rdear9;AJV4tG{H@WlsSi z$&(GyvnLf+O@DEd-T%hAFKC>vCB|I*l-#(O@Xzd`1@9`C`10jD$)%=?PO}Cs;0yJ; zx}wx1^zPTh7%w6X;^%Ci+I zH_o|IZLo*i8G*yz0~-Z>Y~@vk19prXrk6v+U6a|$&q=;;e3uKIWGCUT2;ArXIqhy; z6Td$^L-UamYUq_=L5}X5PV9NfHNuhFt)0k{Vm^}erhHuV7=Dmwfz7>?6{6~HqG)Nu zyiuhC%%XT#Rr$YUm<)e?jQ#@Rl=~-*wuo=XHpCYkEoROP`GGWR^KSmOOgFJJJ;JBzC@id%lR)kJ>8_(UOqV=^LmEmc6(V{ zee)aLBT3IXviVFbxjPAILGx|tJ>7?>;SWwPOu^+L;q(Sn#pnH7W`VMXUNJd*(iA>Z z!Oi{$?pSH3Q7y`z5rWt1U~BiuA6Jv6yBE^C@C3BeQl*p*Da=!TJIw)(WUgIEfg6w3 zzCu@MOXy|My`wLfb3wyDIv^U5XqopGzA8(chuZa!RIfybX+rEcm>`UU_)3mr7D9|1 z{b6z7tc|6wo_utJl1K^2#zWIeu4>U~e^%mvT0m%Wd2z_haud36ui3?H0bj7u7ml|1 zG-D5WhA+Wvs65FVot@!AF`il+Pq0R+yESc*HaN%hd_CcbeqkA&x&X1UQ1_`etFqHu ztK}Kw2}6=vcHgJhXmaCS?>L+E(xi8nAEB1{ZLY**CJnvMoJ8DNvI5S+dZJlXWW`r{ zfD@rwphBq}f^Iey=S}!GMTS&4cndu7&G;=>vk(hrMC2YR@jL%ahCA1w!<54D?r2RF zH?uhDTU5|5z86C4J~eZjnTt)upC*leyN0UrJ^;@-sj!V2sR_sL#PGWZtXv|bTWGwx z1C=Oc2lph2X^rcfdX0X7BY1KOVjPyk9MH>QQ>2e&Gf;G?Yw9@qp>L0oeKxouq#fc= z+xIFoV~qx;7gTr_biN@jq)Q6JZ`{QS0&1(z%QW;^hP_xIy|eizTfyoarB6z|;nUxB zAqt-dgt6(PFq^Dn)IfNSjmTGB6G|Uru?Zd_y;E3v$&rCp(P6YkJpldwQ>qckvj|2~ zn3raXZXAed%zox)(SJN*?pK04y>PZ^ zgNOW%eD6b`(bh}uvw1jjAry5u@x^bR#T1d-)uBc|PG+?yu^?zV9n|U8?VpC58StUv z9I@*%(!k_nVgQt^XBbN-86sxo6zF(Y4yc3^KU5-{i~g6?vfC8o1TxDdttCbW)0>8* zG*Z7Lf$OW>Goho0%k!iRz9%RRsIessy_YJe%e~K$31*MiQv)_psw^Ngu18pSiyn@( z@%B;_Y))=5kFYqWiG$lN-*%q(8#cQ-z_3s)sGvX@r6VqIvONHn-N=o{gpOz})`@~X zUZcyDKebmV^DpsBf>q&XdBBy%i(i>FsjEajHD^|zY9U9XsPfaEsAiB*+4mvgLYryB zPn#C(t(m7-HW{Awy`_K3iDESp)K8}9?f#dzfr}UwA*du>6bU1Nw{?E=V|Z?p{x6g- zqK65(tF7AOIQ(5^NJ2+9$w>qw}A5S7-uat6wmBtyxpV;*de zV|^GlV{BF9O`R>@+OA2x_(KV|K%Y?eEIU%Vo>PIrRBtgIuu9-xk!*IA)8W`cQP*Yo zB=L>>U7D1_KU$DU45_G_$})Q76=C<($*L1DMp`e zMj(&?;Bl?4rgP~UYsApu9F<03d;B(6Kh(wf$|8_e>K%`ht7G6QQK;cdi#yOh<698J z#c}XK_}1cmTghyhsy-@BjvHhi>#pBEJBOl%&HIi+r%QExdug$}BOG^33@e^rF2CRG zAc$q6dM?A4ULsqj>zj$A`;S*J6;uTVYqRcZ)doCHnp*Zsn!v~|vzpl=84ebsQTf;0 zyrteySZ}%+UMu*erG9m~GG?dV)^|}Hw%L@uQ%Mzyc_bb0GksVdRdzVGQ@MFuXYbGL z>1^CPUAcK{d*;qZr;PyfH-doD(3eO%_;o;&`@D@Q=GiRHe!AOd(GOc!XIs76AMk-< z@clEEWk;-O1V1)lkE@_0$e#HiV!FLC`J+RX1<IsdjAAJ)iYPTwWbPr1NZ-ufd=t=b!`m!VsJ{;%2QJw0CtmPzyimNhHyVI2jU zRx&^BR|ZY4q!jn$4;pRGcGaX;Q=5loN%xlwe-$lz({W{N-)PO>D6eZ)4C#i4XxH<= zVvLT9ziNLqHhCxemVT)b zIhoaGKTd$EPy@CiBM39|h8JMhm<^?uIJw!OHQ@_jg;{9-(4*@%T;793%$JIat9@8RlYU6yXR7*YR0O`2I;o9& zm)1Srb@z`eAY+v~(hkHfq(dclF9m8BUw=^IpR*m&O!l^cuwP*xS|5zOF(B8XYflPG z`hD_zkx)em+<#%&qk0|%X`{~;g6@?vuqKt_u)FpvdS6$i>&pc%n^;|%v{?4@+Y%aFxSFUblnfbMW zC$if)){0*EHCnYMFjC_{8loHPJ`>fJ6h(A!HV8?Mzb6m{_p^tKz~Q!vr^taF@V4{oN$E0auLDeo3nwp4NMSq z-p!DFwk7E7ct34;_dot6@r3Cz3WS2#HiY=%DwH!X5^QO;^Ie%)IU)gc8FLwC7LFdp ze1yCT^H5fE3#Up`MM7UwF`=G2#yLXL4qiZL;)aqlVO9$>4Gn@mtjAq6TrAM{gA8Cs z<#SWPp?0k~*Q~gsGMBDnyG`(hvP_d%TtcVE{_`s%2VOr zL48s5cT#`r+83_hL82q+E$b%HjBVDw3hoJ0inuI z#tJTxJ3{`c=1#0+jDBnztWCE_?|T#W!?>(Iz@F}dtMCM!V(eEwtEmp7@tzrYj*Tj8a{ILYPe%C*T*px5r*Jtmow=A_e3R7;5cqiXUR z&CRYwUm=&wFPS*PqN`*NIgT`gvFT2$?=$Zio7SWNulKx-HYU9yuybko^K-)x$2K|B zVI-@r;K&PePXFlAKdPh6uB{x1MiJpon7;ug#h7d&(z7$kVh9i|$y;x&ER$+6BI6>I znk%EPr@BPunfm-}Z3|qvSlW5Pz5Qh{HCcc#0JEOcUHJa+lDbaPF&@KnhsCf1c%ZIp zD5mtN4Cy6DY-5{ul~&R%XwzPeuB8{;GShU>p!FHq(9K60V5>V`+U{HVlxV@s9;y25 zQKitNzFmA8>f0rdwJLXv)xk`9*F#TA^>0AU;YjF@a{OWVZHU7EaU?roJMgiE2sf4G zBIm-_(u&l~Oc6VW&5}w2@`1P_CXo^5P}_dhntKUH2*q0NyE`$mCCerJ`GE!?uZn{= zcqxH8C_Thelm0t z^Fo6z6{T8m2LY;z0s=hot(9`1#GGQ2Z${j zOIeX8Ln|5`AY4z78(H}$fDewhPGBpO5BNx5G)U5KLr!z`T{$bo7BAi(cv_fl z^$CKUKkZc7ec!1Wf)?=J2Y-dAC^fy_3m)7D*{Gd7b`y-kORZ1mRJF2dB#xV9i3>sf z!S+OZ*h`j}gcMzKS!5U`C7ZB<^yR~>AqV&1*zEg-3SzswWLBKp5kwa?VnbvU;u2+Z zk0{v|i(lsd`%O@iJ$-@?TmG4{Ui{7d-uh$#f-!Vzh&@|nXUMu@V`$6tU)FHvtVAPDA`0NL&CtU$2{&ab6>ai5`mO#zy=YAOOdJbuFu>F`gu@i z9$BM}`f1-0CnH(ope;>3HFOMHs|2aSCPUseqonoepr5z48SdQ42ozfoY*j%bcJIOa z^mKDHDKr16_jZHkdEG-m$&cuWc%T5gMC3m zP|WY)*n1F`BFnz(_p<=fk(BS#4s5>+YED^CUu_8}g>>JQOeye}dHvy%{58z-&h?8; zrIG@DTd&g6KVouSJ+cc&OzxzjwYI_aSS9TcG(`k>PraJzOnmz&*~I>Xr|3(C_vmt; z?bE_{Cm!Dg8~*^2EroX(k=f%P`qtirq48_OSdhZj#NTSLK|VZIwH32-nSbj(i%LNvh{JyW zM2V)b46DaHDylAA9Q3PxK;9dEU<13|Rk1fQ9i1bnIjlRWmQ>VWyEZU5%8>p^+m~Ei zQobUhC&eLXR5*WaU^yElTb2Q^4@^(~$wNA)S%96=g@5qBOe^0P;V*q{q zvE!}Le~-uRA*g{?f%{D|Se4s`ALWx|068oI&s)LQk&o^_bM+`C($pS7^g~(fL(CoI zex`Rp+M79@0(`p~=Gvif9#CtPi$_D?LPN`Ua@u#w-#P3027-Wj$3*pjAn*sgDB}&| zUQ1eScd@ziWc3s86uy)>1)IZfn9Z4~YA>jwV81OidN$d-WFfST_#5EB+sQbnlzI1y4T5RV* zUr#>xe&R3=un!VdBOtLx7sh8ge_x?2Q;yDzx0M`-Br-Ri&hzXcLLxEF!i(!@a};Ek z-~PF8GL&n#9DrP@zBF6W3(U5^i~%K#+BKeXkq&R%l5JmK;H>n|P+Q5Jwz-H+&rNII z8bUr51#g|QHngZ9c6t+>T<&jr1g7u!X^+es2apqhD`Re zg52l+v_nk3$Duv(2h@r+O>Vu&r_=)sAU$sf6>>fD1bS>3d$EAP3HK zzNNgO`?1$=|7uHX+tMlG%`x=vh&N{rqP4btsT~r&`IBK*(w=;@*k2@_(|6_4;?)5e z9G|V^wEB)C`QSHNUVsdQ;7OlpCzt2C!boELctIY^Txa^b2rdL260YnsOx_tL&zWy9 zv)euNi(N`T{a4x-TfkhN7Bsn>Hf=&_F6#Tcs2=dyfx*)GSig+lj0tlOYMm?7p5&+_ zT;M^1*tzKT9)L;X_IUDV>lfCq7+^f$*<*7khxF5@*DEj8_->!-C*mk7Uh~L@Kh6-?IqizuMSiHEbHVGq51jL|{<8 zL!^{D3+rV(9X+CwfU5|4WY<^jZJoAL6UdzOIm)^6GGu#VPS(K90&Qh08gLN=M_0Sd z(e(w3HVtEOt;C}|oSfN2fVg0!2F@#IX|`FMaV)_3BWhe}wgEGCQc9QlGap*_t~!kDzL)Drp-vB&8wYXve@5T&?!0S=J&Xm zjKa6xmKyW?jw8F!@?Hi|i=5*RYlX7NE3^iwRXAzbz?_mR0lc|qvJi+3(5-7-I8yRe zm(6wU8T8bcr-Ylmkf#_Abx!7yCpBuI@t<#;NJ9NAnaM}J1?s4mX(!#D1z|i2d-JWi z%pj?%cIqT7c&@516l|3LEfD#0C;S`lC(p6((&nV*_n677EX@9&cgP}0f?uD z%-B6*0~5v(kNQW1b9J)}F7N+K|E8h*C(Y);>q`F~nnlQ4;2)CVIQrvI{2?ymh2g7| zMrL58zmfy=Hr_gf+B_6x98b4k?r2Uhc*T?2?YvkpnM;A*q0~c(BD?bD^Sy=omD)=? zwM?m$j1Y}`6$CG{zZGW(bwQg99Jqs^pJmM83F{3rOb>>EBSeVrqKMgEVSusL_%=v3 z4$BGD;bn?_A`KZZ>M}(@I^oN|_&vKIfU9sdr84_}?riIv(O7z3digVh&G&&|{a08@ zk%fWGY;LzFCe4RNFvD=#n0NjCAM|PXJZ>7`@ z=3{Pg!N#JS z0Mo?9oO%+kMq_Kcq*Qh@y@h}W+pGpl?8>(LuT=TAD;w#Dy1$QZRp-=>a*|E_r6k0J z0Jr^7_Vfi2D!QG;{cg!kvMy$=0qK<0lI$cAeK0qqC-Uog>)|%?olI>#$&Ukl={+@m z8v}yswz_w0#t+ZhFfO**Qo8_~W#=tn^B)<~0KlXPT0pX_|47*obMv5hBK0Vl&4tL# zIQql#V-QwDu5w5EKA?8^f6Prm?<> zRJ&^33V?MxR{YDmt4k+-x2td?s^`b}#h^37>h9`_XVafOY|HsVM0KMcXa;kk@xtZaE`g16cA@&A27N29scI7BBm%;U4^Bqm&fYfY&wd6wv2*vwmjp# z>wOzF|8?K{zu66$S{R;Iy)pBAVCPSf-5mYm|HkJ#3&L(&L`JjO+uQUYa)yY{*8-Qy z1R5`4RE6MGAI;;C!iO0hEs?tELLNq?3%gVb1l7#Ke z#>Gwg<1T>gzx==QVoyz%MZbfsObX@bjUkM+^Yva@xPH_@q{G`yiy31^!Bp@ZuT8J^3$b*-G|~!Fc}7gxDRzSs&4krRo!snQO=+eERk^i z0>a-|b)ZVgp+PAqNMa$NtXc2E*;w%WzoX5|OB}7L{{msl&)sulefU??PqxdHaN383 zMPY=hv8L-wo7_Hp_H$; zdQt^E2m8bD(b^&LV`@$vi}#K!=phyR^k0@Alo zw?{p3x;@RH4txPxJh^vkF$e|QI0(MYB}QOoXDaC4D1T^_{xHL_u*bwiBhWlNMP}j1 zHpY8eA+$~9?`BAkiOrs0@mLdJiE7rw#kCiTO3ZF!8EtC-U-zC~8I%Dq8uhUW?Y4Jk zu4Dm|SI{f)m3>9M1<=!C4h0JSSGzhcm%Y3WK{m0Kcj=k$7(GA&QiLBJ3ujPSB}Rlx zdNopR$jI%o^JXRxdAS_&rORe9dl_rE9QxnLm7G!qw=6SiFxSf@n^>+LQK58BlB&v} zE>QJn&wH>Cn~YS6r079!8Mal(OC)_p8W7vC~wt#J8Ho`uuI7 zM>MEVTBV^M30z`)8!NiXs)0HE?o#O7kX!W}v zY)h}(k;+@60fGH*qdh0D=g@CI!`PV)uq>>{N_aFf=McM@)+{jo>h7?x)%xIa#Bj-C+)flce3v}D7svIRvkA3I&Dj>8*|KwGSMV40qL!eJ#J|0ap^AT-XLm| z{-MVDb!GLQ^(`DtfLzOG%x+O>RH^NaKK%_F&JRbfB_Qo?;*wowH4dgP0-Zzek zSf|`vDSv|+{C3P&vM9Gs?X&V>E#-?6^bZV;i@Uz0T_h{*`B30n+T+5Lu`&^R^av%} zE3QNO4^T2UH5~SVUTLQl8c}9_jt)ckxTPT1Fx^Eu!Rj6BiXH2}CsMDRuk3h5GIz-b zdnk$(WRZ&pR{XZ)zb=|>FyB2KOulOckOWbdmD((anH^zOrrAX9)+a=a)?|qg zzH-!-A!3yyI_EWI_en-^L1gfLh!4d*CXVzV^e`Ec)OeTxxChkDruD~wz7wLF@Fn9O zbmb?7iS2lQ?Fq!D3&A#JmD0&Y^yUq*MZRc27%*REPw2Z342fR_p9i$(AvUvGV*LR4 z{8m>3G~Xc>Nes!$1U@IQ+rsIw8K{AU<)>BtDv7?nEAc~1_c%lqF;_OvHHwrO5gZw$ zJ%gBTNjMNWO0;eQ@bH_Tu5gnl_4ny5m0fjf1pzM&F^_bWU5LU&r!9S7gb?NnLzF?b zz8g<`0C|2GR{~HA2*pToy>Yg-Ity_+!;ypE(`v5s+aQf!MMEm zIS=80T`THLk8@Ez=xjTu{ZmG#dYID_M zi+^881OThnl`sT$XG8zj=+gVpVmawDeSOj_$9Fb6YOa^`z0}L&Z-`)FC(cEGkfW`F4lF>t;}$R-&BU{=mW+sjDve!qc>k3)>)*3EvXmi$}~#< z9Fx22l4I~;;bk*F7b*^@&5B=YW&zc1C+zMoE3^&=f^Xt4cC2grAxFe@^80VfcOG*1 z3I8ZNDK$fJ)5?Ibqlvj!WOpC&DTJID8oFjslFobf(U-?{%L^PDH4HzDu*TW<*x+OaPJ@nlCbs{}iqt@7*2Crn2)J1HjOICoO zfJK6W?CZ3((I6A#ip^o^NG!+(0?%$B0{1aWxTTcQ(!gJaNWx5H>F290ua-}FBMkNo zkXgZr%J%=W1j@MHb92`GKyUJzJ!aVqA(mND>P$acRz$2JGlHS>gJ%pUc0(fCK=f0) z9{Y(beOiB>FKgcv8Lvr4oAM{Dyadoxno3_X4A%`sC0Be-31Y_u6Ha402t5a@?B_e~ zlfeRhrc1kiT6^a^vF7L9?7_z?5$v*qkELx)pLee;2g_7$Zc5BuR6kn%J7dkKtIslU zPN|>R-f1*cb;3vZeCmEGIM8=4aeh-V*XQl`x}%Pgvy>|N18(+(v*0uKb;}{9Blgme zvy;_(=ac2<5-aS+Vzw2&XB_9{lT+pA|K7QF)c$C3ty3l_e+%MNda$75rs}iPI-1A* zRdK9TrNvb^T_&*L?yslIvdIp&)oWb8VoG|iTLlj&B#TG1nvZ8f6CDvOY%TvJ2qS5ClgJr^ zmk!k13peH*{C8^{XJ}v(CjMA4cx={l%gMc6iFmktr~tw-Xy5(gAJ|SgPwvFs<=0+% zkbHH<)??RYtJXFr)km7I=zA%3+0r9mw7Il>BFtm>CaXOo@vBGHBG3EK(W>_^BwYJW zW@G2}7rO(%+#!8`JvpaI!G!q~RScI%8}1@*3Kc_#r5~zJ5j4|YXo%za=!B8~*aq4< zwDl^3OYt{Hfji^~5i`92L`uAmqUe_=AlIO%+?y2~O$%)C4kyV{nI+`;m%<8xVgtA< z&uESWs0tafzAX}DRQ-YpX>QPOwXc4={-X3Q9RJ{3KK#9&K8lC5rX+P(1|Z*mDjd5f z5TE%CYsG7>Y&F6^o(a$nV4h zM(V2OhVDM?=trL0AF3Q{XWYmU4IFp>s|Tuci5`DA;?ZWrCd}+kd+r56B9pHi6&P|= zxz^aTkcw1fJ$^Jv6n6VrId8NBw_TVT2ON&u{jt0>1m%Jt>p~~D{t%4~ns!L!nb~$4 z4?YaRN89RD$r>7k8+DB+pT1=nW9>0J=0=`BQNZv_7kH#jabACB9#KxaB9gDxb&wFC-+JBT2TX~ox zBVAHUdM=;sxhQ=eqiz_npc-el@xzlfoAntq^zaI6`^g=CiBU<4QSzC-m&1^YU&yt@ zVmYzRCGQ;8#wImGrmpC={QSig`MZcTF~2O)BAwLI&4Zh$yjBa%=Ob!|*kv56hR;_T(K{tu1Knx>!+ke-q-F<1wy*%Pd#)+BRT&W*HGAlIKV);E_{RsF{(xHaHagxG^Fo= z({lMEx_Z!BSHLXlw>H~)CT~7W48lwg|gYQM4Z{_bj#ofWjq1_9^ z+v2wXf5Q97j*|Vq%_Q;AX!qZ}=Y349+{8?E^zuzAXru)*jvgkX3YW4jv(I=0XobtfBotk8@{rk^Sl_D!`)F4# z)-~3=V&`qH>pe%dOCu$ZzW^y2qPT+Xc7G&%(*(R!E#iumsoC5~H2&4qd z1I=}1Rk5Y% z5Cxp__rNSrQ_k$4oR+J={2-8ayiT-UB{q>TmrU6iN7^#8j#t#}KB(*-#^WPA?sg(V z05>-sxSySEJjAN2mgQ>vT`p8VR1oj&Ca4!%;=q0m}2 za%)78<``1?>%qwx>EqxELG}ajgUFwLL1k9L+vXh#bMoDUMf<69s-DJ^+bWkta@I69 z&&FTxRDKW$^9Jl1apnS6qMJKzV+ zLoH3mM)PA`Q^Ls9h3+cW9OD&FiPGPYDYJU`o_DC}x$QhFj>8-oikf+%8ss-UJVAzJ zttOUv^k`CYE}Q=WPR*Zi_7hDc%m0R1P=Z#MYjAvOAmsz1_?)PMq0Jq88v|gX$V6|g z#N6_l^H?U1$+^mewzUv};eB|1^7A)nrVvA(6dovudGznijLJ}_%S7Mt)t=}+B&VhU zh0b^=a+e>gA_RAgdj$GAv2M`)<`3~!=rcbjfDHiC{Ci`g>h#Vwp0r2V(HVmSy?mpj zvu&J60|3z?Np{3bf$EO&4gFJ7kX?^jnD72`(W~_;2Vxx>HA>Cl`?8>Rhoe{JGKmCz zd_N5+SKtlOsIytZlwwbii45KXQdd=ep(ZZJs)E8 z0wIhysM@g&^zr?XQ~@C;*AEF_{(i&D`wWqfE7?J32~eIOCY>5=(t(`% zZ?oj~m0Kwt_X}-U((9M-h#xL=`7BvHMYlwWZAhA{MVLofIpfYM?kTyb!;>$%l5-o3 zU1MCy#Fo*IPIdBd{sIbR;^v_i$Jq}_&6zHp@7M9DryjsjY);vW#V5f(KR;PXJ;|+W z*Q)qD#C-H%<+w=V%{{aKesya!elnQzOXR!ZPSE1d-{)qvXU-CH<<3uWF17918r0$p ziuj9JYxvo?f@i@ua^tgwnc+J3^^mu(^u#wy%SL3_XHKXn)XSyMQOVs^gayA$WpxLZ zag#CeGn|P2VK-Qvq5$`Hf^_qr4+q;sPXTNbGq=kT8Gxq-(O}LL@%JaX`)YaL_2=1S zeFjr}g0oXyL{#NCajL(z>lduq-;(??K z6L-4|R61MRW}J5cgD`sY%ZfF=#|~5`745yp0?O-`uOV9Q0`&6n=AWnWnSrgS=k|u6 zIT|B(b2jDwn184zjltqIpbFb2%SgUmHYxsU5=eB<5)QjGE#^-iIS?HM`u+B4oh}eR zJm)NIXDUa(z`-IRXEq;EY`8<)86ZueMV%XMa)Fq6nX33?p~5!(cG;VH>fdogSDtJB zeAq%aA7F}d#F#x55cay zQXAlyei%7c=x=_pM{5o0?&>`wCtxs3vyWtSYu= zPyJ}SNjWIcY|6*57pE+*85q3add@7rfVT;_kxSt*0=f#&8Ing4m^OKkW_T&g8dehh zYHG=0`b%OtZPhxMg#)VsbX9?7hvL+rqKnp~)NQMw8T6pd{jj*|c}^Lb2vm%jqum)Q z{`D!2Bl{&84V%WgqQ$joa#ck+eyqMzG^r(-@_Q2yP{8gz^&m$V2A#<0$k(ZH$b%}R zi5WdYD(tXH=J5ThUCdUYd++Q?%Ro(D6ceu#Exth$tyv5<2Dw?;aTFmp8;ZP`x zyb1h~(565Z&#h^#7ikvEJ1W*^XU)8k86-n;{+c#3KqGbhZ5NQdYNE%{M26R%ag(9^ z5;>Z8O7&I>l#CQs+DQ~VM=tjbM^=Om`|@Y>2=+BOIxELH=+3iGqMp#i17#A&`fH*1 z3JSR86kcQ!1o7qv!&ZQBEXf57VhB3lF$;a!e-&t~Lg+VibDG;6LQ#L7hV`}6ThZ{O z9>%LBeAl`JTKN+)^cGVK{1=X zrnNS)z%_#tAgBd_X(|Qk*9E8RDXPM4ZOYbH-*CTOxn_YHxJQ=98RtO`AF#sJ zg@)IuObxJzPUVBrV4hxkF&k897%3~=*KOO3)jl3Au-=nMa3t^b(iio)e!nu21fCLH z%o!AS>yaO#>1n5>_ zqNE6kKbQq5QmXCBLB@X#(m^J$X=mhYjvWC@jl&(@JmYAJbWzLTl9(8G&VZg z6;GtbINbpEo63V5vWYrS3PH2nWxfI?b>e1UELV7A7tmO`snO@*sX(vcn>O(Y6;KpN zY<=X<1e9&1nr_K;Bo~0@K0z0p=V|k#WabRW>jI*QZ9mhC|MlCvaCWg*(q&7mwL|Zg zy3B{)!ET*MX#}%wrBo##_<{ditBi6M-c~PgU$H>5qx;rU=})VvMFp z0Ea4j{V8o&+t^-)Ool1#EQVi^1$^)5pq^<^Bk}tR&ffIr=X?rA8CMb3;toNP!KC;AO#>(4LG?xKcceG1{->RCaKg6 zcc5K@s7}s(^6a&7!|UJtwU|%1umtFz(S?JF^$NY%d{8eNdfJjFbO>k(&%Hck39CLC z^2A0B^GOMmAVsLQOh6MWa)z_f*%$^G|9gjiXud-~D)#kNXFMo&QGu#w11J*py%GEe zN>jp%TMSmw!*Y=Rf{iMQ=FJSU3`8A$c**QQcbg zX*mm3rSa?Sg&a46m^a_gQXsMD#_Or=2LkKW+#?|QZ12}=MrS~6Yd2Q6@P2dd9E@(TnO`H3YVb%IveHIVd(co zm*A1ntupXqYX|)A0Lx)k4fa4~4l2VSLjX;WoeS7(pjTF$egGu*aTUTkA5)k@QU4cP zZypb2`@WAqc~VL$2_ec_WKxWs$TE~I*~eB{X2zO5M5|;gyX^bE6@!wc)tYq}A(Wl5 z6owe|JMZ4_@Av!py8oeC; zdZjCGx25@CQC2Hqv?#NMKorTv7L|7|0DN!4q zP(ISo^H*T?+0v04t~BnhE7u!OKDW&pRmak5YlG_}CfHcs#=5!EN#vgUJcFlU@pR~= zjfUu$ScDhDP`fmmzQSQm%KB~mb|lm_ULq7&+-wDgF?HWbU*p(I#=ca(j9f42e1c>+ z_HCWgFlrfYBr%c-i*~jHXg?RH5`v&6bdM*T!};dv$8)GS?rCK36j7|k8Fd~Mx$9%{ z`!+)9jviS84!1i-V(L>RZvHo$-3+ieR|5_Ef95t7JFIX?pFQD9>9fYwnHW9Nh5nVc zDQg2tpp|OGZdB&OlyX-ryUn+h6`SFIvNV~JwPmb-=2}I(k1cPXrjAE_Y>By%MKLDJ zgrzjOD~b1|%i8N`vERV=HFd8@_;rO3+3SwCT2jh1JAbDx*tMxRh}p=-Ebpmu!Jhli zmfJi?lbs&hfoRPk%zOP;VsLla0t=EK z`8NExkm>T?EV)l(-%7n!IdpR9 zeZoz+$K5dexYDaejVuNei<(OYooT|fptD$>Q8z;)8>E+WCI3j#d+q$m5=qj2#cfT- zBMrYS|N1td4z!zn$F~H93 zF5nwQ`bIyYVRLE9j9e_1-yU64^?l@gk9h^FY%8NG9!qq|yL^8-ARvo=Af`kCz4aJ0 zV;3U0EdgV9M}gE`A!k+^4V6#p1Bhe zLEYHj0%IJTqWge5oS1{D2rA)h^?z3o2!0T+9x=kc7txZ4H0u*jPKx^E8Ux7GGWu2Q zCnHy-%YlxXIb*Az5ufy~yD?p^@U00;88)+rHOWzNfxVmUM-Kgr+4ht8;;lqNg>^w9 zIWUua;TH*1!y`j2K-rB5bj3KTkg^WpeT~a%1aWAW3#7_T_tVrIYZ`0d5QJv zl5ZBB`zP)ETLW)0#7B&{R3r#fENi4K3x#OF7@OJ(@uFSa%Q%N8Xg%|e_4~8$N;y`yS1wbEo)HN^ieDGocp`|{Ym0ku3 zUx4$a1HdH`ZiyHopmOfxtqoQ?v#Wv+^xz8|#-th>re8pG9YNnKTQ5;C8zA5U<_ADl zJ%n)k`NLL=dI9p%B)S?t-qXpt=S#+b0`fRhkZRro*fO32>=B$7!vlSYM5p(qCQjOw z=lo+9ed|ht=F4KKTa0Th>`zXkZYLnl_5q!)ygNzy*ef`93y zi9+)H#2b*AROsLA z8EE6&uejgj(ZDm_6_R5`xsMq#^p>TX2eIV0ag;(wesk`su+^zl;{sP$ zCB2$Lbgx4<+tIM`@}nC`lXXHp(JTj9N(|IH9gm?(HIUlSwjT5!_^(!K6BI5g_xx_M zOOgLcTO7kVd5Ywlf5nFLB`-@%k<-z~Qtlky!^v?05|M7t;=#(%v_fh@!>>Zp*(YB` zG!2mE{-iLQ4WVf#a0POK#4%AwUfwow}+PQ zHxvnKU|^CUfftn;BlQze7DLU&LNY{F( zM*vL#<=h=(!;M=uh$tvi3`|2-!Vuo#tdAW+Mcyh+)Ml(rv_VXN%ouz#QI7)$P~c}} zDuvMMjRV|u?N!TNf%G1xiHA#l8a<0eXoX;C)p>u(e8rqK%WX_sFAnr6o>t|n&nrz> zc6RAfoBpM%05Q+T&rP}P|0KBh%lYY-PipaQJ-E+)>eFGAg;Sw1#qY%Ggrp>p)?#C_@WI2O6pe zx=-%Os`mi6`-z%_*McQ9;B$&~-`4^m!lGY|MiN5n?B{*p)P4HS$jh1>#(70yAdv1; zrC7o(N3)+C+p_d2+L>kg8CqX_{qs7H8}V^Qq8!$~f*A#z7QgqSJ?^cb#_#CssTlLh zSLK5LaPKf|yw8ggV7ty{PO(?&u&u{hZpsFE-HlgGx>x5J>N{smVd~*+oQ8Kx=(AcvhN&a zn2k+7({nCc^?uVE^EJBpquQ$Z`U_J_2SHn-Xhb51{38)zRYlbvc-w6Cadu>a*EGM^ zb&d0-zYAr=#@uyn=@(?PjV(x~^b3_Noc~qPz-7)BQk9B1pHNjspu`qAq(xEPXZC;S z=Iau7_GNRo2fbXo5XzSM0IJ02`DVXDL`>=(Na(Wt;w#C+$({Fm+C!nZT;f+>E`&)) zOZxke{VR{BuD8GX^aGza%0Xo;m?Y!#SjWgqk5cue3`DjAQ8Bv?pwN7274XsDw%kr? z52s@8Le&>={O}BI7|!kb=RLXu?T?D7t+8%jlNoA9XX29TquN1ul=qbus{3cqP5J(R zv*mS3AO_~OvR5RIn<*N2HzcwFt#ZN0Iw}Z#6W`+-PY6i+tX)|N&??F{K#TZtE1v9X z7>wRC_!kWAUI5Y;h$Fy2=Dn5mTgzoiwh!Jl;W0c+*k(flK!-z?HiziK(`-~KxjCQe7U(O?ph<9Zz z1-;XLLwZra@7rE{r%X@bEl^ndWv6^z)L~E!tKFMddVY_4Lv~T#fl~U>_K{I}^XEI` z=eIf(ih=V;PTr)8Q=JjrM&|b5S9wGsO_=|I!+tM$Q>Dxr1!6g1qIxa2_(A`yrBd5G zZOTu0WlM<2R`K*P+g5=4^7(8Y6Bg0oqC+)1eU`#jF3cShv$?TQb*9}NC*6Zp?3!JL zWG112@+mVfF?ajrM#=XS2(RVF%%H$OP-$7I0}yf3|1V8@XFGhl$bOSfF&F58 z_np?9#k(9H5)7a8ILm>45ZQw|DVrViJt^#KO(Ddikm|w$sy}sOsK`G`!cTn=NkX1l z-65aDn=bUKESQ;UHkLeH3~G1@Z0SMSh65yy$Snn+;44cldDRPsy}f{JyU0s+}Qi}wl~RyPUe+rK1&%m8!eq#bvuGj7JL66rX8zn%~53I zp3MK-ZCN(^WHtE!$*NL0+qW=bh*i+lfiLs z*0ZO8RLt+Yb}ZKUknfAaMN}^oLxb#mz}FsZAJ-fe3zVVz6tyivS0O8>j`$%Sk8;u1 z(On3-K$M9dYv9{NJ)49bW&CA{%NTn~6!42xj!%KG7I^u8r(9rE&&hg|;P|!+{yTZ_ zT_xUYu&IJ@JfSV-G=6oIWrM7a6MT>syY=MYiQ++{b zK3ZS$0=vw&R;%8i+`Q1U%zyEj)$~Q@`Y#i^=Ih=p6tdXmSI+@h1BWM!^EW)Q z%lc)I5B%Vn@*~39SSQ*>{ZDGNe(5?3AoYthVfpOgHmaObuS{VS%oVx)2f$&ed(BP_ zp(UpVK0y|N^}$KDEZtxY#%CYla^PoEnPstTaT-KiVZ2|C)1gN+4+Uf%kRah}v;wWg zE*p*NIkba>cV=rgt_UCk3?D*2Tu42Y)s3wQ)eLb`RnC9rg;W$LgR_At3Z=Bn0F>NH z5gv+r@ss2Q!2$^6PHMABnbNogFhDaj1RkZzyy1CBXzSBRp&-0(n9+2^^@`I2EUr^J z-Ewr$$@?cFwS{@)2%^sjf}AN$82i-EVsa~xHDWvW)D4D(Qdso1`A91MY@9tG%Y{pq zUkr-%7Gb(r0BmB9ewJAEQXvd`9$?1|`dVe!8@dY$Do^wxbjFe&)j_|VYOG^aJ7)#Y zrZbdmjNRpntbc_mcklUD^M&A;xAfE=U0b1(ZC+fQOT*r7K0zlprS|=)NH9!09O$Ae zzZ9LEouSBxyg+;eh-VuTt3~!t-c^fJWr55uR6rt*2Z^={6KFdz4Tk~H470P^hN00% zqCt6C8gA+|m;CDmyU#)h;x-=%mu2I#{;u{99a<#ir`=~DdOz#7WFfNOW5 zTg|GBi`{>6VkCW8N=WE`<0DE9oH~~zfDT^awiFL`r7xZYvY@{G+-ly+y|Cl(qIvk@ zK+RXTF9!omN4z%tY)uA3Q}l4^4~M{{bybCW za-nii`;AVm6^EBX>*yNgMV{AN5B^L6Nuo>cEO-2%Bk$<0Ij~N{0gwnHLHg#G3XhRk-CRFbN%b~ z@)+1@Of}cW0l3LNe1*@~>%e?kQFHm4^!O>j2zzMtX>)#deU2yU>t!ETN19v@{@Qd} znO{QF0XKDT^4+6C8U+)SZi$G|OsY0SzTeoDyAUDR8j#@q z#neK?zHvuEUP?-JpGU##}P8PxA2uT}#iCU?xQ4vED9akGy< zoqwp`$Xl>;XC!pFU(%@vUmlf?&`yQY;Y;k>+mH|5;AAz4wzKFj5v^>}H?r}aUppl$ z0jUJ;s^Rk`*^HodznPK(($~k;qi551XMV>|l0qXLH-5|>w|fUqFUw%P`ZmiGHtJ6u zbDs;MIQK2EuOvsf0STaZpV$H0sn(7?VN5JTN=l=kO% zdmtXR7C-Y7wDi9=6vnw&?9;kYtf|#l522ByxpBwt-6Q$O`rbUCKn++qi)4jf_OXB% zCwT;1bDP`vc#c1L`p}7QUP?0A*0RM-t%c(d7*T)&LcJLU!Yzv8U5d0{@jXQJi1AN^ z2O%a7R5h*2FYk2@klWD9`yVB*Uh;B4-R76B1UF}{fDZ-kC!l(Dc!}qGfho}{ntha5 zCNczO2?Fa>$8@_L`<`Qr4{k7C?zF{Caz!uC2OADtoC|)vT4^QXT`uIO?#qxw@Ct<5 zIlZj&h<+;AL6GFnyxb9zm{_P<1PYPCJYZ#74l4h-MkAGwv@gl+>N4 zxRK=7lWv=CaYdjcL`^F~xT0?tXXS z!CpX6!xcIydpfkayUOZF+`8z}jSHh=?RcC(S5b{lpVxqlEI0U*wCR4k^cKiw8Nwi? zhPJI1uE?R=kzVJmWkgH>l3kJott;IrkjOl|3oN$>8erZO6kk9IHm`Qy@U|=__5j9-%Vx?Y-4MJ zd3Q6t-)^ZZJ>TGuZYXd+ek*TOLj!@;XUb>S8V9bT)5p~*n33UM5ppERvTFbu6X{2g ztrYf$DW3<&z8HFyHvyh{pk;bH?%QJy=)bz}WDT+x{ABG%YFMCl#0#Sg)9V0cje2t5 zsq@JdNtwN*^Vk}Je|zKRug2|UG__hj1o%8aYB*$qTF-K3U5G{xdNorixf1}6$(^8n z@bFrXhFG)XHS=y#k&`#k69yPnSqe9An>UKHEdH=@UU+LN50a>5$1H0XPO#y6L*3(d zTMGwWEu5W1e}^hd#$1?4#G`}v;5Ywb8W*Q51(3lEsjs_W12gouF|p{UV@)z3cX*Uq zqaY52RKD3`Z(x}`*55=zH`!^@;$l@rE9I2km_e#rM^1 zd^kVq1-Y?!Rxyy6?F0*7ncm&ZtwVfYY6ah#+H|A%&{3@J z=(DlWm+?-Hd9R#mgu72>PN&;g#Df-*=I9Q4+){g8+vMBB;i)a(IUk8I88Vr@qXT4Rn+$_ zXYicIn=&-d0rL?o$Z`c=>cDswjj9guDSrgdL1+}V)K=Fi8Pp0wYvJo~2eG0i`6|=! zXoHhn(n=dY*Mw*Tr0@CeiMq+%L~SX)V=KxYo}sr~S=5TriQNFtS89K!*3B(i{L=xB zJ(B>g$wlzJ>FNQgeX9EL*5#orc;EkGT%y{jk8Gete0)k()Ifjo6`IH=?{kyI^8}+7 zSBD`=%)vwzcj@nz);hmY#3&77v`00*@zue4o+E?4&d18OWz?$oHfyMXY~B{QaTl!UMD+%J%Hb@ zdkjJ5GsiR;!ABpE2kN5~8de7EogJJWBgn9b937{l;6nob^6(C#>npL|T!6ve z5P?7{R;LI*G8^19a-Ml1s%~4G{d?cwJ?}`-E?qCU#%?`bH(!{;xy%@1U|~ezos5}I zYoZbJRqP};j%%OZ@BAg^zEgL!{mPY}+JZO?C5}B}O!p;bePFTv*>Gfp8-PcVO#4HK z7ZBH&4(#O^W*nu7aoOq|{z!@Rs~Xq=qbn2F7c%C)DW(+GZURlDDbWe_+}5AF(5bwA zjtbm$FnBaPjsw(}@C7a7hiHU4++<`Tp%b4;`mTHxk=MCfJ$ht=*jm5OT+a#tr-8%Y z9P_-sm%XaE>CW+8;565k&Uq0aTiMp@t5rZF9+-uxc88~YtiK;$8gMD!HNc!1 z`r-8A6Es;pc0-}|Z>pAlqj~R`Ha}gZZim z>sbV$l0;0xF+~Fw?bV>x8;_pzsITrjwFAF$wxL|lx*Ol6|EQS$%!5P`I@3;PrBsX4 zLlf_(t|WfB)FC-Mq<>vqEzOA5(02bwOibN{z4jv5yddCp@1k?4ZDH$uzbp!Z+Wg8+ z7HLJQwKp4-#%)a+SkhgJ*8?GJN%FclBCh+~kO96`X?YP7tqo!Tw&gJQL|0pZ*ISeV zL3T*x?o`Q;fqtj^BgPNCDzntGG2=tOT%`Y==zx;Vgzk5qxmhpa7|QE3|HHZiNuhIK zsB;55&nS2L^DWBumtQ(Um5YpBur&K04xt{ti9a~=z-dyLSsTddStz(d@t#wEDP#&QQ^{6uMvJmwoQo+I0S*=hjPINOK(NBkEPFT~-W~D)%jhRH-4rT&u^GnFX~8?F%Ww4Iv8MEoM

^j~R>e&{8GiMmQU{RCCEm4IzRz4H!0hUaJ%&91+XZ2Ay zBLVB4=prEi;d2di@4^ti5ROvtm0J){Ho&r?3Jm{J8uSzZGBHg^y93Ixxc*_YC#wdE zvNC7VLZ>fFwhWemPsL>6k6s%^NsBEVo-SZHOxLSM+kq1=E$H#IiqO$fccEt_U%1)?Z3&Jgc z`-4XMemiB8!Dn<;NaBMno$Y?qejL7jL653>W~uvK^!lQlaWYC$7 zlc!UNw#yd8B2*$_(&GPWMsz9Quyr6gJMEA-4T$89(Q zpy#jX>zV5nNbd=sq^p?L?K~bIe~(trpTzm0-$FOAZ$jLe`Wgz0w}tNS=NpZ5j`h{& z2G!aavqeLid1K_ir*E+9Hx8s#**m015WB%F{AuiVi=leX7Dt7ou1kR|T6u}|5N^$Cx;5eJ8LL*`nC~_%y;a^$RiZ{54Aa z($JG`wrF)NQQJj3p(0lt$EBZr6W97Dvd(?Jliq~-G*H{@^)lg#=N29+qI{e3N%AM~ z;z&WOujASR1Q^bsW{>^eJrm5MXz;A+-@({?kgcN>V#?!z3D?~%W;f8_1j}sTUqiyw z99xO0L&dnZzze{oh}6*)#8$X~1}TKfR$9W2l!4G@Tm6fw-Ewt5Z^K1-+SemoIhrRc zC#(->)H`k2oiqA-VK<17NwLLg2r#H>i3DdEC1m_155IJ>O@u%)%UkdC8jmr21i4=p zi~1I5QxI>1j=uli8H5&{v^II-8YGh5w%iMbE4qHeo*Cl(i|OZP>W!EyuyZ z$r||gF^R~vbwcJKc{?`s4KI-JI%yBmSK1z|%A<8(Wgn7(RJ-9CafQB}V{;A)L8rBv z34m$dgUTXjjFO7|EIn-XSG+KE#}=fMS^bs|&qlwMe$6NA6T^p^6(TT;a!Ei^Quh1B z4bG9ePksTXZR;(LF_p*noesWVA>B9wo|aEPwz>?Qp>w>4wTY7*wo*cJ zx7GW3RS$1)Mp;}I%mD7eQXxh@8~92)@oG8qZGpbVYO7jw$DVrm-*#`l<3Doe+jUy+ zJX5XEbHLb6C-)Y$TxL}SD%67`gs{_`<@cVlnJxn~WE0#$28j*A=KEFh$iN9srHDFK zu9T9mb^?1WD%AK4U3|<30aNLY<0_61y2k%aT4UJ5$(F_VZ4dB>71uP|RMQ<6NRaI* zoXdfmx+?{pOzlS}Q;j{fA2p?>i#E8O3VFRp=MQUBBy>JWDqXth<-6I5m*hwJlKG>L zzg=XkTe(PSl0hg^5k->Ok`KHpae@ewZ-IJc|D(=-)?JeVcz^m)V(A?@&1;-fJaZ`uaj5Kn zhq!6KN(fm2LplRiZabV$rHOao^&c8>AE8nWkJ(fK)X&gDP|wIBUZQAUFf>j?`sEC+ zl{#`#;thMMkM5pAH^@B~+2(ywy)w{{?oRhsBskwy7&Mr46~991{mT&PFtKG++kAc6 zOoR9=GP=VuqonA^h{BH01JozW?n%dhu|b#A3az#*J2*zF;%3EgDiAfDHEJ8)CGWW-WkD9`o^g8aUG*Tj2>q{0~Nji-+b64|-Givzm^unwh zfZlJct>li!;3lRmrO~c#bF$}~LC|T`?_F<_+ht7$kK800F@0IQ5dX zsnv4eB^|Oen64q1DDJI#aq@xq)uxwl$UIsca)H0Hm}r!A3;o zgpT2dy25}LFS}s}0IXV$CS#|#7Gozf^e90+p|N*4<_Kb_XU6ka7I!RFoV4yozEGrU zVXpGhsUlJoy8qlLS>b1VZ;C|&FRE4e&T#GA0(yemN2M*)v3rEK&}yDYAxlifs{lPx zV#>U4HbDo%(w!_QoAAqL95M6_2^@SJ#sIj+uK8NK(C+SWFy5?}2%r@M;}QN^fkbT= zf~GO@zCl~w90RZ_$+!q$BWjR=Nj>HU&K3|DtVhvH>F- zrU|GO_YhJ(T-^kJTcBoL&!b;AqxdXYRE<~CB%?zc*SE6NjUtd^%d$h;B`jGJ2Cm)X ze5u5JRb zyUV2f$B|kzdZYHHD-gJpr9@?sZd24YC%iN7)j9Ym#0noi{6(H-VAx+0Zq)WVYb$br zZtUy7_xc<8|Nm{#piN{#(rZbt`L0vPqrq9Tbvkq_Pw103z-8!K4sf{w=idXaZu!h~ zKp8`NF0*cbi7tKdQEaxa!Cyz4rQFBI%{L%oLU&@Zm~=;@Qo^Uhcwu#`rkk87 zQUs{f1p_0=E$*p|Q;=-)bVn~=GW-VT<%PMej09hg1=U|sNZ?$5{d=lbxuh;|x5(P3 z5?&WQcu72M{nWEa6K>r|a&Y;ZzAO|~I?>4U*Y8(@lji)%T3k6_DuMib=eKG#JN_m^ zo?@n8%?H~Os4Ud3Dui*if|vLTFwQOz&kmTU#Zg*i63z}tzo*z!m~{8vJw@20+hQuM zInYAhbd<+2?MaH)t`J1}aL>+!P_G`R^q}1XYr`e8M_ z$8(#9LJ|VA>%)?{}h28Ft=18ao#Yz3Rp!>Am=Qrg=Y` zpVYOZ8CO2>Bh2jfz}%j=Rjf4BzYqux-;o(=*wa(a1Yf-W9sG2^j_~`@t<;nO7&eXd zcr}4}E5N&fI>G9X!mT+z`ineC;ZY^lTqTy*fj55N>8lm^@<6@IHYsYn|S>QTVEgvuE+PeUdt_?+2I@no&!>GBM&Ry4n8Ok32PWW6uwm(F>z*7DFZ_YfoKEuQ`U`K z#crnR$rrGi?#6S!O0uT%Te-33EoSP$Xmjud55woJmq;4WoWK$*gT6WDlghVcLG`ev z`tE;Y2G;?~${u6%O~)Ko=fQ^~D~Wb$5A;n9i+U&}aR0vq{Gxg#d;OxKuY|_0`wxgE zzwQjT$~pNES5y@DF*1NL^Ex=Qk(1Z`VY^WiyN*RH@`7%^V@1>|Kwn6g0(YCiYA1#F zPOiryf%MB7hfLCne6*YKv z!&YiA*qzLmz0Y^`f}JP`feS<{vjk<&?edMnj1SLdy4|rvw6eSps?^0(5A7=1=!P=K zB;?2gLHoB9iHW1tk*eiCnIhcQyfO(Cb9JLaAe3Qi{&fs#Fgi(xUeDjiQI!X`!YKch zeNkQqGYP?>zSdw21}~sS;jdklNs8rirus zfPV_fxNPR8F+rdJX&Mw7N+A97mdZQqhGuzI?Xk@Mb*ZTVG`oIRbzPBuUOc#5QJ_nE z>>TOC>W{OAshIyvS-;@G=C{GliW2CRMlvp;Pd6#??JiJ8B=gq1TdOX!v zch|~(zNvSq%C^#67U&6xi`^RCBOeTfe&6yNmtVWbfx3IDPOt$$w_l|@ z4Z2adfKqGPER>K?er(*ickn&hOcQ+XfV8<(CeZL*5or9&+4-={Y1dLxyZ8;e7 z(G`_juA}~Jo`DBXmb6@v)-_8XXl6lovIWDGf`syj9isMVO4AD3 z^j0eh7#r9{`(=NWZ>v7KUIbReKn?R{qmiiM&;R<$o60(7ks8>(rr)yp66?k`2D}b8 z86e+xvZB2ckP|SllV(+pw4rpMfv*ST2Jt!8!M6TC2LItke*36Gr>)JsgL?+otj&lg zq0c+$AZ8#}TJMl$FqUEsu!9g+>Uxz+!^m+^THr^14{7xXzn+8Tw^OE-r#t`a&c3K` z)D|ly2RgP74VUh|B`{~W?Mp?rWjnDix7!Anugbdb-Xo^UmZ7e=J5xD7#~gThdkZ6| zp?sUc(2Pz{_s?=wM+e{eOL1!fj0bEnLPcZ=4~n9~wm{;yeA>{#I-@UWXS$>nHu>H@ zw_4lEMmw|yIn*zWUx$0{Xij0aXsw9@q^;&B^C?z^*cusKn#qBu6|>bI8auV}BW`{X zbqSim-BEF^n3QU#XM;KCe-p2?V^ZKO*rA+KRtirgAs~!-*q+rnHw-Lio9OLPNNa3X{*FS(F zXft|WW-rY<(q3rueOS?#Ao9VKSGL~F_~@RbMXp6Rh%yDU19z+qIyaTU!>Z%1+Su+9 z*qKVS+#3cYK%W79B{NnkpJ>wgOV6`*SDGK^7N*6t^sd1^s+Sg1&pFt$7hkcQ`t}Xq zk&AnhVh<7E_y#VAd3l!j4Zop?TkkD*+UnJH=RX|H5$+nSgqSfZnk>ni zW|uA1ZW$mSy^++Ch7+Sd25hE$4#W_|UR4Ly4FC2Fd*68LksFE{yVF)an_M+{ z-~ERr+uvSKNZM0x^KSK0L_nz#`)^Zpd%S8S>*&_b))!Sz(w|e@mS%64+9nlT9ozQr z+wi*gG|*Z(LRuyE|ZfXU>t_eNaTi%GY*67PkGY zS8t;SK@AsXn_TrU?~Rao&A_T0WqL+i3J+Sj{tJ~*JrMr<;2Fu4*h`9ysxU8l!4BKR z84b$_Z$s_-(=Hce---jk;FXClZaa=GNBfoi_&`#HDQ3!AVDy*#NiP;_wPmHEWujv)4V7nRhx* z0!Qr>#bZ7f?_{!ZYAcM z^NQ^y*m;wL-fYZqV&BxH#nfJSOkPXv6Lmb8S^87g`+yT7>s*!}?tm6gAIax9!mo;A z?Pc4;>3=m5ILZ;>Ie%WJMhWGIOed+A4d-9ncxBxE;!Tzpl#7upM|_3#0n07AS?zN5 z8B|MY+o=mNZ$AeAqeEKSiK$~oy5=cuq*k|6>$4u>*Jl_kGzNSC~vFI?p6C-ItA z6X}Nh3p2Z)h83hiiq#&!R=+?j@BE?uC*CX*$Y#B{4kzl2Ap0-N|LXy-9&dF;PRg7l zI&W6|4643%P1x`klI_}sUQ}0X1*V8Id9iosY8l&ootPJyaCp(@=N%qAtr(oMJSEpi z?lUmY5wag~r$IAg%j8(Vo>7(!MyT`dV1$+x5uD}V3yL0HSG`5>wBxXOnm|EK&~5DFf~ zp9>jE37%pFl9n3}{g`~+h~>h@_aPUQuGRB`cJ-(zpk7IQf1!|DQY7dgUDllzUFQE) zSb!I+|NdsR&e@=gdiS9a=Na#%o>UW%BDjjE0>(`>dI2yfoVO1bwbKEu0IoPS0GB9 zHnpeemtS1Iz@(Gy^LBwr1(bb*9=8ua=uVn<-+njtl^&F;B^hBOEJ(Ajp@-=K(5cV+ zAiBa^QoWuI5Ac^>vGvoJ9b0NCnkhJwuW_q1vb1%WgTT;zM~ubnCi!4eicE37Sir$U?IYkTnUK`36=W&)Kb zPIX?kHsWzxZ<4r&x=+xX;^6yvLK?=v=&T@|9R{nGSFH9UrXSS|8mH#Wf8Uwl@NLQR zE!*HqZ}AV#@LDyG3&(Ug=nNW!F40dp8bgBVE0aip&vzH{P*fU4nbV||NCAFmvBC95fC7S7GtLv9A)53xrl4X@itn{0ZG1M1^YvG{O^sL3 zko`o=Ez~2cZxkNBoK#E3RiqYqcpbi?rz;=WIIwnEzHG)8v?sl>v~o2qhX4TO|F9wR z*;fV$vLCLkYwxF14|KOoZrE-?x`$8FKIK%O2z9~+oDxY!*RnJvfe$(-W&^P)BBYKq z2L-PMSZJT>=}`Fg#~wrz>T#2j>Ozyo*Td1zuM=h3~dBT>SB!vKhs)p%=BI?}- zWf@0C(+@xJnjlUphC&tCB$|DnVY);eiL{gBW*C?x^>!V`eBpUl#>@?di$H%>KnMO9 z3%vUDPIPF$oDz7&Nh>y^hl)#1Rg3-AqU+Mn0Y&@3XIX&^(H;KN-`HM@alP>wk)E~O z(3VxMhkS(2X{^o44IOUz?;rfgyX&DTlXAERwWY?~Cs#j4gB$F;%vv26QRb+VO?qJD_AJ2o!cs*%(pUH~5AK?8I@t-q`JG zhk!+O4ddH=V&^nlOSn8SS?Qk+=BbYkgMGv!<}dfFjL%inJ<;z2IGvAvx@lv( zTHlsG%U=!TQtbL;`Nwkkq0BjtxAUiFaCVqo+OI!5%Z)q3joX{gmBd;-H)*R|fr_Ki z+V^(s5_h);ly>cxDC@WLL$v*rSnHfm&<$O(!LmFNlC9Y|bTzFqk2#{Mx14;GgN&s~ zKXA$m=}xjolbN86pra%2$l24q=ALCa?)3=yHG1cA7+P|%grG*MojX7HOIk3#draGu z!q%HJr-#icB;a)TIVtP1J(qsfUY_-8$K$siu@M}G%t%k0YU}lgK6Nff{YJz&lXf%I zlajp2=&V;ReVGHO?990%|L@W=Jv{%* zrAPFL{{PFR^L;M|uaGY7RVg>esFF?*H4R9*lqX~wX+Om~i9O9M*mVfB5S&SA)Aa3c z$_okkUaFJ;Li`-Ja?|b(pM+f-BZohOP9qJ%PJwBZz6L7(;M25QB;MBWz z2G!d~{5y709@fY=i|;Y5A=PDcJw7(5El)`L?**Mk0`Z(?d*FVMn}Ca(V{G7# z;O5AS!Fj)GQ>TM8$UiDw@((NJymI-^6BtLQv9CQK_iWg6U!r)=|J+IOZ8?Iib5TM^ z;Ct5slh~Jj(db!>g^mzbBGZv!J$&R9nI>g7qHDRPhl9DhS_kTF^Rzmm+(+?$K#y zF>y~%g@x0HoGt8BNzu*EeDL+=d12T79q@ZLP>RXvmE#yY4B;ithk^*8gCPeXiB9k%iZW>W*^H7J12cFmiOjOczXoJ@Ogpj+N>D+N%^~9oOX!^i(L>Ufhp@ zKej#2ePk6|`mZHLHdSwcS=5o6dsdF&oGuD?JYPvtr{sQc$-kCWF8aaNXxG#@GIK-= zc7H7!s)vZzNWnCJbSOn`X0Kz9E`_a&k)mu;YToz5A7Vbq^gWMz5_=Z%W{B-t5HlO3U`W9Oi+$=E`)eJLalur=D)y^(ryHH=`*)o77LynI ze&EpM!$+`LgdW}9|J){l`|sU)9cJ$MyZ$6n>*4a*Kae09K*=HILG)i>9hB>~JEx}c z^6-ZwJqdV(hdtxSFcie>^5baueg^C?bVYGW^~^2!by#N5jiuR+ zTAh!lkw*b>7d`X8-iO!k>JJ2~YpblDJ><2&kEHHKX-#psq@q`k!@hrY!o1sDnLCgb z^X^Y4b7TYoawd>rc~aY7+h(K39r*V{;Z)9b^W&d7jkfQ$K$tsh0x zUcyw3=JpE5AD6aMv`BZJo$o(|nD{DE`I|n;;`D?TztQ|Fukr%!Gzck$NbIfKI5$|<^L!4raa%2?t=;oANCNXlH?%J-e)PD_ygc)Uq1$R@(sAX{N5lbVOzZsRKeOXF z=t^3=HbpaIN#^d7uO;DB3&}b`b|a`v22I$7_vt?mR=%J!nml#1&c{xmSslY)@xc|gY5i&WmYRaA9XzW>9UhJ{qT1JDlt8}-c>eBq!lXtcHh1t7+9TZUY z|4i3*Q!o~`#&-(X&=E5wVJ;8r6AndsTBJ9FF>RTaT-o2T<4)kGxP^+QZP2Xv9CsoM z6$Q4;44Xe>8O45%>Q@@oJW_%h3ee#;JNlHKkCOHkv?EVTG;WceXA|t)9n{uX-j^9r zX2LAlv(gJs(v22t6`w+sk5PLn4&MVGx)=>5YX#`)peaAuGYN^o-9J?EI;T8(ep@Rs zVjb!CBynEG-QPLc`?v?4_$hTPEq}WSHpofoKrW*SSzX`J{2r7jcB)pUk*e1mGv;~M z*;;BwngM?`(ErmW(}xoC__3cCOZ)F=5?!7JsoPu~uhHCa9s(w}{9m&4!dm!vtS`sI z0iDeVYpIce*h2?0OW&2x>_d}_0uT14{*Xx8^GX;#J4vs!kijO%DdC@UYan98$bRCv z&e58B6O%2!7_P?tAcZF74B`#rdqT#yh3=(_%XZN!qFSxh3e!6zXlUk-NGZ|RuPlIu2d*-z7?KKt`3`~N^4 z=ooD$(^K;9%}(Ct;~H&u{Xt~CGdghmo;liItntl@9>kNO<=i@)<-%=Xvf%T^qLvLS2eRk)b!PCk%xaCx;Ciod_#UaOOtX#!$$gNqK#NFCVv=Qgo<`W}MM>_o~5wU)l!2Fj3tj&bQfUACO{VB}??z zQJ=%xa=G|4J>SuCGta|g1~Ui()(Iw}vUkTj_s}JYrw78%hXhX8rDY2tl*+Bx4dq6jPk;|({=eFqgdghK%b4wOa#gJ#X;Ossq;VT43PP_Jl`$O(UZ>7ET7Tbgyl(q1t$L?t4$P?|{oPK9qC~K6d>fo4o*wwkk=KAEiR7 z5IcOFy0JU1oM_P1Y5^jWw|CXr<@(i6=&&UDuaN5Z*0#&dwic(CwD{edJrr|LW-p=5 zEf}|EG=0>G-tW!Xit}Or=xhp*Kr9!9%5J%ifwKiC!};1h$VF6zu`FLWxor*=DvAy| zJw)R_64D@d3Q6E5&fTG%KnHN2+5*?!N}WeCQz~fx5<=fj%h)$r!#-YZ3c-hgH}wNr5g^B62rxWhqfNx zq3aIt>6pz9S!W}Tt=uoFum*d|ByJ$MOYh(9GB~EJDW4gZ?di5#FqLG_M{jg$$8s|& z;9hkDSQJK&Rvd|43BUEAi&Y!Ru*<~rldpSvd1CE&@@ zlqeSeRH(p~w0dP6DBVp9DI*uagSPJ}G#*KYcH+quf2y74MY0nL9|T9jd0?#LGzYb0 z*H`Jk0wiJVC&SlKrG#bkiYJ4K>FOtrgxq@1!-wFF7b?K_Q|DA-p64TA=X}8!-&Rx{ zFZ{JW5dHY)u(Q+(&TWIgXR}UbHz`bTCG}-!7}V`m&a({9(6BBzvG&Y+KZDYv% zO+6M>Cs7pD{X04{JNFC+-ZFev!@ytevFXLy=Dw#89Z~yIKOd5!5e7RUt_Cnwgw)8yd5PgZCMcY@fu8Wk*%`RnTWAohC~^xdMR^ozxx7#C#_ z<@$CmC*KA`B*wu%{mQ~HO}BiYPgxcD42Awy*LOUcWV(NYyZ+-!oVS~0{pV`4&6M0FzP<;;{GYO{u~IzqGZ=1Ikg9AU4Y z%kPxOdXFAV!)cnjTy9B%Qvrr!nFWWZz-Miqu2dqBqj0O%KIDlhndh5#Fb{AWdaFzp zBG0MXj$A~K_J6xP4?Bae_UTAFW6U;1`^}cnDl65afV(=YkI7=0tWvPM#I`bcvA3aq z=b3vL7rklD{U|3;$o%=c5}!UU%xWkxrwq`pj<ap<9gXa?ddIjfZH} z1u?ba`5muSU6|>Wi=E@Z_mW{L+7;-^Vt0KT+CKG+1%=0K`}!w3md|SE@!vG|bIKb_ zfyePM<*?iSO;)WCpfQ_Q-0`Q{jL33M@*Up_SH=jMLH7QokQm_?!I6bIN5|3!*`u?~ z7=Zs!(OB!s5qzKgtb7x?8;eV|@W;Q)!jE^nnv>MAf1HxivM8%|=RhV9_w#-ERTUq?*4+naFW>e|5Bhf{|H zOAd50-Wup+WTW6Kve?kz+|A6abpchYe2ab6q2qYR<7??1Uz%OJ;{>kDuHD^EhN((= z{-M_6vJSvmH7+L;ht1De@=t8VD^;zpbF*KOIf`BiGExSp^u1Pok)Vt7{EKKPw(N{m zDnqD*I=Gun!_}1N0vK;xa=`ZS>3O%u>=gK&!(COM=49*5e9});J!fX^^4AVzHhhqt z<7;~)K&y_(L?`ByLWCQJ<9V)60wIoge${iNa8T%c0UmywqQh~7%gH-@%3Cv?=ee0r zP_AQI^Wz>b?fTS?eiT8q!|wYSG50WKH8T{J*2L}HnJFJ*ww(BdZ;CX10K5}{!MKbe;wbOFXg8IJtVjMrv_EZ0I(kY9yztjBNckWf@pa--AoYXP`gxMd4LG2m5E z(fF4ltHcx~$1Sk)I|mnJW1W{b4hnW|xe<75rJk*9Naqsd^5iwjccWjodqHAJ*OtWc z-Z93h#CDBY^78i0CxIPmw^Tj+!+k484;N4u#pDB?9w;4K5*fixG(j|`7ZMxyOsX#d z9DFZu>C560YViFnkQ=K%Fa2`-r%%vVu_i*C#VfC;jZVrdj`)r@Jx$h>lTYs{S- z#9CUk@OHO6Y3R;jhl!0z=v}1(2xrRmvzp+KZf-_9L6%b-P%gdZ!@ ziorke6seHQO3o~AJ=?10Bqp>oW&b#ExHjv%eyFnj+7!=p|FxQ%rL5C(QUNrP(Y3e> ziNopNI^Gd27sDUmroK+0SAm!N%`s@4Ew{N?x+pY~AeXfdLU*i)@XTs9T3z#m(m>xh zITA&1jvZ!j@*TDN6GB6%$#9gnV^?*VB!{kPpLMT3S`pK zJ0-Y31tyLxIA`W2yE<{%uZcWo{}yQB=#(0v8E?;Wm~Q07^8}Bpa5d(gy`F4>B{2|V zu6DdA&wBRUJZSs22@~fG()lb*-sMMugtl9^)$ST)U}mqGj6Swm6i==xyYoU*+4Sz@ zMPt=dMxgs>_q{ZbiW}4#<`OYdMJT2DP7Tum=`9D4L=b_h7N1{GRBS%XiVo4W+XM8} zOZf#Hj(>ap1~axhx4F8kAv<^^gthltW_Yutf#^*z8<+eYLOemO>)GKkL%IMF`A5%y zG;_jC#m)Z{+pRX78X7j)Pn$X)xv(&IbNe(}EFy_L;e-_O+ar=vzgNdNh3lcjbz*bwxP3}Mauh0$w;)Y&7-A3Yu09W{V z=z86IGqc3;Ba-{#m3&iuDMG}q&->`mb9WMUuq=>6Vt_E=BCn@5=OC94t5P3g0p=Gb z^!M_;K=q!uN?0x`xwNcojmc`@T8`-!S#1aj4A4DF*^D!WSGBjYVqz%0XqbQ$7e}k& z*)xI^=Y3;@8(3_%{0>9zR9gbs<^ouq6Hm3YT&c+ky`L+!CHA^;4uF>lvlI>R5S%tZ zTYAo`NEb`Nbd83#Gwaq%MF2322Ib8+?Z?(H>v%#dP-uQh+sm%(`L!yI+mFEkMi6eH zf0Zc#;?Vq#qh-bV#I#RR8pp(hf|%_eQ&J-41m{n5XjG?5jAW3JVqO015v1BR5vf#1 zzlOz=B+UEGpeB^9Okr?Ju5ZdcA%e`MA-hRVAoC~!lYY%+b&L`I7JyWR(jo;D9@0o` zAhiKjw)kw~FUTtX+mU}h3>WCPL&d!^MqM4+Wv_}LFF?BT&nB^JK5*Fim?_#4z85`n z0!!9JUQ^m89_VJ+=*=H`GmFjF+`TmbBeuqiv`xRz)F<9t*@~W7nqT~F+QMx)a;E;_ zSH900N#q1YHc<`r2T!QT=s(*dhVD0!YdN3DeM}Q9puMPW58r<^%!RflK8K&feB6p2 z`}ZRwJby6-zf;EFyb4}S0($585qeBQW&-yyW}uIS;@R8PDJ5-#Ob+o{sw18q4Aeu* z%H__S0LNIV;70@$dYqNGQa>em+B9-Ay!wP4RS zK*DseWG<|V?MY42v(oPp|!vx;xbkcW2=Z)Rh{96KgD<5Hj zIr0}5DmD!BK7{DNs~ml~xtIYh7$`Vswv=Cs zl@2k6mGQCE@1wpTia|G5W_itik>ARGwpjq9_#1baGai?97`jmEOguY?OE4n5-PNw~1sQBsiH9ZgKhXKA8_BopQoiMy)G+!zRBHp)Bofy`}4-Lgwf?7 zmbVRU;#lH-d|tV)-}1|MGxD=U{!*(e4MRb%+$kc{wauxyr|S1Dn1&o?SQ}f&?EjTA zV_NkI&AE3g0ESR}!Mr^CEyd&wIINBCM-@v`AbY9-V|f)kzFdRyX`{u7MMY&Bn&Lqx+aLH`=6&Dbr8%+#-jjPKpDi4+(h4HCF(Sclj83e;RP8DhNh_Dk^&+5`+ zkw2st)a|m{<3SO{)@ywpjG0Pa9^Po1ssMMH>uHPqgp`zJTj{3b)Mq!~2!wB!6~L zCw=1{J9!WI3UdrZ^PsKWTZxt*sw>su!-wA&P`yL0$Q&9sTn+RR@$iCXK-!G1Q; zUhUt5I`~te#eU^l{NOm+G|ts_kE+Lv^}I7_e9*3r*vF~%2Df-w3x28gUfs>T1TkO> zymi<7zz>(}Ty6VNvwj%|fX@V44j~WhFO#xPSE|bzoegt)Hgt*k42wCN@J`9$u624i z?dwJQ0USHy{ju(va{EQpAR`JXjGe7s;M>;lJnq@0PNoZ&DBul_a%EoG>GJ|@MuBKqi zrCN3h-otNSyk8JAW|4a${1%J+g!bA~55e>BvTlb0nJ163sG$JF22tNsV)alRuGYg2 zIVfmXgSjIQXmt9;#yc+Yekb-RAA31xw4ilx^IE2dVO{)Fj*Rc3EarnFlj*%3L7dSq zqEYrott`-juFgESB*<-PM{Y^|<9 zq1U+A!yuqJP8^Tn!+2Y1!n^meiNwW}l9&!*_xPunw$&6LqM(`|wlbh(Br@NhT*%5! zke(1`E%RG{p^0r56)WXAq) zx{dB{_nTHv(atCbSUxO_ZK;;Y_11+5uPRmsl2AeIL;7?q2z~7y&+Zso-U_)t-@qEe ztq9N3Gq%3J!ntM>6dhNUH{8D$xcL(SPZiUqK>+~2bL~@OtT?`O?wL4M$Y_8iSNTye zJ~L>EKQmrI(T%i7KzoOFZnH|+`GzlF!miMPoXuM$vZXrN)umgYq|KO{p;MdJ;K$?s z4OER5IomY35B11(Gp^9v>Fqrab+HxpR#ag9y*uFu{%8*3`ZFzByx%fvmGZL^rK17V zk=gIA6Y^Kk9J$>AFiv7-t^N9BPJf+!p7AtzOZ?%eU~(XjpAQ)~%$yfozQ*6qn581c zHL?R%hivL_G@(_iBugmDxZyE-fkIW;Ob++dr`iKN2V*xD-tI%B&y!YZlHr(!k7yvD>Z14+q|L}d4h1-gYgF)IE;M{tBL><1Mqbb* zPU3O3S$=8t38J=WLmN(qcoXCF+f_h6^7iu5tHD`dr*tlaOsy}yOt)ap9uxWB?P?q~Y_{$JXNb1bHBvo~jK6*8d68 z3uzJWHJq3a6~-tnk?JLf_Z2hPp3wt6LgkQ$`7jl3M3 zHbx6u{TaS_q4qYJa;>O&vjNXOc@3u#cTe&`2p0Rp5#RyYmNiHPndj1lh^_y-Ib|1z zMGyQ7!|UzCIi}@E1|g71Nf`2(wywB!m98_Upjobw=PfUmtD|9rnh*$*&i9KF{cvFq zS4Faqds38-Wh8eDMc3J)zsq-epf4IvhWxCfjgLV|xbxIw5VpOUx}T=eZF8nfsw*y+ z?aFpeEPC{XFl#v7Z~O?Hrt@|Fa;cC`Ixr`c90FeXUpsh%f-PW00Ljl>H7P4E)DJ=*aX2xxBY1f0#&S6K}7xGXS2}lt(eq0;*QIq3CcU1+A%nj>6xzuYo3#x0N~9dyP&IP0$0DcUL2L2W{EvtJ+M{u2!i0XrLFo z6MbM!&V|dqlm81LIE0lPY70Dy%B52sq$Ro7pPSr-X>(>e;^VUDtK}7mFs*wzHnG&i{_)3oK4+fwO`wr`Fx5BOl`S?lS1qU zS|DnjAB3pOsR@N3ZnpR3X-98Dv@e?dR6Z>C;#{XzsyVF123B)AmWNQ8;;fv1B62ruukXrfm!Kv-%bZ@aIJ3kIy$S2}D7$bCUYn{j>wOwC~W%3bO!Zgmhi=uj9(3 z6a4Dmo#ka5Xlguy8$N)v%>2TqxO8GE)fH>9KL$YVx+to!5BvbrlYZ$S`s z0nM9FxKXG^?Y3X3LiyBKWjKfp^bqT9;lN*)LySUJ=EB%{;uGBJV$*V$m_-5^VaDR8 z=knGp&5<@Ncg-?DHz|IRzeERX^UOVD<2~I&-~YGgRfa97I|^maF-l*BQpT}id36aS z&p1&wa`zpWzo8$jSOVH>exus_x<%KynbQ!2h0*5yhJ-YjE3a8EC00nD?9{-wzil(M zt3L%jVjM0eN*4^&>o?dwAaNlbLeO*jPLM5oE+r$R(zQ1hC8OSjGWr58`)kiEq%3|- zjVLvKx=DES<4~khLbTi!XJ&cd8(G27t_-BID=9jL?6=yO^D|X-wDKWKU6z>&fI-)# z7ddIqkYS&fYN703=HR=S#}c5m3oxY51?1|?HY2?m<{PSto*<0eJfO>iz=KUBXtBYT zG9N~juqjapV#=Ezhhre*`&mqSV(#D52n6C42$8Klpkw;wM%Nt2w78)2T!g8WPqq8h zBuSzpbh0N!lcVlKEju>d)~zj<$TRUqGrkhC-xvx3GA(D*@1!LWm6CqmI8ifhJ@|jt&BO|Lm zWA$R)p;m7+Op{j`I0s9Sn&d2SfB!@uSH(j*MBdc}?zko2^&9samTpvkc|L*-NU4M~ zk}0>0Rf|yes<`TZy*t$dh??GvOe}BF0bIQeP$(4fhGe_qiyfp$WviI%B- z0c>VB)A8013(N@6)D%k%T|@8%d)LP% z_O4{WY+@rHxPA)%T5&`iY#}M>n^bRIz#-=a#ja!;wp?bt)1kOZsyH$$-#ak4wNb)= z-DAw{PfCLV(=Gab@|RE;1|V)=WjLelIUP6K9Y8W@xy%Q_Gd@zR*X5d3dS~|SfSn7T zzJP3dmN`aU$76j;A*g7i3iwU`@WRWy7z9M=MWy-CQoIA6W>+!JP9&Qw^j%9mx)}5n z^g3vw0YHPGmoTPG>h@DdHGcRr+*nZE!I*|7D#h%Pa>N3c3#>tzE^n*MNNCq;Uimo zeCY_~GoSX}45(NI*;L%MG*H^Sa&13;?{!t|_-yzlCO_o)6vUBe{e=AxijJUl) z=ja5s2jvA#K%P;sWTRGEK)r{Nh=Ye(S8)Jjc^)np@z8)l0BT(pYp)%WGe&s6l(I8e zs_`aF817zYAYHF>Qe`-~&`27wA|errn{I!%2QOWRJ$^4o85dhTNujKisGB4AVx$tL zJ-hlF_#LlQF#}uCKGhl1JyoN@P1_Bll806#FDTlhf>uVA{SYD`Ghj+>b756llgS8dmmJ7wO; zFd3yMl^`Qrz@P5Fj#oC>{I|pbHUSZEPjkxUq>RfQvtJv% zUt-yJ=TG#^MEQ?xevbiV2dSqhXkvyQWf>+mrk56Z;Mt=MNAfJ)lqEqP_B({O&v;Xg8}>0yFW)ZN}q!*syq=v}z9Q&5@h{ao__ zf6i*cK(%( z=?giJ?iE!Nv>C?3kOQ4OE$&uC7Y4vjU*Igm^t$+5hUYcEDO;3!5U~ z0`}g3L(?9u1xZf@|BroDo+`1TQVwbkwye5nj-lG5*xDvjTL8j=|M4c$nT}lm;g#*ix7()TnQ%~)oRKMo$!m6cuLT$+T%x}+Dvj>dxX$cOa`rHF zVYN9cYj>Ue=XdNbWv%(fMqVUUb=!uvHB4$DXM+(YtAd(6L*1gLyIXFL-9JF1Io%~# zpYWpm-p}=o|3zt~v}iOL<{$>ST$sx2^lJqhZK`(Tg7p3`(5#=okUN*eV;ZM4`op$O zINvJOulKk3&T{z}FkmC)JC^ELi7**0g9EQ6({I0p5ddSmkM|7A>AdrJc6(&Qo5X%Z zX{AF1gCZyod7f7?|K)~}YvH?I>mN^bR{1CQ)1j`OKo7`va@G5)DCYnXT^DN|^?|#v z)+LwkRNiIP|L&VN-`9+55{KSQmau1c)98-eEvI?se@Aj6L89dQ)fNrRhS+R~Ki`vTW{?E9W5k7-Xjic7skcQf2oe(x4 zAOz3>YOn9m%%X!*5H)epp2HHecb@cC7qVKEatTE?mugSYR~H|Si~zO?y3jKb zn-(PaCn78jH4U#{g2oV?SpLHWZC1O%CE}aAcwMGsk-Klv7X6MYfL9@VwXQ7H1Cl*hPjZln$b7OW?rY)w&*T?0tSqIc29_h>au%& zhX&&;|0z>=_Z|n5lrIE5-ox4?K70zmQ8iE022L{A=}GsiJ#ZjLA$9dF=J>yB)?1f! z=Ol6R$TaK8!|S2#OQPP|JNyd7x#p+4=u=9h=MVg5-~I-!%qLnT ztrGWhPq(o}|7sdXGcI4Mt(s=d379zrbxyD7@DkNyAMjsmeAMj+p%f1{5-rosmq%yM zhECsSe=;L3IpU~W>6=>GbkC5(Zg>=3Ic4mhz|gfO$tks8Z6_yEZ>@#iQP_{0h?hWs ziD9upIG(I>mpm3OWobRY*?EQRTd8M(TgcTkgUlq9^+?{`?#$C-%auWgzRN=)s`FrH zZ8B3OFk;mPp*#7(hv=*8f+e?J7Q+Ah=Xk}cAcvkB^CU)Q97nc|_HPB>{Jc3YK0Yb|Pb}Lfo8h9OYs1@~y{0E#|e`k0ppH4r^el zv+t(0e&u`dqk+}VtK5ZRktVXEoi@&UwMFN&{50Y3u@labamsq_*ZcacJ`@ph{ltXQ zno6&vH7RM*yHf!}N7$VIc?bjk1%I>DjwDx7AW!--F|+0kk7V9%7}1D~r`U1uRa!g1JA8 zm*|D-gn0oW8j-Ijt^#p<7Rh5bh7MHtpxT3vS?^AbygLaQW-MPxO(E$joQR5ale~fL z0t;#4>%GVNinCPW)_KPJa*}Nx)zTMy3HPPT?(epyZ=$GA*v)^x z|B`%qEEUb1E4|HbKCq-Od&huu<9@<3BcAQ~D;u#OQ+U9B^xCZqP>5VG7!)wbxE+s} z&_Sqjv|uxiy`Vh}TFp^L^Nib%V~iKm0r`sS%F58By~jEAL@4y0HrOK0FPKf7KuxBv zHt|rFEzL4id{3xEmGWOVR?^(Ev#Etd;ms+bmH_hVSdeq+Eg|li#lVTrXOLpi*bg1| zcNj2cv%h)OnhPn|N8NnwGB^9BRsdS0sgqnC-4B0cBu;9N7%@22dL+S=nKG%Xcb`Ex zhjXez$5g1NfZ~P>BcYo6vabp zc3S3teFFu5z0@NDVsRRyjPo=%a!9&tD_-qj`GZyQ+v+YDt(2;lx2wv2MvWvWiT~bh z_{yIcpP_LC2vpuvj!ZV#I13*TRZgx5A!4qo z$@_<&q;%^)6IYR=jB##Rd#Qf_Ue;nf;&Y5k@zC=l+F)2mJK8{YZH_ZDt3+Bc@Uk_& zrFR?N-KB=Nog&X!t=zHuv#R4+GV^_@)5b$K>dMNS&?r-nCq4_`61=w;$PI6d_749p zle;b6s%NPTpmTo@=gLa`YdK2SP{r6 zB5of@ydrye@#$z(|7M@cQiwT&Q^UFQ`Jnt<3R$z27{fIC+T=lOHu;OiJ2*%Pa^`Yw z^BsRiMvkP-d=Xp%SMR)8a+t4e8OW8H5=$K6SQc~mH=WnW1N>1JjOUZ6e$4!UnDL}T z=!>mv)my6|B{4yQ;_y-h3|9*~`(1guj$G)@X;OWvQR?lE`F*ng8~(zh-l*?sz2F*< zZPejTuCuem;PA@wytkLMYt8FUayuG_Q{63p-RYqaMQS*&QAJh5=W%cM!!qFjp%>yWHoO7P=a~SL1tHP_-Y)0dWbnEW{yhA9q}1kKUJ- zNk=`XBtB+-pnfs=jmW!XmX<2GWgqvVmYF-?Dj3tO4b@2&u=R6!?Q}pq?KvjHm?ln^ zhyQeCo#;z?bc*9%cM~AkA0=NSu=jU=7yNZ-9%G{3lU2Z36eLX<%X^4afs5P$6`KNW zL9`Q1xOl%!xmpE1<7JuA*gdGny{2EfUxsj*!iWp6y^@k0ZG0EsQ425Z!0bf}oe|k+ znv71OQ(!%h_7KF?d=mnvKJGB(QgXd#xF~24>>skbo6uR=zc#h;tZdoJiN6I6RZpu? z2Rwu-VVpU5!OAb3If1p*We=4T44o<3Dav+QDIt>2N`X}MJlr3{*lz8eq5*Rh=KHkt z5W7&E^ct)G!A_0piu|*;|AZZIt^--x%xSznlvUAzdRD&r%teKcOjXZekeK#zG+tO` zFP#M#5*oe`pBh8ZmDIO^&nnTrF5p1Pdd^!wd^!^{GES9^zL9!8w_zI}c1p0>b2PQ9 zCg+Z6SMjRd#s#!?&poNI2N8TT((@7kD?NKxcOFTeN2K&CA2j$bR-MwRyx22T`DIsP zZ4&G$itmu4^OKCZI9ypBQ-$Mmdmfg3aaV<32U6@rU9c=1vrszj={JTF5X*WFM%vfD zcUAE(p7Vhf@L_b^qO6N&u{ci)+CUo+ooC{0< zXj;_GOC+|#G>`vy7f`H`NV`PxU8BYyczVyu#d>2WEkG2rZ74kz97-tC` zCUk^|OEgA1?}6cE&q0#i1jx>nS`v7jK^!Y;aFvc=T0%d+Z|LpyV<1=1;+Wu5jpoDn zznnDMlmA4vi-L-LT-?rnaCvXy!BVi`oGj^;mPOyb1)1_RiAVr8;2~*L+m8-vo8Wsi zgsK;60BfN&wlwbd>{~VPW7U5G8UmKn2YTOP+g2H|XCVLop93kdUL2gD0GlrD-FkT5 zY4NhKDlhbh?=%whmqYP{)b4Xz@v-v;3p1F@-BUBO%z%+>6)6QgUfhpJcN<%`=c3jo zr`qnpHsPDJMCC7UH?c2XJ{2zmB(=XbaSshjTEdOL!?pJ2UB4^$`gSXi0i z#zQ{jtXt{y9F-CiRlQP{O<(3uHL^=)ztb(sa;c#e#%MR`MSla;gfm%+ltk?oi+H`y zpnSmWB(|q=;jJ{Nz^B5L{SRsw&)n53_mtpbDC4$5>q4cy?fUz5sndE!@qyl>oPo@6 zsX(tWN`;z#DzJT;Ux!>0WSrm~UTR_``lH-rmt#2$badZ{Mg`9zV2B9RSSC}Ed$Ovo zgVMdog~=IwVC92ZO%w7EFrs7nnR+>EE{KxxD_y}6&d|&|v&d>_I4F8D-Kuv?ZeouN z#4Vxy)uss~>b$(XMpP`^gkZ^f({*GU9ow{4*cb4a1~Q&*wVAx5=|f306LX<^5bx|9 z=>5{bDOAxVaSB3uZSKT0ow6<9!Y9 zTkKqMnh+I#knxst@r)2vO7h}^j|Sg`%P0W&WW-ZDH1r=h7{)ktsIwjH){O_p&j>6A zIQL;KAT-Sh^T-xwf34m71KS=q7GTqAyb89UTTaepsV(TT7Mp$xkGJ@+V97$M$?w2n zETjwt!iYuc3C^*>03_n7)_Jjfyigpw+T7m*Fu@C4ky3|8DEGlz#FT&v^2hS=g}Tbr z#nQ&fk1)v5uLr63;rSB%o|!#_DwmqP?;`d(RM=>RR>w}EoLFhGGT8A*oz-KiNR~WQ zN~=3P-^o}&lT&y{YsW`iE3la4?)w#b%eR=F^HM1HTg{N$d#F>x#)hHM+pG#{xcU;r z$){`FV$!6E^1qQBRKopyn>DBcfT9cNAg>7v1?Bpze^<)n7X}h-zGt0KcL_w}UqXxJ zR-E;li#o+Rs`3BZ5$k#@zjX8e-4Pox$c{K)IPGEd2ULyc9gFfNy9t9#yvp@X#6#`r zE)dG6lL=)sghkJQV?A-fYv|@gFMx__!_9k9lZwFn`Rd(C2{{C5*s-raQ{zOChXH3Y zbH%sS=Ap>4B*U2sn^aFT{#T_NW=L$ zc#vw5#y+3s_$}-AA#92J1ETROhotOQRGV{L{0Z~2GqkIJjXpePf1NW4M7^wzsjM&9 zatB0w`CU}(TAK;)5%jmsIGM=xkRci1Ptfu+tbg69%VKj9V)H?&4CpoupR05C|IA8_ zsiwBH*rZZ*8iy=7^Ho9)=hvQ0GLM=0Q|?HcJxkeH9b&hgaSXw>-&dur{Mq#Qvo@nD z)M@;ZlB?%eFSjV!xjxf!`AJJ!%ar;3<@=0(hPzK6>SC~pz1M#`cJEgY$5D%j2Yy2v znnA?Z_t9f5&P%0V%a#RpRYN7_&vh%&?AZoS=5cIn_V)akjeWoRyJ_sVk_zLDrPbMu zU$fuQ$}1-Y<)QHM6Gn z2Z#nPJA}mge$b%#z8rZhz;^#8#t<|p~o1!=u zs^FXJ^L#Hj{FyhceWiL&a2lC`8ScNgp*^tRNZypbI)mzKF268;sLq)T=}L7KiWz^2 z?&Z4)7OK!X%R-_!j!tX=+hStqGV!}K`{H1U{`@n-84ydtbp>>6{|{BDcLHp-cKGS!-7QZYG3C7K#j0H8(z{bg(|z z)JN4Q0V0VrSH4|#2V!hL-FZnE-Zh2Bxd(IzK#9sSI4PKypI-Q9@)fwy1o212dSh$Y zRXk{3BZ{kWFbc~#lFAHxra%eGidI_|=bQVv5*vyqUGAt-tFMW@k!&VwGHX^gKxGP@ zG`J^;w$u17Uw>bn+aQXss);ut+GG50RC!!pN6F+T28a#$vYFJT*Rnocb7V>4O07FW zTB4Uls(S!;fI|C zz6Y@XS$COo2CdDtK!Ko45h&Z}ceL2V^D z?Iu^ui;d_kALzR11BI2BUJ+}=gC9hA#0PLV ziS-ifOn*sH#KnGQfb-AGQTuk8R+#W!>A(fU$#5rYcEa7?*F4i&Ybam zQJxjPJF^w=nk|P{S-vIzRw!A?>nKK-s@l`>2S!w?MLCp(>;JkzIQ~5@E7OeXpAVR5 zspS0Ck0-gK!8|LG?6u# zx%<$r<`=hX++*1T>Go92k6lONaea<`AhrS``3ujSprQ%e&x5qs`41baJ(7(Am!=0@ z^lyAjuFDc$^J_yEo6V+cVh5FiA4X`1-8Tw@{E>}{@g zjDkLfPNws7+YTlLtVKr%boOk^=W6YI@IGuP%T?~d6Fwbl6op&*Ld*76-j8LM|Ao4Q zq8>Ze*e+SKcjw?UKh`I>TH*Xiq~oG%Lb20fRD6!O{b|dBPtMqqIyw~sApah<>QtQ$ zSh1v8>mz98ryy^~reFVB9lcx1v<(_B!18a!-CJSRHkQ%ck@dCP`Y`!SoJZ)8lR>5q zbHJJGN7dcBnnQw@@`kQ4lv!#G<@dFODopc1RW!SQvl$m7e%RGm*5!zWmMKYz)2H=w zZ?!uTASOC&_P;Q4trZD5=KsVPWmpZv3gz9ED- z$x-OiD@Hb^FBY$v{(2m8Od<s2wg6e4rVi+mxh+=_oEwK^jvKq>QtY^H95Ed z)X;;?1oq`(4Ww{`Vv+rG!;^o|tK0}mnTJnAyedgpDY4U3h>Mxz4%mOZNcsK9rF}@k z$)ll-T!o{(`2fJZ)i~dX{;nZ+KO~PP)w<4J*{!j!sftSv}lh!njtM>1p`5sIjcC4t>bt=ddgVyi+fxS!+-qX366wkl$1nc zZcaeR2i*4S6%QQnQ+sVvCDB?Be#ktZ{0aDXn^s(LM_ce)w*Zd~gP}>WzpaSnL+UP1 zL1@+m+T*j;lY57#XDFs(@=Vq%E6-{t4;AlUEDnWL6w3V~INOU=-*#Q_0+Wfyzc9qW zjc?yNRc5+uXj{v;1f55b7tfR zTV@pC7^Rjz4&iJ%pp48sb$-d`+br9lpo=IBpl0loKQZPxC>W%BEf(%`#sz`1n=}yb zoQZb8^s50}@)-$D#hqCV5n#z(2ai>I5Lz+wLWe*nX4~?~d?~&V@~Te7#5~_{QU0i7 zDQKZPd^yXX=T$=(wk%X|`TQjG@uy-YTg}PgH@V)ajoV&?M3=xr2AxSbUuysq#D1mU zsIa6Q%bt2-MfQb}nD;wOI5L=gLAxO-^$c4_0 zv>@w0fg~UJOiivgP%R&ar7ny<9jL$8{(2V^Xz;3tI#rw^*K3Ebv@&}~kTHWIF zmc~EA-ZRP^e8qlB>>g*#Ov2KzgJ}|6@4G^kv$X{b1G} zDXywF&%{?A3(PdT`s6~L>;`o*xa3zL6yd)M*+u{U!)}8)i6kBe8}ePu9^^V!U8Hq{ zbkX;%ufaY!N3;n)Aeo#0QNsRU(n!RmepYY#uMwA*(GOx zZ~pyqVkx29U*Hpboxh$ro$E2+!_fg%Z*e7diDexamd+HPT+E74Pg7>S6_(kYEe+o0 zj8C&P)KoK#W798Irh;~sy76z%lSdN$(+j3;+k!d!QDk4c^W?`ES#V!Fta2?Qb@fK) zp0o%j0dAI6?tUWtR?W{Ywa=mYu})l~ zmK$SDN!l;z0-q1^YYsATwfIO3j#PnrZv^1l@C?5_P{WA5*0!RnNhc>$d<@m1HqTEH zMP4|JZ2ET+{Q0>ilCtK`hxsb*-zPE0t(UbXw-l#aOWQEVs5^;iwnTL&Nd%0eO*}Y0 zgf%L*h54KEa5fgvfDHAU5_d$7jZuk1Wq<~3kgjr4ICk*Pa)Xb;Yso)!6-lB7@rkeZ z!xY9^bq>ns!vNJuZ)e`|TY)hbBhEG?kc0bmY^oDITy!vnE%VG#Fz^Tj81Gt_R+Gtm zha>_eFNS`Xn?h@lHoD@$sSkfTQDE|5mw`s^-292fAP^-x&HoK}Lg|FqJqBVdNsCuq z`_BJ87|o#50`KirDm)00km=y5w>a>KiI zOx=6<;ek5}3tN-we<-#&@X$^jQa1pz=91W`Z3{cV@WAxwRNtJjgCuRq)1#q!o?w+4 z!-G}IX}eev39-2q|DJLDh%G(JtOg?6KN5dT+oLB3++>8R)kk89%$CCcy=V^MV}W*d3gnz zR1jA&cE5{{I0WDIZuor=sF)*5Z9ae9a5Ly>4BY>p4F_ZExV;(KPPe6h|R6Ao}wnLb+oU#tDmpRn>MVB$^ z-o^b@(ie}IBiRT>9sm`TRgprq`<0#LE;FMhWM*bUFrgo=s(kDwhI8+yH5t0R?fs$j z$%g!|&049xyF43LOHOfcrzZnV{p@4{gxTRL-P53$T)I4fK+`3R#|9ws8aJF#`n5J9 zxF***%z1$H#W%Cs64s;Y1DURP6R*8_I4393FuU~w`dC-+4T5H;TGG*GMJ09T*L(zr zyKC!W$7Yj{O}^}Eg5QmObQoE-yz3VLtYcY0cHXz5f{LZOVRHe2n@IxqT_6=t(|d(~DQC`N{Vq%ZwQx1=K4~22oRPsev(G70zN*3xiD5!67%3luGqi zGdansgW_Xyv)I(w04a-R&J6-sw0SsT4*}999v8Fy(z#1Yt#)d|Nk_ThMimHTJ{p=;w#>pb&n;I6k4RChOhVzF^TMj#m6uzxXVXK}?d%o8F<6cKf{ZePwMkHG>M!4?6 zH(9MCAry(0R|7yD>eY-Dii>6hhU2Qq&y@UT2G~@-Fm+?Mc(NgaVkF`?)KDXtr^N=A zH3QlQ@>+I9ZU^XoP~WoF{I2(v-F){5giv*4(1R^5;uBJmoK}sG=rz0eS0yO1QiIrt z=tlmgNw=a(&mEuR+|H)3SM8is7EA7z^ElPSuY0}xc3;3YZQhUdSAwo;5?3Fb={y6` zC}o)2;xV8VI<_G4R}Q51=Q}x0D%ZI#=g%3gM?=?64n4uBztI@i(B{!&(v{(JR+%d_;~^J|BCdOp3^v|Z|m>4Sfmc0@wj)y}y=d`CIX z`fcz2;TyjVu>P`2;ic)2NY7bS#gOM-sUL?@ zw4hB=R?LYEdT)LbShXvPIdZxbMkuHs-NJ%^XgFfp(4p(4>T>&A@WIXYyZu7|=4t07 zxy@Un#fY5Es26v`!`S`C-ux*|A8Q_iB`l-*|GR|yYdbfl<~}Kg$p5ACww9UDxRC+n`FACMVVbTwQVlqbTj*aG*)5VFoqL$-^o}R}m+uV+%uEjDC#fKLmg9u)w&l z6EpeRhjAeA6V!>#A49BRwi@k{9qZz*#N)6>rrFUH~bSNE&0ulZqmmvyRR zXEJLVb&{jA!b+tfFL(a37{ZTx5P@aL$TJC>JiSc}xTbA%Y1m7JZ|W<$ziG+m(ZY5! zaJ^blN+PIGYQ4is8tDq@QE6p&SK`)F^?r6 z%&-^0K+r5U489u3gPc9f289*7oxy0cZp&+5N(vZYRvxYeYab}dWbJxiA?&le$?Cyf zX)TIsigzVw&P;GlHw#P7exWj8wgxR_0-Fn&XT@#6V8Ow3rNVyIGL^$yaToJcl3#6{ z6$RpxW6OhT51+`AzOx;f^~PL6EHbuannDh}vO z{m6$n)>-$(c>5lbq`N9?r-Reg6R$cIIO)lOkR_RXIrH8g#IMp03BDp-@=HL=CSlH( zIO@}y_FyH|z#r#_XX(9S3y0FGOAc|G=*IJb$2#(Fv zk1&*!vo;G31Vsxc#roQy%lq`*k>vP{01f#y_&(SML<8ECmG*!y1P?1om)dO6HN@o{ z5TLc%`rRtfogj-3&gBgQb=s^5D`z$hW8;r+Mor9toN$bw2i@;8+%`!D0Bd=hROHYyYd2Jq_>`H??3+xCzNyv_X%RqJn zZo*ZCm7O*3H+=_L^9wzHWlINZ@Jbr6fZbh6L=2&tjm!!=HHI31$~HETTT`!+o;pbs zCVp@jmkr%1Z zng15)*)%g(<8Ft5f22#-sdaEx^^S28B5(nT(R;YUAdeO&uL$$58d6}{cGGHXKsk6L zr2{$P7&ClqihFMF0SHW!miPxuAqcW$%Ye`T0aLsKs5K;5d=GLmbRX5<;>J94S#wS& z&-6?YMs-=j?|El_>}Ye5|H_*0<`uAS4&+oMZREh}BD_zxFj28V#lj0G$D)q;1_0T| zcX&$WjoOc8vWmOU&h-bD|2l$dm$XIBDPYH~yfC`giMIvcKD=srPk?kTdWzS_@yn<(({I`!(a`yW!YU9*cOPW<0;}uN9 zpJKC;=Uxc=*1dS?0x0etg&h=E__Kkd>1UEQ(OcB?pHT;xw9T<2N&|juq1z>x5Inj{ z%8OI+E77T2JgTGEL4^_51iJtKV*H|1aanfGJG9YPXO6J1NSN2~bUv2hzeI|boJflA z^^Z|L(Utf!8;)3?Q$vvSrlvymnk~?0PH=d03U4wMQ<(yidoV zDrmZO4Imis60kqajAlhET3-UEmDnFCI56>xgcuuWg(zUex_bXCYK>flj=_-4ZcqQR zSGF!uKO*`gH!>JI2PR{Im#)o3@|*g*tHPtrrGk4oSvL^$wqEAdTLYyR!+-GGSjCpS zkU-jc{@u;>3D=CI`=$HxOS2cagYV13RIX_#2Gca8O|sz$gv*icIa|wn6qyr~IIbfd z`TA`EWSGg&B-nkOy%Re0?Ia>YZa3VbB|LnAMpTB+WS-dxsK(=%Z3%C1?{UCeDu2pf z`IHE_JBPmg(Pp?z7t4wDU_e+;d0wfy2RP2Lz8!7ysdGxpx2-J=dc{Dz#Q~R#5@-3g zwv7g_KU0IzV%fB6BAjXJRnrR904PYFnLD%*SH=OFYZi>`H$j)&ebZrWV zu{KS0W)s83A5{z{Yxw*osG!u^PY4D}k5Ml2EzgXzbW9M4A&Zl_cZ^jx9@6Z|w(hg< zew}acm>Ta8xwv*$A@Q?FsN}$7O?NcO=Xu86@Nea6Fw*vH%lr`pl3>#7biHn`^;CJF zz_ok-vpDG~x3+ZI^p$B5sU=g-i|?b4Li%Q7Qnf+Qn(>Ely=@zmE7MGS^`eFDLmPmd zQg6G7lp{|gdTzFk=$6*&_`lJGyd)))o*^dqp{2PVdupSNsz%Tg32km3vRims0zmP_ z>LpBhmEKqzhOu75aO20MIUMvKTQYYxJ^NjwNlLa6a%=C>`8TkI`{ETU-4sH@9bb*# z>BNSFbH2`TEaCqukhZzzkT%l03{xW1eun^RS=sm?<+_AwD7Zp9dWs~L=-QI9qP`mp zDD>BE1-P#&uGmp(WHvGaq{JFrUHY}g1++;fwm@3rmfqr_*|EZg*LY+qZldlqBcW5K z&K>bU7SdBLm$^$Qb$W@U2)BtCvA&umI?mV+bXXs;m-j)- z4GvHOd%33gmB*I-k`sWl)ShXNy}kHa>QG+O4)R=cXYa;*pgY@v!H^j+q*I$-_Tw=5 zBm7YW>L0dGye--9lKqK#8Q{)?_yziYRf;Rr{vRKecX}3Q6H|T5`=|B!_+H3)>JH@xUv5@*UjIXbUnO zv`CJSyE0s&?U2M!NajiZ?^`43+!b?j%YtBzm_gzdfnLX}X{ueE%R0j0*hkC-pS0PQ zjTt)@S)Vjjtj`HK{1=aI&zHex>HE+dXD!|ZBfYlZ3hUg#*vMb9z-M`gn~Ec;CxdSF zKd{wVUYA0OBN=TITy3ZqpyDTctnXPGQOUyK#~vdGJb8~WmJcR%#-qG>c-1-1;M}!1 znJ`u{o`~Zk9+C+2XiHUKZXU`X-P7C~NyWZtI`Ca%^Im38*{xhUKc_1OTq+qhSK_Z@ zfMhxLxEINRHlEk9=NSMkov1B2@;!}m5vtvD$j&hShsq1|bQcD@e15Z59MGs`Pc$c2 z{tL1*4G8>uuIvLtJ za-jC4y})@DU%Cg)4Wc-int;qR?NO zoPyxAG3I5O;x8{aMy8mTeHZ7X{6EmUv~vD{65$z6qLU4+0fuB=eF63@!VVU+6{FXmkW4)FB`(ejSt0E zY^ququcr?LnZpVF)wo%fUUn0;F}FzN9m}sx@mFtEei&a;cy6`(Z6_Vo9Cgrz!FJc^ z@n!PfZ4CR*7nAWmq67H|K-itEfHT|*5SC$-?FMGiz7m^2r!gl7lgX^g?$7)7qB|+}$*hPj6&hQ_tI8pFe;YrRkb7in^vdi{#Rd_D(>X7dw zX!Weluj5zvm5U_)Ndh>&Vw=tvu;ORmCH`R(&F5YZR3`nj@y>)X>RD85l>jsjs4p-3 zTXPG*O-6{dybj+Rs26LKv-W%|eKKcjJy5SQW8Hd_eSAw!eyxtGSe8f2=>J6!S-fHsVCHK}nvt`2kj<5GL(oO{zg(NV z*W39H5oj(DoH5SXIZgnZbqaS3$BBA@XWzXlEq#{TRTo*Oj8%LuM<6+y%>rHWlEkDH zTmt3q=wyd!8U7jG6pE!fwHX??`rVg7$b7_f`Fr!?(Mc)8ldCdxLa9T&az&rGEpj3C zBk4)%-+;yzf*K`a$n= zb0CW${VwG`7#&#TY8;#>&fq0M?bl_TEPxa;J4`0{Nz!(&n`)B`CX!Tg+M#3WT5IB zCY{Q4j{#*^f4IXin7^08yK~?nt1ep1`833^+(P0o_lxSlK!!JL#&K`hq`9vJDaTQo zNC}qDckm6>I(~fH0ICT9?T1f_Z+Qb zNbv^_Ord~pA%^G;${cFf_zvo|bCL1{-GTfPRnCd<>IB zuuJMc*_)=dl!0#T!>qKKEg)_a-)!oQk>nCd ze|)14YgR%_S!2uOU49@cBM##!3W$~3t%Q?;2`x|A!MKtI1nmit3xqi^Gwc+`hjiI4 z%_WNX_ApZ?10|os^Q7PGsn$W>lf$55ezi6qY%>3pmx=#Sf3dIAi|<;8iX`~C2DqoN z1OF}zw-k?nv0i+VJ;2O6H7hCV@DNU$#qm#SC_X?R2YfxV05>S7UdeI{H467V5^NOi zzOF!(_WK^F7H}XWQJNkllsQ~kQk(u0nh00(`>2CiCZzEb)1bWN6@E8@SKaTMIsIB4 z0$ri>qsbyw{%!N;1?3anJ^HIxOh3Wal9@#NPSE(ygH7{uU!Z)Fs~OtfzAz_#(ayA? zuDW@_gFr_qT)vd-n)y?m&tdj&h)Lg#t&H>G+9?~9_>Ah39##JJ)`Bmxr#dM{kF)=r zZ$h?)ycU4Px#r@l9BVhp#sXxsptMzvh4C#Kln!&^cBoYj7}ppv%hGJEjf$jgiDK(N zvV28`m}V!C@C27>MBE2zwU2=@ye1)$|HcDCjrb$r*L34n>5IFLvUQgfsI{MUE~G;;KhP>E+$J z56fq)avW>yd%wtfaF%d_7>0pIWO>vck@Xdvfl71zVR2Tdf_}$EYmOE48(doHr%_PD z);J3x?AN(KJN=GR191WE6vSrNW{ekpz#XdZJ{TR)#4B9Z6I3} zey$M3)>rTN)NaEFBTA>Kx%rKy8tbk~(Z9@s1vn~=GtIph4vM$fa zbc5zQI6IIGMT_QQOtEt2qCx|j&9OE>uEgfcV{Gzm7YfWpaE9_ZhUg8s-U=YXD3v){ z=3$fHG1iqSvlly=9?*(kT08V!wA3+SFZYV3FsMQFnF%?)0Bo96I2GbQ_ees5=C%&xt zU$%xB*;#fByXsx&SPm27$1;sP5ITyjaxf%084mg?Qv;Nh&rip~r&D~Y zPjb+E5J=W2GNB-owXe|M1YW}#b0Zj~8`U<90fqlk9>ujk+$}QHs%FJ%AmepA3!u{NL(igz;2w@v@ z*gcJ_;)tev9*XLeOkapdBh836`KDB?0H9$m-|rWdohz zP3$$p?*d*ie;`44?V2yu1=5Nu89S{qd8zr5(u|@d@}A6lot0iV^aeJJk=f{qcnJ2Y z;N$!3-4a)tG6}3qD+hMo5|}|#_rZ7lqA7&w*c~o(?v+R6rC_)${Vi+x=rF`z@Vs+) zVsv1KuQK8TtjLB2n&k;%GgIj+qaIJ126D4A4F@L#y+ufV)kj8xCj$cEKTfiBF?V$_ zAl}hxhZ*91NUv}d)$Kwp#Y4N~NPS&*O8>4EZR0X8ZYPbNRW{BNi!*au&$0oX=#N@zW$Ydg7tn0OFGV=W6KL!X^af z4V<{+I}e1@^~1Fd#})(Z+aiGv-(Dc(T9}M<)Zq)Ld+xwX2av^HJs zw)RzArn>q1r`4Xxn`0F~S?o5!^u>3;CIL8l(_n zBJ~vjYr*9k>?4bL*KNZc*5j-Y%rPkSLf3NkP`S?8Scz3(jNi{*>bl$FQlk+uNrD$l z7^HyPDew>%9n(pve^yd+sezfA9~#9!Fm1ASA|7q>qn9hH=7C!%WEt)rIBG)rc#mzQE~WEog(B|PaojaNS#TXP9j(!9v3uCI6F1O}3LWjR124&!91IN*ORT#uvL zfM!BxW9=F|U+J01^oy>Y)m@*XV-jmc8sI5CPe5BLQ2vFXOQ7%=v zjGd61Ed7PPD@`wB9~5$4Vb|EL!78Wfgt~$g?#dJN9r|_6QH?r)E>T;KL}+inIDnm^wgKGZ3$O)XY>ngaplCo(99?R7vyT zeW!BwD@|CII{nY8Eri9oM*P?_wRcCsDNOTI>Zwe*iLIAGJe3fvav#p|NlUSEPc^&o zekm5na{@am>dJKeVyY3yDxtf-(zv6xz)YSLBmi65Xc_?#EDCZ##z!(H9vAg10i%J% zf5rtY(w|2DOoQ{*lq81l1Q68s83K{)->~B<0Q=dU`Oje!BwNP*w$*t66yt&Xv5K9L z|G1V*I88a%4t_Gw3+kY7vtZm`$8%k?ijQ3%L( z4`%(aBbc(2y?Z+{=~-eE!-CmFM8@6ABdqc0i4R+;X@_8yB}(aP6iMkqg6XBd0gFoW zI4#=KlDWw0zca&}2!s^0ItRJ2EJX6~KrF=j79ABmDN(V00%p4ogS#3+1^-3&N_2lb z!RgzWkmMANsb^)!Q1qy|*$S$=9JQ?e8{NcKkIDO!X1C*TQvQk}DM5_g%ei_Q*!q$w z*#BETam^m@9As*9Rw1;wA=@zX`gH#Ua-C&kdZ2@tq)E67Z8E zIVt1az)EN#FmJ|~9|{!@caap~S*cIm(yRrT(zL}w8?$0YZ$Klca+$gM?s16|G;b z33dg8`y8P}{Ih0HO-qUXbben&N7O`Szsa#j50!*<1~90%yPwtXPX&7m)haqUVhKCz zM#x>gll}XGU`PO|UobOFkusf$Dz=zOPd|>3Zqw4~Si06S+A@beCw+@do(w2Gy7;c; z=UA@UD|eZWvOdqN1f?4GRiC9|wGDM6#l1538`7=lMf~aKJy-R`1;`Sb?7KAi~lY<;A?;l+5`@WyutAc+*6$0)% zsW07TNP-S3PJ~_G0R%J08Qm!12NC}=?17<_V2BO4jVIr_`WBYS%xp#CV(4-%J#Ra4 zTR&iXiTHbaZDWCWUib3pZQaIz0|EJas~=EG7ZvtxbPtZduTQ@Yiz?FPIF{9 zxV|=7luE2#sqynR>u6*er5;u|Bi%A7&#-ymq8OW zO{&)TIs6GfSEiLQb~)p9>^GIXmt_U(3P3mOP?=8zCn0_IK_5yL?pvjIn; zi6HN#`UMXY%WqwG#2FU0-`k;^3AO$WdGzI$7QFNUGFuAgatbD29JAb@8_Zl>a(ipg zU(Y!2pVE9ves6`wvjcKiuh(}ilFrbG1Bc_dav6*6CRg8MQ-vxA&DYbVbjCSO06ihF zL8SYMf}BN$4{x{k;1$NzP$m9?ZQf_aIb9Em@kGf!FbFI|w6M_ELh-#7p^AVx6E-c$ z#z@jzurOav3fb=gE@G9imG6%DENUb>I=gq3Hvxg=WpUQutfsK&hsi0nZNks0@av`Q zg)?2Y$H!%K1E(MO+Akh!UoIqdF&r-*3-b8o5nGorQ!w-TqdCjsRqM_bq%1Xctj#j^H}RiJpgPQnTU4Wf5QE-%}?@|byt^n3tt@#c6|PQh%qL-mHs z-k*TCj3ziiD*BP}Lf`VILA71rV z>^JE5++TRgSCLy@w&wz8Hs^q7Go^#4Xr`dY!dy>vDdTD44MXa6#weU=ogwLb?4)+Z z%m{tiSdUWeD+ie#up(3T?0q`Iq&wk1%v74GHkWz7TZrkYQb*nP$qSpCzeJ?>&{!0t z&A$`BZSNj2PS_l;+^0tBxZv}-d>r1>xQ=Qms?scGlO3%z0t8wJuM!Y4jeh~=M||R@ zXRmREJLs+5)I`RQfszOoa~@s%W<)|**++y_AB!9_ zW%FlG_Q%`GLe?48Nv&#vV|n)nKUvXt>Sh-60Z?xd_8>VJKI#gT1?(vJTHWv;g31{%=>wtrabj^PF#2f~{F#+Ec zU7$$1PMkT0?)7Pu(&K%C8W?}zK2Tfca~K@G7{5H; z$cM~79nurc1e}hq&RMik$cbYOi9UeT^PnkFk$>NEh@wF*I5<&xS!}OflM%owrkGKx z4K|^04LZ~4%0NzX@;xK4+tY*JNhfvq=Md>mg6C9?4k2jvn9P zyf$WRB6I9!%`{E2JUsCfUX%khbNA!m`jzh?8YC96`?$%u=F|Ssc8gML9B)`ns~*@5 zWDM5um||pqd{(l|@IGwPu|f{%N-z)7vUT-*Wu7o%)hdu7`r?(L(c7`a*KeAE_xiQC zElE(NwmO!O5fC@OA-SIpMd1#(jB-^adD(0HBu`&_CzMK+(orpO`&%@1UHH{=dm?H# z`bj$8tpEP55~?Tjrt1jZ-V!QyC{Mbv!kfiH8GstkG&?@k=V~uOqj+YL{5JQIVNxSx7n2w8(hX_+X!-%f&SXsdJq z6+Xh%Ox+fnF|RA63&iKgtQcTsJ8&T>X;XLs#wH}K7WYFln}-0#$uqb>eDB_;N%}GV zkkUJ~d2LZ!(J`7=Z}Mp(<;0m|28|DJX_h;}l)OihXjx(p)@jZE1o3h)MH1Toc4i^s zWd$G&Xl4p^ELu2oXIdUV--IN=Fy$?2!|+!HZ8rH7-r0=F3yP2Aw$s(+e0bMWf``E8 zneFP6!I{l#sznCNC8tEDldJ{h1m91Iu^jQzWb{1%+>f*i*B+`@$VGk{uIUi?oyvvp z;G2nA_~ozIH1o?`A|d}<|5Mtpql;S=aZB}c4@&8=n%hLJgCq1PTi05x0o)FBpwrw6 zfXISeh*HUz%i^3_BQ~RNp$_vc>^`sF%!Q14z1(iIS6{sqa$m)=?f)eaBTzdr0Hr6@Mb<2nU##4`0gsWal-S( zs%aCO=~mS|4mX_VS-`qnFPe%W17PGEH-Gy1p|DQ;$lWL^KA11OoTzgkFN*6m?DZT(=vyIN(d^Jh(KyEAC#*re zi%d|J1?>`~V%Ga$fNGpQ?b`lL`~2^DDkZIDvhBcnXZ*}ufUc8i!(x`8Qfc*C>$|&x z(RC6%wEP$hA?!f!j=6>*LlCcWMX>cTQ2a^)2|wPA5wMrU=m@IhGw}Ato;K|r60*PM zjwp|MhHRS(;d$;V$}Y$E20A%QfhRY*67zuLF(~u*gB?>o_AGFZ?lcJkGjb^JnAgw(&>l&k~csrAlOnsbgCw4mR9d8g8*|fp}VAVqs z58sgg&WCkIstmVtwL%|9Mr*5EN32$v-583_VD5jWaBkgB*c{0`itTDS=Apc|jZ9?YiJfvAHf6w!r&YzM*<^~1-bhzaof&L_wBN`)f z{c&0gwK4Lh=#RYAuKnz3$#XoXTg~wABbx#oZ;*M2)!upcmM(j#eP9Js_5JKsr{JV1 zo}7Dl{g1xmw10cWg(OeyFk48MrLlm4I?rh^P_H`(N9V$RcC|1L%GGo9T}MsevH$)8 z!*E2_F>{~EK5yrUwORZD@Az-m<1>4c*vyNwVs!fUvp+nvS)ab=OI&5yC1YJtTbb*B zXFI}kxZK-F-sKR2Njd7kgr;{0ac@bE3$4s{zNua`Vh`-O%->FG8}*EHq>LM(_${W* zqWz`T2S4X2R(c-ne3mT8g3=w`VU*ZQXLhAk?bL?f^w9>H&i6l&qi=ivB!iMCODOJ# zybAy+Ee&~j4ILy$y}1x!tW^_P_AS$ZMF*(K5Zwd-?<+P~yjc$xU;S2o_z|RB^W=#_ zH~wePMo&uHooM_LN05If{}6U0<2tGIbj-Ew-s&o(qyGd_$}*sEBPeYmJ5 z*PY4~WA0&+WXxUo1^b6}i1$VSi(Vdn=vz}%;Ba9CA3kX}aOSV2xur9A@t&aSZ#p%g zRgpQm?-n)hEaBm5fCAz0Zc)E8*g3WA0>(~-@>tL+#`@oWULew~ZO~B*VVk=L6nY;) zPt6f&EPIANcxO=k>rY=O7M?FJh29TQ2zVcT%oqUe&ZfC6It7bJW zkInSBSgL#s;58^iav=X?0}Vzi0Ucn?ULr19(;u_bINOR~cPRtas3FH<7aG!_!CRk+ z*W?S0-CGepZG`Xx^EL1oeDJxiXH6Urs7t$p>P=yuc z*XXz3TP7VUEGt=jbsip)s4Xkq%tM3JY(6i!9FwXtOO@kb7CO{#x>pf&{c|yC8&5J| z7oH1XL!YZj)nq}um7ThV)x>4*PH*u)WQX5O8WkUBEF@>m$TI%PX4{QDZPHRjL;;`X zLGgR0W9B)oz#$wjR4=#m;sahF*=+H_pN6?M(v*5OY8{^&m@g<_@;r^&a?zr;Wd7qy z>9wsF?C(2<9HGEGc(n1})kQJYHlO{|ncdK3xwml4wnW25=ygF6$)4zw=nA({fFL2t zCni5egl>}``h4X0-{Q}bC9pJu)}%5Osy`AnV<`qvGnVxbHKPlLw|~|yE?;X$o!jIB zJmqnN=tA5I0i{rOk2Z-qj~%B$-#+k5{B9o2-6&hSC3NqTjP~7yclT z&kESIViEMa&|PClW)&E*l}WieS++!ym1roVcI@=UbPm(7Ig~QU;TX)Wf^YRJG}h5hs(e0KS&oSzMU zBueFnBBtm0pVi;Rm8w1szFWJ%&kD)eVLq(Fcsh{3nUL6564+}_5hN4snq7nu4%h!E*^?s&U2?$D6~lOc7vwo6dLRY=?%oI+h+-2HLTthnQ5>v8;B z`NeACib&wbIAi=TX(^I74vbz0Z)bp$kOiK^hJG!TCv7-)*c2Hs9Y9=v&c;5GTeMiE z!Vzpbg9dxKU=4lhi1I<5+>n@mMJaXz_GWS|I5q{&xyV2p=>+7@nFZA{ zcDxz7LAsfy&AYmH>;`A?`968GN(T35v*<-(~EYswbw zqe8ag`wpb>q4QgGlQX{PGYtav%27!6qHBf_4MPX#E5XQ2(fH2b1`ndY{ExstjJ%pM$4b>( z$Gk;kSjX@y`mTjd?~sSYS@`JY8Tcz)(iTR1?41#lHso#bzg)bQw=)>xmPFuAT=hk;8HN6VimZ7jtR*`2m8Xr-D zC9M#Uw9dv3HZwfKOnfsG1&%!RLOzQRv7UGlK*_U^GJUkBi zNo;=~b^P!_aX}_$TPPgmy~poVCOuwd=Hb1xM_aam8p#Mf9oyLV@x3GL&z$T3%`=UcM6SoC3wIkVnTrXOPd)82*PlS)5x6Zn2?f! zKSB`1y@|c()ghvf^f%E8>ba;tE4p$D+_3-c9`vb4bJ}-vcld5S2%_LX=5Vs^1k7j9 zb)jqnSt^jW5nC8+X|Tux6m_wpK?GM4fN6aWAL6A7xhjk!ZJ6!%Cs4$irqQcI>UVf>)R(QcL$Kmc#f69%vb@)NV~Doer>Paf!puH zDUJ{4-p8i1WG|9L!+A#K=to>J$hh0M1Vrw;Nk`b3hm~!)F^sxVV)E;cVMJP~vT?pL z^1;7=`M=}^Bwg9h()ef)82tscdp<8PmA5@{+QxkMSGv>2ha&k0&1|b-)Acylw=_B@ zOzEGVd@>m7Yk=t;;>>()-r+$AmhByST0?HQ1SpYFn}Yk^7Eoutl4xn234l*q%;mD- z*VB-cI_(;={}pT__mi(12t5MT-#^xYtOsG_l`?_1>xR2b zY=C@s&lALpoEx^EIRTJ=M0_F$!TyAp0wrnz78h0Ay?otW3*2}v^bP@n(rEF>`C*fh z8h=51>kJ%1Fwex)=6C0k@4vJmsnqjpye{?Y^3;y7T$a4%-`on+hI^Q8A`-D> z;Fj&Hn}kEkmYzdp6x%w9RnOi_L~^7lkQLaRLPDVpbZo{m6 zQf=nShZXJV>6j!-Za#X#C7*=HCaZcYz$U+BblDZ7SO?F*`5<{V549UBX?O`*r4@s0 z2$mM#G+)K06;Iv6=eqzi3Me9VtJ~*XeFvE0rebm}=_SyQ8jLHRn^mx5svRIV&-(vT zaWd{}fI7S4r|$qcu-i#LNp9mmm(;)r~@t8yFp(xW<+ju&m3U}m)-3xw&5-> zRvpg}ZQO&xob-tM$zCzb!fbgC*v;ESRLKV0@~2u>m^Hf?j6XbHMAc!9Z9j*^nMdQ) z4c4vLvi#Q%d&j`Y9nHpaE29%wiY=$5U{MyRO`G>J!3ehiQY=$*yst$*jx1iQ50=Q5 zRqJz=62%`vi=H?7Fp;lU{Pk~>>g7x4M^THccD&xlyg$orw*>b{Q}WF``wDSifzQ$-(fa02A7pm;awE{6?Nb^bcUNeVK92)+RkazvRYG zQPrqgB;4e`Ku=;!tWlje&QgtYAd5S3*Ik0wE&5n0F^L&@7Nobz|AX*aRkuMTaEBYR zTAi3z-_L#j*hY<1qYBZeSs(sf!q9kIB0>`EjjeiH058q( zI!LFN7q1WVY}nZv&<)xCP+>=;ncR`98hf0Mg>>F97oF#n!d+FI(cpn5YdNqz0(zct z-x!_dwez;l*dP-;*$_URRffbOJr+9rEsDz%$8ZPo{++D!4ci19dFIZp*e!fB6RbV| z3u~+S<0kj+avVm-d{3m@)=ZFwvH0PYDmsL?k=WYE*UeP`_8SKr!3-nBq)JYnSW+(6 z?k>3qR^l&RqDkZO_1$hTBrI9Ml{h||`1Jj(b2_)Ex-;ap^#T7g<=q7}e1kT8{)i3T zV@ghC0~kX>q%o3B2!x#8ufTo?(gnqrMz{;<3wYH`J;tS>rS=u=&2Mg^ z8OONkF$dPZmF>!q8QHg4zZNlVLTqaoSvBZYiSN{?tL_X{D%jD8u-=AbAIJ5BgL7SV zre=5`un4Jits*5j_SzGN4UI_^*11xuoehlC4VrC{`$sI%_*=WsVb-jvCXtj#IB~25 z7RYs)s&GoJwQ6=jX>}J>OE%QlGWY#{>aW>j!pTM;wHcs*WA=RxVs%kNgaB6RP)FU1 zFDfsg_$qRHGK?F*v1(SOVP0K@sl4>P1Tc{X4?L{ZDz-!t+!7XlN=HScx(%HNrghp( zN|h^=kv5An-k}v>ljCUvao8{`+_|^my*2)!X^#VSJoW;*zIwExzB)q$A98k%hJB%J zBf3BTZ5M4%Vot%nYg;%TFAZ&`TJWQpYe33GG%;o3z}D7P(#Qv4R4nzW4T`bE(JQx% z0)CkF?|xK$gC$f#Z8g}yIz2hJo11e8v4AJZMqfqB#OH}7Dm=mPhvrrVb*1~~b`*#J z0@>+50&CnPkRVp$22VSpsU2GsmR3VqFv~%EE{bEEW8kl>SY4Gt!XKB{rdL?+#~R@Uw^XGVI2)LK@gfh{x)m8 zPJ%L7w-}(+rHWrjED!pmb=#?=!=ZQuXgtSF+Y$8@_l%JZgH|8@Z4CQafc_xmS3g9` zbwZ|%3oyr>$WJDiiv-_&IDYqGHEAm|X(Z2==UV)g*s`Whd=qc@O#)TP?)q_$ zXiMxK$7O({x+&YufphM*lx3T-_u;GWw(3(K2QRuhoK_Z}ghDyMJ*}_q11%Ij2Jz@b zZB}Lw8pmrsRnX1VCY`{;xs!6MT}aMOP4p;n>!54sQ+1=>3)5|Z(jPzLC#n^myJ?>R zDLOXm!)%U#`C(>+I}%7*X{;~eO#K{C<)YFhOYhL(TZnLdlJ;_4Mo0***&4FrK<5l$ zMv@42&FLPv9Nmr(5ic8j-j9Vv?szu_d}EJDHS8V(G$eZp zC?}dQDUMs;38B3BdL?6#1=n{`%WEz*ZSCdRj-j^pqJ5?}Eem~0Ee%EBl+HR86U`xZ z7&e$=yMAjr+bc8LB9wUaUx&8FbNVAJJ092w z`f_Xw&59Z~&4fJbKPPxw_lw1+%?-)%F43RvMuJHF6}f$vTWt{n)z(d#Me~;xWZ{+} zo}Vx}J4AJbDoS{lhP<76TwUi{Kj7rH);wYQ6tY;vDx4HD zriGV}TTUlHO_vO$psLm}E^iLF(OanSbGil{CTun%d&v(zc>rq*!vgOjZWCfUX5NDE zJGN?H6`jgaZ;rv6QjMsJxPaXXVE2y*#1XAAq_pXb`PwJ2U!Q_+3lddx6kK3xQ`<$1 z3MpxSdmAS`H|E__am!|aNfhrw$uD=HqXMxEp-XujoB$2^Bg zrWgsHc`WVF(cc8SgPa3QUm)?;*GHWhu>=k2;zD%g*^U)< z3o-o@0^8=|4b_$fPNUENer@NhAd+CXc-?00{yj(~?!-KhOe}dRnK+l)JO1kk{l-x1 z^bqFf`ze3y1!Rn_mzI$il@#Llnksi}ZbbopAsIAOY%vX&3qG>QpVUY|c7)q|rrxlL z@Lm)ha7Y=AVgsXy(dSDe8^}5^aIJEM-To`>KCY$s9j!zKyzJQW>_6XXZCH3;V0|Zw ztz(E7x=+a;a<^!A`~)Eou>{+ry?vnf)6qZQzwY%s8F z&nCh}->WDw>JN0&U9lloE~BylnS7xaoFR}6N8NgXmVyUZ$cwu7hr^3anQcg0%_!bzqR>XZ|Sm$0)s*3dkqIlG=P9mltKgVN&%r8XTgg#jtMz1?8t+UduxEn=?5_N59M-RfX`$ ze|j>7brT}}`no)>ls)}$%Cg6ayJG;`OJAIItkKDwk^6ywa;|*`CPnz!$3Rkzm3(9k zk?rk3A{&E3*|pJ*f@i-vmexZYqn9T`e{Yp9$R||WxjfQZxq2);XmzQo^H>1e$h&); zD@VO!x~H>ajY(drX5%3YJlGH@j>?248vwDuC4KA*KmsDp`aCaCfRG`%qGI18hj+sY zoU&NT2(I2%0Gd>tH|q&_Qq0X?Ck++%=Eba(X03U>0#Bw3=0Si8w$Nu4zx4U&O3BxA z{C6*;12@#2sIlPZ1-T91&mNmhk~ObSQfu)00G|B1sIA2hcQlr~=qN+&0vbsQ^)hYe zCs*~_K4i9iSN+Dl5i+glPv+<&*pu>$=Yg%SQn(Q^7C0QJ8m4LM{U}fmyvp8adJB#m z2YfO;!Vy#m2fY>NNqU4y!RhAwc*yf};H70C>J#Lo&b4nrT3-vFZZexZ7V!z_1ci_3 zaNtD{A_z_g{JE-8~7tviBHZ5}do;6KF-*^1c&N z?kQ21JW$(7Pv)s}N4~DEAPF}h)HqJZKuWnY5u=>B_W|Y&D2`-i$mK~#Al62!hf|OR zM3IEcV#Xv3y|r3Q)fpi7qx;14cFh;|Xe_xi`IR=WuN|NU_g17OO-|R$?OHt`(LZ?E zAyi-VbwyEw$z6q<*Qz@v*nOxUF@$%4W$kb4l4;M0JaqVT8kb8Iar%0i~9lB@p>eo9-SN?1R$!HQXpZ%I~~!M}c>>ql!=a3?my;=Et~!5pbqFS>g? z1wEYfxcEGf_cceJU1!){KLTHl;$idEtsYy-*cl%1;zslM4j^s}&#hiP0$_YE4C{Br z&Wj<$Y5fFEOcu>Wv{MkUcQ{Ld`nPfwmtH#?*h4WP89YtbNG^GKylpjheN^}-SwZ6= zQW-TzLxAq;IBPVnnaSo7G)qB;{27B=O>KuM8nB(l$4d3mV9?205-^UG)h9g9kB?%&97XG}|qO&d~EOBWSib;bK zMIK6#)H$9KP(KVKA`9owAhNi_a_UFKdR+L>^=5f_x3nX~^zZwEYHkK*dw+-nD+yBA zr5##p(wWqOwmFnujga{xm@PJcRQQ(cWutZkiy^y;f(;{4=1Qoc*am9C8p^QCPv`vK z!ashK^w+@kDj%^q7FWkdznE5-+bCqcZET^fyb)jA3XG}CJb5bAoc zc(ViTKi-SOHo|}p}a>pY9=Qq#cJMuun6zBh#V)&S)^>y=0#`v0Cb z4{?`mp5WL`Rt_ZPAA_Av4fNKpuQNWai45oO9Z@qMBd#a8~pN z!|?Uh^3tP6%kQu+3-|`7j~6JdpN+}<-LmEx{BU)3a=ov^bHO_A)-@7*mbh{?~c z7@X11?hZ|}s($$=`(Z8;HqUYL#Z;R$9^3~m!PvKM+5jc7biJgLD8I?5wGiE$sh?u`iE@a((|#ClwBn5JlOu z#bNCGo*0rXgqFeBv+qkJm1GMUJ2kRrh%7_2S+f&jPG!khrfA4kzw2>6pY#2G|NH&X zOQA8I=eh6uy584&+4i&r4%C1Ac#1z?v#q-)E_u8=m2$T=S>B%SoY{O*#;U>T6JXnp z*%zLwv}gEp)(O-6327c*^cNG?9epu(qv8|@M}dUNe-?l&f{LpH*!>i!fV|5W^WQ~> zjA3NXynz|Xd9%^cjNE$F*KS|e?DAG_uMgiWhZzYa!K6f$>OY5gEsNNy5nTh+1!Ca!+W+(hpV*&mwZq!k#P8MM*S=0VvDl6nwqK`4y=^*rwfvg_ zriNQL%IS!~D7dxHg{>N4K?IR_&o1vS9@t|PFl-MlDwi5Bao>t@`JMU)pVjW)oHfI1 z{5LY82&QUT*~QjTqTp<=+&wIJN1%`Ikr2Nyhw@#R&_5i}MO473aU}$Ie{Z_y|JYEY z*2(^RCWOi<7eKPdC}~{YV)(Gl z%;Sg9vAQlK`8psmBhXs>;}{j(;k(C_Ml|yv0Wo9Ahpx4Vzcw0*QvZjfOO7k#^@89x zbStmHCA7KgY3_$HSPCqT84&Q822AErT59&5!lH&Rje3hvv={~>TQ>DX-&P5pS9#S{I!jm zcji~8^L~G(6n;vodmZLOm7cHq713_(AlQ;^TxS1ys1)%_8%3qSGwWizo04t2rf6^& z@BL9!(QMvf2kd)XMhq_q0pEhQVy+O0J)RdZG-EM(MgQH!0?a$kvF0{sea=X%xCEhM zdTTCkABamNWa8C?8&Fib9z%!__)6UL`zrWvh`_!ChaFwW?-_cw2WY~;jDqPt)l(HF zO8I4RQ|PLq8+qO%5Qb1WZw7Vih|%tk*LfeUN3q}Hnz!3)jDlMS0VDGMC90rs8etfG z7__ccvNN0aiSgwgHII+Uc+DaF`RqVusu0v!6#)Sxk^%*Aqg4Y0+%{I+I~sCwKXZc- zHs|}g{my8~WJtyX>8D8K6Cj(YS-yn~!NrZa+Z$g)b}oBwEmrDpd^R2{IuRJ- z_mWt`_kw||N(VJJ5 z-*6y2>XQ0}5R`6arG5*?!)uPQMT^zK6gSsX%8j}A^(<79pHvDDJq(#VV14uaH06dZ zw4y@_CY^%c{+rwYw+(b9qbdc{S0|`vjF*Xy;8~=;7@}5O88`32-?Zq$Wq0H#WF?%g zdEvbI+V02!Ea5rEun8Oh21vSW@r^vt@Q>8ux$P1!hCX{~85iWIT1b1-kc2^0pDttI z0R2w`TAD7gM?R`mu6<2UrUiyNn*YdvE4ICVuu?yZXNdY$v829YVSsuo*-@sm3sPQH&k4c=_1Wx!&&nT_` zDWQ|Ku;bgH{rge2G~lk$ykDqH#_~#d9GGcz70?xaH>?J$>LGJ?J^#b4F>dJ1SUaa! zD6Hhfbs6B$JS6edmA%&@VfH@c>v_bUv7G=fuIsW!geR&8qQPYb;$*PzjR&AZ9qRCE z3x1TwOO#o0K*iTZiY|aT6(Pht4$1@AC=zC#U$H2KQsT)3)aF}k7NtJo!dC+?&V8Yj-D7$S#;w{{cz5#k2eFKYqH8z zFUuW0o#kAd2WcWwwctAdfFFJoNz;N-L~m_M42fsJ;}V)ai4tO?1f?@* zN)Fb13{>fcglmI0%DJ$OwL0m=RCQyriiA&q_9`2`C)o|)Vo2?k0#^b8p%ooT9VX@tv&zSvEo|f zXwbx=V+drC%r?vH)R3xuXRCw$!ImSfQ$t>d_Sbl2V}ELF-iB2s%*jTE&vu@JW?xa@ z%-89y676>-p+xL=sEj~ZRgR3?d6+|fo!m%hd?{DxIV;&7 z3?FV@3yl;tIR7}C{4?6zUicV|A@6p9qG7gd>-!APwro* zTPvoFDCY%Og+n{^Z>`(s*4H@B*5i{yQ;k+SqWewzls{(lD)T$Wb{V(PKb>4V`*B6H z^+I1_q;%^R`E1U7h5Z@*qj}(ZOn*C{!=`V8r_sac72pUyLbLuZHnUVK`P856@gCQY z(2O7JDWqMyh2Y?K*-@3+&lWGs;Ih3~@;l$~Bf{_x=B=yK&k++P^!1?*ZJ@oq*7}=R zC;=tsq)0p>DSABcq@>86@=XE|@(|!XP9H@K+J6%5{Npgr0=a>^6+SitT`g9-DIpmF z2q2Efa@jCj8xF}x+=!O8`H~d}GQ_9d;vh7vkE`2kU+B&s+%tNE1 zCr0aL&GDdjoS+18uDWIdvL)`AQC&3}U%hb`|{Gc_(a%1VFOlM9|ku6w|a zIOJ@+l1-gJ^wfr>=~<0+%e|t=N~s96n6{-z zfk-RgrHW-6v7+6!KgyuZoIqN3d1C;c2S%@eo~X9m5sN;#jyQnLL^vg@#Q=ltL$L%alSeMX;P)p7_}=pR)OlOaW~D`>?L z=4}6Da8$Pm8r7{;T)Y^pphWW-gm8r9&Y~d^U_kAKE=Z^?Lhb{SxnS&U_pirLQO((Z z)Ndg)o%jPi86vkfY9d}dcaUeI;c~X~+jY}O#hWlbkjQGmJf4Tm07xjM&cw1UJ`0zM zQRmQc5FA*W?S6Dcu)m-<%_79B3H4rb;gBs-UZYd{0PG%mcwPog-Q{4-|3t(+Iea%+mWQSVo5SpVO%H;= z9x}V_HGJwv@Z*#OOO;HaP1G>}f^D7--MNVef+?z4)}z;j8j9PN$z)E)yBXWIjCt;E zaA&%^Ql7V_#bHt{(BjJM3L9_M>RN-RKcL=+s}?DGuwu;e9Phz+6#Mbk&cA@Ln{jtT z)fvM1A=zT8^8IyHpmhOmbx!?huV3P=kJbly8H2jI)_`qG!{uwkt;2*3lfBY8H>3|} zwT9e#9+e`Q6A*UMYH}axi2ptup?fBnorbHpW4K`ndP=;D&#yltzM9RqKr62}ay^T@ zn5nBhH1pcj#YWzISh?qY_|@1(;m1o^uiF944-*o;FV1d}c+c(70mY4?+%7 zStnlpts#Z>{Bfu30(6R7DJHjp0wE}?*XdiT<*(V-g0_nVvlxNr*4CQH{Qj}0_z~-B zjRY3hY&jChx(tKALf0As4+GQ40zvzV=)(mNF5w%CGr!dL>ZIJ#ek9|RjhdR{+V<0$ zt+eUag(+EqzU@VpRy8b_#aDjYU~g9c@RVK)O&#Ca60!3YB8x)tZvjTukYl_ggX+Xm&cKVfAcg%k4_pcpFYh=Lad8MzDF0+n1&2WUQN;3(&Dh&C@TiMUB^jiOg z6k7%U&8u2cXfWsPJYt^+=4Rld+u#N*{Hp7KF?`lO7(wQ!9b%N_+SSjUlhd|5J~qLS zMC+^1E+*;Y**%xtilVw6h1mundaT#>zlnaz70nRii!V--M_7X{vruD+2>xzx7rNdT z`Qc7~{Ex2gwYu&4f>fs1tYcdq~t{{Fsso`oge8bUSj^L;DuaZ-;Qmuh28`KOWh;}A3)DEkwn{bU{n9!4 z%aX^ZG>IPxJT&FypRaST>`lj4Zozxiw7j7k@-L!QOs{wkp_cLPQOhhkfLdl98>VOh z_-@mEu^GH6udldU)|`K{%Z`r#(1d+BNq>h7hzHpMris7zBjw}$Po*H-EsZu@H%v#m zE8((fAX^$teSQDg#=l6GD8$wc)|`gdEYUD_iLgBuOo7RL1`Bctukix74kvTySA-af zD|K9I;i-;S^V??N)+0_D9Xtjd=rvR&2%_@up?+|L}^d zC2V!m_5b)^h?#TLe-SesOF!Wl-#dG}VpQWlh*{9em=m0r|Cd#a@Qp#LaJ`#;wi5)) zU~N;pmw3vkC))j6a$UYEN>bJ9T{K-^J%3p)mH*8iqu6Pm2g8A~HF(m&AG@?!qfQr_ zrB-z5rPyh}{hT4iMgjr^-YRCU!6whQr zz9Bl$^YZ@yX8$A{%I#{_f6bz=q<*+WTsIGZBM1D_aAX@QhunT#Ff0*P_dV&X}+9JF)(Vlsr;?$$7qx=d*d}Ow~ zo%MhcvYM6`&V?Hdj1Dt=ORWTRkWPAL@vP}dJKGY3vzNMY8!>^$I3n1(ZnzWes-Er5 zu#`PkuxZs&tyipXL}>(Oe3TBRbF8%g&Y; zxUWUKct;E!546@4hVsZ|c1WU*ur3YPzYbydLjG@@xG7%Zm_iW2vwnzx>a3 zzQo~-T89YLhxycIlJtVrWPJX{TOKUH2^wb6mOgZdsue+W-$i({TR&N-b`ZBIEUCD$ z#1Y47K%!x38(%G}cqeWf(XCDPLw9mwRtsGu`mY5H#CaisL)r|MoOVZFHw{K8p{f)# zF<^jdBDVm!qP~!Xl3uQ)0>uD`u=0zj2Jls|6aI$x@@aW zd`!N5O076mU4#WEC&%45lG1YX)7)(cl)C(DeQ|SyifU~XVvBEi zRw9u)v~zy`Ui)U+>;QvfT~a3?L*mZQ`lS_NIZO2kmH2@68W?wfbC!L(sDQu6Qr2_&=PW9*i`+5v~(| ze&6YW1hloXb%5W23ip$EH8#I>?N~KJ$mJe4Y2*oBeO|V|m;Fa`t4w93Gt1+x_#7^u z(LI$_=jPpr?Q-ilI)JC9H*wFfmD3W=mh!8WmeiHNM%|e3wSX@ z=RFiRR3C%SP`Q>9?a1%zv{c#i z7=w0(Y9q9ofO`=R9}$SlfNH<#LA@}OTD}P0P%-Q${~X&Jm1UA2BAr^wU9<7(l`}U2 zx}4nXX=HP><_u(?wVZ{7(F03gis74q)FQXsThO`@B5V^~bD7{dCj+(I(X? zv<^6u)bul!=WS>rr{J$;HGj{%awflR{VkZ>U&%Rbd(r#3b{?? zE*~5X>lO&qlj4)*c8FkBN6jD)s>3ICb=j21C6@Ke7t2v>SIhI8x%!_K9mao>aLG`u zzCtpD!~-$Hhv)k5J<#FjF)rulgSNq$40?GJ$19+(P;e%wJO-x<$dW5}0mmjVwtZ|D zpudYL4)Ic7AdJ)Ol#e&5sh7+@?)Ho}kL=_tr0onkj5l~6IPAEneIM}0$8jK^cLJxi z0B67_n_t!Yv<2LN=DXH-z2gOU`vL5|afFsrI)mhR;Q~0pBX#sDl1<@1-jxpjA8t?$ zb48>3Ob_gu9iq|>A2PxS6o|Kh*g&NJ>F_coF7MQNe)oxp4?%2xH)mME^yRqC zuw&L-Jfo#h;+C>o2k3Oln(Zc#z_;Q2GAn=0G|3&&>gN4ACls4se1@ z*h??ji)Rxne@a=Z%}f5F{o3Ay#4);s@#lfjJKd9~KA;B&h8J~Mm>%lP*XFgku$Zf& zG@sZwXS?>6B0y$8PA}OJ5tJ4_tztD}qt;P`0dafgUS4caSG%FboIttZSc`ZqMzg1G zCkQ6KJLu0Y)&%;+yg0{BQ&<>>UKiHu9P*F}m)FnQPfzol` zt6sr|bwW^vuTKDyhqtyYW1E+iV6I*I5W#>-C*1SiW^e9+K$!;VY{o-YDvC)7QnuAE zf5;XFD~+M>Rmu3=7Ono!HJ#*tMy`J;hYSCw96?Z40Vt^Mm50O`3Frs6y+5})eSeM^ga2#s&YaXVry|38FHuU6N_c- zeAQcDVfJ5G945zmkl-&(hHm?>P(TF!sN)_=u$7qcj~4rx`)lwhm_Z@N-ea}0G|!N* zG9WzfrM|Z4adxIQZmh}+v8hnznIozuP`NcD4Rp=&5pHkuphk*%`;os4$?&0|ix%z1 zbFCnkG;ntyv0-=TCr8bLdELM@a-lWJuj`$hAA0FmH17o8HwHg-QmDq-#{4KCmK~f5 zp9hCME9SwidCGfI1)5>nyVz~wyk?Y8BD;tB3{y1L>K}(74^s@n26o3?`c&Ga1q>n< zTg0xJ(ZskpOrEV~IWImDc9}Lk5=L{cwL{?*40EW-4K! zaGcM|&aoPOqP-)1=onhtkgsfdBs2ZB)_@KWr^nsux`0a9H8Uo_p52KMe%GwqJhGsF z&)g~P&W1$D|6iLRq3p&|{j)p$7H8WX{G6+DW6Bm`&~Z|#afn)Y;x7CmS&h`}hjBm> zjMqB{6GY665m3qc&D)Z#H963kQSPOR9;97V|1JDfOl9%RO~e+kNZGF8VmA=*{76f* zV$h#cmU-OMyRL(aU$7Uv$baa9X2Z^wr@hLv{BumCkMQz8kMj$d*q8=u`jsU{{xUlJ zl|j!;;8b3V6O= z@v>@8u@!=kE;Js2g0goVZTuA~&1NfG!EM5LD7?FB8)WkMZjYR&U3dCBO!~lk#tSbI zSu@Il&g-oS0AWl~!z2a~>EZkMZp*=>d*x-{4pi^SG<;|WK-87XY7h#q*dI%_ni7pO zB`4dbCJ<}iE8~`Pe}b@a0A<5bzF@<#!&HQjQk=H+7U58i9}5YsDy0Rr(o-KmL;raT z{N(uuKN7e)g{SZO4YX8hr$G3l3pf+0QaSzk!m)SRTAp`3#9KHYTolg)OM1Z9(om-t8^S0Tnn4YXP zQvc9aLi)Sa*jd40z{TSIDXk=O3+W3RWQkqDP2iow^tjtKCn=c4V_(<&^maMn^T;Xc z9HtHO`yemZrh4sOX9XaC9dOcvOZfiRUhffW<3!8Yj*J$+$dH$LvtRar$6SRA#F$JZ zzftZfY@q`?{Z8R+$c(~fYrIFOSWCxpEd3*tb4(*?L(ht7gN<%BT_o*0X2j~5wIkg* zN5hgaUswE$k*kCPe3Pzly+^FS&*b{Z(;yNF2$KJJ@%)E^oN@Fn+evCS{Y0;GaYjW9Q+T`;Ws>RRt-%^CLw-Pr-Io4c8b=g8>i&ngto*Sqd*k zdo@P=z3~>8_F=gGhK5w{ue#P4vw*OLZ&MJ0OF-Z49YA#wpSb9T6JH7NLo>d_YfyJG z8cP+sjS$ALKUmS>UIz~h9kdeiR%CT=3$j^_3Nt)HI@; zkUAm?ZzSn+sPpYHZ=`KbX8VxlCiX1!=Y6EgWJGL~MjpR+aOy*J=*sNwvEYU$#dFkA zU~bLCVyXYp{qN1Etc@xrL(vc5Mu8>S<)O4r31_V@Z+AzB<>z%wf2+Q6QPn5pjEa-8 zSpkE=(Dsq@27ZiGKf_m@{A<9aWY$YPtA+fI{e^Gu?&pOv+ijn6xq)BE z*ne2PK%!mKFl0TY*eM0Obq7w|33{Jw4Kq9|G!~0NTl6$sHL-}6$M5-`mZwvpX1{8v z?M;`cx@-HvNSu(F9^^Fu5zaF4z%X z?0bB^9;-JD+Lt_)qD>31d1VVE(c>XcDpO@aRV;PvK>qaAVUEy9SL&NOui+Yb8uT)f z-`w9}tki!JF%yIk*8e<1&i_6`%c$^?DyGzpw@o(NTzkIpS5*YS%E+Oj6ral5g+znmu&VDHxkkrvtK>S{3>DzMbjfX_ z+`!*)op^etiykMPc{@&kHEK0UmaztGED-|ygJk!c_>cZB(Wu#GlI*YPR0smNcD_^a z8Tg>$C)_8s_mqr?7*UpS4F=pT<)@Lx-Ns;3y>D^*$?M?^z!OwZXtD(mIPpK`<@0~h;= zdjVv~dJZ1X@ZtK6qn4fQ0&I~k$MzGx$98>n;{Ya6r^5f3-!3pTXR-}5Jc22zQ_TZi ztj&6?(8#0}^qIoTw8t3y-*%3R!1_I%WvQK1^huD zp}w;JJJ>3(*eznm_j3qS>4q+=raC*(Em6a2X(?+S9052=DF?mAju!%~7@lESyL)@{ zw8oIXI^ruS^4$^V8Vxs~^oO+AM-ITlQY0oKA_Mo-Y7&99e>6?2pmwq9we6EU7pwoC zKUW8D>AcRA;`3JbQwQAcWouF^!Kiy}x^}a{BR0O$fJhEIY1-Fo6EThV{yjpqRD9fV zw-v^WBfih-gCKC*N2sTA5#GQW1d+6UgK=@pGijvx@#!gAw9C5ofK#5q-~>UVI*2x0re%X$uYnxhDtw4)wi`&f}+e zL}Qv3-r~zV^{2;xCia8BQ=9-a0)f`4TF&di`2+8DP1O%zeU8~;Cbd_{lu5jN!jv{{ z`O;$hR39L3nz(7njuKS@qW{5-hV&fmXQvVSNmNY{ov2nEN=w1v*V#I>q7>LI4KB|+}I6VonyT@*3UzSDPP-t@fpr4~a>#N6g;>saW zm7z4rGS(coPooQQdwi^Q{iv^vb ziv(yLP`hsljNRHP?$&CSoGyf+fZ-#WxXPXMa)vaLtKE$VL(!dkA)tivF75nQhYxfX zV7ZOYsY-$jK0iLuCx1*pzg-*1-hWxqci4ZnK8&_pv@uMwFvoTEUcAl_Z+=OQ84#;9 zKr;JZ@+T7Q2S58;sNuYqSgRsgSlJ_#qHXw4^yR93;Hl(RuyOnH3+~QGWgR5U_LFnPv z5f)4+Vuj$6sQ>-+&lk!Vy zfZ~dz30o+$4Y)0V9UQ9*Y@qREk#**)MX{Wr0V8>Cr!4|lxxG#KzKmpv_9mu*;y>=I+ zHcRf;0^}>b7a;bZAL^>V?g1rHp<17p|6H+86)cDs-f-k?_bT8(g}Hiv0>hGtG}pHzXkoNC$rf{DlR3)yj>IiNgFzF zI=|%XGWaD+E%V#lh_FM%V$XdFmycKh`2$=J$Y-;(uw1Pc^s2Ax6(45rTocb=gGuyC&Wfg0C^kJy)^d?` zMy^V*+6&-UPF}2&C5n7M&r@e^FTdOTS1NdEc#4fJcS8}~BIt|+Gd42Y;|_A}IeWBu zy%+3566^4~zTywF+q@J#Zw zKeoralR0*pDZH1@Ti5^5Tv@uVjhL6%8zcn_cGrG`J#rL#Wr$! zfQV{T+;wW;;gNHK^`bBCfkk5Te*A8b=q4tP^>o+4bt-~Xu8c?cRvF>RiV#A_l z;2^+|Qmn*3ddEcCu7C?gBlh$nQLz3G$TkndEvK$Cfb&`pyapZG(9w*y=M;3p5ZXe($F7ylZMxSZN?jYk2MyZM;<3#2A4 z=Pg(VaaShvYrhg*2++k?D1=uXX$ZvytI$FHgJ8J~TCW4jPo@ zc-`m)`sa{nWWGUG?3jTpr{0-zN=h*ZFNCKjZ;k%jN!1|fobE$uChJ8!r>^Hj|BJ_U z;w(4`qvc&*;52~fpBLWd?cRfdaQv28cg>dB{3mQx1s%}Q+3hU9a+@>@X6j}nTCgoI zJsemf?lH-@tqsjqCuPB6?OF8VlYbLhWDe~KU6XqEk|`dg&&jVl^1#qAzyRf6F^QUO z+?HRSFiOI!B|aR$48`DwbV!(rjbH(YnKjm_y;1>~a7SicaMH_3GrQS-TG?x10o04pDn8*(J0hoUJmItN8Ahh=WC{dx;Da z;AL^Q9R^k|A(UHQe8pW(pY!dfjkEeKgLLgqAmI+<(&RJW|AK5@BFxCZ(kyjH591#( zBzX={<|y~Hk(e$ZgCvIn@z%r>E!Df|^4Tk!cBBD4Iot=hU4d+GArIOrVJ7gAqrlQ( zn(=2|=C**DZQ9rF->R<;Cy%t=zT+xTQLusko}Gj71RPjgspu~|>-iT?|D+6X)_`=Z z=Ihs;Nn~V$=_R1Y#+wOVHlIl9`mt5>H`qPk=2PN_=t;NMlV+4PX0I<|MA%w7OwkXx z7wc7W&wp>W({)Ibe;ew5x3}s8{g?X^|5shQiwYdV3vPr&4 z$}-;JL2c_)2XFGmG*61NV>h3Ec)DYmb%M)yXiOd9$*d7JF)lq|N=CDOJLqfWDRqjC z=Ek6l+FBi+xUQ7VF|nZJ{i{!tidY7bpWV{vD}tut9>7D84T zsZG=j2UHKsphIQ%0nB0CZi(^#1ThH0`n3;)26DL_x040qE?`Vc)OfgJzXtyvlZWF& zYxu7wD2k0<@k#6MoqMTgklcro_Q*r6$J10mt*u+h0B-|uLL%i#y;d#2KgWvk6$-jT zR#Jb7A;`HsVCv-%d80%+llp}hWVN@eZh+x~%giS%tpMS)20s}Agt=xgy|`?liM6&F z;irYCw$5@4%qZ#9uaB(-Fb#10)VaW}C1>|819&E*!wvEsUTc;4$s;uz_{sik-U3CZ z)Q`#suwZ)VxC%$p*+N-2M3eg=uN7gQGooWQv#{|u`q!1a@D}2ijM@0NtNW2TXcq*P zBbpA^$GjSAAvp6GXscv;o?Z+mAN2Vr!u4;f>CY-0~WZK zLY;{2TcZ;TQ1f+!vw5H)l?q;y181L+@ z)@NrQf^hHlh7-!z&)mbhKKWa7Q!aUQ$by46D^NY=qz|N{74nz7@VhwM!K;>;G@$UN z+UHK+b%F7V#R^|pMB{#{=neicnwdnV2`8AFo>~f*ikH*0e7eD2{@$OffRsfUp>y?F zHstv=H{V0wKS8gyaLn&>Nz}3<7>GhhHvIx;4cLu8HczgJ#itbKF#qswg4Ua(ZQPAhe_sj~=Drne)Fh zd1*@eLLm~`-OO?1=051V-bBA2F%sWPUr9ncry^7J@FlM{uMdFpeBo1w4cdhYaQ$Kx zf)u%YkYAmqAP$yC&na))PTAkV*IzNVr_h7WguUn6EE^Jr4T-F&7u60TyIk1E_0t-Q zXC^DniLZ>^@69!p7b$=)dp(~O{ha^C?pk@47^*Mw_dwk9_3iSQeB*4zhR!Amx(3^6 z87M#E>oYm1dF6cmTmFXtb{D>M&bSm3kAKGVnj!v8(KgK__ZfM_I&r#r*4pwXjfcyAxvcE?a1)Hj!lWj3$+IGNyWw@x8M+%&RSP%?*J82<0S8<=*=1H14BS2 zVXOTj66~sOI9tW%_FIUC@)nu9IW|=TVj<)pm+Y>S8c;b&-)Uvl1+s&9eug6p9qk(g z#QA`QgBN@g7KU%le(VEtO0?J&C)#CYSpH)Ee$qFU9;@nXzc1K;%5Uc+*kag#d5g&O z)x4+k+(`OPI+`bCgcs~fu{k3!Mt?rr8y;?Pdjr#3xE-O9(#AiLHdWkJ2rY> z&tn`lx@&vcR_i5RR8xl`)ERzlT~BWhIxq~ny-^zov`TM}VD*vojTd#d;10ceE(j$q zFY>Q_jHLL_&{QgQt$%sgh}9*^1Pf(7h+s;X2NS|5Jo)u2#{Fu>9a46seUN> zam;V3&OB44L2T*tIWy^Czd*CiOHlT zv1)!dl`aK|=#b}nlL>0c&qnVV4e=sSVYFJCv8J>6)m%nx4%~ymtD}!6ak4LceaVH>zG9G zO|9mO^eK9{kg2Gm>Rh=5s`)7mMo_KcM3?WuvWBVy&1Q2KdF(vj4?FKWiinr&Oel%h zRf+0@?>lbQMV}whF&l^U$JHeL#$4S0zP#p&9@lQFWW)VVLZ_bEw3UtrAE%l6`@rcsL)GCjS!odN3-0X+b3j3LUZCPyLc59Bbf!V#NuXp0%mKKOzii5tDek(j%oDu zDU*n9vz(yc6Y*WVn+X~tJpM{kQ%|r1HdQAH5wG5RZmVum6JQNAkM)^GKwFg})0pv6 z<`D1==m&D>D))g|&5_Os+WW{(P0{rR(;Raq&V-Lmp69=Z;07)&I@lacPPn|$B1nZK z#D&LZdTUI_Jf2{u)z5o|t3Vvqiwb)@>!Xk0^nkxG>Y zek;V@19w~?a0c9c@h+a7Qv;J_H74>S%R}$eS6d(7v#diE$c|Jc936iQ{uJ$oPIRa= z=!J!j7UIqD-M&$dXEh$dwr)o;Lx68kf+IU-cARBL#|`|rdf*Bs>F zetK%xEjM(Pb$2M@(LqG=jom<7zCPhj^0O>DS4I5C z2Og&cf)v;i_LQ`eAT&&Ut?eh9W^7+_oTayK+#|D}yn?oHr31>Y*h zm#SMX%gR+L{)DAzi>NPOzO^2dpGVZCaO)ib$icEY&J&VjmH0RBYp`1{5qP+^>uWl= z_TvUm2+3D_!B`5;_<^x8^peF$bJ4Gd9~r=`n>&vsN($Ffb1xoC#+?*vP7U^%WQlf+ z=kG2)r$h)-6C~F_>%WpCgM02f`?t(~Yo_l^-$oyXh}5(*_rnK>t<@;{+|?X-I3CIG z;kNF`Y;L{aA!}-K!U@odx@adlg8N;7MC7b@UpU;T=oZMv{=&cP!7}Ibo|yVu5rB@4 zY-q`kbJ?8gp5tkl>?MJ2_VoB=MGQ*x5U^hj{KO^tfnZaTc!j*%JoRt_xukFXB|(a| zK^DEKQzs;Db9uwZ?mG-B7VY8t82@s20)rHmVrfGS7;}Y5#+SoeT#Cywvka^ii+heNBh+-$q0 zYZ#h2ImYdcwcvWgbZD@l}C`10sO1#~DU(CtI5F^n`5I^*7YeA->w>*M#t*-;TJ z6(P>XdODn)#WHi#Yyn$9`RMn=2|ml7UxsngN$My1VF)X@5mxtkG2rkU_gW_%45&$+ z6m@DYip4!Uq8J~`dr5A_NKbDir|I)*pgF%pG{)D#=7c_eD6|+?~dJBWrxo`b1yx4{0L8I)kb7Q!Wpmc zcLt%NRVjS9QOWY8rASE!s-AIZq6oM5c@!>vq{npME$`enUkj_{I%lq-QZdYN-)JGj z+YquiJ3;#~#Ak0c;m#kT;U9guG}pCv)#y7<&=bTl#gPhp}-{VZ;`Ce+I7YoT1!g3QJO;y ziBj;Zqt^yA@jQP-EceDwvu=nwx5nG?cLjM(Ge)BXH*OOcuVTlU_=0s?jqUxt>gEqk zENv#YXW}c#ark{rj&7f|Uy_bpDU59x160`s7YcXc`pY1%T)O!5x#`KVX_a7~hooUk zhtRc|(5owu*WIN}2$v1&fUktd!GFSJol)*1=F(PV47nN0rX>9Jx8W;(%v!Tw7|%DD zp1l8KHN3oJaXR9!Hqt;m9W1k_g%pk_PkhH=a>1nlsH1lG%BzgTsaAVj2ga9e%FFe| zy$2|}11dVE_-@|)N1zxp|Kpt`8pb|yXqg6R%k;qIOX}*tpiyN!YTYyS=My^Jp=pn! ztteiKoo~$6gAh)>aI1j_@n4?SZ$#)@vKKG0=XPAiv}&3>N!NZeLouDw&?G-;vvNnr#_t#vjqE zImgbh6rX$PWs9{q&@!L8O2Z;x;m8CFfZk1kk6FUOja)jQMEtr4>%FDO$kQe~@RJvJQ2vnzz+9vf!zV@ zgyD@|ggq`{Gw3YheGGC!FVvnUbF|f-sLl83_mI~;J|P@5VmER3AXIMwGd5<2`?0S~ zQnW!)6x<;k6h{pEb3ZE7N5?DzXkUSIM0`nwK$iVQ#>tAqs+DmN>NxszV3@>-CiWDL zYZr>zq>&51=fLS%o?l+6fF3o0Kr({v^_Tay$TU-)ooZp*>dI{=8OGGdu!)>jbXoh* zL$h1Pkl$#ueHYaHdg4G(qM1lkxpg=0i|HiaqxEAF)`4?AzHs2y-CvKM9ua)>3~OLv zR=dKHWH$LMCBO|PDiexcVj2K27uoFsXF2}075>M2Rb*EoApIXp4RUoEea!hw+5@Mf z^dvH7nSCSy-E~H`-X&hm*1zw$?EsPZO*^w?li==ty02SbRgb5}B@)N{JM5k$V1}mk zl2h$ifxe<@tP^_LB)B7n(xJ@`jDyflp8LT1c6`(c9BId7!TA@vPcIxrJ1;J7f6xxX zd>&nl!U#m>4WT%~7pdiZ`u=wu7f-ea*53074nglc$maC0;mHyuxF@d#3>^-9eu4xi z=S%GmVr}aKVOS& zzlCNf#ojGO+neg#8;DlF$&q*iNy2@e6 zJcPzE;ob#O!GhfIGsOO2YM9(YRRQxboSvRFrF=YXMA=Q{DMf%BcV+xzo=`E>F>2(G zE#-U2vFUT}STVM7Jz82V!RN5$rBKalf^ipZQtFJEwthOutTP;Am1tr8dz9>FzYflq|imtaJfQ( zPd=(+I648p$!KEX8ntH*F{UF+zE{G zR;0UV$N+rr-Ra5C8SRqz2%w;QTNj3a5w3UMsppbI*3R4e*heJu&=<4G(9>aKc^@5A zTxA~d5_+`$S^4F7f1%k=7(_@NP(#<-rrJveR`zk&f8}6Xtzr3 zq-#iKT&VVwn{bK&lJTnNv!BZ$w4K(|l9kh7VeKoLm+eNj*Yk*V=X1t|G)o0Ci|ABQ zF!%xG(c5t7>dCJ?8Vy_s;<%=FeWSbIoYTH2EBL7~I*F}$O*F*78L{tw$~16e_*MZi z)qj4K8EC3sb5FTVcztVNBz0c?!FT$^dN0(!{okhVzZGvQn>*Q&{Y&jZ2=pHpOdaNF zQ-JV*C94h|{dTzyShHgeeuoBtXx@+N=3QZ!>(zhzb6h!48?1R4ICMvWj~8Af>rI{;1*M!;*kXIa8m)jMwG;E6v@vqw7yf_N&#l zgTh4bhNJ;TTG8&Pi5V|wc%8|H~b#V zI~(nCZ|muy@}1Ge_cN(Wc5h%6U_1Xjs61+P2`40T{E17&Ve=D0V*BHxz{3M>J8bb@ z|E^6#_5bkIC+Xo>0e@&nZ<0Cw-fInCF>CbJI*p|C@A4$rw7E{1mzHbB5!Zml+wh_s zVMLdj*OcD22`uGu;;w-koZh=@^=v=&0!z)7c%(iQ2HkqY`VAatki8L}R& z2CDINe|R^iW)UXI)&1djsM>39mceqnk(r(E2L8YtPzJ+Fq1w{@~Wx z1sfq^?Lmp-%97D8OT7sAjSn@}Lc%jcBh34AY&C^It{t#|rI*MD2xL^#a{#t^@bfMe zfv0#ub|S``9|s-bqlEP!Lp5wdk_G7(^Ig(fh;B# zm^!4h=I-&3^uekKOE$|~qK<5MW2$31tPhVLZ_~BB1fmt^$ER&8>!R^V9b2?3W!|@M z&7Dk{nMN3rF_Yguf|GHtwQ5KD$yfHcQnj%_!iox9$0Lu-f2w_U|wFQ-LhwHm$2q2>XyZ5TRu(Vdz_SxM8gUt~l41ujLMKi&soyCVZ&0 zg{qef6Sjtw3d*t30saRM^L2ZD|55pjPng&P%V=#dnGHZnnNZ}I!!16+48M(F+bHP& z(3Aod0(RuY!Rlv+(cPHqW3QJ*tC)L98E1?OG^6mumS1X#TfC3n(q&*|Ezu4Kurr?w z&2=HFg32-x!Zsrx!g*M`NvQ1JN)0%SjA3*Z>Z120$TjPQ?*fbz2k`NMf6!o1^W3Fv zsktOoA=B`>Ya$`7DH&-;%e%$7NSq1u&x%@%*8~+YEFedAN+^r~=k;TlqtpoQKLId0 zca-{mhMQU*^Rd$4N#kK)@=0%Gg?mi7-+}XKNNx%EW{w>dbn7Cd% z$pH-#LgtTX6Q)<@-+X)(FLJ$X;31FjUVl9;h4j~DYJ49?TK5|4S(dA)-oh5qLmSkH z8s+YD7K-NLS4iTvOf+cWvQzJ(1HJ4w!aC9@zPR$!8YAb*gY?2j!{H=xesKC!_gl`J zd%sV76q`VL=RDGkWV+vX#8+A6rCGO?{noyIKaU!v{cK~<({#9|1;i~f2Tj?C@Sa19 zL~*}hb5D}VJW6|{DRK)DoS~kP%s%(q{hffymWOs>~%{fvYe3}ccr&wWOOY(EN_Eq zGh6$cAA(Gp44om0L{B73$aer*+PNMK6cc$CmwlPkBO`wOdeNL4@^Y@PFE4UuEbn)5 z>(Ag_tmBsV5NT&;GtZ%w#1k2*da7?M@7?_OjWYY0t;ydktDF4t!D?X@@vWz})MUHY z_$FpOCe{kocfyThE)duYdBby-khH;-R82dT4QBGpRq}1M3$s1v+@#%?D+WbuX6Kn;zfZe+;^^!Qxm%nGvwWRASF;(5wmn*o|H$ZSPR(8%@ z4{l%<6@BGm+Ttaa{Chq)lr?|q#~seV-E3PBB(H?HOCepJ_M-22Zioika(?)apSJjg zwQhQ^=kO8Ej|qvvA0h7^%<_)K=UVh&SO3=iqCEIR>3~bAW)-$g&?0N!a-lPuSDWS} z5MKiry6W$h1MpA5aJjI4V=grMGIW|9n#nWW7*J`Zl(fyqD(EU>U%TK%yp=3ZcOi+x z3}&D z?lZ9?#{H)~yv_V@HwpH%-?2ZIb*k6&Nb=?t2 zE_aLFsL`vvkT(7UdW|ojGnhfBPX2274fxOv^8$WC^j#15K1K@TbzqbF_wZPiJ}7^% zwfJUc?XHJ~?Ehoxz2m9w|Nrrl7AbO}h_Vw>93&%qREK15vW^|HS43oFMI1A9?7g!t zsjRGy5kh5Uo{VFU-{a+ae{R3;AMaaT-kiL~^Z9%{?vMKbsBuUU5iTPRz`s|*-b%1B z3>Z%}fGVdqqm93-Qzs@upH6Nc-cKF*kMM!9KIQ+1d42aX5Gf(!){W4J^);bNR}~Ta z-2rZlJNr|$RcHXc(yAF;2yODyQC9b-Z)v7a^nltG`&dL4JDBjSUdxFiQcu%XI?A@& zB^vrt3O~STZbdjg(;R>Ur(QVU8hfk@)~GMsYwbCa*C#tqn4o+}!%Q^*aQl+Ly*|#t zgU-y!Hgfkd@3c(j1s^}lPA<l2y+FS^gNGMdnoYOHj!t;>lh{r;|6oe5jg+TREH<*mrc^VL`uwMlfzmDf1mPIO3mPSe&xxI=qQvLzNG zIY1M#6NSCaFdP!}Q0<9H!E;VaIF8Y;f)v#vQ=%16LsJ0n8DqbYx5vGwL4yI#)K2xc zqMNb!>KiRn8Fg^0TcuI_^iUKi@uL$BE~66}+Ch1ZpgX4-_JvIPGWv?9=iqTUJv1nUC zerv2v?e$ooBHXn|ql)l_p&Ia)Z2N(qRU-W~{P75=Yc(GYJX>xEE5SH6{oR|VOLc5I z1|ZflU8>Q(G%Yz;Q%pf|iuoGg+AkW*I_oH}OF9yv6JQs#ndaxSbkS_ByH8oF(9DLF zMav)4lGT*f{L_Xy{j6s@2Eb9LR3VG@wZb`^_&~zpwmiEy$=?Cj14F$gWvdFh5$WA( z-gjQYi#vA(w&arH_%5*P>V{|;T?C=w-D3LLhmdMFGQ#u?c4eXF@ydy< z?>;xHp-i{bT`o3u7Tblv4Vt#L=|u#tiYriVH@W9o-gLA=;QP7RdZUXJXwdu%^+$;! z{(bE-{DjXkN2C1G^oy9!0aatWyZUM=%-X2NBgomBx@nIWTLQjn^|cylHV5O7jaxO>m+BR`QrN3LKZM_y2J2B%Z?FBx4& zXjMQ{rfc1wzdh62lau@1%2APay5h#O!kxL_=96a>wTzx`&TH3z>5Vz8P$7fSRh1pQ zCDNfFfZyGR3`k9NMMud`w^T>-Dbt`)@+dss2-gSv7xk{AwXyR1xq?dv>ZfZN&1bRa zO;BUeMDkY257L^qx$A9oUk6?xugiPVcc+)A!F-jpHAdkDxAF`9D%;&HjawxoIKKgBaLX7{)sku*_e7yXHT<>Vo z)7bi;=0-`$+XP_rd7g+wc9nxIV{64!+#6rOk;ghYx$gdR4LU#bpPUaO&={=#oOhnC z-FtymN?o;v8w@`@!f^25oNMl3x$aiy78sMDJVFec&>+>r1g2r6W^2Jr@RrI%*)03chZ3BfErSa0kSI7l_*4-7A z0|Swm!Vm3%F!ZT?dp6@hbN|h5LDFt9TPz<*S$k~x!^ShX?YMd4Tb}s)A-e%M^U`Bo zfrIL|8O$D_U@Xu7IHtWc;B2qi1P@|gZ^hB-rFA~>dcLiafp^W+qXm4Lu4C@L2K}`Z zj$auKa_QlgytCBBDl(9FfSQ6Q4SqNzrDg~u9@~{6g1gWo7IQA2M+VQ z4u=bVd)pIpry}ls_#EoD=`gXn-n4t+*I~I*kDP$i6d&(-E0(>bq`@W1-bTUwj1;@x zl9xk`H8;p+JDBO{qi?m zHG5kq1V$h+g4!=5#UXx#w6A7Lxniw01vUZ!e}|>RJA>;PR*cjSWz=ewByB!sh3n8E@S5-gnTcanF!8)zPMUD@s= zRr)YUssNYV7-v(agE5JY&M&$_kF={C<-4}@C%FC6=(pSX0YhX=;Seb7!TBu5EXDn> ztvZu8eb3G8!ORVio{fSE$so>C!;>%0$67{fRz0IhXRb6-6;pM!kqP@@Wiz+bXt6YT zWU={&gF1MZlv#J)+50& z=_KFx?+9PaKYiA^Tn-9?H&rLlNhPR(?#|~gaV$LCiet}TLJ&E{1trfY01wiKy<(Zn zs31BQ%nQr%zIlD}nP$0`#3BCU)*Nw^ka5 zfAq@Oi9H-S%p!So1NUIWxkNi~?*r$A-N^{@!E#rqiC;(iFrcG&%P> zy;g`2Y>ysb@grK(RdZPmRT`DqHYroTp#3?`p6)~y{Hrgpfh*|2fHyJrMn zhz#1O*}~KCPySXxZCnYU@1K0q)>`HvD^#W`V}Y{x^>T)wh|v41m~zJgXrh3YB@#0D<;QP1coGO?0cmM3i^tfuj<{ru}ZhTxe{)c2E`6(e2hf>WXiL8GLCS^2$Y zt-Y|<7HqxBdo-VBCCu+?aWX~60M!_4VB7&w;%ZA-br#5@Tc2#!&zZ6!K~?LSot)ld za2N^sqMy@rh)w2O$C48_9SzxMZ8ojDlDJGKVyG!b8v95EkBdx9nGUyU#bnRmP~V2! z9xd`~8N(ZRzzKU@FOy>(#c}M6oD5XWSi@-?MNeURq6RESJm!nMS_Fsf$hyMea20(j zR!uMQi-mv>t7TbZ6UZ{3Vjy3Vs!mQ`y0Dol9&pf#P5z+l#&9Z|D&lxA~dXuct(1ESu} zF}$gBZ=4kW&n;V9k!li`lhB2#CE1hcB0N{}mqIqpZCtFU%LJj+(j)&uR!$q9tjZI$ z$e~=RO!o~8+rs&y*6PQga~pt)#+)1Q?9|n)-dhF; zzGp#mi&JaXna8DG`I~K{yE&%{Phc1|>TN3gxAX*@*)`6Hc5=WfLyqPF5cZnef_ens zANT{My(sqj=@x}S3Ro?+?=R>UPil9Yr7zwmJl13AARE4aRg>~KTBAJ}#+SXQVA^jm zDxBo%^jJo0sFhjENCbI>Xti_d;$}F!_(C# zwpu60>a1G3mo9EjQuarE{T>p(ehtcuvbph>&a7HD?a-A%R8^yJ4%kqCd$!u5SeWnmerfN0J%rgcQf>@7p=?P07LS7rqM}#lp60J&~_d$1$sm6Rc zbs;e)o30y-Ar3!36rn{Gk8?zKQs_XA+x0SAWS4JT z(f)bk{~&)^%`7e#_`v^+NUH+Y!0@R*qPqA)G@*mJ$xwK_abCVLQY~)b%c#~^PKZc$ zt$pCNwHo_CZ=R%~nU`Pg+rwxtDk6_S+eLZ)IJUiS5upat`QnX|s~Hyh;bjhv?}ILN zexDY3r{63KaNkmaX&WkH){2=&S4_og7n|Mid98vno+e}Xf!;5hRG~06FU48PUBiEf zaLR)b1S|;=i?=kscHUYJl|x%MJro+{&16iyvtxB;t^O5gpv=0=0{T=N#kKgo}@#V$h|)m1qbaFH+pS~aHWm8 zLod4{mhz6l-llPUYHd8?hahU{BZWD{Kv-RcZv0;d*tVm7WEyCjNyGR`ae27tCx;{} z^?0VQH}at3a4tqlUmA;fd~Ut}ZFnMJl;T3WXA}q&>cFz%>Ovcf9q-iWh_i=rBBb0P#@{rQ03992`tW7IL?2=^-q3ge z-2x<-$-*OK-JG2=zJ=fF{0iIFd~9ilEMzjj)qRG2=Ei_o|WICh1M(D5VhZN_9qD@U>aq5ib$a>ZFms@rBQ! z^Bv(0J*ln1NZ`c!&#h&H zJuO*bpE4codc;R4Qxj?$Lrg*5rjzEM<03(=zDl(_J7NbnuaKCqQAzI}sda*xhx=2$ z|7P*PzXeVu!Os|>(t{aodhUO>?LY0QQNF*Y=AFO7{1-_(zqm~`;Ffk-KDL$N7ltTa9=0?u2;KSZC zGe9@F#*kUgJ6as!$z+tt)EKF;nPZ6w_gq$eFHE|ZyuIWlHV?UVsy*UNMDQah6E9PZ z+*z>_cD-t@3DT12t4ylhz^5DIW!0s;0!_DPlmb8F!hQu%&DAb%{P1eurhMrdxnKv< zd!}cKW|`F~rN@YNC>G}nEF@*Mr(Yp#MDU~ofKuLv!K%ae;u)MsFq`JzbCP<^G+K-o2Z0iZ>?;FuLL85djW&m9njD5!vTo&9!GGoD zCXCkC$R$#+-GDdJn>^7Bpf7szrpGh^g;R=b#jqS|Q$ozen|B|&~KZPRG+o9wbRbO(l zI;j7qV$EoXA8j}&Y0*iKy6vp7h_PT~HKv?P(U+$D5&arfJs4uSUV zAFiMfJ)6Z!*R1GDm0lXQczLOo@gt6XNwY#XxD2P{r;gS1Q@2Z4R|qra?zN9@Dvbe~ zK+#JAKyxUqTfKZ#ava|bFGwHxiX0)i+TOw(Fub;C!D{XbAeK7-0)=FZ!{LfuVpx)e zq2hm2<8I~VGPT+25rf4XI*GOhGexQ>AWYi8L{`uTJ4tP)aS-83T zzn6=#5sufnRT1QnvDkl$`#&Y(DA|rog>g|P|6{KZtLV-1CRX%v@q$Zjd5dpk_bH?7 z%GBsmnjC|haHbBChPnL@2s^3Rji3K^IiI<>(f+Gk;a1})ShfWsN#7B~GDS7JxLe>T ze1ddR)M|(n<2m}E!We5w^>QP8Bl+5ez`pgw0$zkyu^!x!4Ej_aG%LN^%CFMib$YEo zFqxm(zZKmCJFjLMtKZtZvqHnrYgRu7n2Z}oc0Vccr2vRb!wcWIVJT7Gka_c)7vcIz zgU4B3bW(J48&Q@Ohh!IyAXZ?VHKXpA+yOnrq($D*NiD%$PU5Bfg=}%O9h9(bUzC$9 z0ptWiRN2FYHtFtyx0hib?Nl7!#$P_WYk^Gg?89Eh zuo;gen7$JyY|clY<0?y~wN32O?n|ktahN;4b`P%~5K&u=)IiCZ& zqTeIuj}ll+P@sp}2VXoHGrfK$EdH5=T+(IEsc_2{FpBT$Z}^>f_e?Lm_kc5_TY$d~ z=V~dXRmYVrOve>f#FL&u<_&mBz%P!m@2b!dmBeGd+M~U`E(;;v^qn#p!)Luh&LAlS zKru?tJL3#i#zz*N&cHDOhqovr?ao1Ok^zIEOJCJ7ZVMj#8~Ud~NMHNfQf%lr3`J;= zNRnJt0d(TRCG=U*k-s%-#h0H~1LlO7@XpM(?q;`S%Qkd zgoj0+rk@OWD5QLmB7*Q0>F*YQ4Jk+dI{_;*Q$m||BcicKqG#Im!Cpkl#-^v>uwd3nO80UQK&sky)Ve;5aVuL83oV1$O6am`zhh8$|8}4f;9ON;Jr4#=B9tLe* ze1X?Nj++3y?I}HS`3)=V*?(s>pX$9TDToSx*Fjb(ugMe>PD_VyQjptV*1f9&n<5g| z!;J}hbrxqpWb_CLb%7##)etWWC>hW!po8L;RdwL*-?BH)UeiuE$2EK>?AKQhWi@oR zsESyM&o(nFyPKXPPLfHlc4!J7&~uu-l<+V*f7kNlunqEo-8C6v_k-xg=}b?R@pSM> zQ#WNJn1({T*gt-BPdB&p%-LyZ`fC_(yV(c}| zIgmhSG9|g2`=`GB8#c%Tkir+WVb2a0$vW;n*?a-G-Q_{54TY>%fh9VWLlLXm1J$My zRbltjmrz`MOB}d5Fq)cVP$5X?Su&`Gg5tN`e&d@u0v!v{0ykq+n4TQ=`+7HxcbrQW zhZD|>%w46!CVwEa_Be79QO)>ddJ*?ULk+x1u7dE&caX6p#9OSc zM$N16IaL-IX_K1er+Qj<8YMO54a{PFzG0^CP}q`~Ubje#p{Yhc7qV&1=CTIqgn$Kh z8Tp3$nrXtvhb@-2^zlH!)$zIMVNI-^diei*l?VOecHJ!HVrg%^j9z&UQlZkDFX%l`GG*CA_Xr7oYD~+@UGpLcD3+ z)xR%D$nbQPet%3*-bX>;SU4^5TY;52p?cj=s>$%~fckn&Zho#W-9Tj&Q{g?~9w-Fj z{_z~t~iDEsHVsvHB{BZ3JQ7Ks|!| z%_(3VMn&}LApf4)&u=fY1?3|=zmSD@KRYy(gx6~&rCz!2Y~~#ASlTrY#T$Z+4-B)% z0^eJy*om&U^G;#AkGK91V%k;6WaEV(1eFs83`_l z=iv&hwA8Ak_3^74MTO~6VdEcoFXXPIaDNMz@4`n#-Q_Wi(kCBvg6X! z;?>tsmXV^ucfSslyKmJXHaS7Q2@as|W~fS^%(xC9&+LR?(3+d>NdP?SR#`Q~+lj=k zEz*z!94NaVP}sX4u*48S3%mbD1LUIMLkbkt6nt#vbBr{%DoZUJj_H~9Ou3Ap)1@u! zZhMHhQK#Q_`iczxxNYHw1GBV7An|=wSyJ zMg^T78uBBL0HtfDL4Nhc4A)hkv`AXm7^6*B&l5PpZ4+sd6+KTqH`r{qX{%goGL$Lu z*}WX0QTXomi72SLMkscVK&`gR#a`0d74Bo@hS_%L7qB`@Y_+A%62xf#YuioBga1& zkXj2^aAvehfrr}rEd^AJ`3!`w&X_UvUH5_(tm|09hM>ZF$ZW_pxN$UPOW$eu<;H!R zww_g6wgy-pM@>kZ?sQ(s$PZyOr99vB@A&|>V(+Gcr ze^PW^P3n%^-L1$R5S2jxOXeil*Il;+xllP9R7PiMz)RPACz>K`_n~0+yA=(hc-_j67E_1u%Zl-S5 z`E~7ze-pi3(N(qK?(Al?>grud1N7Q^a9nau0%f+&9Mz-TX8Nwwk!P(M+`vxNeueUb zUce=~$)hOK4eNoE-t0?peI=;ixf$UqcrVf8OgZ}`^TBJ2mX~n+){xJb+*bp~tpqb? zS@b2yYD1)y5s64T5MqIc5F-DE7yP@ppzR+k8VRix@WDPi*8-A2@0_X-FGypeBgG|< zZqnM_Q03r#bB-B_5oI~bUSmP;(@NURXK*2@bWpDUjly;o3QOAD+${Du(Z5!`Z*A$* z>Rj7XT>HaP?Cq!nzbA)~XRIStPIcmm@NqMJfN~zEt?1u`8Fh+0U+7I(;sP%5v+(n| z{+(7%s-1XEwKGKE+w9N!9<1 z6Ej+W`1x)MhAEBJoarz~wxQbI!wf=fIXTyGN)Jp_e{qg%|AYh8D>S~qddwv*@+{6V z+l`;q=k0ayH^9nBXoK}?A^)4?_AvD@C=u1)nYZRicQz{7Re?96Z1|X}%(O-GUelyk8R7Ypd(4o!UanZhrP%kRyIbG9Uz4NpshhdQ)e8FuMG} zUJVpx;n{F-J;aCb;NJ-9fObt*o`Fd;-RLp{_pO)rmn|TN_u922OyAgI5*S~(mG3UO z!<_7!{@lDb+7+~lROm?@dy)l_=;PaxFiwIPHEJ4F7%vR7NSYe_q%O#?hfY@Wx<|)^ zc2gUeR@+zKVJ*EY;Mh@frxO83G%FFdeyMe$c@|_bExs{h(>%e+`rm(R3*iGY=5$ah z%M$FCmvm$>+<~fm+~6O!G2jN70SG`vK<3%QT-v#ddthZWRsG

a%HKs&uZj5L$hh zzJ}2jj8u!UK;^@Da*WR1NTJ%Kq}Y_2NAE}4h)jKPW`poNBsQWEpFLn;FqR)#?{iLF z^iz}nMp-WQY2yEBWt|x0!B@&GY4KTd^d5cpP*{d=qu0~5S(|1nif0EaMtecmjB^?U z%7tmB@%9WI1pYDq`mJJyI*%fDJ5a8K26ZQVhPp$>TB6yqi=Td%`fgeMJ!a3eXK+pn zZXWIB%K?9Uz5$Wa-H+s;2@-T)Eww6AT7A+IkW{GR5#dZuQ6X&aMCKjRz= zZ8!xuM^5yTVlI4GFlMp<=FsIAR60xTfj?bac%%e1yy{^Zforx`(E~Xbxx6^q89nNB zinzhS!%b@HUj>ASKKtf7@5Mh&z8Ue0=}Tw|dTx7Bbz=4bH4LF=Lfjb$C}o>_f8_*) zP=*;(yL@@od>W=T8;xu_BSay_Xny7gkA#b?CG1HyF>Nx2=1SLq6!kEcbv3!K);1gs z%u#ihS9v6HbP#Xc_?}1nErL%DjlM1ROj=&n7qZ#WaKI;?MipEOD108ww%`Vv8qrlg zlWC#NsWRE>{(E8UV{pB`M6x8`5aH8Pcnh9L{Saix>U1B)Y1>@_=h?p+4wjc+(I;8< zMn$aE!ypD!b{vU6HbovTPpi8i-MZs%QQ*bka*w^I=xNZ3s|25>;8^=nXwj2sc^(QC z_Kd*vX`;u?R$5YMBg1mr4oa&yFF*7CmiyE19XvPP)P+5hGv)?z*K>H44RALaZaAy+ zF7(?;=ievnXw0n`l(THCU!-az0v81Qy+(*w1%MgwAnt|*t@pv4X{VmZkhfZ^K7kc z|D{Z>fd{a=8=t(950R(<60~`tck|XEVDDCay&$P zX`DKTNsuPRKN81!DF^`+t0}uc0u|1U7D1%k`X-shWg6Spzf288=e94tZ8r5C$4vTu zYrI?-f^WHWedxvsn4)8r$27Ne&1>W{<-FPo_-hnZepiI98=7A`3g#`$J)q>h9@vmS zyC%3XRYyJUusmOz_hl>Rhg$pr^WmBgHgA37W*|N9L2pf3);(S5{kndaYdWZG@Iiys zoQ&{t)jcsjOoh~V6j(*pTX-|nPfHXzz$Jk_cbJ! zJ>4|Y|E_IX$>jOjl6VkrvNmOoo&yNv+<~TEa;JO=M1M1Ro#tePXoR}8?F^l+IY;8p z3^^b6#BIC}JJvUV#{er`LZj%bdf~U)gRvLJ6Ds{$0G<932HfzWn!XwKq7IB_;t<6M z^!y$nDs>M9Px2P6U*kbf-dM+*9z{v2GW|C2{AIS zo;+H+OYOLHtgC6_y)263Xu>(wjKl#bV8Ztd1@ceVx=}%wm{IGdpxM)~tC#A%*zgum zMIzntqc&eE!cDpSKZb&n;}x0rVDRJ?nyFUA$#`*V4*A{pSc zC$!}K$Us13z3l-$tGKx8;&E(YR6jFhTVdNfW%}tPodpxt$wB>eyAHJsQq$n6 z87waCop#E8iJzX{G~Vr&#b%0sHI_(B@jtjZ?CZ?)bU){;ga!?!SjQ(#sCi*NpVXQs zea$3;eb9(h$0&a#gI&CM84nu9ldg)UWW}@6F28CUS<%o#$#!uLH&wvKuR>*aXvc*Oo5K2(EhFG4t{HHkc5Pcx}dZy;Bu1Jd}{WpWQ4aYfX^^D#oukG zOQlR8s&4BG88JoMger?mc+MPHrD5@HUkYuiRhEW-cB9&bs8OeBR)MHKG$ap9bX@d6 ztnGGs^-|k!ssa$wTuWMeMNfc4N)kx(mUEkcHHt##Z3cVA=Z!Cj=0?$(SYfB4tn)jV z2VN@q>XDB2`)HcsIsQkOfi4hnRDHdp5yYh6)3vK1p=0WAlw;-6bj7NDZPdrv;MQj2 z*~bm`17Y?C&NzhU!-gZjAq3(DkPVhCaEPDj72{$mFb7FEhW^J_LfyNE-z$tCC-0tHI?SBo4CN{I zay1*UHZJMQw3l7qG4N0<`(1Swb9Z>|2hu5=C^p_NV#(K3<+ixjH}iR3GP=-2H!2yOPDXXg{7Zr=;`=bx*+E6oijvBxH_nr5zVs}h4$JK z1(Zz+D^asL$q0C?WStL+#FKNf4yh0x%!Jf%jT!pm?ncFXw6REX`#q2kLmEJB)0|8y z9fSboVmmwDd8Ty8Q>-yevy!&C%<4+VUDIoNP$#xy3tB%G%-`f@Tlid0|C7cN|F^-` z9=HpO4XTJlhl7*pcUz2DZ@v7{xEbP#X@2mnpW_s8>C0=F4f!H-{j~rAXumt6I(&vO z<}5dVqE@Xz^XWph$(!hx;Q57hEDK%_$D0vh`YkXiEBUZtY@(Gbl+#5x;&$0fiQ|Q5 zt=l-&7FDf5`k!W&F9B#BdsQhm2yeSpi zx&}3*KE&3C8IPz{m@Rq?(6UpfH^5*6nnj>$x?BQk>ImzAdbK1kx^j&fFwH3R{!0w_ znwns?#vT2g@M7`xL$6eXgI5@NzVLumYP%yNoxd&UaeQ8aU>Miln24Ld1-U#-(hR9K zdFNY5G50rzEX%H1PzmuSDB58~!;_}_WmQ3L*oN~?mfL56#98^B?LRB;@}01lgGRP! z$o(?#T_1k}-a432wY~r#pW)ZPew|KP^w!J*@_SzPi<=`+E{m|=(PKFdT%$$Mmqv)3 z2~GSIA2FT-t{)#BNn-Pb{!PWhZLR2Dj{Mj7|B#Oe6!1*tQY@3MZr0-Ryg18>Mi^o~e`Ha_b2mJE z6J3;N7H{jVpz1&mz+coIn`*h|nvV3K8@i-BXfJkY&@FcUckYhFM$~9wc@$XD-U=^Q zlLX_DTy(C>5=}3PD;d^D!i@HrogD^=!NfiJ3zy7SVeVNpP2yK)bLLYA;b+E#_M+dug!y|o#(L4)8N*?Pxpee#^()*CYJ}VP8vZOrz{8(4x zTlMoegFL5Oys;6j^eftC<>-NCrdjwcznDg}c__onHouUmI=Vj)h<*TZBj#$IiRyU+ znu4twb_wczVa7?g$)Gy%tQ*0iYZp}e`2x&L-J*6wOcCXJQE$M?$4TI~_4%<+1_duD zA@77U20K}S*r8Iz)5PTPaYN|Xu<+b*pcYbkU5m`R@ko>j}l=ratM2xssyH|)53)Z2MfC|hw-zw3HNl>JjA`n zw|x7?(SC2~tape;rz0QHtrlst`rTOYpQ?-M5%?{~YfUEWcUu0&$DDIZ5a3j^QyAzM z*NgoojVXM1V<5FB#DN6Wg$i*sl(PrdzG!5n7(7M`7EgkF%*Cbh*S7b+97uqewv=-y z;&{`XY6SKsa(LH&@l5GfNQWstlK~tMoXJVF;z6)GGxd z9L*&dPPq>&@m@e9li?aUnF{E~azf9bKEKuoe&Z8+*9>O9jc<=CljP_;r<}c+4rciM z+BEll!SEM4y6E5$-@s5D+w(2!zoEE5^myO9|jEwQ_w zDKc+DKlZPWZIIl*GFc^vnKbw+5f%O+*Jb2KY@$Qe62ZH%pTVhrzJAP18m?(R1-&pn z>?98aY#`kbY`LEiYzkY~k$uP- zEX$OUC;&JHXK`e0YU@Y9uR?k7AKa>v5rwo4bBAJ;^kz3W5hK(CAXw^2+4MJFyMS%V z)J~WGedZ?0kJDK{>{#KAU^dw4v6HB|<6}))8qNb(P0mA*=(DCp6ndpPpsxncNWW`i2h0W;q|LBpRNc08#6IQ1u? z#}h$f`saT7xHXL0WHgDq^=2Bv#dYBJ*|k3X;PcGZ33BE=^+$4pUBsS4ml~s98HkYz zxd{pOau=*%R(#^kJ0M>yap*p!lw3tMSCCg+Q#C{d!9cLV)UmIHW%hN=85w-xG&5|+ zJLk@)=8R==!To)yV%|9!RSv#HIM)ZSlj4qCbJ&9qKu-%PUT~pLgz#^2uA-5D=nf0&wEJ?d=Dm7ZZVVG_QmUOP@xc@_llP3_a)vMsW zKijvg{;(%rMZeueJOuVU`;rG@rE08->9Gg(IbN}O7F1?ISJ6+yX!{+&*}~P!Drlu< zEH94_oj;;70-hJbj2l{FY{O2l*3wSt<$9S-Q7qkkAT>=%%!bytp|QYVTq)=tsGl>h zkWe6U{F0@r5i$@oGTPShA~`5bF=A5M5~rFgx{uA4N}|Uvw>vh&+MsI9-~yvXL9`VK zmVdPistSBtLv%2P8e9l+KepGujoxxER+xrhbVSm~badt6Qb|cLF4z^;6xiN|n??^6ZMo^*JlRdpJ$J+39WYKs-8twm6Q*TsX{jFd>C5c0~cjp$V% zY#oBES_accoE#kBlezI~c$(Q#ADTES zb0v9C8`FH-`Nq_@inbGz+Q{Vg1`8yWKgZ?U@&KR$OOY9S3I`>qOy?6RF9Gw`(34Z) zJ147Qv?@K*uuSJIv(viKg&ANKc*_pE$)Dpe>rv3@J<%wrR9f(_oBBY)DHE|N9Ph=} zgAL~3XUV>8$5hdcpy$iv>IVT2{!$bd2>A1uhcG*XDO4sfZsPt6N&>J|xUPH;Gva6s zqm)Y6(|u%n5q|FLuxrXo4Vk$dB>dcepDcgMZOXi35V#V>qg*LsSSHfsb0eQqvsc1= zC|28_kkg}!m?A14)~@WO zA1SMBoA2bCFStI)m%?+*Teajou4Dwi7F7<1VSUG8vP4_$UlZmJ%FG88i>|~cXYc1$ z-6xpn9ma%{G*N!FK8QLgJ$~&g-sfUpf))!!hPyf*d;P*l&&gWKnK=o%mG0T+Y!lZ) zXB&AY1)r?M98hkb@un-{T|{?=N$tMl3o(z(qHAe9cT&)uo2lW+BZrSRfgS_-DUrIL z83H}1y`^?NShQ2}Jp@b{|DIA610z_c;4D|A=#caXqzFO!XjNN@cn!Oz5AyY*E74&3okj-gIAHCyLW%xU&Vf z8M&*pgmjS)IzH;#4sAJ6=upR%~RF= z({#fMrYBZT{n{|Cr|l39`5j%Kaq#D(+1B^T41D?ydwUPL$#w#R${_oI?~CvCJmX&% z_H0dByd`7NzOJS(x2M!GfPLO*WB?OYfBkl>?<;Hy2O3VPg|Q&fqZrUT9tS}qE)-4*BO)4 zp;-QLxY^B${l2MXeXgh17Juk8(trBREH)#`@Isvwa}rp=(ELCGbW3- zjNqXasbr__jWARR-QG`LrZBy-QZxCs@}xfjZ-EIY`;~EW6qht(%3PVqQENWChWl8M zB)22#?o)r3ok8?XT6>CkhM?4vrqd~5Gg}fA4-N z@jI9uB2>3d5G9LTPl!uIHW{U*^-LV}2%GqEhDCoUw;X<>6gY)DZC3(mL8?91_8ob6 zfCgFmQ%=9)h5Yh;`{7}jf|;4a7GOG#n6({IdrBUXK-s#tAyimqZbkp23~x8B=Q={B z3J%TQdJjj1GlpA%3&C5Pn=f=*@?SDr`Vh}VIc?PYw6!~xFlo3DeS!&JuiwS1mf@3d zD)fm7086p?4ix73^5=D)K+>oYB^HN*!I#H`>|~Me1$z<);b*KOsVq;>r(}Dgc*xH6(zojh}W|d*9|B z!XX2U|ELIm*C_{3SSmr3!1VYxb^D9fH-NzRSS06xrk=+1Bc_a-x1%EBi+2jW`8uXH z4m+l3;FH&S7L)SdQ8iKO`4<_TIaQ1$d(YUj`;t#~rS0G5uCTxKr5=6JnZM7L5-FT^ z{w$miHY!nw8fcQ58P@Jv8#msK@^dCx=i)E_U*BIO&MD0B zy|})eY#Gx&es#^eubLkJ(PBj?#4eKO>USmpkr#Q4hK-UBzFZba4L-)2_DD5_HyW11 zq_*M&RkwRa^5VLt^wibYln_+6G=5&QjmulCeZLt;yl8GKHmL;QsT!qf?2i}>PG zsv9nik*m4;pI>Y`e&M+{nnu^te(3#)H(G3Q&V8-JllCgP)UJWPgY333b12a8nIE%; zD(s$&THJqECU68^_OSXiCNDn|8~@t zdrxmAc$Uqa>DDrrXH(J3yKQrd_0>es_l=O%Fk#LCxgpcV@Y#QQxAT&3cl6M`6ALVD zln$EA?1beM{cF2E75jCSYdTTOhDFKe>E%!He0diR^meCr20uM^s8YK@vA{jnpnYbc zv%~ZIRf>;dJvZfu<;!YZZ@HNc-V(3!+#KqEnVjwWYIWjTRin6L*) z!-hQCu0vkgb_M?M--Yf5#c?HlTTaYX;{1o@;(@muLNnL4uKUfob6bZ0wqxcoLZ9N; zp3YOBrWELDBIQ;g)0y2ZDxQCPJ?&=TwDU^zFuXF(Wy-5pds^J@4~eOynr$%3u3U03 z-?`BreyeB0VC9QYR!i5@%{u$+4dR~CnDFQ=Peh~*_Idi9D@Dn|lGl3_qnkt{UrS9N6h%uixLH60*; zilKmvwK>5%Hin2Px1D>O4ha$xBPOeNiW+RMetD@T-z2GcRpyL#@tU5BYMqKbk_K-6 z*_B&{zokocgUJm}{9THJT9g>ffqyUnX91lZgNT#pQ?DfR!dGua7;=Pqvaiq(4;oWd zXzmMPpm}tdJ>fs7jtKhZ5kcSQhBZVa$PU`;gqhU4?GCRC2K#R_X#d(^&K~Rt8%cF10zC5=fr8edN#Z8$3O&olr2-B!J-OsydK75J|qTe{)?|ByD z9NKZ!>3PwvAYRn?B%Wg}3xdUy+l5v|*7udggAywk4hVVjsLEgPe+ePZdCOA1)Bw_f zWubB~Vp-VlMYwlYe^f+vV#|<{n3Qh2EmN5$I|$mZMnjSL?=r|8eOcu@zajGyEXJ?{J3 z>%q2Q+rzBH^GAZdT}?ARv<+d~;#!I~8rH&5M_p*eYo4J8%|4}NByr&mzrG2(C;||8 ziz&wZ0obd7>d!pLua4<(QEVUmLXVk&1F!nJq5_|Vj`tGtORyiw{X#P|sPFhCh zT@|bLxsjzXz|nRkJP$3AojY!XYK#`hjKI-r1R{iLd9lbe@DUHNx`6qWGXmLwPWzmU z8c7guL8}Bm#-n>TZ%|*D^{kE*cJ<-++F&3=>iD-_Jpng6Gg%S7L$YPF4E(d<4OK&H zoV>@4&G}s6Ni8?XQKRggjeXqmn;w&=({j4yvl?0$^2uIC^;mUV#bg&o;p1^-4#+!m zJ2R8D#UHvyG^_2{)0AFF(UCvAONoWQ(2p}fz-}1rtnf>G;oJ+Qd}lw_CICJSIl3Ky z&~iF_je!(nmi@msrDDvQHrpxW3)aNUPI|WbdKv2G&^gjlE9{p~X|~}YR%=U^G8@=C zPKv=MhOpQ`Pmi4~7ZdNvmXJQ6?Ybq{yktQTk5ucayn}t1ITj$%$@GMzqT=)qQj9e} zgjNiGQ*SONd?Eu$Y`06_!>91`ZBy3VnC__^c{LG(UVF5*=9!&>s?6PjDhJeQtE)*O ziV8j@X#snc1s@oBNIFZL70Vi|o~V1=;1`hN_THLA{94?NDK)?R zIN_JCh;E=LTw2?XKBl^Z`^Xz(X*?H~gq)NA` zhxtR+~3fAdbm^$k5&x|Sj?+>1Bwpd z@a3jI0G+L-JA4%YKCc&hn(!CQf0rk3#$M6U;BfEpz=YI!)n#^Ug;k8LlCReSA99H` zZ=1bN=$d!VYE^lk#A;Rrf?M!%-*jq(AmY_1%czNtR&WhDTGikSBGs=Y?}<5lUjF{Z9viJSQ|^;@ zGc_@H#z1-4bX3N^6hin1BJ(X8w(a=++{^>9P0h-`e*~^LotN|B555Q5m3HQsh&HG5 zb9sVmhVzC93Vo_#UWH(^&!uINy!m`XxKneV3lx;muf?c|B6cYDG+=K&tm^-*kj^Cz ziAPhs`jXD$_7YsX18LS?YSOWhQYE(YDwRri-@(iO`(S-#AZ>o{F$;svpZt5%PRU=% zD8Iqnl!RL)R%a7vnORhiTWz-@Gs~;DOV} zXE?57MtJ)wFcV8vf)w2X1`{7*)q3uH6CQNC$PQQm9cH}7Y_4BJ=s32hrO_O5cTp<( z#$7x#V1Mx1OpmmEqmTPaBACdag}26Huup&tCMjCXg&b<%QElEC0P3xd_Q9tJ;{o+nJ3 zVoiV@p)CRi?5*>$XN!a$S_FXEeC}H%ivT$|YX4{b{I(s_9hLe*og*ojhb^&_ROU-`v9{|*jz*BQ^+zvOoF8tvqmfaa?tQ#j(|3qGjoE*a(f+#9=;6eC zZfpY+ln$`*fQ75PQCeTJ0}Za{>60bGF28`i5%oT! z+o=tnTTtvGUH*MscjL35*!Aop>-oh88iItHJpXHGg8?>9E93%o0z0b5?Bl#*U6JQi zz=W_@eKhq4FvD&80bVUNdAR~IF%j3p^09XX)CHBCEHKmsKrwGu@zL_6u1=eShfYzeaf`bEJ*-$A$Nt)8J51-nI>J zm2`h4lp(S?ICd^&Aoj`=-IQ(MX1o#*A>B?A@NmMnz*7_PFiNZ+?ee$-EUFM5FQ+F# za@vmcma8A|83K~Y?F-6b-O7#}vzq6?k(r~K#1=N&#N@S=s(_t>DdjNheE7bCs2^6P zXD6B4Q)n}|Vuw;_kAAO1LGW8e(!%?xjUPo5St(}D4R88>SPFJEnCLqW6ef?UwbIZD&t!0!&Q57| z!@xB3fu3#8W+Av@y{CB_xl@4)4O(mXHP{c8ndh}y!k{bYY=5IV6jyIkr?+perxYxK z(XQ;UNAd@^Wo=`X|BtUXkB9pG-$yHyNGTzTLbecTkhQXJL-u_sgBeSceG4Tb`#Km~ zwh;yyW6hGvGIqw2y=2Lrb+Vs(`h3p!dmiWf9_OETkN3>Wytey(uGjOrjKG2fI4^f@ z2{iyC*uLECUv0tVzv|Y`+1a2OV>{Rx3`*aS9WO}eL(tLQdV#zD7~Nr0e6e59>l&>B z{L*=w$8vU{86`&EfmfNyHT`ZD{_>zDH3D(y7U0>+X`CXD(k2q1%jFewnnrE6wb#X) z|HEkvTH-Y!IsVu-lYanu*guG4Gvt{#Xt9w=SK__His6>!u}%g$DoI6-n@bhu6jlR1 zozei`&#OWR+?8+otU-EF4tVdZ4}2I4$jrz8H96ru@G&E|brQyS zZi9q?u6g8OYR?|WuQK;2S{{v=G~J!A{=K{WjJJ(T20M6(sb>B_-=lN5;2LzxOSxDt z5>T$?4)M3 z=eBHaplAj zXP9H!g)eQ1y)P{$4Jy5!4om`Fg(fR$8E8Q7`aiiOaWLPXP8417r0n~HEX8sW%CXhL%Yi5$+}iD72PXSPqS$!{5`Aoe zmXPgdx;KvlL89{8%;T#yAiwDYzq7sLCdvq{xFJdj^LrFMj0pV*fx?mXUOn+MLj4Fv zQi1<&%Mrr!VkG+ut$`Y36mU2A>kUsqbp2j(M2XxC>iOytC{^%qQbn<15XAQ#OQY{3 z_WSBMEYO>5qyjf(I>`!R7EnEf6+A2l>XqLbM+qgMV?h?~;Us(A-i994a45VdojiEK zx-~uO(@mBx?KCtb#9A%mO$7V0v;_N9z@LYT7`FeHR)36HgtBwKMpYoxSLprlJ%Dv& z^gZHTJKfpli+UA@1Tp*j$q%OY$%*`Kl;=lX$u1x0n2?m?Tt~L{^IF%i9!IGHz`_VJ z9WJ`po^ESVWdDq1H@fKdWk6QTT(axD2I;NKoCvSr;&KH83jEq38~MvCQ1btQyF`wj z*H|$w!zG2ncm+4gC7-?nBtZ@*C(+nQ@a7m$$6BqT2148CHC!#+c?R~F&WQUH-!>OJ znW7A;R_-f+EQs(HP(AgaMtyiT$I}yjNEdW3@*S9^3xxuy&5F77XYPqDqpn)_q$s|T z|B0W*5p@(&oKiFFMOPiE?nt+&nsxIlV`DR(-8h4u;TYv_<#_bf53~mUsb>5VymR<2 zguwextYB1B`k@3!;duKq^&>G#ZB0DXbx`OCpRxQQs z!lE!0&!uMomll0`M^H86c`_zuCGQ8l;5gN^^fdK?!6}9@h3$-$CR9x7DInIg4l4oX zmCQm8Tr=A;MtN^R+*G0VInHKgrO-F$M9cCHfsIkd6_ zKUC>At~$i7^ChGWr%t#k+Yi3|h^1FTmGs%L_^H;N$$wBH*GbOZUi||`fVKON*Bb#V z_y*Dpzd<#0k$$e5WU2na;fkz|VU&XgseLjFJO{YKXPQ#Z0d<4x#g1AA zKyEOB=nXuPk(Ev$CnyZ~dx(`*-|H z;c&o1C9dPiUNE*GA{os1S_IHEIL-bnSwv>E`faPRYCYdOF!s23Q}*+3^Jv6j7@Q>c znzDVGr9@jL6_s9Dq!(#bu(3vv{FvjP(fWzkelUF3gLS>fYxuc8Ywv0+iND*@$P^5bziVPFX&Ax(b(&UWg0wloi0^!ff8+XZZI8-jJYzL| zS+#Ds6Fs7|PMB!rrmlh0~6|eArjc z4p?VJalGF}^1qG7bHiZhmL-?DL`+O5xunF}bl^GnbvmBF`$FZ2{?8Yp*7Yv& zoAw%+RKX&jqrp#t3dDI_gzT1BNg z$QqB_1j9No(5|M^?PqsTU$YHmLNARg%MH0RypNL%3^H?;IE_{Wv%vC1>`pDF2Q~S8 z{~~!Zm_YicV1vuKz5FF22GLiy7SId zKFGnW0SezL1K13trvcc(uGE#kpnAn%Ti|j>$9t|rKwL{1Oegba2%%k(S511RcpfB# zA{D}hfn8O74BMO8i58?{tkymUa^iM7Q;~;t=SvEsUOv}zzHz@9;^!#CHv+(a-g_3`*ONOsr(5rIhnm}w1c273AF09XG)Lnwe0LIlB@$ z+iy!>uvQ_3D-s15GzKY>a#^92AIY&uNvqzAVS++e$6nSND7<=I1lX5(7brcsOqO|L zr@(c>mTEt27E%Lq46^+t%_^KSe{^+@KuD`f1NK(Y->g|@n*B;kp{yb$Om864wVfwr zSonFCT^^{m>oX?9q;CqlN5P=W(zRb58GbWEkUoAOK_FX$f2t@GL4yW{)z^>Y{}l$= zdBJ_NHgI@FW$cfGU~uJ|g$?NVW1bRgW{So;ZWk=&&sO2UWN6<^c=2-q1`{+-brM;- zytYIWVv_w_fG9!67=r47(Ls2G+a;DWkQl`?raQDAW+s6u5Z5t{C>0)F5)UcDImqwP zA@uK-r%k=Ngs>62)|&bhCDyv+m*(+)$84&T=ubNGxbeh@iJbWA+a_|E;~7WpW!hYR zm;FeP1;V%}UQBP3VLA}OEA{}>!p{_M=9_N;YKb6bEGupQYgTv0mV9O9bt}dahRbOy zSHWTJ^LIGgQE8{T8MPkiRq3-?mcQ4WV)-%XC-Hh>`H(4!EK9;3-;e$g@Ob$-D6Ewk zk^gi_fIUn8qf< zbEyUpnmK@G=y@Pv#310#mh*x)^vTcL!j+&E{A`!SNDOG~#r;!fnr(^esNUJv^0&vu zHGl~4Nd8|!iJc8e1GTxmD5FBHgODZdWeWj+8zRWgtw;3}ZX*X&Gr{VM^-BX2V*?PM zk)pApsmcL~O53`!R>1$Bezw+V6LK0Y;1DtfO6-9C?C6!@XuL#fI^iAe{g<-ZX5Uq{ z>5c}q^yi?o$At!)E^12TKV`RG`^Uv)Hw7MCI>#%h&*%R*dc+ZomRb}N4K+BDb zTPDxQ#f5sfxW)qM2Xu~{&;81MegHKj)|8cvgee(nXVfd#p9g&E6C(=Opa~;k+-YR^ z5rj;oQ3t*N0{b5gw9?m%wgpKFB-&`=8i@-XA6Lmm+RXU22yo6S&q0wB z$jea0af5!>J-MOEpf6 z6`ovZ%p{l}26Ynd(Du8p$?b_9Q9{Fmq-mh~4t--x54J<0RbO1KA`!fRiO#`>2i*3q z!ad}2j-N{hjfZe_W1+%~CFc41Z&v>HSpa)4Z3Mt5$>a4}R{viO`*Q0QZy&7<#ma?a z!TYs&G}rY=y!90zZAj-qcW@|<+oaA~rY|ioTc#fy7Wh7=67`uBoP}xry(jZ#ZFtwAU2*c#+t3tK)`EU;(jsEyfGfiTM`QIYManD%E zU6VVb@s4ro#JW?U8kz)E`bAT?5j!hYI6`}~*pqm-`&iDoniNCr4~1&EneB*)Z9?L5 z!OJqO%4rd23)DN88)0P|NAwg(t7=L`nKNx8kI#0KsO)i-O{q*KKc$;eF@(gAlTzd_ zJq%Wd_qy>iW}X4}g*s5ZCuo|I4Y~9v%zb{*8(`5~aE}-w0 z+&49A#`A?5SMAahIRo#LBSAhUK(Qk#w8X5r{6Rvf1Bvla78N2OkujIm#aE}+&Apa5 zl!`qCOmh(Z9T+7I>=XQEbq+b-4-d)Vo-)IS6LYxZY)uzpbGS!waQ%XlLmM=q0Fadj zIa>7pY4`x}5>awh!*Ncf9%fY^)=J`Z{K9etL9jFC2PG2%gVr8*`4fD!FERdskg5G` zV*CwVo4qopMX9Yph4A4@?pjja!i@mOj>ScErCGwpACGD~?JEeoWw`kA{&0e}>}m69 z!axVl@3H0T(xQ>X)xDkD04$%&KmJcNFZJz+!c|L7Yeaq{*Qn;3Q_vWD7#eh&Kk>fl zJ@*ZCp_vnVB)B5}xzdmBf?#_VJ`6`iOMp-){}%=*+wo|$ zM^)$`kz^MaaxxM>7>y%tue2%oE*eh@T2X4Wr9Jwz*xU`j2V>BrWp+?BqZQltOw17G zbPlq$sTDN-0c!9OOoD6@06P3<_D7fjLQ1=+?=4}V91gsg3+$&2gNZi?UqNC)>S@MA zf_hnjmEeL;I+*ewxxJv#7I0?V6B3mvwMj3lWOD{0yTUHS21;o_x5lU5U9g1P9_L<9 z7Oh_dHcF#y|6+19;4k?zl|cwB?%Q?1Y8_(Llme5rPn5HdtQShZ75omk?Pmr;G}d2n z>6G8W)WT3Uxh{^gs>cCe`;ae> z{|8gldiylB6#z#f=HpiKrvS55ss?_GEeqJZRi>4EPnhiTT;#si^Vw-if^xc?@Zu|Q zqHvu3KZjo*@#6v8Xc$pbZ^j+_DA5+Y9rm0DVtX=LJGv=zuQ9H|AR_9!YLe=-X7b%G z2D)e)KYk7LSsOH6$5+5yYD_zs@V{?=0#m;c?U_@X{0`zFOf-CP^D+X6VL(?=i2XHG zD3c!;9>7ebO63HhJ{GPwZy zc5Rs(aN(@sChXIpoB|J+;-Aitxfu~6W{=6dlYCsG3U?Cj|Gt0#Z!AZyHpUxJI@!JP zpPKA^xnn|r#woqIH9BDr&IjT_-}HZLvbL;3S=l2Qz5~Yo)+%DprHP7$1F1WBWTYtc z9ky=ciB7NARTJU8z)5do+34YqZ=BS!r~rj$=ib2sl5T};t<&jCF6lhPA&@-n&8F7Z zRst*m?BW`LBm`qjmTg$qKblGR%j|~%F8$mRYi+WQUT5nEFy}`SO`4Xu(+jgP2OWTG zBY{DB7!Z2MYQ5M5%Qd&R!|pt2LbRF?ij{h<181T?#24D`=lD2R^pWlm$b}mIRBu(E z%R`WT*gxT+^f;XHtpbCpJS%E3z}pBshT#)uexC;lzgfTU%Jw3Q{E|ceN}HnD!Q=>= z@Ndy9R^b}DH(TRt(?)>4dcXw*ucStj>8nAdnOtxdi3)i5zm-&h(7MMpOR=*()6iH< zDWO(k?*V{~vKlDVRMnJQbT(~xva$pr zs`12m=!jqJg=?-OAmJ?KiJ&?#9^t^+gMOg3o^mpo_7SuxCoIOntcl0XxQQ%d2z5Nr zy{Y}=3G$wy|L760e_mob2(O^507XH3}zK9=U-3mZWTBzaLV5#^>+l2|gYF)08kb?F{TkvXs!o zv571#s2!{20Ie?+=6p8`t{S)Rhcwym70&$NG@AA;L+DrcPE1`gz})d%A9aQOk{opKlEKy&%Sf?NmrL=USWl;Qk+xDQdzXKy!k z+dabRRq41;GZg&Xh50B=IvMN<)n11)Og#T4udkH5=%kIIt5_u{09Fq1<6j&VvMkfI zK+&RI**qA4kaNl65PY*$VI zwM~d1*V7Qff3etAge9@I9Wx0t+2!9Kdf)FKyrhAerDu86)W8WY)>$L|gK=iWLpH+h zhp#P48l}zrqf6d){kt1o30E{%cR%FjDrsCCOLp?WR>BG8!#P77{W+@&IVZIkp2()3 zo>tO7wGpdG4EMP#jZeE0K%7X(^x!%e%#IiarR|vpB}b5$Z%pL?pN;ZH_K!_#YSbIK z6*=tX$l|T_rVAt$7d}dKPp5auVO(Zk!pvrE#!ir{6LGN4B!S`uKHcZ{QMPu6Lz$=B zp3o#+fnS~4_FG?lp>lharo6QO?*$zfn|$d*infIxf7PQfbbBfDon>h8SsS$Q9%3vu z2C=qH{!rX^U<4~t1!8h2C>%kVJuS;y)3r9?8t!*f19I25w zLqJX)@UE9eNuoX@17`xQ-p-4+dhL|uDc&_r5*%X8J9WpD8a0{%-_*CUt46Wjmc)Yl^ zuyDKwh(ko6)%ceQbiGjORm9j{gM1DcY^&Q+#c!#EOK?CC>Q#J7K*auau1^HYFGO97 zZf0TezQ3)!+2chPKxoDwUorgSw~J5MdCVxOy*U^vQj)vk{e7>0SOtF{YIgHU71$*q zFjYr+IDz+@0{*ug+CkFFd4>ZT=7f3u3%OLRbPd{U7XlxKq%n5V_$Gt&=Nk>Dan*O` zezdje%S(u{;JzCs?KETh7oHN=-cN_mbB%X4{@B1CO)4sb?XWJg05g*cE9w;Y**Yf!q0#BDBV=qGaBA8>$z6lQ{Y3 zWqK#$3LvvUmE>f8D-&I;N~^H;R{s^@2gHxH-8zyH7fUy02z$X(&{JcnTpWt;9 zx0=OMI@H-d!^(%NyW&sf)bbcn*%7N;8c{u&#I4ysrpFtsd^vuOin+OFf<6 zV-%h=38iH|+z)Iz!IpowsSMK=j+0e zp@F|^`y`iG5jX&Pf9>JBP|yVJEHr!5W7NfN`OcJm^M$88;|Trj@vrwU13CwKv=~)P zrCO$vh>(GRH`W;nNiDQ%AGKK>9TU>M;5UEVL#$9jp7NUzr?q?PDMelD-01F)0F0EGiXi&&;A*w4Q z!mzk4E(X6VwER;PqFpU z6cIYufl+n^Jh!MZ^ZPXWWfB_eH0ossSvLn^w^4l7kJo>p)X>bs+gsRlG~gvQ`}s~A znnI6;a3_xqONQ1pdR1-|p0|RHqZ`|g<@Y;)!h6IDMJo+Y@O;460v=6~?naf;>`4%t zCwIW7yq=GmlSEBHi`%I8TFLorCA}v@vx1KumpnA)|Lw)NhRJmF2inZ#E{fV~ehjTN zFt)-K<6;3xu?=~=cY96?NtG?$jd@MLacPpzE`-O4^}Chv=87JJFit#0k0F|efVzK) ztbqU!Lx5ni4+4?0@5PJlWM0N0`md&;x*0EqV*%UF4*gYHP$?9682N7xuI;f_;CQ1& z_vn@Na0ABvmCu@Yu1AFMD2f}H3o^azd1`P`a_dzQnj;dbbMYO9Gm^30SJmX_>fkrp z{+AA4z-!XERs3E0xv!EZ%z zu05}P!n|ytA2Eo8y+yG7i+%d>T%CS}f=g+A>_MWso^UFM}7u+;KpRBew#czu$RFmJ)G3M$&B)q8t6eu*5xyAV1Vx}THfK&Fdv@NBRD z1>k-5=`4T7`xEHjDwFsE8%gYQy%LkT7qUzKK#P7GHwX7kAl+jCJZ!~pc8Hi}ZK^W5 zLH*kzGY*=2ArV+-sB~m3SY`3rkJx5pJNosD1svWKm^2h$lZUybVTZ1kc_ zBRv-Tv0Y;w(Uq-pvg)>{;-^7HF=Cwq3hXu)t;yr!HV!9NV_tCn*=lcElj03_+Z;8( z27WK|lHNl~49+}UzW@TlI4C1CD|3DEHL~B)!%O)XpmT0qfjWwn0sDEU z)yB`LpFIe^5es~+s`H|3hhjoWtW4w~blEg8c6d`!+>aJKoo0MwiF?fEg5SDM5nZeA zx&{wYwF=)~^TVR0O1|94bO_&?Gc_cnN0C^NWFmoRu(fi@E60ZC6eI%bSe+usCOH2yg0?6naCEi zqX3+G+`VGBn4OXqMCwv@$*cJtpf!XURp_e^pvG{AzBh)06DV)8=i>!{Ay25S@LH== z-pstU9m``(#H&@^kcao20>qDnpqs(8Qx$YRyJW@vM!{6FW?qCLSoC8CPG}OJ&9n_* z?T1!=y*5pOmsp1iwLzjtJ?!}~irxvI7|~$k*FDP(DyfZQ;vs&C&Uz0=yIZN&?*J<< z-Z2YqI!XKU*bjQmVsjNI5M7|EZ}^pwj&;GZk7FI0Re+B%kItR%rX&jT<{|>2dnNE_ zy+om-SsQzehsEtn)f}qC(2QUqt^rTsjZYNf^1D(A-JQ5%1 z-~*h>FXr!TTlaTzY%hA3>70d21@|XL(oVfz{Xm+!8?g_Drio8L?E=4|6MrLK78|jz zj>iXXZ~u0w0^4%R(FTkb&JR`Gyi%Y*4INbzZaa(i9Rw-3+2gVqN<2?64^-H@;Cpa( z+>p#P-?5v|IDccT*wA*;dFK$?)J_=qs!x!2iXYJR9Ay5QkzoSqYn!0*mZe$ee0e5_ zuSVcc`BdY6GOSTpy$+Km5y$7GgY zkplYHvF!E*HCtx&A=nh#g75vep%uLmKu}q8OY0txZHCZA^^A@?LmRZBolNo788fsS z)hk!itf8`Ajj_gHDY27)nn<=S_T1DbZ0tP&=@y{o_7uX+WxX>LPBnrQ1OdvZzDv3B zAFYj|8@S$x|M3NtOP2m&yPm(04b{brMYK6S1>Y$hO?9;EN2FV#d5G}@z?<0p^S|Dp zS-J(xPnI*TU$vU@3K0kC{eDTNb|qbXb<_&vd4Pcj*)3aMJayLO@1kE-W2 zpl%oh+hS`kG>ri=Bt+bj)^OZgdbHzhoNz+MOr>zbq&EH;5I>pEFe!VQNit)2tNh&i zuFo*rkEw-*_tKx!L=10zk^v05bNmPK0J+nNIY_-flWvxMK4;Iz{y_(X0~j*vM1tiO zfT_Gu2E1i!66^1BBO%~i$x@@6n|o#24T1+{<0OTIZe-=j^7_f{&FHDjBR4(%gPIQO zlb5BtB$Abt5o2xjkhl4c_O`CqiFD=h@nNl8R^VRt*8cqd;eITB*=S$C{!qG7c=_hK zmBqGp_yX#9@{rsAn2&$nh--Q}>ttgu@T7O4De$9~J++L7=c# zgRp0iC^%IfKYfYNAXq!5L0E75#NEU;-5Ebk^3qr$rg0w?3Yn}{5ss5qjp}i;#~M{I zwR=shd#|`@O;F~mC)s(c2J%VV)irxO>Q&qt?!{htnB|9eW zExuWouG@w?99D6z`FhqKNtM(2?}g9_q}Y@9zM|tB%lP}%^Pv+O`LqU z_;;cmW>S{ctkMVEER)2vBB2V#ZzyZ^-|JFGag(fY+#2EFW`a?NyYi3QV3p$*1`ubB zrK`m!8OIybS#LRpepJY?`v!N}+ldXR7(I(uHI^qBM0OB7ue#YA1##T$~*j>zY1@79Yv24on zWP$T|*%1EsDFn_7kH&NNA3DrZs2A0V%_YEcpe7e_~qq zre;Y#S=cgCG)(zzQUn{Uer3MlT_}9#OlR4{sW11sJ!_8`KG_@36&%FO(w^(t?`~`N z_?@41#{|iLKCrP-4%5K-M|@~A=J>aeN3B_4paV-_$g@VZxFU|b1hr{?k(S~>UW7-# z-i+tTk{K&guh7Q4@Q}o?%h8g}EU`&i)H*RSojWlR-xYJY`noB5>=Tr<(D@Z9E_bVsj}tkj1q-zg zjBYOL1s8b=)CSrbgd3@^HR(^+3)I4b)Q@E#D^ngtGg-aGW4JD_EyZjr+Xz zc@b)AY<^MaN|E^Esiyrtuj9PH^uUGP{WfINz(W(6%ER?};kh(bou(_oSzn~9W|d0w zQ%il9vb3uKf1JBTK=;SH$otsNG8UBFs+B)hdvVXfYk0KD)s^2eKjSEQ>AFV0k3Hd= zpo#i$yUu5JiruW9Ti3W9XTOt|SZzRMB39E%efQeOz`2c_L~NYRN&bGTda0GaU}{Rd z5hLkljPAf{uZjSFM5%mRk@+;w&5_inb7@_LrfUB7%KwMEeWpIum^ZtMPH{K;^_3=e zd?b>FhBoTfv6fIvpQAFB|8T)kam-HPGhE|h%0!dytdQli%~kRpmOpbPe0#QOr%@GO zHEW0yVi|f~HLJThjKU(FJ{=E*Hf|MAR{|SRZDo=)lN5gd{>DljrTIiHiY7NtC5*`{ z#xg&=tUh~bJ_DIO zI8bNNnXb9AZsk9*q-TN~E15k`GT^u5uRYOi+{=d%D3bc|yqw)~RKH`atM020uIT^w1nw=l0@uwqCd@ZpTKQ5hhO1mE)!<{M zKoq`T3omGNx!3n^AzjTj{FF4&guBxs04!98L|jh5PB~bOzSIcrj8Zdm?mfnY{BXSh zfl&oj|6h-D0}T{Z1x%*#|DVen0G}_qPtL4yn~b(cryY;wZ@@dPEf8KIx3t+AD!wI;(MHrSAJ3&RsnDf4`M~ zZxJP!`aYgr-c+q%xdg_$tJ}igl(f?PzH#GGKe&u5t0kC3B|9dFkEI%C11A@1Cp{ZNUbdlI^vsIXdKAfV}Ro0^(?U+{8AX+MAByE-=IVYYrktL%T58lo^(b}aZD)c#7?&rSzTJ6by!noHQCK?ZRQCX)XRA>{J>w401tn{_PRM=##bnGDz61{9KDtGa-y~g&PtKd?pHC$h(>$%#lcprHiQn>y+ zB{)Kq%3Mf49X$6kFw^bZomVE}{O!fyIFF0%#m^Yuo7^g667Z>1q%4w|@Wq4lfe0e2)Qb&7V|CMp#NMAckM ziJU`-CR=6DdO;sO0^_X2^uLAOlz=%!LZjc$_S{gwBZ;iHV=hFgcz3w=!g<1@hy1SOym?ObaDS=tj z@cOoy-B<@lwh!j;&^NtJetwJtOAybo9WLKAQ+I`AKL2v3LuW8TT&Jo17MJrEbo+a! z)WW`whE$HnXr8sSd6(0OqEs*HVSPO$JOn%snsc+3gbIL#tM%%S_e;jyS^^VR`IjEA zO$PTlS;Z=#V%~x4=?9XZL`V#vtO6y_Un2+}Qh?+9kIL>Wo~O2FEwPaE0$c0P@_jmr zz8cgK^{~rOzpoDL74F3Fc}(M3^Zj@E336@Nvtitt9~T5O4rJllsAwr->5`aBR< zufdlAdu6;P<@)Q-sjhhKnnw@U4$g+zT5)@wz4%#hkJ3iym2tFl9{4TYjz5ZjYMN$? zOUrn*RCq^G zYwNt8?=kH6qO;vyxpXP;LClbZB7ym@kJc4!$LCl0c`J8*s@(i6GgcqMx3~H8<;$Cv z;>*b&t>q^7?UYL{m7hz|YvRZ$tD@*L|6U(Lt!?%xaU`sp!tEJ>+0yPi({RsM@2C&Q zpWdCDA7w&tgfDngxH)ezan@7PrXVB!9l17dJ3RR%$cJ?O*|>tu!rouQUHTkv0hTLM z^1;mZlx{CbR+#uw*+U>cuHD+hH!&)DkHLpR zw|r{7qxdqD&LQ85AvwZHLhLpD6ZIkAWkghvmUfF8#->(k)9Avqg<4+UB{%y1kyaiz zlXrt*Gd^;(yYpRUVSjE2#*T#jNyHX5M)6q=YxOLw&v81QZIX+XJiMN>;zCTP!=C@n zPTRlx$jxU179*BMIycFwHot`os}e>NBX|$a_U|ff`ECV#BMy9?e_iXpCHe{ZwE6Iu zPG`4L_VW#^`V{@J8Nzp1CKBAEz5Q)B{|(m5nP(Zk5gj@4FSZ8tuu@xk&ayRbrgY9h z@H$~Lm^=Vi-1(v;ZN)Yb+=mz3rd+4F>U>$=?PidUIbKPmd-<{U6C(0UA6~=Vi5RaE z+^?UoS0dv zgZ|rNN|)Sl?RCG8Ua?F=HPXD>>E+$d-kS2;vkdMt3~^g&uza#IVRocEGpK^e$*^Sz zBLmPe%t!@O26>IatTIN>xuNbxb6)CCEdZro<iioX%)g1!zQQ z>;~EXlVUL&Q~8A#SIVWnsT|9PIUy1b4e|O#wpOBd|JHm2ASz*_i+o&Q67y}Ot138y zdF+Fs)6sAVoZ9l1(_ZoPM5sA&4!NixdcO|x6rv<~8(n%MUJix>s+y5bd|F&)q_mPp zkOqf9T^oNpWg}1iW9tB`qTu}UG{cYx+_cniH1;0TIrqVepRct%mF<$-aBn?Np> zfD!ltrMSPr5j?+<_;*}r$Xcnv@;4W{eh$0@7k%ED8(^SMWj$`2<#5-E@AaUJbsDZfxwUFE0CqolBP-y#;Fgmwaio=@) zx6ixW^jYuayg;X92se;SOy^t5MMQ}Xh4OK=&D-Gi%!oqKHc z_J8{fTd0{k_aVv6k6r?LiC(^f6fc|WH&0z@ukReM@Kyv3;B9Ebi~VN5^Y{rI058Ge zQe>21mN|$bVKX^E`^s$Q47nrB$(zzSF?XX-OJNHhCZnibvSU7&dnZMATt%U4t_vW14gdun;MFQF~)NwGsb7chStk06_(u|S7WXdZ=$QsF3EpmM-k3fkN0KhMD+xt5W=+4mRAeQJw&g@fh#PcR8>|8Irkx96o zzo@4IO9&+w!4UgcnG5qf;7L20-y->LRl2R;cY7HR@Ux?$UOW{spj!yb%P~ktrNb;A z2A7{HoCXS9!Sn5$Tl+wQTr+}nhvLzC$qikXW;lBWGZew>Fb0Z$7EUm-$9JIjQJwDr zf?XCivklN|tl`)`2g{R4@WRNIG%=siatBx88v@dCPNS5a!zLhp5lt?;u{oeDczxNb zw?X~Srd~BX>BrpH_X4;gXzM;`+gM8U<`Rq_2KVV^y(vG=&!vzZHfnlZe!Q1&7A4wv zl1mG^EKTPz9*O_p<*1#zfPRG@y4pY!?KZk?J~Eb@IJ_(SvA6~-KM^Zq!}czCVkOU9hvixwva!Et7+gE*+}^(;uf za(vm9ryhpj_$l;8&6OiC9HD?ERcJhGNdmh#q*s}&<)$WXMJN#eT@4zL zxgNVH8vy_lwsj}BpYGD7k|Q;A&~gEHFCf0@l(zhllL|gLQqDOpN=gFuM^v{T1bqhM z>3*vF>OeOxbU(`tSgMN9*hqajfal(j6}=0(E{aS!V&K2B1NigVl3B46enfvM*$#cP zuRqG6p|?g4hwY*YtP@#)-q{9*ndLNDIehgLFu%~UjQ40$_9&|U!SYw4wU^9GJF+24 zc(^H}5hA=5yFE0ZU+w-`b1K-l8Inj)V0h|O^mG3KQ&E|sh>-sWgK2OrtqSx)!1}`l z?3!zSjGrExE`s80FLx-s>^&0^!eOje!uuFpFj0}#K+HE(;j+92R37_7#n{L>)n}O2 zTq^KpknI+BH9u`4y1|P&m%q@#-6wRmun4nHA0=8I1k4G$ymIR1J@N_#mR{a1@iNro zP1@@35Z8=0<5e3VdPDz%)izvHITaMM+ttY9>JjN-s0p)*s!wa4_CUd;bJzwL1%Nfk z(3$d&X(>`r7e~<9E(e%-%->u6nH$gvE*WT^?WAQYGqQlr1X|+ZzYdF}7C}ZsTaK|A zBAdp|`VsUpHJ|~Fcp)FAr*P1ffLvDA)1^aU4CoAR#*2l5vwKq)=7a*^NX#sl`yM8b zk=1pUEz@TZU9MwY=$dgMkq|JMFBkg_=J=&u8L+Jxjc#6oqxW!`e`B4rZ=MS*^nb1= zH0rfBRO~Rw1K2m?x*^YqH|R|~*6;6JA@kf;4XT=pUzX2J`l?r0qO#Dx{~e?7mkZr^ zg*G2i`7X46Tb|3HC^f6m{l3grET=xy68GBJ`=!6%FcZ}1y8hpY_l*N@kBnS}jjscL zFUV_r-D%XdeodN+RTKJ00E}g(!8>yd4{)0Yu2ta>0)c13>o}lbi?OQu1y`XAJ`koA{B6dPG>9 zP2j;WOKTN^pauspf1QBNwLrv@1msRrMwwYhbOinA#M%2DN}Z9qtAn#JN+)?(MN^@r zR29O6gE6_j1$TLjegO$$k?^W;*Y9p^C|y7QZsccr#RYjIy^jeIFCKDe8(O7;a&+^sPYD@fc_E zQiRo@UxB)Nmm6(~i#A20{NFJG@h#E&10vo|KsS*to!fXbjGooPjSnjJB8sv7Wj6N7 zko9PCm+jkIqaA+d0go#85*VKPtH6P8l?X}eF{J&TCOZcgXzc zf#d2U1TkfQoc^|1oZ3Ye0M%+(_*5Qme_2`l3T@Ltw|^L<#I4`tSDmMj*9}_GKy4Hc zTk(D#gz%=aq0Uwk|Hx#qpkKV!fMLDurwp9CWLjSLf|~&3De?1)4IyDvg`fu7rXX^0 zj0Hp;5N^DgL#JtXr&t7BZ2h=uYB{+Q_n={KCP}PAKP^%e;DaW0R})x(G`yeh8ri0# zpaQsZyDVsBO?3!M9To|kRdu2uN>WKtQxd>mVVSY;34L3djJRG8tis(P{m!zEzHp)E?dIeN_WBf&wN zg-c1MJ~nALt^b6IzN!CXm36YWw=**GYk7HrlhCvsc(S{@=X%g-R3R&eEE~6aNE%uG zwH_#EOEs5M_xG1a@6J=Hb{_|ml53qrG)lZi8P@L_H>`2)j3N)7L(#EYmoOxQeN<;DlYf9< z`5}@9)MXxX8xUQ~Mvn06jwL;h zZjh)#{2n25n944Ag?fqy!DO>Yg7~Yb%&I@dSNxq zcCWt_TkM{Z)BFc$iR{HGD+6dJi(Jne!%e{;gj8sF#|j9Za#>Qs-c`w0(%Mq)O2&#GOvhm0 zgK+Bo@Mv)VReh;{Fa+x#R-T%v6)Utw>EKJL$3YJ1P!S=PQwVgmhMl~Qky}E@wo$cUZ`pmgxD z94q?z^+ziM8tG|I*SNTQ?X% ztra@}7FUoA3w%l4qeWhZk_@bHP+*v?2Ca6VuX{PgvnGIE+G-Sz=C!~THc&YoPa#t| zZR4z*O##jT$CwIs49H4fP55moX;wDZRm$y7O&`r)_$*e^UL=?9^P@RM?BD9mN6f`8 zDewS@^IW7YReYR!)tZFaX~aJM#3;&LBdrU>lmdV0rAN<#P4+{+4qg#kDsEmpMM>~% z^C0Ko`Rd9GpL#+(2dDj=F9W?DK{N5^VPp*t*xlS_YsV!4l_$yrvLiq>!a|tMz7yD8 zuW8OSa-?ozEz|vYL+V*xaoW$b%ODBuYwzeKL-scH(8C?tCaDTo3%s%=E* zdyu;j5C8CtPJ*%PD?5rrbIR2fAyYO2PvsnV{e1U<)h6YU_bdRg zZvIwxEP85b`lj9YAAn`ktiOmySW=Bq7CgDscgbvymR7q;5UVu++w1sOF+dB;91d^- zBxB8V5#3TOM`UQMPXl{cdO$JBo$o4yVvzRj3&`}h91Tj^zy)X$^cY9_v6uWAHVc;zV z44e|+o7|(83wibZ+yj;@ImGSAA*pgJ7Eo2+PDsWi5sEMA1VpeIrMEVMp=?LiX$7=6 z2c(S(Coem*MDaOZ2qFUh1P^-16_!ieiV2{^ZparjpO@|99E&w;e96{lT8;g>@C^gp z@RfUvJub?u^6+TiKBRAQ5Vh||{iCX}A3xn?Gcl2P_|QB=5)DgZo=D#X!^89b^qoH7 zIuYpY9NG?W(iZ;O?@&DOImgPSdyJs2ezvspUy#WNIGVyRW= z0ri{lt1nO7K`AJiH*?*4X~Ut!amd5ow_e{T#XR8Cd6W?BstK@4nJ*hJ@qq{)Msz#1 zaJ)N(6ynVj3XEWUA_#d3F-W~+%kUKY3Kqd=n2wqLzX*HFu&SaiY7{tt(s-ovprCX~ zDguHa-Q6i6jdTczfJg}v64D?Yf`oJkf;31ILsk3wRf{oQi)@Etrtndi zth>99T)M%zS^G_9#LNm5F^MCwO(rC*(r(54WBkoOI&SgjP{@)rc`fdW3j~0y2|)#~ z#9M*eC}-EhaQw2&iq3Unf8)v>#26j(O{uTq(K;D<+T6Z!6l4C09683#z74jznOLI? z0}T$klfjB(>93o%#Q#`=EL5%t>>W>g81(*fHrtmhhZm$XqcpwHBYK)RHruw-{`C0o zioCpM3l6QC`!4Ba<0TDTZ`sMcy@P)A56*)3u4ozFcj4}k2+!tpXPS#wPE}Y3x4j*)zdj0aZ`c{HW@C=6G zltjUgEQTr;D5y7_Zc=%6>UcS)x#{LJSO;Xi_vX%KU2@4O`9a{-w3+`%`-5erF(@?K zd{GK3!UQru+rad#vBh_D)>)dtH<6ZYIGO;ecDgW6MKn%?mEFf z6{>ei-%iS2fAOqG_sd*fId|~iUGisEMrupE)!z%eWT;0TLjgC>&+F(X7M_R)E8l4z z>MmSx+7$5l)IIsQF<{$WX1J3^dTbHL4mcgHo>Roy)~>VS`ltZTo#fCqsXCYox~8QKA4}~MY*1j4edAhrJ-fw`tMqfU z@st{mf&hZN%nkJgC}H<&2f3a^q*c;-<`_M`UIjPKDxakNJL5sq4_5H2-Q+&$h%yI6 z{M)OvJ(0X|!B#M(wqLMsMcY%DpX4*Q%vjSxP;q;X_C-<}8P!0YXsJE#*4o=|G$9VD zwY#)MxZ$L!J(|XxE_C|VnpePdew{OsqU{#1hb|W8dho5LRLwHL=ko5ncf{o+u6ae< zhUujOX`CFNlclA@DrNWZ?nqAhXf{>xLc#XxlXRkDE_VXS{$c_*+l z^>kYOsL8rNk{az$uNkwFLFH-mAZzBluyJaOe+Q!MobXo^CXQ0)O^nk6CCU^(9E` zyskWaQ??tfd276cs(i*U*~KTLUwf$F&6q#y5cZgVWza|}sX=d>%vFXQ2OO%FWF z?O=v7K5dD2a{>0-AdgC0y}~5$NC7vDu89}ec6>xrhi7SgiC8FhC!i2XyK(!oOR(UB z7;d}Xq==qTytWfe%$XUN2XuyCNDNsrp(}E| zBL<&kj)7f9dH;N69fASWSfi8!*tFK?Yo0rZ;=coa08b6FOSR;KMHyzPKksRCVn6`U z`GpXO5DFa@!^(Mkgm^)JP{sC1PV{4yY#SZvz1%%g2OL!_v_Q;v!>|k>{!&vw;~;SR zY3GP2nFXjgB3L3NtND#7-!7WK8i$MkEW)ILOF?SzASwYy45=zuheTJ{i!Ux^)lm>^ z&-)-kM_ZFYikNvd|7yRVv#(GRJY=r4h;iA{6^N?Q#9LBv22A(4yyfvr`sh^*)%nq| zW&m`SCgZ98>!#A6Zvr}RMYzt8Go*QTCk!Ky^vR#O^x*1l@oVzJ5~6R9LCv$OJc@~P z@Yy53!)iF+B){W3dXa=)_=K)UMHUL*H6#nMyUQUFa|+Wb@cJWSVV(%@w|oP$~3F^FXAHSca-%nb_N#+kcem_rTjD9BdF#c5frv+q)TG+zSmZs*bRWTJs z7|=XbBUhWvMxmRmre1(LwcdM918F(7fRXFYzyzePd+l2lZCC#sjZAOkBm*~H#+Jid zn`YSR!BwSq;YPg|BT&oRvi{eF8)_BarAGnT6nzLbHt#^;Vv{F&42FfBbJeUmw+Mb} z@#0LIR3F=mFPm}K{(28xSKe}ES?Z?{@IrPH9$&fxBTZurco||#Zsxv(i|# zlyZ-tR+U~o+O2u19l-JrVow!dC785Y;9f(_p!39yhC005qL|wZXNEq{F2l+=%Jp46 zaEJr0z$olKQX~F-B5mV5HY)2%6JnD1C{>j#t11wdrOiE{{$xl2aezxLNgAdPJKdtQ zZl>WQj=bnnQh1|y@;rnQGiBqvTO0yR0&~vu`<3)EA5O+us=p7^-K;<49ncmiwuiC& zP#(Rwqm=E_ig2rPp5TG|25Z6su({D2Aa-Omkq7y9G6DL*u!N4&@SiCA?`pzr=U)7I z6+TcsuNt1|csNfdM-7Viim2~g)#Tg^2!FA@`(@k_f)C){j8kLH!*Am@ReG}aA=Zkm zFK76NB+BRflI>oTyTvY5OU=M*72^uLaLD1sNW98S?dX>&jXHPX&rHzoOgx_CkT4s# zM{-xkRA0!r7+(;K=fG2h&kjg2ZE0D_yAG9t2eccnTOnd-)6#8Gb=Ve{n8vR2=NCbL z#{2c-3*H*-blVh$6rS}S6qE4Zf}3_|HPNV2{G1wKa86aNX!k zz)Nl^+xKiETB>;PmcQtToRc!J+dF@l`A4^?Cb*xsnfslXHDFHSG>i zW7CfhPl8q<^;uV08Bbc*L3fIa$YtI~zgVPxUD-?!KbgS*@$MedyIJ+Ea9 z5Av)_ZV9d1=z`|bHOh*lKuaz5a~Z`zL$?rn7sz>@lCIP#UnUxyNeX9f{a(BMQ<@CT zjRLWQ0f?3Mu3yfBq#LY->XmjwKJ<~B>Gewk!$ouv?Mq<2#Z9Kab;*bUi+uvVlaZma z9u&JX?}03vF{sY3iQ->i|EdSTUF~Cvi+=X!UJMDW(+f?~!^r(yM|Z7?I<+JKslO2T zSD~z2ZtOGt;G=$cp&C4KwSZiI;@_+2roS`7Bnk!JGcy{(-@>qCa)oxdd6Hv1p(t(~mgAG=wbJEDJcwy?(+MDe1~KlyG6 zi-?N;Z!7&GZV`1QUl${58X?d;&}rs-mCX^lefAnneF68?7xadFiDZA0Y$)rOL_lg(pW zl<2>`cvRq&dG0wpwsvzee+<`g-`dm8(puwz6pBaI4!s$EI>2AMXRAi zoGP#G&7TfCy3P%>oNkINyI(K0=+um}EMC1I{LcPs&2!wac2&l@Evy%GVam*x&vV*V zg~Fo4C})oaA0!NQXNeMUmLy7D@y7A?uL|cmTJ$7VYg3J2Z!}6=aOYH& z{h`7yi=EoWpPyOX2#yD2RmNlVfAzyxw?@!7vYTDtMAGP&hqsh-#tMBD>!;qsQEuM-xNx_5wDR31 z`;F7vzAGkoNzN(i8T7gv8KztUSc5aMXpapaI5!#CziA$`cGaL!srgbk>q}v*jh(nT zILPw&p7cOd;o5T(#$=TzCs~w^i5Y%>Hiz@aSS)?UEoCT=OI{mN-@f&;YKgJ)NtkZu z&NB(Zzue7S0qg-R8Oh?w+zHo=mxjSyogTrsY6@ERIObIz}G+h1kP@i=o|*ZZbFVx%g9Shz^R z7YkZfN=Jxf**X}LhEsnZkgMneTe@(rQ0(M+`Xzp`RjPx!u2#0yCB6g4& zeo~Gp1MekvPg<^5EBGCW9JOS#&YMcq83_Mop!I%XyEmY-m3d@XHbAoYylZA3>xA9Yf~i=4(j#as7ByuX0s=?qh?yk^)|GXx1s?lz z%LVD2Al831posPxA0nN4Vrq^SNU&!}aO`r`dScWG`GzT47Z{W}!lQET*2<{t&ueD z=CB&|U!(8TeZNnC^ZqW_h5YzwNkP=9Ft1U<;nni*BEIM0;aJKQtIL7y3e*uSk8isu z$RHW;h#zQ)mx<#Dbs<|EtnnQpf}KAMFPpIoGfPfJk|ZU^9))1rChj=1E`>Ot6g3{` z^to-zl_))%D`2uD00QTzaLgJVHyMw8j&Ktz7jR-cSB*`j_$CmeC$bx zH$3`Hs3(Ll?uM`7^hC#7f0*^Lye!mjDZ?B6*Wg=!Cv3cJy_$vow^hC0hDT@}7QJLbgz5~w-jpWAd_;Kb zNRsez2Gav6c9JehXF*06KhZdhyO}x2+!faOIE=Dt;y=_b_i!)k_KgW&(Q#q5t1W*W z`lb$_STJ+A>XJp`vQQt59L%c?8tXB>+T{oAA8duXBpIde?(?9`q_MOde-8(9Ah>%mzK`zVx$rd0orOMXfZ?Cv ze?8HX>>gIl>~I8q-IXrM$qyoh0?d*;cT@AK_p$kSw^EDe;xM?Flr+AxH}aD4Ci95h z&>38Pp)H!HeSh5rl{B2pV|(29u#>63t(1m}3>rPRyp484?52X%fhh7Zx5fcr(c+qj~D|A)XmW}AL z)=3{WyQTH{HV6j?Pmc)m>Q`)aKDvFUr)PkYadeaH>qSabaG`|z)6O3mtxw1}aQ3M% zgzvY#q`=4&)!th?<(LMSz@3bT>qgSmA> zUEV57k}h}FC0-X4?q+pX5bI6!5tyC5zN}5bU0K3QeiNA}iQD^gTTTk$US6ALt?M9U z71a^5nyl`|**X^%R&o3W6<_I(#JXf*mc*nreIS=UEh)p-q=9RZH6u$mMT!_=;ffR@ z;`=4Soc#v}8#;H|F@{Ck$(=JXJMqzcUsXTrIEm$})hSn6fYvo=su%#$(c1Ra&<@Nu z$N9X1;OQo=7w-Z-?zxLUYS5R;;8|i8Bt=Xle3Z9GVH!xK@DQO_66V2n< z6LF}~VZ-_nS915#C~X9b|8yT2oXG;NMj|bo)&^Gf%tQ1yH-$0*Ydp3waNv6ZdhCG1 zYgctD5q!K9;U7kVsiv|CSFGu6nymF%Yz~Z_3Xl3}u4g%&6};h7D-?d*+Qfug9INz# z#Y3v=Vu-^%;P6qOosim{E`%o6ufte zSh!w<)AGxjU-6@6k+*Lk>Sv?1z+0X|pXWRKtiIU7{sto>5r%3a6E?)l&{(I^m$hP~ z2oG}6(Q7c__J6)%VUw>#3H9|LMslR3X$XdJK_su1r5Mo>v z8-V1)3CYCFnVEx8zsP6F^ODTju~H(HOgarSoSa)kU6SM`HP5S<5LRcaI7(M7iwMh% z*pn@j)w39hyL4h^)d1C^RHYIxA+yQ|qjPdQ;l>E^!Hu!A;C%7!#>e0OWgnlh_}hGr zJPf|P;avaAfFUBdj)hA;7lAiSEB__#l@YsTEt23z+{!(?+5n4Le z4c#vXLyylITC-cM24maSjf|SN;Y>>=Y1)6+?&7wyJML@3<0VOKu_ufg)L|9Dgrs2=SmnRS6YY-ed#P|_ z)2d5hl&@VUHbwBM=^nkx)vuwB2zGU&YQe1z=Je+>8!*=@_=j3FjuzA8E!Bk3aY?-5 zpR~T5bt`1l8U6UB`B4zJ-CX+dogIIBFA|6?>`lyMdsFrcM?-tP2=zlJ0U6>9V3E0FfqD2VSk*P+S7^^r{U|b zl}QB^q&dym`8I`!s8MGj*7Au%oXLHA(?^WPA7|tE@Qr=>6if*8C??b5SZBJ9b}LtQ zH#^*;?(&z>Ty~oa?!>EfW+s7!i=2rI;(xN19-%ZuDQWDY z?~)=iDs7|h#$kMYZ^7dxUyOq{tU}y5`2pE1Q9wQ?dH8!OEUJ%JgU(mi z;1>o?BQ``;pXlV%M?NTA--%)4Hc3JyeJOM-EO&gXj&w|de{W~u_OCr8@YoI`jN@*` zCK8ML>vu+($(s7{ILWNhE6CfT{Pvzm8(7a2NA^9+-2J4F;gJ^hnT~&P zE9t@wYkNya6sK&J=(qc4ZH}C;8(~x_Q*zo)5kak#>BrEocK6<0&4k-gNWOi7z@+SI zyWK2P@eqxHhn|@4a!p68-|qn@b!wC%c2l74CPn-Z;%$SkyE@eWo+d@`$Z618nC(`( z3B2wMrqb(S+^G5H@xqb=MYX~ArZepF+l8g2wqUA_0TGWhc)f$FKl4NgRm$Tw{6Qk> ztQIkplcomVD0^p<5xcGlcW&FmnJ~Q$tGkE0yNQNLDrv(ed$!^=63i){G zO6-2y(G<9$bJ%~=u1v1Orh*3BAtLCsyl4^Idw-Lcx1Thr3&Hm*#CZTF$)??{9tOD} zA=1YV5)a-DVwpT>bVoB0JVfXfKU6M%X_ew?an&Pn{Ste9p|WCY*OZO^BleMGRSh$p z9xODBZLLYhNbIXTr(JgWPIO;qko-E~Ilk#u`DH_2L{(@8vD`6@Tu{pZR=mmZ zm+$0iaq86E%^D3!CIc|KR=yedt_q>Ck-g_1Hhvkfd7Sshmt|yfbZ@9(DPNdW-n-j; zD~w9-fC<{<<4r_ta}rqvZBw+zv)5ft>Klf0blc{~-|r08TdgMoWzcratPR?yF;+P` z9_d8QV(D2cZEsLKr({TB6PwU7UrWFDJa!(rcaKRa7r|G#BNm4aVOJfNz@5W9jl5#i z??J~2yn}|zEPo`P;%6Q%AfD6JetZkFZ%pX+9T=uve*uTSfy*glpRdJM{jt7LvS9nP?n-8YiiP1#_>%O{%zyk+fXV%|M zH?KoC+WeTN_bp+kZjn(&eyS>!BjCis!2p>r+hb`$C6c7DiZE<%nd3eM{iTwRy#9h# zS_MlS>=nPrbBArmNagh?!eQ{G)PB|q+zQ^xO!G}s$fbe0rl;QZOqj);-=)$pDVZf} z^~Xh?wE^Z0#hoSz$5%38`Vt@b(4o1ascpWTG6G_+KF)_VE?O7;xxJ!GcyWE8lLB|P zy5GD*6WA6C;RKg^So_88(1;pdYzffCTIEjJ-zG!wi=d`*|JpAj*iYQUVJCUE>Q;eb z1ye3R5wW^Hpe2zhUnB~1#?dw?diJt~Ss|iY<4s`U*OE?28_7nEeF7{*DVjCB3{`E# zKe(;e1q0J_7je;&k!KM1TKbSfaAx?XLJzBI-Onm@tEcaGZ&}*E3iBqu@Z}OxkjAPZ zP|w{E%#K6j{B7ajQVqE<*c|#M~@bpiA;XfI=8{kDASz4RHQz zDL_t%VBaIu_hQJ zbU7jJ(paBmk&X;F&_nND-cNgg#vn44(vYulnAJ3XnD2ayMyrk6!lKlt)?BGs&d8## zHjgw{5Iqm&Pz#FJYom9xpQs#IHt;1Uk!Z{-Va5S$(^mN{A3X}e01|r_WvqhF6}R!c)a_bceHzEj(~q*uz!dlMj>7eiPlt zquE9}%LTE?nC1NCgh+7BtmedOuRcflqriwTjL<80*mb+ObBEKB{pI%=UyPMbl9teA zjx)0Q3o=Vq&=5jTBw(87B;RG$4$MADXugFGxJw)tm+F&1=}Z*HWPIr>Hv8`|Qr=e= zHMSVYw!E&qPefgpeW$2?Kw&?FxJ^O*>6p1h+JopHLYzF#5rHi0lg?3{(Dm3U%+G{k zu|V3(Yp@nxt_KO(%G*N$60A3A9t%{7oxaL6j7$PktQpo%Y&3fqAAn=T3~024VN={)4Yn3silsJqa5>RDH%uNq6WBjy3XaP zg@89zYjL%r^L0*U@*QHGn2MuBc)Vv%R=%UJ=v+*?O>}5DCDXBT!mFms|f z*o*R-7YiY3KT^i}*-|6;UutmZ;MEL0e8JrF~E~ z|61s06&TssBNX)<#Z=lSs_M%ij+k93tAi_E;1L;`B9-4T70zF$hM}BGBoN7X^D=Xr z2U+l%OQwyS!8+x}6ykX(Zb!au+l<)!b*|5B257yuJk(6SeP-&pauR4x(5bt38>&&0j+xpS14Bu(#hEOObpb5yF!ssT2HDfP0MD1 zUrQc)o%&A7hiKHB&L?kd&PRTCD>^ft>Xq!C^o|Di9F7vwi{4 z3RA4FrN1=lz8gn!C%Vf%SO-0$KlY%c0&ba~&EvHDa4UEn{cCb5(eXncLlF}Tf{y+{ zZBS85QcdaU3{1n47VH{zjR3p!jp+Avv`9SNEJ;P47-P|V9U_kPFQbpZ?}b~bBU&Z_ zz)y87cSgo?3ViNm_-)U|)c*fPo068KK4?>2@1T~c%1tPKnAJ5+%QU3oaga{roReGR z`5jAKBhr#NA`@&FE%F+?h1=X!%u0d5qWMfOH4xR4I4e61%>StAmim`_TR($pj3Unrx?cAG+d)H#K{l zl9lQV@YiMPF5N_E=~Kd!sq zOFkAPEjs^>%cB7t=7xM#`tG!`h5QCH4!s}h<*;P^KEB?nkvzfEPH;jhnYsJ%k!J77 z9WphX61acw%BNuURpC^HeqEFa1n~+d6$CecEr{iG=qFOK!%C6@OiR4x{kN7oaM1!l z(!=gcmHZnsXRlIKQ#5h;opQNwz0zh1m2RWj&iSM7bjI^a1TXVp^(i(go`0qi8iNLS zHjok@Cg{xOaEm*Upj3AAk12f4mK{^2R9^e!S@CFHt|R?C04>CjC3^OT@{gJeG{E z29t4ELaedG&TQsb0E{j;tE|2N4GOb(vd?V%zZxx=6BF{XW(Am$CN8}m{`77WRG`@J zcjRH%zXI0+qE>Ecx?4BX6+o+}tCq#C~BC+eB0>bf{n2%8ZGtF@{P-{LqV zthNRQ>xsS=!f7X}j*`VqWJ_?G>k#4keiwxMePBj1E!}p0ygIV337&0LUx0Xb&{iHN zpxBH23hDCPp~95VBzvxA@k|TJlBPp8^xx$*PFCMliwv;2rX_0LrYFT4YB^))$VC6? zEN-jmTihd5Qsq!#93&Zd-Iy@mu zlUCFg&Ch#w_6iIXvz?NtYtVO}*;f4$HE+<5)0ynmBm~yZ6Bq;xwLXa%`=Q#JC-Aoe z$9Z3D^N4%jkK7k$LqDH9@;m6YK!+dEDrLag>7J_tbbj_CR$Fz4sJ?UaM$6OM8Yaww zkWeH81gm^&32`>?CxftoXwFX%=;pY?u zn3PxhtXTNN!S-lXjJa?@A<`oPluhP_=r$W5hh($+jWHm!o(W6*_V*C^ucVk4`wO~j ze{SJjy0&PC=f(ERrY-ogcQ;2Y?X|`ANKv|o;>-ntH5X~@CR5&U*w%^ky%szRicj@9;oMT%J=hY5|}cm8*b`_5Q&5kb0nL9la<@Jknzuj67Q zStV0o%VJ1v6BCxnODv)LZ5+U&E{lA}pt1&5S~H#BE68(+G4s!Jlbf#z0;>YxUXar! zy_Gn*a1vDuYUp@Q?7*@Fgh}E(aVgxE@-;eyO1P@a{L{HOb^TfC8|Fd|rn2S(2i9cw zELP`ur3#bLgyoI&7bDY{j6LmW16jUg$;>q2QExU#19;)Fq zCh>kS=uKxjjU6$RH>D%Sj3|dktJh9}ZjWo5A!n*XqGnlz__O>x>CNtjZ!>J1e8{X3 z6{i%lbN}=6rsEeh4MDLtJ~9x=}<_H@UR zscaL`szWZw)vYFp1<15*&qIkTs6{XYM6H^S_jIc~jFZPwOp|8;Nrb<~Spv-{RHyjI zyX&1A`S5^-c#K73qK6~49y@IcbADC6^~5BctX__(tbER-h$xM==|7WFUt+sqd~po$sd5KtxyxvftGq1q&BxR?Tp#B8Sc}1&k4utpdDlM3?ITRl(z> zCjE~LYPN?!wB;5;E0+wV=GK6lt9@*OnU%~8a?DEcIkNhsh&%Kc5@LfD+`oNflD$zg z^KZT`S|b}jmf!d|O=N;QXZ&q1lKZ!0satB}=PJ-W4&S-V+#)}gw7*!ZWU8uOPgo^u z=!08ZnO^PBqgyHHS!4c>o_k{d69l-PPbsPMAsc!PB1~&Uhyj&vh_Qfsjw}Sq-(?wx%a_^ z0HO#nLtbS3X98Mm-d;=r$9TOr?lm(p>sp;b_eASnzX)kWB zLM_K!(`~N8R#%i0Fp3#Dbta$I*)fv)8PNZNh6pY8*H*CB$f)(gdR;4r>gv&f?{=$X z&zBEGz#a$KFw4`Cc6KUuy;RTEUQt=Ez6y*E`!LYQ=IW4&1$kW<_{?aMj2|RwEn#;Z zF9FL;#SR^w<hfuxM_QC{(wY}_-2dS*jpKt{F#B-BFKk>L^j>gGtFw4+?Sm^%8Yoh{- zl-v~<_hZ#cEgEaHREY zw{Q8%Z;0l_e1!-D964ZE0%+an&bKJ_4e@-EQ=+JDxMsN^4tmnMksZ-K(nQU9%JdON z@F7mi@439)mBdm~uohiy9@=05QPHk#~Md=z8;gFBM$JCA9_m2$ni$W3K_8`%HSs#;MER!%BqDfBU729yCW{u$U z@AKO$eb=dLIz|7n9#A#N#oL({pbmb8NSC7-#XB@LIg2X^0yn}+E9`lTR{6lPX0}>? zTm~S`9PW+N-Mja&fF)9|a?AiF!h%u&pbUr`(MkVUj=JdcKmS-ssTwW z;?}5TAs3JbnrE-j@&FeE8?DC56cHa#IZ^6CC|tohxZbxAtncxsPo%0ip;qvQe)Cd_^?H1Z)BbOwtTI z%j0a@KGS2{oxN!d@Iu75@VW{T zb!T1wh}%HGww&eAbuqjr&!|@q512N~TA8eoH^#aho&N1mLWeT)MSP+&Fi6p~pSRD{ z*uz6#?f+0&98a?i8hs)i2_+=x&YGY6u_Zlj_(ZyoS&2bDr=n-4Xm)?HRO93*l0^Ly zzhtUri}-!6L^K|k`rk{-=QI?}=Ol(EVFdLs)>Yg(JuM=dQi4n<03X~3JQwo^8CKrv-t*{YnJlGf(sw=cl~({$*?a~XjK|A(H}Z2o$mLudH>6Y}u) z?cGjva3w+`wG9)%Ve}+qpc6b}A?E19aD}hirxE%W=BV00rr9;egB>3+{AA zF#$s-WJbP6X9iC3l^=Pxh|pf;srF^Gu3RJ2kP%KrcSC7-pxQ|hqgO(S?fki4HjG6_ zxuEIP>={|K8N}#@SC3Yqy|ql3Gpw95Xluyow+ou^>*tuFbIua4@ag=(PVR=!P6?%j zRqF{dX<$;B2vWf}0cidmWhw&M47N1JyXI$)e4tUMgCgK?NE*51dGVF_P&nS;=>N!? zw1(x*fnLr6^y>GZ<0Zc*pAP(u0SfoPTQRUq+7Q0a-pX?v|LC77eIGd16f33wr+mYt z5-u~mF{NC003*%F%Ee#vprLy|bb&LXDN|W{4j6k!inDHYpu||@+&2t##m{*Lyt>3wj<`PDXF=Z>N_KSGb22+nQPk@K3 zF%kn2QBKSAR`ibzL1zMf_qSbAI(;^E`foA`W;joCoMt#55k_lB-pku9yu%E5Ks7~= zMEfsAjw$MIjCBc)n{o#D<1lz^-@VmNh~9Jq=oe} z2l;$4Ky+FzGi_5AuF@7r&6t<@oxF+Gn9$WX!bA?3&&dLJI0cP)0*I=a^*U zC5KLA^nGPAZ~OYt$1^+>QnCYnjjTBVr&7V`y~L(D%n?= zN-bB~jA2{CI&xsblyfZe|6;mEgTawtCYmeH;jX=csui}?DPA0?2sjipLy}xV^E}1e zo)$$;ysOR7_s|+OY58hE^6|+(|3j_=t0P|+TB1mQpk>mYeOiT10nOq5+~{}I3HtFk zi0-UJ(LbU;K?~A{!ruSt07JNcGa+vB;iQ~nx%cTNAJ^w7ib2Bq{C{?iDI=(aqJ6wQ{#E?;UjC+TSLJ-pE@9JBG@0X-bBv_}ai zRMZ+0g#&D0_RM{h&1P|x{^+>!^)ty2zYuJ1Ftd&~kz13A*oCX<7WYs|yq1j#_Hse$ znI6v%UNx=rX0TjvhW2cWc*CFEFMsG-hx2U8QS=Y=cn;%*pR~x|^J%ikp(G)UUM%Q% zg2t{o&;mcuoS!*6q~szFCmhI7^DU0&&ZfrSX334efyvT)J4~7GX z&%%wx`3u-D8sdYx`GMJvd>Vc0vlj8XwhglIX`(il` z*&wA^N7dDbz>C|_9Q51V46WFELpRVd>7%<^)iFtPE7zfSK_Z@))`biTV%kK6@J-Q5 z`gyo*etPWBzXZn{2}X-hA%T)0rf`XQD!A6u4v2H&S{dX{=P%AJLOc(npdIp`= zOn9&IQ{nqaia19|S7|*=doW<0Q?nBZ>65e`K&kH-9_wfwHu(d!67HAjCJuS3bduEr zm3_gm=Yc4lhB_)h5$xV0w9q1KGJ`!1#aI1}Dpf@p{W7beZ~Zc_WnCwk6?2MM{}mF@ z2cbc`v$$e?zA$}=;E=7*^Xoee`+q~MRGdJ#PZHe*R2-UAs*Vgf4AC*@ZfnL=1Smvj zL%~Mkzi!2Y%+Mhly00ODqCe;^%sjLl61L*=Gi-%MI+sihD3H%RX;3oox-D5zFKM>{ zCc(azBab)s=3{=K)f3&1!UR9Uobs@K!F+m4H)^zzGJWA}2IgcmbE%p23>5Ov4?}Gi zD0cQvW61$SM}|k@OKYwG1|zh_AqGyGX+3TZ^^<7oz+u=+0xraRJGIq-9Yv%smnI}P z!N=TzFtT!lmayn5q%4@4b7Ehb@GQ)JTpsRz4jn7{%#lHxtWTRP9|8Lf?mfzMGpSM) zZ9QZYEO`FsKcA?f%OZhGVL_klp3W3Dl}{BmwZI->vQQ-yXk4WVwK~v2P@5rf$kr;+ z`sBM`3KYQpH@)?@?C-aud}&LGyt2PrLr((#UQ{eBENjJREUX>VJ^4B`v@Y>yYbVpo zKzUGr#!r4;?1uae9!5&F$wlmadRvTf)oh+3flCOUtlIMn#i2WFJ7Ryli5aHVALW*d zzWx1MR%S1Z%G;L999`>ZxpkZ+p9pD)#iEK4;$|Iuw0YF089K|N_VuIqcDqga@(1b$3gb8cP_eg9bw}Q<7(`MmXgh0 zkHg#y#}ZYG)6v(ZMhz>f#$i~K(GN?ZjT5?(%k5ewP*yTUOm-P!I-(GriJxSw0-~jc zM?fn>+b)TvW%AK!d1c;7Qyw3xemKoPKK?G4r~R=#d-F9u@f%gT78)eps!+{o{=y+?^XM!~|X~HHB`3-%3TnNl>5dTVV>)ODsl{C%R zBbm)bXV)ShsIn;F!o;k#`9;7_h^9}4vZ=!8!huYey|po+W?XeiTA4b&ls-reS-KvW zT!DhO#ybb0tK*Vb`=gz3&Ajcw=sHs(-9oB?Y{N3*%ecO`qMklg9i&a+%jgW#lbWQS zg2A1*oZymQFTRx+FKU%M!tmhQdpR`kv#`nB`4bl0TI_X^O6v-h>{OX~lFIrY243<( zA&g!}eVq6=8T3i-l-s#b^lYSYgFL4d|)A zb{yhn+fkC*&HZ?aL34RM+38UWyw+eXsZ8Zk_9m@)nLS4O>?TOS5~sg)_%cJ|D1z}O zlV`DFBHUfCZRV4MNSxXw8$;`E7tU;A#=n$t2BOHMK0|{XH^SdUc}Uq+oG5~Oi?8?E zu$*2X5F|hN_Fd;v_sO$Iy;378 z)Awb^`a&fKX*srPjBIs<4*tF*3OQC`y2Pz0n!%;8{cK^a24@Dma1&EV8&ix-|9pWi_M5x5 z#1Wx|gS{-~gQ4fxkMd;N!sMjxp1Nbg$lr`(8@&ce@vO18e+ba?ri{O-bAuo_7*;1> z5>WLV8jEw?_59$7vLt(*F_6v05fNM^V$=^YJOuH6rh)rbSSKl(!w&VJ1qOT=7BpB|5)B5FJZZcRof)g&>wClZrWrV`Lz4hHvW<>~r zr*^PJYvLnj#7M(0>AhMNz{w>){ErzyGw(v zoP6O)2%B9UpzaE3cI^K7Z6a0ShYa zAZ>Zw^PhcNFanK?REvB@SGvs?jF9ny5;|z)D?iHndvi#4d!1`)nL=D{{KC+b&F46@ z%;CwGR=4)6G$f4U^8qUy*8XF=KP5Iz8#cO>g{z-9F;c7l4Qas{p%Qw@!2b>7ZUG*5 zn>Mp;t~U%LooQ40CUapk6z1k~rm)!Uk>;}yY*s|`(ujPigB(KQ*GAr4L5#|^AD0+1 z2=k(MgTtfvBAIY!zD-p@4lF&roQ%ArPL)1G?85PR4|9N+7Vg04m_DJYFMy5elZiFS zd(8XEjCDhsX(xvCv4Ge~!XBUrS&WLD`OU4z92l#1h3nw(rcgOKG9tLb~3iA6D2US1DGeg+p}R|^ zkw!}No#+1kAKowbdGMZd_TFo+HP@VDjxiRjoX$uF+dxU?K3&C(o_>2paWdtN#{~A+ z56Ccie&LuNHJpqIzjyunM@bmr6+C}5;`~~&(ZxVYmeyW`o%Q(RsKO^O*1kuKHz?Ozd`W|lUeG3-c|8pcRj zxq$+WzC!O(2=PX^M!Q`KSy`UAPnQ;-&ztBrU=iX*a_hXeKs)G6(q5d~Tv1<&J1dV9 z|M{`}NcZb29;W+Bt=}3hJJf7=hU~hf+KI0Xn|+zT!oG!0(kZ2k7i!R_yeh8sSZ6We zb4q2nsb46b$kq$demjLz_w+H{zhaT+IY_3ZmU7W21RptFpc(D<?H~G7HBd6KL3P|V`H%OCRw#P^H zy2Rz%Ad83(wy<+$#4$7^IG*!zrnm(rfyY|$nElk<9T>VHCw%;zhx|E2k37yw_PZpu z>0XAumGybnTfR6*Z@c;R&Zw5&08W|!5YkGjFo=ONNYrnrdpm=>1^9sb*m2*-mKF}ZFQoa-{gIWbMR9e9nfj|I%k8V-(Z5=bxiP5-^BSl*; z9$8_}hk*1ck6~UHmdOxPKgZ+weDXjEw>GKZW%HM;$Su{CN>J28^nB&P+G^zypZK?YS-gd#dLxsGwQA;YTc#wh_pcjeih|bE)4j0 zip~-f;(99<4db8u9E=jioGMO%J6T7)oa^MI4x&>4XEkv`M+n3S?Z5`d@D$JGwA+MA zV^RF>FzB2lMQVA^0P zv4HxAK@U_0VIp-hpMWHw2_@POi+gM=Cly@CD{?v9t`d&k$aRqQ7N~&3c{gxCSw+Qr z>nv^za`PwuhGV+6k|E^i`!n8iZWx&Iqz@o(F9cHc!n3#%P@~ zI1=5_QG36M^v1jwl&w2}K|qdwB5<%RH4#4!iOR{rz_wJ28}y*p5`NjAG^Vq1BP1Is z5CQ^7kkzc`s|hswqWU)KAFeegvxBY;V?4^N9P_=Y(g3!=ewnMj8L}C=p+xG71EIh~ z-C?cB12%=9#L~u{FcdqG=db)+-zuO)^%&UiI!a!n2tW7b+qheB{7keEpBG3wIseIn z@(2p|m&8+5NwGnDJm|lF^kOnxE&$)zs{R-U;Ou2Gl)z{hXwY~lb}Mi$vFbI>U zf6O2FxoF@+eHY>?=wx$1Z6N(cS-@FIqd?P{to-|vVu5D(e_(c04l&3OKv5~na9IgV zLuEcGX?dG>TG@w4j<;&ip+wa|qIZWWAIMqeFJ{~vR zCz+}5LF*BJ_*%M{w@~~{2%!tKfKgms&{Z|NE3GAcHb~JJy&OG@Mw_(^ zbm)E74aj8fsqJzIfxZK@E_x=ZuDlTH)LLsA{wWB52Egm7;JhVo@w=p`3ELJ4zjcgrGGSP^j(fT zQz?g59t*TEJoxd0>hmDTT-Xa)St1m70c180 zlCMdQ^JS!JW7s~?QPcZN+ffH{2u77rmQ-n>)w+Wui69mUsbo#38g2b%=&1xG+8 z#vA_%fjjD4T)}?ec)*+C(_pZ{cZpF1@`j^_g^{U&w^pMr9+i+XR%F9fSn>a3(CqT1% zvwuCO4dxMg`E&?8oPi(zP0%j>v@fZOdt+-D#P&vLObBKq9HkI8`%ccrFc1jsVwN2+ zHXyGYqf}3*t-3U4QA8je@_8h!2Wp5D!~gnfv>+lIJz#K<1KQ>Q;}0$I_aYo!S=kdKyzd`A2s;3w6pL1hnd(iD|j%2I^a#ehsmr>J-e< zo>b%gMp_7BReo%?|H+tQrcv}f;%bH~5VKLL~ z=Gsc?AYYMq1qlv6f_mF6b4HN|;qgY9mHs7*c%v>0z?L{-iW*!nQW;YnNDEn7Jmv2g zv>-^kavzu@sCcVJixMq)#Gv6!hf@1U#{d}EZzDcw3%n%|=%OE;bh$I>-pbswa>;+M zbu%eXn5@uPQ0T#5AT++uwTEUm=E}iiMV+2otUTiIkI*78bww@eO_cl;x_Q6|oYif! zbYR5EmqUxmgDmZAu5zU|}>yhDohqibrQ2&aq4AECC^( z{1MlS;T^RRmU%;M4H9Pa2_a}TIt%5-VVrW<%*+;S@McOrvz&{DIpBeKSF#EN_Vv{e zyrSA0|Nl2fOxuc_l?-Vs@414m&|dYGO>r$pUDgVh%h(E+0V&S(Q8C3^E0yolTWUI= zKZ^EK2XQumbH>f~OIU9owa}a%z(f@yz+SUjv{}8VpfYR-5uNg1B>~wS1UfadG&Yxe zfZGV>C_|Z(zkqvmD23JkjGx#H(}8iI&r9L`fK}m>Bllma9xBDLGDq&QRfq1}s_-eN z)qwk0*RMdq*%W_5zjz|(NH9p-k-rJ%e3W_6VY-qHAlJa2`cK^dDR-SDuR|Pt3Q)T_2 zwD?Hmcj~l8KlJOZ(*|w+7et!A60h$#il#agukR01*A@vqBA;a2cfxFC!pV2-fH<)! zn(Npwi&5Bm{Efmj-x&_`TfzY%(XRn>@}vSJNlNfC!jqbQ%r+o z$urzIY!(HV6^5#XkTN{lOys=MZdxot%B_<6Q^36P#OXwBU-niGp&BsRdOAq`ser@& z>3Lvccp?zC&Ie13kM2PAsCMS@6_l$~J`yP1cuVQo#`@1;dYJbj=a+G+ z&WZp8<5KF@+Eg=*<;V4-t4GhF{Vvr+j4CzCZHn9_Ue=;Eznp=&haK4cX*f}#3he=v zsvT4C=*N+u%gpTHmc@e&R#j6Qre4~E3F5KMEeE+LMg!=E&3ZqDg-0HU&kj3+8k5 zp_-!RdG0KKvvGE8ja5GL){j}>CID1+h*zCbr=*2Q7}s0bn&xG!BG&k64@mE$V)xF5 z#TyXmNlfJctqo_E)FFfcM4KF%ZJcsShLgC_O2 zj7;=V$41T3GQkE-I(U6GK%A9jyn(!MH0YTtM2jeE)1 z{T-R`eiT->YO0%?!G6Hk7dNPMV7+UF-yW5z8n=RV^P>kq_ zls;zxZlB@lV?p;+8TxIH7}< z8_wU8s5h=mfD3PK3JeTUZ_trYCo?~0)S07iAd`cki+v+OjpJQx&~`)s)&!xd$K#+& zHgD|Q5$cP)PnH=+SurTDY(v|c?fyP4MPs?!PBz#5vs$4Q+ov+b8{X?EgP{C5U~=hQ z{{ePAnG%+UQFq_$)0&rgjMHD3XkF2>`kR2GiTZK+MN!1U}jPsy|fw<=D--N3D=h%51gV*tHo4enK zeN$lg0moA)5Yme8QoslW+Py;UJ6zZmFTpn9myj!UB@p~3y-{(CbL^$bNv!t)#^ihb zdQBYo8}VknffKuVj59qkbR1t7G-@Hbv_8;+yV&ARjA6|diU0*-C^>1~kWTLs@k~A)G z3-N5=>#x5@lIXvJH{$=%1pn}sJQ`|VdRP{`Rua5QZgWgAxZty7vLnE6Kk)L-KwDJk zP0Ww2D%XGBSbiovY=uEz$gN{q7d2>v5SqiI}hGc#J7J1`a z#ZW%~Z-&}i`1Vd8u;hKakgL<>`ZHXVXnkbZC8FVN?&;CDSB2D9TY^@jzGTXBf;UM2 z=v*7&Z4=wyw*EZNga5p4GNan`8;i|tpz%oFVH1%k&rp+Ph@;-;6@qhW)P}Rkn=z{B6icXidR_%&kj@~G* zpLO)EEty_7QMHa7=t7D^0`cLgXk7K>h&Rh5dP+8UvJ~{+r^$T$kh7Ho7ts#E+FrT| z#;zn{luP0`T&t^$#fEL1Q`6V+Ly9RWKd%EXt3Qh~6*OkM0tpo%H(HU%`vJZrdM71g z)2lzF+D!^QI~QqAhZgc#Gv2&ZpjOb~p(-*S$&bazQNfO4wFv9L@rysboKlXrXe2!? zFuG@ws`FzvGk!*J(z)!CZb4&)jFrYDqY(|Zs*}R{JZxL=c_9Bt%W*2~$FGDE-tXl~ z?(%u^*D%q&9Au>3NM%Z`VN2%cgb%GJna~@`D=ukT4Xxk}Jl7sAl9dy2+I# zGR!NLp7*F7G@Dq*;7bv+-od4+pCub__{~_&f)U-ptZv$B+e5(IAI|q{Nr6im^Y$iH zM3R^t5a$AQOn-k(XjQ3a41nH?&cXfJpM#l9I2X4cHIaSm!#tqB=uE-@NY#UYsG^TO z==?F~19f#S-~>gsX&Qi(fKs`2WAr4;)P0H?XBrCC*p=sFAi!N&*KT;=HzbE#jjGX) zzNc5I?SdH!fQF+<&SL}81^UA+1%h5&GtbQyO)EWuPycZPR*ULpc+DAcE*@TQMk%^= z3FZ`A788rIv#wV290bQE3>11gB1UEuUPQA`Sfu)%_4ks<$ zK*UdIrtzVm&mV~oy1jpJ7KgkQ?Dbs$p9Jpu))HAzMHD^sU-c?>WXe%?HDXcdDH?}i z55Y}Dzx^kbz9=B{Y#h^d9QclT;{h@~H=&QYn@Xskr|@V0ulcW5;OFjP+$>=kR01R+JyaW7o+`5V_;@9v))c83BC345(i|?0YZ=D8}b%y83utLBlm!aq>kbj%9GE+tyhgm&&qhz_TK9vl&b+w z719EItaEspvs$(cP}d485tIjl{IiikKcJ+&WR10|-kk?vRp$k&-Mq*DUt_p~N>HgP z6AYsaw}ig+0gd%f*z3C}%BfUsi%Hx4ZoyjQeUban;posqF!!;0)6ts$5E2zkN-_dS z_L%V9GuvWgt2AIJ0{|`EgoYvy=f@9ZZi^qq6{V(!oNkS0k*ovEtKPlF|6#QZxw05> zy%4rr{OW^H$h~pa^pj3Vfy5QgXP;d1x&{yH)HL3?4aNP%dyWpdea_+a1&@UASD}Py zDr92p#`3-wHI|@@GFugbNWlg!A1_&tQ)3~6g@%iV_Ufawyei4KT-JlDAL7}*N#XJH zEuko?k*l|pKKFT}8EjQgSYa>JAo>k=IPT z1#Cd@>icei@Oa!#<#Y{YizQX@O5i`nTHz!#ivHK4N;QqG0!u$tJ(LL(n3`=%{O()A z&Nwj$@9wNT5k+#hi2qU*mVoB_H;#&n(VprQ{u@pvU|G0D&Nec2;y}>P03=>Nw#r;N zFaTKxvcU8>g95-(6*qTiddl*5X=Nq3%|*SnBYSS;xibVOYnU(DLUE;^Q3m{E{jcZ? zfRdrLOuaz={UoMbOxbUBQpy{Y4$Asw0#g6Ud{2}(r7`-YnYdmluZsDWoQKDK4DCen zW|&uMx3U3%7N?0qQ5qvT%Zo1+(e;dfNJ8FkQ^@BeK<%<`{olLt*0g!1 z&kZ2g93a1 z>VJhNBAgApL7#%utGSdA?JJfaW{V;OEb|-H*NM(E<9&uJQL+JxpttWPg}mav&`Nu4 z--PO2zdd6crQr2+#>CtyVD=W0`0$jVa$y6et}a3If^Y&cs$dzzgJ-;L4{RC`!m}eV zkk00i#VV(TV*`7aT0fA^8~!JH<1Iqr_zzoiXFmiLH?HpbpId__olcrnM=(suu)Y)s z3fL%e$7=HbkvnEafKNd5q{f1C8__LFxFJwfDcngqa+UA;0t2>-jf(`qFw-v$`FX#S zLq(-uNLB+*$v1rloeY<26&cJZ*(Xu2H<&jvF6rWl;7*n=EnC+r7xy|2EE8Elp#-h+$ZcI?m_>69dEhefDm@@ovU@4>!67ZpF(%l^yEi}&V zb>pjEF!qzx5bqq&IsMN2hp~2&mUp))tQEXEY6;KG&?gn6e`%%c*_7AG(!3I?a}iWt zy$Hh*14ec8(Ig60yt-R#4%-gj!^syn+@$YD{fW#aszllJOn2KE2+@52x@~Joh7p#s zq4$slYz|;7qcQ3Mc0dcro0&}1@l`3To=o7U*gh`UmxPez27L*F#b9z z%gXnU8Y}RVwJgiZ!UK#m^=~j-9lF2OU!k@*{jZe#W=t)|`z)OR2wqHI5sW_zz-6d+~_ET$sL00Q38)hBko@cXswK$WaYME~iWW<)h5V7*0N$Xr=iz}5{a-J)TltbV zIT`*`GAFoDfBoi=#u3gH`D|9+V&0OvV31tbTOcgSMljBPw}#kJ%N}#pOvkp~@{t&6 zp=X!9%|wm)sG@;DtQO1up-Pej~^D)r|KI`xBEysL>QLg_Z}J8 zDB2ou7{xAUwljUXUIv%bxaqdL!)|$h_tl>=ZZ3JQK2=}knG4^TCpoIGzZS7;y|mbL zvTo$0>=1GO&hH`++hip6X~z->{(Vml{N@qHUTO*t`iN%cTW%_q3@y!`Hxj5*qoqt7 zZFIf#WWG1QUdCmlS{D2-;EyI=e{}~QNfg?O7#77_>`xZ@Vy?yd_isi%b0v(%80Qc3 zD3d~0s<))JyIpNB9=oh9U1`s4EI`|n{cMFiPFbB2BXT6)9KGmCqP?-a?KKNte{9lOWODd)DKUJkR>a5~l`!+Z7jTm%@QFa0`n@uG zqZ`#mRk9lPNJf~UVh$X`3=H4A!?8`R(6)8(fTiNIIm6y^T=hf7CW`gg@OQU@)g?=@ z6_`+#)0P~$)bNfT0BZ5C`spq`#+XaVr8nW2LLuB?4Ia4b35fk!>}pR2AK1 z`d6!R#=%(%&s$AhPeg6(>eBDwr1N=2#G_B9d8tsTgVjt*wZx81u`i>>%xKlFnb=fl zKmC>`PQS&)AjSpj-}`Z9uDZO?k}S}+l~>#o1-L_Gtt~QF@tmJb5Ph@*qOv{>tsKLK zK(yg7Z4QA3IWI8h(i&Alpm+b=vs9Mc&_ zFFzuz`b5S^7q}8JV~A0pSPSMk`T~8$AG|eDM8Mmm_mm9B3>g}Nc7+6WL<677rJ*fY z2c7fad~_F^2rbbS#Lnlc`)(nobN{AG@0QNUGUGB<6tQ(4{ec%13`MBucb;z$C4 z^BV_yK%N0-Aw5d--U)?}Nnqtmo@MCb%I#o3=`+E;u4wPBNcxK58g|<~iHN>OC6? zQ<*yRhD_>-(02=oQzX)==fqE5*wBz?ymNed>Q}0uv2wi4gEd98@`!+D3kgY%@;VSmN-p(Wc zBblFHHC9*moOq?Agu2_8c7~zX0*~r8*YUN@cTC3OwBIQ{j=w(EF*VYa9rtI5L|jq# zP#WBe^*0SMB3jW-wXjLIm|qa7wM}Qr;7&iH`OZGTzspMhz@1M1N6=fF+i$3q4bE14 z?(`F_h{x?8e6pZsdwgJ{XBlmaXD1vvlt9!WB1+KB6X`~Ru03N*U9kLp?yL3g&u%es z1?Qct;GN{}{rmF{mrtGzuxsJ~!; zK;v6IA_9g6pGU3@BBL!bRI>EY$Xo=%s}pbe-HTs-XOS^KO^CHZDO+#qidIk-A#*U> zy3N<9D=oYqVQh3JGpZ86G$quU;CY)bT5QdctNhEx&rp}Oxbd5kz&$rc$_lcW$HZ z`^?rQpAhNXPOwIHy}f4?ueDZ~HnI_ICOOXfftMnUb?slunVS)bDuoT6KVAuh{((Q?uS)_mzG}p4)+=*US;2tsfQ_QFZo|r{qhUOB)rd=9VgkGe0aj zAyVhNcpOJ1LNESi=c>{Xn~CdNs`m+bRd>`KjA?&atYf}^ z%_wYt@#jI*e5L{r`zD@>9bXEAb^@meaU?eVZU!KklY`+vH1n1?O z{jbcY(68F}Y5PDAz`0n>GO(6DWwhzM)<8P{iRJN52ciNPl*~DM1}j?CgJnN{pfqhc zNVF5=)6w*5Ue)-W)AF>SYzT!bR{QOCiyZT&o!NmC%pV$-j~1Ns^W^e$=u$6g0I<$M}?Z$|YMKVVXIauyqOLx+0! z)TvSfG{U)ZY=R))qQx7tM+cdXg=(Siy-ukiwkJ9N^{6l*{0ER1JN39Ayf(@Oo|?B_ zjp=|hc)W!oxIK4A=&SnvyNJ2GXO^~=!GZ^bWHg)4|9oj_6VE}W^1{EU!#wPi)lC3X8h-ak6BU}sT zB@r6jJdV{+u(uS0(-ANVR_9GV$akG$HE)mKwE~h3hUaVB1=0U-huTn-(Qk`gXpqko zl>+d+%psYUkTX5tx2m5SYi@AwUDL4I+nqUy0oVO{ZfO^-rjVbj`WZl%x5WQ7?E~rq zl=BO0ZM-4|>2fHmm5I9%DBl?++-YY|e&F`={?flL`;=D!v*l^RE!t`2q0#Tl!*H5` zN>1fYk+bD9dh=gG0Ai`)T9aJS`5G|bTu>92CoiT`r(KYNZW4bu5AVT2BH)jRB$DM( z{SzmE%N5$A%AE0fx4;(n)8is+YY%v+_vi+x`v09SpgTn}Dn|z3}eYJhu zCbWEmG*x5NHp}G)o75D&gxAD9tE%J73!=*E#p=^RybUea0@Z=6;3-m|3{h{`RoQcZ z_IS+J!r^#x-6h~f7jSENr8xRgBt~9G2_HA)iO7I&7ZZ<>u$ zD7kAcm%Cn}nvRp)@&X{J#ippxl*-M6=ImS9$8=r%KZR;{lyWLXRF2`6yq>>a@Sp~^ z4%@5DLh%H&>rNUqqT9N;*-oty`=9+EE0`mu%1aNCR0V<(UcIkF2GNO+eMgn`S_OtR zH_7c3`+~~W5QM@!S$n?C$G1fkWMmCxo_|xj(iX<#eW}AM;@*h@6opdUq-(?V1})Z- z3ImR8_wpV%yxCvt2uWR3xDD#W(AI5RD)1Jg-dMba1F80n7=4?)03v~5e&7LH4PpAIxv;AumP2tj zW0Bk=OIPSeMdnwAnE`KpfrmCevG#+PBHk#U1B*&+PC(0#@NfTyX*o3RfNG+H$F(m# zTp&{2=F1k(OMfi)OhNFF=EL@MV;2Ea-pcTXVSIm>E&OJAn&65&K25ri-T|bdlnRgg zcY*HRZ0>)#-@)lvUdMtmrPM3@a||bslG{B=8V9zpR;gAqN;4`N84jovbsCrS&cG(T zO=5$3y1)xz(@B@(!qW)~-)WTceHglO6riAd`^oES=N-;>`p z3gD!TLi?0fIk{5N-RLjZJAg_F?KCerlv(&dO@V8CDcXZAGvTPWYMy+q^4u=dpJ<*f*n97O9^#(yc;}Q`kD3Dhx(B!s7qeqm!PacuAaxeLn znh}}^py@# z9$+KwM&T`z8t!oI)AQg^)J9WvuP}IUpzzmwR z+CGOkQ%-qxA9;Rv2N?O}VisS^6wr&gG)LRt_yDLi&CH&I2S(s3u^@Zw$zLh{-&q1n zRgY8Bp;%l8_L4gHJn-W}e#?qP`6cE7oT)}D&3_Xr7|HiiMml}$is*jI@>0-EKs8w2 z2?JA^mh_&hS8kb^{%2$f?gJDGC9cL{?(Eu!+!wcji~9Tl9fo@uHwm;?K!#DV{;{uU zwI9dt3D-1s3F5n5*1i(>KppQ1p`!ScxB+j?z1fEdq+#fr1Rat+2;{+6GDBc22^XcP z;EV!2J_+dYhwmHW5){%So$cR5gB>*fk0caprkW@V>1(M&rN^_<^L*IrAq~7!%a7&p z#lr1iWI43( zP1A}z{7VhAqdw&|nX*{no1gwAdKX}X@bss2{p()5sKw&=G1G1lg1QH!*y zDJA07la6VeZ24h$Gs#F= zX%y1(jES=K&s^3Fn8{W=0*Q5_#lzRvAp^G-V`sR6)+yN zMFa9H@5Cfg@RMO`4OSL^fs`|bmC}6M3-gPY|rGhV;rFIT3U*cJKe{1Kk&upy5HJ?zOjSo7Uv12!3-f-Z{X zY|F<3G-`dP9|+3Nif2>Pu!WVs3DO9QT^gIvs#FnP$nj};5gV2cU_-(pYuma_xRX*s z+0gQJ5gDO8IBRZ3Er_;({YAKBes#jHN7rat_iHH1*)or*)VTkAF6C3As5=*}f&xi? zJ$l{F?{F>mQ5mlFh+YPu4-PB=aZj1HMLDlnZ*~RsDpLdt2_KA-lI&y8P;dpEb>FW& zOQiBS^0$&{%zHg`rp|10VBuz@+4wy*`LF(4<(XtYj*+0bvD8o7=?zDzToij1iLWBm zI(TlU-_`u?L(}!Zv|HR*DSbUy@s*HoAG1I2^)L$-F)tOD79F3lJ$%~2I9kr?NXw&) z-TLJ>UhEN1EaDoeDV;RJ`nlqEDy^8HPE;#tXGmApqjNsEmxt?5HL2N1L~cV7Re zTiX#+&Ib&Ql~G#<^;4D~D*4pJOYFLJE6up?s~(%pr(qGvSRUaKE-GQZj?Enf>v0GS=x&=QFt(_ zbdmSxpXXfWGtUWsRgu_G^ze+Zn|>L$j(IRTf-Ra{RWB>fYrgZyq*yOEr#DL<$<11F zrcSWQnO>X9wH2+Y5S8~V`$#Q5@%XnVZ7FYzXM~@PFj+XT6@w!P`~Csw{wf(d@FXmG4ji7C3q}G5cGu;_ou7-p4@cVPC?F0IPB~)7qbgl&qwM! z>~q$9{Eh846K4*vyu?Jz5jNwW3rE&j|8zP?ryyI8BcWlc^E$jr1mze$+(qM+PT^H3 zYb0a8`i9>u!8E(-b#q>Rkyv5IRQC$U7&JlT5xlR-a)@$Sa}gZ_QC_==wCr=sn%0as zx<=SVsCY@&RZ$CZwXk(smZ zEWlIlUIHX7@!l3W_j5ztFttG{;o?!1^!%Z5=Ftk;-o&UShxvc;+7PNV13z^c6|<;v zjSpDUp~gjrhJJ5{T5ezED94qD)`X8&<{U-~Js)CAsbDgasZB`@_w~2TmD3$$TYbu< z)E@Bc+0*_IhigASe{5>))2Qrx3XB066Q>^E^OcSlH^3JgA-g+&>q5g$Ij!jj%Bj6A zV5}>o2(iV+z4iOaUyME5ZTF;$%kA3Ji$0xRFSf(5$NsGPTJyO6Vmxg|yJpEU$lEKa z@8o%-6XW9vG|q;HsPYoreb2tOCdO1H?#L#|M_1jj8@j6bo=t6Vz4!_T&jG$O`)Zo- z;jc#k8?klu=3~8`cy(jQNV0K{+S3*erKcdy6fIU$9H1j{5m9FEkxj>PId;MFES z=2hFoyhrgWl03J(Zzb1AQsRT&8&;bu7rLV9R`^znUx|+v!RGJSJ1GM;B$l({4I1sO zw^IQpT}l8;I8Vlt^8!=~x-m(Dz2H2$!9SI-s64hInhzP(W2R_inhI_VGQVDkEP zMf&B*#H-ZoTuJV6Q{l%{H!&x?zO(iOY}ttu9e0RRvl|JkPsDbY;7p!Jh2~ZL=AvCv zrzpa_A)8x8KQ8t2Cjcn^yl^zzqxL+B%0tQZeF8+_`>vGF;eS688O`Kr8;Pox8AoaZ zL+JPgff~bY0O_eW%2!&Rf+Zl%1v?(*f|Rl2`I@X2Q0uv~;sr9{BqM?0x@G&C2LB-& zLG1oL=237T4*l!piNYV04ZjOLU*dE`m?&uG%!B@m!Q2ZGrieOmp`hXqw=6eMVW%!I z6(PmD!si2~9X{MpQq0kO@AmG8>Ne=lvp(t6?UnXGeZZ<(?foxibBwTETj~wc=MMiJ z#nFig5EV*&x31n)Y|vNw*T!Rd^zO%_!$aA+*$=aL@Bp1iRn7ae8yfGVF#46Upn(yh z9GHK2N^knny|vEl)!(;<8UnEjXZtOGumf^KqUNH3jQpYXfdYyNf6SIw(+w*zg=`li zb5RyVct&gzhfq;M7o;fEV5m<7&LF8zaU}WAoaghO{#qHx7}lkFZoUj5{qkd5&n!VB zpzY7NS`>kgwQ!LD#zlC+lskb>{c$Fwh>j-L@#DGco?iRe!-*0^uzHpc9KB)zlt{w6 z3w2r*a>S{8UHLi#z3Nh00n|@#_K!V0|6_NLl3W00^u)>9_k8?)Vt;z5OV;R1IK2sa z{grB`3lQH%g1vQ8>JcKDF3+% zgox!m3n~5WmGgtTu37 zs)hNmwYukk(pqsN&u40vqHsPTkxYnHd0;E}>Oz@>cO@(~d>kq8XecWVLuc|CQeX6! zzhwB6*u#Id-n<6UtNax6)Z%@fP1bbq~SP*D8FUyDKi|Zrk#ghpvW-oa=|ogzzVsJ>ev6 z!`?j|#F+vO9=VY59h8BXHP?0S0*Rzn`K!ISmAA(a_s=Z4#{_#3OchQSKVEA%)qbn@ z5>3q!Syr`)JxmQRt94jP7wBZyT*ZCNSdh`@`XG)sm;S+0?{HhPhsm6H5u3W68KJby zZ-$$n1sqG76VSMXC7xdIM<>N%e4sK(N>wHGwvtXC!~;c>BBg*m^|9AdywXtRAdi`| zbYN0=sW$8G!i2^~G(f$k%+8j=mw8pg$(PI0Jl$LWQ@2kBBR32&6~TA26thaIA#1WN ztOV&!V2|)8*f>aDotH^SAaOBrBhz|T`z53Np2M}u1Udi?A&xfJsC@9rC>#7sv*AU*=ZZ5w9M{T$7Lyu zk_FLjDVm6Z0|BK4_tK>@if?Q|A&g9Rm||9>)}cbj7~(V!IOk#?CJ06kObaMc{Q@sM z^JjF73NNitUwjar~_{-Lkmkh~)60ZNhIBOlbaA0}+cd7%W7qwzMizp#dSg zZYyY7+@EW7Sl&5R!;j6^Ipk1anX3f(-L?ma&rWeco3oGvi?Pngd9@;eRNb&4?v&`IS)xj0|d|7ZUx> zTuqI;szEQU+#rW@a;BDPz_sa{yxh4g0i!*>4XK@AyZOxBfL!uAhY9(IC3jT^^C1q6 znLE>=<~FgG1tAf!bM}n*=n5g>S=w(ON8Jf*SyZ%fA<&2SGMhCvC51N1Y_*;o0Y@Gz zWW34mzQ^~aD$4@?otf#Ibfl^BMApRj4Wdl4ZdZVaCDYV~~ z^QH2>_jpFo6PA8I;atLFo+p_8vMMkygJxS`V(80+9>nzZ5O3~hM*w{<{3)QMt2v3p zC1<33o;5Yq*AUS-)pu^CG%c<>B-cbP5I-SV^hFMRa}^e$?IU7(JgJ)^(uS-MkxC4< zn6$i~3&6=JVFtPxzAtEdF}Bse6~<~~8z5G5M>$V(N97YYZJ89PW17^@;i>%oxv513 zGNLuid6q|O(7=LD3EjOscopowb+5D$e(|SId2xtpJLWms!dt(pffiF81B7!rN5S3G zG23?sC9PTocT;%q$iEAnis|dMg`(vSkHCL_QeGS7#P_8NyessjG<>tl5NuO?5qQbCBl}zYj_!FdMw-uAzqCigI3-bG5a79FzSUKBVh(&wNV;IC2)r~E>$ zeD!&Xk9(JVR+N=|kh)t+bCqj5nHdqD@mpJ}$$j_Rz+p8(uWM7YiGpI9DGhP15YW=37a2X3e)selZe48743PtgIa#bb-#1x_0@=2WV{&G2qz#x z(_#1IMX~LK`M-(U{1^HRTv4HKf_n7)ex>+P3gk77*CIZ*@%KfveBtpN{t=us?Mhip6UUqgakr= z(rqD3gOB1rpB7#uaB*%A%dTo!*@?r~0JC{d>S0jhxO0|^onQB3e46j3@I+f^GV(k& z5@$_9zc^!1+%Y+Vk!j%Nq%$SCc|KZdrP)dMnf1Tb~X z9^V8E6#QRYz49ID{h81wtNz+b4z%ZyGoo=R zY}NHm6Iu{8StS#0DSVCZK_==(-TdJ_e+OQl)%=~g+<=O6H%0-1 zqpE>vG&ZXqq#({6%~>6SsvvzjeFADbUIlckgLEv|4`0dHrI$FW)vnYLxA-%D7JL;h z{?C#tDgw-K+jY#Izq4QqeCDN4EKbIfd#;RGEb43dR(^F4&9#TUgr`OWCk&a6f6EmXK&ss?1xCZoAWIbqAol}Oe?qoWEX z8lDtF$Dl!!-m;xnb?^0gTKBc8ht%pBapx)JSwsMJ&rO6w@T5Eqp8V#LOKDw#7oF&c ze5OoXg5*SUGp4C4%9`bk#$UtxtDM+l4|O{>uOpzDc>UA!yunZ zj$&4NJgZ(Rtov?^Xw}!aPEoT{NZ=>+q;vgS`SQQNYVj!VaxQHZ%OjD6q=aC)8&@1c zjg2Se_Yl5;{>Jy`C}_Ck+(<$6$51i zL|l>$218ai8^uS_Ix>!mZK|kH(J2MBwpxcrMaHVFAShEtq*xFi%s8S`@r5Ejs9?y-NP8n8gYnV1UJoJU_Sm9l`zwdZ-VdA#xyNd~PrN;(i{;uwVJhx)`<(TI; zH{FcW9((zA((B!u*SgEcqzAvBxoV5&TiCwis;kp?!Jl#VdQZ8Wd}(&d$#2tR>K~1r z{&Hbo!1Rn2QolUwU3c1AN8rFt-L*uu7_c5qo zs&>(5g$MUm=B#UqXz}W5`lzj_?D1Yr=O{d?ZSxDCLr-hNzG(cUB%q`77*V&SfVzBS z_j1H_t$U<(m)q+7PH7(aZ+;eL!kK4GtYNhcwtmZV$xr$6(sJ2x+>_x?^T z?CINa%hsvZwN+M3Ub6B!H!XTYL*r9fTJkm6(V3W|n&>X>Y>jXeUY0_CT9|flG3@pm z(|Z1claJptG%BC8Z+w!8VhZ2*Ua73|*zo7C1wUNMd#Cw!t=s&N{Hhy%a^m;=Jw2^o z?NA?;ReR;l^!`wOqUrGI+^yY^Y{dSaW`Ed7u&$!p_UdqQWm#5fS!eXT(olFc^`;W* zz21PQS<03Uc!a6<3u2ZOB>UH}_%DTi1Mk z^6>ess35-!)+uxD{)E48w;ri%;`vW&no~zl2w|4mN~&$6I-19N#MEQu_r|?0C3orXd} z27*dHq*SD!@dB?);6#)Phf&ce4Jjgd6E7qzXLTHs3?eN!qUSHi*3sN`} zLI0Hq1h4`%0x4pRdJ6=WDx*cXl($wkEK%ivf7Dfv%2{SD2Wp+M&1lT194@b8ZGjRuo_KzgwwMb)gn1sU}Xhy4T6f;3!Nhl zdXj1(70)k)HFGGI%{H1X%Z!8Lv~%1g1ICHR8Y5Z#fIZ<fAt5@*?%q!s#W_WmfT#yr$ z5&e@rIAb{iXOLltAZ6IV2dPymQiTl2j^Pxk#6Sts2bmohNn;X^VHia)3{V}4Nyk#i zp%_k5BkXXJ#z{zcxE(_>Kz%qy<0CN!Ji6FX1dX|3B%>rGLl1=gtT-cCEXy6fPx_1ARyA+UH9d{ z`TT$1UF+Vp?m1W2JM+db_U!%ay`Q~bRgyynVIti8SqQvR`0M2E0}TNe!ORq9Yzkvj z*TO>ZE)&sr1CB#P0t6*s5&;4_@OS!uOv3#$Nk#NIrzEQh%<5*gJunk?H``iUzeMjT z*e%VF1HGscdW_wXHjS+lq^?x%W+KXtv%|Z9{abcI0y79#>~uTXE^gYiQZcVisADVN z=G^`nTRDZ0fXD7-+^pN%i^biM!;|H)a78wp4$oLs-mm97-`!8%xP5M@r|8=*X%^JG z`Yhmacr`-VQ8`UM|6p==Z|idmy{@~;!O8S{_hPeIkL%yF%bu4m*9%ue^NY)~GY#7O3(cH>j$T(ZsM&7rjY`-{=o*Sybcr{+#Ij(D(zyJYqik5|=#=Vi6fndZ3d zgslCL=b*fJy?*!RZf?CWtm&_-r>mORvH4>9W0=3d&%YFGgTD5GJ>D4MutW$EkE){ z%4gv}O;1HiXID;s{5+aIAOE`q|3JJ851;mvFAI|gE*7)j!B_HLa}7pRh4@K$0uQ?L z1qpL5`maegN^}#bkdM=mTPq&7=r}>LYz!T(Or`euOAVdt>P( z&g+oh6ZG}UlB-_+nol$*ld!t=5CaX{k7h3Qi1!|&PWn@>5B>}vmQ0+H!SNE0f@Ids zgBf&Eqh!Lqf4culQO!ivTGKWrP+g`HzW~DjQa#~2=jfw%mMz|IXswFXMWZ9=1$#q- zFj_eJ1GN%A#8?L;Y4KZ2I1b12tFi4#D-+ky$s@t<^8XZ2qIAf6)?!hPVPP` zAJ2E#2Qpm?mAPRrs;5TK=bQ!Bs*jvmcK97!nNkU~=+Qv|e%fPa$<9SAqfe`Qn<6-O zt~~lQr3?sMzR#m$a+_9XqP;!vL-jo(L6=2oS`3-&iH|PoHqk65V;@J?vXaI1!$9E< z2#pC9Wa9;opo1}=eg%_={9^j3CnV;N%tWS{aq>PzHW|Q*n)^yMt=X?-X7Rce|)RS%@UHFo~=`Y7+!?>-%>oJ~7$eK%c2qtN$$oRO{1J?xS_*j~+UjGanjY*2lF`qp*4kCb zlvM*~5mfzNSwDxqOdIzZl?+ex8zhpfx5OMC{J_j@D%b^&K76Q5KlFmmPUnIvqcb@V z@7}kWU*%|`gNi$-Zs&kt)l0jdUF^;tv0m0+pJa+Q+BF|U|jgV=9a|b-^TM7 z7xb`uziEV)km3c33(t^c>W~mm{!k+0z3(n>{NUj}0<-mW4dc7h5X32IlCwo!lNL-e zw`;!cl!Kn(@C;JBS;yFo`4{8rz8Np9f1=^2#H&480~tJB^Pei(O_1c!&j0o^O@=z> z^&7hukd=xYRnCztqyj0y^eJ7~)Wvxb+xnYbhIpQ!Ai;0nK-`Z?IlMzL79^9UY1lu8 zPHl)!t}t33LJOn~1oze=HN!n#D}Iz1{%$V-JEE4him_P@cBp)HKV|+^>5m*xq1MvJ zup{eVWC`eztu+49j8YBM;M2eyF0LhS6t2}X6_Lz_Hi`Fi0>(ZuuZ)-R1=7Y(+k10Q zSFJO9uq1xWs68gw#cAms4|qmO^NWAC^LfsGi;aTUQr+th)Hxytr z>iH3znyeM#mh>8iu_+q7n0p_cvHXo66SS=iGNZ1)>uRAH84)kws+65myN8BmxcG)~ z*~MNAIkPxFKu>T%mP&W5QoZAW5Im=+l?c<&?fAWB#plO%%AArILEx#C9tn*1;0JWH z7FWWqEx$|o2?F@j9wug~NQwBNuSv=j)@eDEtr?GeT_Y&)J}`&Sh_bhK#l$d;h1Jopc1t0VrZk6!%}UvE!}24QdwR@m6yCl~Od87J3uVkGLk=Z>O~zI~ z@H2GD=802gEomrbMoe>&<*48NG0&R7P?2MZw~VF`6o_a0YB8lG_B|hB{HN7?MUG5@ z*smMG4_eo7o;P|QqK=Yk*%~!^AD|ABLTw?9Uk=~dnY*>UKPr8VQz*zhiENIOB^Yre zGKp-7<1y@;f%V9j&CW)LX1wyl4%7&IS|-7Z&+5nYg1kR7_`O`Dd(h%G4*X=lyyv?X zL46+a^LzulA6Z|wiG8Cg3Z7;zIw2u;GUQl;qef%-m zZtC26%DC0F$GOd#WcEAZ1k6kMjj>D_)pWPCw7j(o^Q^G5kdGKi)^t{mPFzl(Q%&hD z^p!)|$h`_1wQ`366C&+4d_7B!jO82_hsgKEp+TJH3Y>lH-AGPrG1|sa2?X?99qON< zEzuKVQW7U`d?%kKiaU&>lGQ8x?8By++bHF&6fvE)ZXLzYrMF5cb!Z*^ZcIMe-OkL# zARilNlAr`ke4N!v)uX31Z;so+sWE6U;{TnKT0UClEvGyCZHRt#<9)3X2}&S;nw9dt zBPN}rmyTDCNU^({)bH@ewgHa_P`twbtWFJtSteF%ood6Oh>XX{S98f=TbB(tB*;yhj)7? zJaVe92grJzhizDPn93#nW?$X2k!L@@pH|cMzLNY3Nl6Y3JEPSQN~FK4e8XJeYrn&M)oY%NZ;*B3j|s&@P4%{n;N`lKj|uGi%=!}cx}g|EV| z+Zq-rJud4hJ$D0yFAkDsuM9lSW}C0sgfEYpyM(WME(Q#*k7hkC)~~P5JqN%Z*YAZd zYOasw6=$!lPp^cp=E5mGkGh2~rb;}|HYu;ij+-yqny-(-n=dJauTIl0Rt>M)X0H~7 zt9A>xuPzk(ns1bGwhSCwC7#C}+mn4A$FrB4;mxtL*Cn%;p2AlL0ozwQ^|M#I;lfu- z=i66%Y1fzao|kQk&F6=d&F2+>Ai%@=*~=|qy=JG;(eUQet+eYgC$mkDW3%Rqubvlu zo`=_NXd~y8P3NH(Jx=wk&8}-IvIkDri`!QUU3NGNwOq- z*oPKyHA04RHT2AL_kxRc&G2%Wa_hIO_VtD0Ri3UsxhG%Mwl=WBwP7fGe3U z^Ww>~5|3C*irV5yPpD+UQ^PmCjj{9Z!gcm=*aqJUkNQWC432k_FvZ+6->X=Zl$Dtm zJ>wmngtEPy33RhlAl2TZ+7t^L(QuDURF%`jc$IfK-mGf5?P37!TO2nnaPOtj@9e7D z01xvmb|^@A!4Y85^H+l-uTZnHXTl4tEM<~5z|dvV{rnp7wU=9P%f0Ik^*QP7Oec}z zQ56XtW;!(Ox3Atmv(;rXm*c0?Dln(v&o;0GJ`L-_3PV?hd-?0QKdNS~YfT0!Fp=#Q zKKVEV3%C%!TCF^ad?&Oe(HR+gm4&YkUeJ-J2jiR;AUD}dZ&MjUMt0lG+9_+z37a~% z7^Sy#Hc1EA6?s(B-BlueP@x}qoNYe{F$pK7fIAIkq?r`*s>=8G1()PhqqN|-ssaq5 z4CF0&1JP^I3iFjdO$z*z(%mvGva`{>rvsD0A=Kn&G-3qilF(l?`2@;GjFgd|0*7Tt zCf3lgzo6*&H>*IQzTXoLm}`JIL#uJj#c}SG145poU4&X|69Ap}I=q zoh-YIwV>AdC}M~)ZL`~4PzREGxB?7)34$>~A-P@=$*ogRg$xjFu98KR>WNB}>L+sG zP>xc4jRG8ez+p`d3&f@N3}ggj1xkR40}a5B0-eEJD~z;WRV5+{-Cf?v4={uc6}qi} z-9~_Q)$u#ciJwLcajHOaB{^vg736G-lNnX?_|$1@fn9Zh3r^r7s~(mQeY>a@xz@EB z^ciM>yB4~`z4k>3Y3)$S!Wi>J#hB<5xiRf0Wn+%lD8@ps`HbaWTN`Ty;u@O4=Debb)tr*eEg|xiu<`e-d-Sz7iNapbE+L0l_fQ z9pKKScqq|cohY);{XmAYw5V0$?PyPBO)!c?K=)Xrv#^SuOJL)PE#N3i`XEL^sgVcd zT0wY<#;E%KASHflNUnY>rX7+ZyAYd=!Pu7sH6PP`Uh|)4Y!_VklJuk_#pP z^tVRPnV0XwmJ1&BPUa@AJcUh$5q6pjyt)(RP|BI~(C9JZA^h=E4iqqx zPf9G{Qb}Cls?$Ei4Wi}5ZKRdQ-KBliuMR~Rd+434koOs#*gLsb9f>dHEeed^3}-=2 z=t;y7AE24H2O z(hYF3ALV$l(K&igQc3xc&^_?gfGqjO(p38aMV>!8mBzbI)Q0`VN z#E{Sh-%H^C?Ql{Gn%c8bL&Jef&UCf>sW=&gvfj>Z8g*kzjY%Cc8j3FCmOVpZcI_sO~AY@-AD!62vw0!Jgrlo z(8Mu5jfv+4G5nGX(oc5`j7*mb`kGD{c$&T#$dXYN^nyw(For5W@H-Va=#mO9!vXm% zW11cpfgsjLJ>WNU;tkTsLg#?#tn(B>U4{YWAfergqh@R*8Ffu~tB^e>K;Qx(1Pmbm zr8$OhyxRviin-ICOymu;6>w~6K6-(1Yen*cA^@4%0hvw!nV2&O1C25k1ET?%Isut3 zsPdug5U`911TGT~$n+z6-+e_CT<_o7fZ?@~VnH9DHi~_84BakE#ABrEGrXdv09__Zs-~l+fR@tLRIEBGG7YU?VuYd1Nz8%CjAFl1Q-hh|Iay0BVk$& zijZLz`C82OLIjCP#qS|sjNNt3!a=gZ`^CzI2e+W0p7$nPl~>XOTpQ4eHL3>fa|Sm) zLEV-Z)xWW#BkNMSNASwZarLArs5$rA!;Qf#Dc9|0^`L zmH)BPDugXUmG~S4o3u2Ntc#M+R6zMBZuXy4(F3ppgIGv%zWBT@j4|UnCYj) zSVVV%b-d9Z=!8Lub&>+fsQo%AKL&%4sUsy&KgRiCP^Y$I)nQ_!yE#L03xJSP4!hki z?<;l0P|ThFody6(6#i$=)faC7=5v=q{4!poe_=^8kDj&jI)xTAsjk zMz9vU%+>4jm~v&m-@5bP4iqY6s4&tD(48A!zcK@oNh&}`F8W%6)t;gQY`;BDRl+K_ zqelB<3s?*GMMYPvm92{QUDeAV9LwP-x@sRfEh|2`uJOs(P7X2V)0b-6Us|A*)V>3j z{unef!NiV)OkOt;H}Tc2dPbfAs_6~7;h#r~YTx8;k)?LnPpYY4c^90r3S63!H3WZl z#Hi})94}U`5hoM_*Y$%jL;3V-b+G(Ew%kA^TfZ7*oOfWQk^mKa)s{GLy~rjmjc6$N zz3Bh7N-IMO6zmRubo31ea9v6CF7uvKKwXoAn!v}M8Amk!PA7mSbnlYwO=udy-*w}& zep*UBGWuu44J}gni-8QaO$9zq2T8ChSy0oSs8Dl!BBvJmq)aXM8iiWxH6OL<|EVt9 zJNHgrdo3(>yKtUGG@vFo+|ImG5lHS+6pgHWtJUtjCyGGLQR1B({0OMon(;c#`EI28 zPH3p$FbJ^7l*t>u_^)wlz)7gvJI%>wE2&2~n#!p$fPkFu2Dtu9R6w4Yw}uKGcQNzt zM5ZW&vtUp5Cu01~;Qj?)v$;ByRE5omD3r_>Hq;yvYef!w6L;p>=ZGlKwIcCt-UP-Z zphWY!zfgJn$LmIB?kq*b0}NvM{}8Ro7n3w-SB(v|BiEGwpdyPX7W7xh$%-uyQ3$`| z0`LT&q>Q3Pg~2NUX!#={@d?5Kw`+3;>Y;xQA!&f|4W#Y~1+cM8lW6-eh9!pQXSVUUIiJG_YfMY}FXTd^^U z65P#5(qa{09D6sZzb|ZeQOyYiRDxzAK*a?(^WakfLxpTMfU4#Y5!U{7i{I?GKA&~o z9iyEYS-*{$H?;QOibqmXWI%~8%4c2cF%+D&{@U<+-hwnhf=F%&PhCGzXWlcQ)1YP# z$t?on!RQTe&e4(i2!nv~?C=lbzc2qy`u`C(awZYi8;EYkS*WZA)|R|?M~;$36fAFv z^i8Fsq8gCImODA-&Q}Dmw!g_)QO+M}{&jK`Bj%y1(1%=M%ty|2yX7SBAGfnF9y*8d ztNvm5H@Ob{8?f^g`M=2=AL9W$Q25Wjv@S}3Ij|TahMItz3ktV>XTPM|lq_2Zbc6nb zMg1KUZzK!8=~ewZ4WgD{0xBs5=}UlDlOHlMMYRBol^lq7e;Z}+-^S3@GyY7@m5xdpBdTLgj zTnt1D+<&J%beqan`J2oo*9PMaJI%)``fi#nK+;&OYf4^|L~lxV6s#VI87dyDu7gd= z0RaoXx{Id52xOrfm~E)fr>>a#FGVE4EW_E){*W_4@>^95 zA1*oFu;)L;ks?6(9U5yV|J06mCXM0&bbV7d{y&xagfu`N*r5BLWtzI>GS6aV70?IK zC+Y#14*;jb(K~ZCE(5^{sQrgyC_3{hpF?u_%~rbeBw#>c;PI>5Jn4^t{{?bX1P~4b zAT_O3{b?%XjR8ru&W8AC@g_nITmA=68)FG?O71E_gXOO;G$oG$#=mj4J%HHWHXi-~ zeWXJ4OO^bH(HMy*z0o8QlAOwvI~y@%=VmGi<^{Bqa2pcxEE~>X{BQpN{*3vLK5hWN zDwQvyuoxtwUFv9Wa@7vQW+yB0ZayE zj8F{<2l&z*JE;2%?#y!&`&XL!;5ZS5vH$2Z7z&nB=V=N=42=MYesf3t8Nz{{8w&9D zVdPdu6`McjH+K8?)0x5^3m-W=SD!ierq`G^)e`)~exNsIC_@t+sCMKKo07x!^Enh1 zO3XzS6#`}`7rIva@eOWc*Zsx>pia6Yb>_*sb%Os+(Z-Lz-JN%^1h~uP&$yw;zj5sO zr@d*O1@P^g)bef%WCzq7x7v!Dll=qvzw#Tv*Zxs{WAYlWD12>v*I!Fd4lec_3Vt$- zb?KiR+>ZvEyvtvq+;>sQwih5nMSu>6otL`Jb#I;S$?)J}x4FQdZ2d2^A9aB~_(;0QPOrkemM zA>PSIVks0eQWA)C5SX_LKGl~vaFFOG?k-SdYl|`Aei7@&V-UB*j}-UAJr!4rG!mhW z>J&MMVi#@y5HG4pg_sQVWkQvanFb?-@PS`#H4o$lQAjS(0RFo}ZXR;?e&KIP`W?`K zI@V@3Zph;nXoC(-g-o~a^ZpHvL~Pf-CD-1WS3Lx@XdeRg+Phxd6Bzu*Eq3{fmI1Ej z29#!nz>RAF-l6k%(ZV4eDCK(nKf@TWfmftAcg;S0zU@SeD}?`p4nM`sE>*Xf4AYi|&mSC-EcTCIf54+{bs}GV z2Q)<6c>B61%VoxJ`TOcKw!Ym3watvCWQF^JnhLoT(~DhyHIMH6KQa5(yn||OpV@LP zJ_B8zn{EUZpZ`DVA;IZ^(oc-#)@iaHyfRcUV+Ag7>h}JMJXsjEY`O5MFt*&~GVS7I zg=yK1uDo`j_xLxnywU0%$bff7|Js|h8^--Om#Ys@R!$ZrP_Ml)h2&ZTj@~1R#Agi@ zCq0gLI97WHbg}?WXO3x$0-OAg>Lh3D3!tN8RiLr_&)ogt%u$k+FJUoMv{II1}(_|4V| zU21a*GOaM|C-z7V4{SDVtXRH?9CLoPNynvt22q+1yog9@RS##VpDQa3qO+IsYT*K- zf8lyCf%cB9?$d81enG!&{<9i{^ZdwH?ycf|^JrPI#E|oZVc32eRaW1j<9o-HlHZUD z<7Th!M@=F-)=od#;PQ!@n?fi*Rpml96yl|r9)1xZp?xKvyn$|PGI|Da35m1m313zavlSC||vR^B)^>+aGo! z5`DlwhJBBS8&M4TyD*#Vvq&zm4}_%P7;EWq#L*&qW9cC4uyjz-GH@KEgZBE;K@Qx_ zpmCwGFGv)|#N&{6$apew(%s@Jhn~wTnrzot5#?Q2xT>#x_132;qNH{NqGXm3%1pwc z&qXnypAlsC(PfPadLX7Kbb(AyOs^v!etzbJ{YEApd0a}aB4l}ATAEwJM7>SYoa`_O zz45tIRqaSf^UEYDSnP+E-r;_y z1uFAgHmx3q3lRd8(Pa(+*chTwV-D??W{&0&^y@?Qqvs#`URnR-ktSY_m&6N25UDhr zhHk^}9c;~Wy4B+ewpi21kTdY8ET8@+ibP*kY=t+SxFl^k!)LhZW1q9#ho?sJyqu77 zoq5FJXIoJ8%yg(iXIIYEy({M-1kP}zx>l8rZBMwngrfhYxJB3%{X0~6t&KDK>yoAO z%Cir>ANim$<+Wg1sOwU|;K&pY=UcmTgUt?SPLP0Xenr?Bq)~*Ds4QL)qnP{&X&&3y zRH|R+S7i$0^M}-qASU1XpKe)k^W?uN$>pYp++2DOJRfr4B3rbd(Lv#QUHbw>>Jq6< zZk6+1O?76%SzN#IYhwk39!8Ljx{KMS>>{4_(9f1XVI#SgO}YQLirKJpknfO)mo2K% z!?dUXLWzuY4#FiwE@9``3B!Nr9Do7ZP)0(wZS89jkTUv3u7O)x;f^|kh=jZy@8czi z{)>Lb4q12?n-63Br2*9Cs~qfY>T*QCL1c!V=i+tkJ}u{wPkf+b8`~42 zeLS1qts{@q1U0vzpS{hYzfxqDC_ShAgzaJ$uXyFP`r~m>F)lWXs_9*FF`DA|;@1q8 zAV!82tm5Sx$PNx6n@$>=4-enee>Cv&p>n(5E|fMt^yVU;KlWOJ-_58Sj99;~lGppQ z#eGCh?1G#oW_5%R8lF0d)Vv!Nab~3trZ&PSzdWJ%&>y~DuJqP>dZ}6smkzX{Il&!3 zS>y23zI_#Osy?0PkQV1x!#zA=Ri0y0X_7BAPcqzxIrL4|Il|u^{U8r7M98q4^dJtc z{e?%;f@mDzTYo0p^eSdg9v1(=H?g)l*kg&CtU$oCykszICVVR;*k5r)Lw;jy)miHG zdfob_WSAL^w2*@C_Gqmmc2x21jEui+jlh!c0S9hmxaZ#0C_DS!KpGvV)GuPWf|C%* z1zqgsVYtFB7f%8vt6V3J-~-vl)ca`zd3gtJ;WDIf4~-XDLNLSyIwm@lHd=>gF4qpG zbQitO8m_eYldI#A&;yS9n3+_;oquB9ELtL5+?w>v=Lbk4YH0ElZaL zfv@-RXSj5SdUI?^ZBd_0PHgdMsi8Zw0K(A37RFoQVL`kyW`qi{cD}n+ww8F}Yc+OMU+hU7by8Q96j?qzE`mtW}aS= zp9{8xUtnEek03IW^_y@)9Fa(ty^PzN?h}z3`^{7j?siqmKyhnRk7SKL|KFws_=ZDdk28C%iiOO@YiU~&-tFqp&^ zw$2$!q`iIoW3XqtVFn|gr-v!6JhI1npZ5~`$E?akOAwW>OR}iK8N9~vNFpS9GZEV* z!bv5-UA*q$!JB3})0FW0%(nXvzi{yn?xjsIJ-Co3-Nq8mF3f94p)Cr^erKQf?f$Rg zGIzv}ah;UZskoohg9jboxGk*jAa7egKs3X+?sbga;W}5qVMIKUJ|T7{y~K|8lD%)6 zIXR&TeM`RK(bXxm9wXOi+kf#Uav_Ntq=)KCjSl6&pul-{=e1I==sSu7M44I~WfcIEaq*A5t4R zIVKLyx~F(ej}SY%vLLgKu}AktS9X+a0~>Oiboq%iAQUs z7=4m*Lz}247xPx(C_fF$DHoxCIKkXwJEixd&x&=)tfkQ=4N=h8go(QGemRm<^}F4v zmbZ$1EwBAjC)T9Uoo$45s00m?qOt8stA%E@<5l{t1K}oUOC-uAMZ@y_)M6j%yvD!3 z48%>`6eZ6kC$674NthN2+4vC{ISmUDIl|k-IQ>N430ooV3|UE_X)dNtv`6!sM8loR zQL-Jz3>=RYZ@wRP>}B}rm-_x`CPt|ww5 z_b^B$4g5l%Zj)gNXc@u2^-?0W9tnwjdVOxTvG?Q(YXqU;hr&f`cVRXH#hm2(OZ1|t z7MjXf1mOQWB8XW&n3|B#z28D7`Ci1UABO37-UTqg8|qNFCs2qUXNh%|B|%x-*? zw(eP(gO0f(hAj6WcC+|YqLeGPrY5}g>;36uhh}Rv)ign2v+F1)B+mdInMOHnmn!5G zozky~8ls40g~5S@FC~}@ki-fxNyW|cKmo3PElSb251R(xSwFPLM?!wBQ;67yLB;-y z7Q{`MYt{S2tEk_v<;eWKRqhh;$A?s=O}Z)@QN&h5OXGQmmlTsiEbdD|ZASND6lnEgO3`TB(!9@?K5g^XWTBiQ!!)j6WFnNlnt7SHztMABN=AeUuB0GF%^LbuC>$|vJ75Oa zl&}tQox2eT@tHPnUX&5%Low880~l3`4H_wOW}w)SU`9pkm|VZEedTIRm*QFP`&DdF z;#qzcG2&H>89MIKo15EC6JZ@+%Oc6B{bBElG!jlMY3S6xk~J0i+14wLOqu=EH*07X zT~6_E65`4N*-9OMViT_5$=%(yT9|j50WT;m%4zwm6Z0aCd4#+2ZmDx0%08Q3|ICBq z@$6+cYTt&&Ra}5?b?0-JSW?Okh zmwsNwtN`21C-79T{w1G`MKQ9-C+xG`1akLh_@juz^NpcXPl|onQp+5EHL>i+2YPgn zvbe7kKa_s)kpOamHS&}hOZglkwu#*QvU>?wia4KV+gfwt=ySwbwSaL$>aJ9XKW?#a z<3g7MLZCZpN17Vi%#=`Snd#fvqumf|RguqD>`2vrU17!axK17Zuq>N967^ea5id47 z`bn`H_Fk{gndWRg!5Xwwjwoh2bx-g>VC$l#W4r&@*|vUv=GcT6jvDLL%J!koXw^D>JJ6xuuHyZXO zcr*{k2(GDlwl{psPn?p$3p>_yZc|0Vu3Kzj%8W@ohFYyP)0e{u=k+cfz{e@Su%*y2 z(NE&0@Y?%p!ZQGdz7!g zmWlT{+jhV4_K8p%-QPacd1QWVysg-e-*A-KU{+2sdx3_qLFD9fc2SH(N0zHoNFzRLH3;EE^4M}bwI{;FAhG!?m{XUuOX z+F7bH8rLr!lv#*om2g3t zYr&X0OZldHy=EH4n%db%K^q(;X8EZT)oI_?Umw=J6D5#mgwr_uIB&%W8Xq{~dUIdA zNLGFupyAzRskaPe1X)BL9gTwH-kq0rH;`}HpPm_0g?EUadN0D-iR&{O})w3ohIdY6+2L_A9 zFS?a}&`JNwN89h}v+Wt?Z2alie%6R$%rDu~fT1sik$Ju)7u|Of8WC#pHhBRyFF*=3 z=!a9angv{k3>%T+snA0PzimpqJ9z&2ST;Q9S&1~R1bku~IyltFD&ZjkLlntE8zGH6 zLy>U)y+Q=5BgZN?FcHPbVhU0AaOhE$ldY9wp-tUC6tp(4JNXeJ)5fOkCV4PfjBN8% zQ&xz^AcFgN3KZ!P)#0C2o@oI+%XlxDn@A=;+Yp&mpie~YJB!K_?UeGM7B9xbH+hW4 zjd~l?7ZTO7G4<^b)U_l?qYP=Ru7xUdP548j`ezI1580G&l75g zBloGzj$kn&oi?Gza{l5PBx6%qGN{q%D{MSC1M5ZD+t!lbz?U0`dOk1%rh#OY^*N4? z%aBVI3|L-Gebv(s<9*3po>kSL=7ufFV$iWfFs)LROWR$a?g>H^=-K5!S8b7(x%wXC3kx)a- zfvJTyh**x&u>3xL!X)G7D-NnHnEm_YpRPHYZ(dHE|L|ACq1yUd-;B<;y(UN&CQMpO z8OFMh1y3Pok%32ib&m`lNuw=%p*RmCU5A{>z9KCFKnJ>zK`Y+BT2Ro z9#A(EIkDzvSnb)$EOrkO+* zy<$di&9KAkFc>vlyuayy!Q8tzL{3OYrT#L6{Yt+&%e7;F94gFuKNh~Vmr-AQI6|+w z;?t~#4Jin9O?_TI@vix0BzAMfw;0ua#&Y*Z3}|lD`BQt2^fh}7f_;+geH}$Z%+oLZmfwG3N%pbqaz%4!Mr4FI zfQY+>-@N4_7-16@k33-MRO(Fv0VB>!(lQ>Oo)ZTj1VhAMnAC7LTT7$I8A%hykWfc9 z5qxx7Lgpe^U0&@~Lg&lD{>GLQA@cZK?Cjom`tUB{WgC>|Ps5Tf>lNrWm>R=BfLOD- zg^#m;cgP^obN0$x)6iq+1%@=C>5+SoeGJolWN-6&JaDk>P(XE?ke`{;V8N?~MY!Zl zi zHB)o`r<-QxDjWf6*;K}F%cz>S%*+G(r+V8$8=0R!S=y<1BATC;{e8R^MLQA8#fSMh zHDBjoCD)nuq&!pSpj3}28CIl)F!u1}VuyEX$aaUQpE-qq%{ z5k9Af#AuquZm%b6mhl~=_IgK67eXiCao*okin{yercB7Le$e;EaOm2q&;!yfw_EAg z0)ukxc|TdE%r;awh_b&Iie%C?GZ9cn`C@h1@HEGz�-bvo|v9z@wTKIU1rH*N0Jl zIN=&m)Mwypm4esFvN^?0Sw+`EHX2K^Z*ZO+&(v)+)Hmwzs0aAq_|Z4&f9zv59i~_Y zl0)70s4nKh_NewS2wQlyZh5ns4`||SkYKoHlz5^DC_C|5>417G`yDik&3`2Zkzr}! z`OB-WQ4Bl>p)IS+75Ic)P3QN8){pq*hwx8kS-cZ)L6wLiIbYO?I&8cPt452#&l_PLmU3!n+9Z(Z>_atM2UgXN_Ity%)4|07?~P*}*NzfU&^Zt7 z3RXxFg`mqnm;G0wepNyzI$1?o6?^Hsg82;lHou=P$;a=1pI3~1bmq%PNmFl@!gm_8 zukZS_{9OK9G7fG~M!DJRUF%9+6X6dIGzsU`_a;tzuLcV>Cq;W}Ea@%lMSGn_Rzgj* z#J@GHMc81t#C#L2gK?Z*V*O;o)7@s?>_T-q8n)+xI>4GV93-6be`N3+iNtDz*_oL~ zbtEwtMbGyRN`T~Jb1>R21@hwcE7G!y)Bzu4dkpxc6ePFQw(VJaEW%qNU5ieq6*($t{%s{do%f#!%L!Oulvies zK;%9^(956n@Bw;@7VI3vetJ-=ok&r;U}jGJP&D?DC$5Qk$j0bW%_jOBc;nMYm6x=W zY+qMWMoi3Q!u=B{sJmdx#xf(zD-UYp702Y9m{#8DaOLuIe;iV@G&6rov-V3yK+cl% z`}4{*F}2*|?N1#}**u<8B!x_09}&rUfm~u{7|#lgEe)z15OFnnRD2s4*9S&y46>zl z&Rt?sUvDm}=Pq{i6xhG5OVczrJ#~qhbh~Jf)#STuz{KrJrM33~zCCoYlTE-b-XG*6 zT4Wx*>}-)44JYLNe#U`JpAd3|Os_rW%JOsc0qvV8DlwNBiet}Zfx&kuubOH1i;p+P zA|kvRWM`_jCfH6p{3c5B9pI*7;_rS(V5}bWreNH^9~;v7nTsF=#V61V^zC75meUq& zbMMC%7sI^PE1JZ|(QB_WsfFIJ7Grc9*D=|pLWLscO`qkC#^Vnp&_5(EPPHip`-roM zN}vX(3-54G=OZSf(DB+Hqe#wXkIFesGmDfY98`Ek^~vDS-|Kfr^mvdyhJLQyg0^Sz zpxJtzEY8T1CJw&N;JG?#RGd;YrI)F@UQ38u#)4*>ISTwUdq0sphWuZ03}6S;y4nF( zD$O+2g5SD6O&a@zrIw0Lgi2`gO-PP(&YFlQ=D>-*|64*OJVQjnT|!*E-!)H>YGSjF zy>-`rs&6nFjme$qp==a@Y>J9iM~jh;Vt}U*Z(X^FmLmVa5x&z{;2XWs`lzLd4*F|! zt)S>NQyd(ynNFp4PQNE3=oV+uyWf z{WzDkpHd>Tc@RTil_aX_N;8j_ZSFu z>r?P2iC`4pPN^3kJ1w<94OV-}POQz31m2d?MI^gr>nd93)d#m0eL0dt$(tXw))j~< zTF+VB)y};9fzhDB9%#LCrAzLsmLQ7@N$h(<^ z1LFX40coA#!Do1>-GUhFQBXrJGI`y*#YN+^zGt=LXnRY>KK8;y0=DUI-*SjXrM-{z zMTeEaQk~tcG>Rwsz8<^=2@~O)oYiUx>m0r1NWm{BCZhH*IP^Zi4ouUWFk>$f^NY!Q zDqONAUE*Po&GpK|U?g1mvO$)G%EQ1ESH<3$)D%$(sf#RqINExHZ4oQm5g6Oy5|;e| z!(mPqIIsJ>u%vFbw7sORUsH7MGQ!{7*Ii&g)81ELLQAorPVxg-HURI$g^;>>amTv4 zstm*}Ryxf6mk%FXfuz|Wb_P}OHn-fgqoytD^JgeAB`KPRLo;IyW(0X#+l8^`>H-DF z@P_&YP@cX@2W?#8S+q_o?5R^Mqp zS(Of;rWFI-U+*7$$8a0amzou2eZ(gf@qYD`)`Rs?$ioHWMRd0TA5hb4iO2I%zk%tH zi|Pj_A4kIR7L3IdMOkykCQfSOdx_6$eXsB9ZmXk;nrv9EPM16@-X!A0! zXtXU(#UtG%B5mZc_PKT_yz-(@%IW=yc4!zKaArE%XCX^2s*#y{e5(DNfv?j-HdRzZ zwWY{Z7ZGm?cEUY1sf9#JrDZ{?zLQN51aCEYn@NP*WnsU%u3|=fEw8q$MeVEAzYQgzkG4>!r>ME2w#Eg@lt+{V^dvZ2afK+fs@kmJz_Rk%M?Xg{%dJbhgVsm z6BNQ59p)t`@*R-_QbM13P&~A#I$LlGq{&g%n9ISRDsqII_`BZ-C@l{o2^T6Dfz}Go z_1zp$+bv32y-wFc0^O5RujUFWAl{T3`CL0~+{78@Yd^#APF~Q>-Mc7#Ei9H0-7nSeFsK8n4YmYa(xdGy507g zcR_hx(Kq$wYS~};R!nHcUDYbU$v(PXj1Q=|i(B(oJ^}3;b!ssZ=1t;HTsNTp%$P*+ zWTBv<-#NV(-kM(0%Y{}f+t|ggWF|=RMbqrNBa#CJ*Bf;tu}0+xqpP}5!XJi~6K*W# z^XN+@Q@lQ>mc9=kaM^PGFq1VJAB>)4XZFZ5UF4Qx_bl>Tv6LZYiEp;aMBN{=jyAf| zaW8L*t&H?JT^|hxmO2EMx(EJYSkrW~%6M#B&BW+8@HqMx6;-utU^K8D32vBk71l|k zL|mPl!tj@fz94sykbs~W&#(3wq%{5Yr#ll*1!I3MOY|hWD}emsONv^|0?-?afG>LJ zuL?^z?8En+3LXre|zAZQ?HaCe8`?he7-2@rg69VECr1b25ETtk514ucQw7Tf~a z$@}fzy?3AeQPS0?s=KRBojO+4(@_9;(Js14OlNcZpy|36qQ|p6grI2^#Lb{-bjQFVKMOhB^!l?~Y`NEyx)Y#J;kTht2L8T{t($%in z1oc9NnZn!8WDtr24(p;9d!6~nkrn;mr`RNwvFn0#}P2-KWyZr26#{W+X2JBmkwHcO}w->$M4RCb6 zh<-ct!aF3HS3zog)mqx}`(9aYyRJznvNEfiStZxf&Q#2^TOBKb)|c5vsKQPAN!U&i z(2n1?aNUAt7^0t3Wjv^z*{Sm>hFV|yQ;g=_Zyw#RCwBcTD#kn+Ls&E!LzUI=Ab#Lg zXg%j#Ziqg~|A8cH6~XMK@~M$`Tu#zcKI5yfK00KNDoyuw&dUPY5@S8=hj|f`ctM1# zO-U}7e+%`EH;Ozj35$HbN{pSS(hg^>u0nj$-QGHdkQ+;p%?irxsITs{h&X06DYL~@ zHBp68m8SuBcif9VKn1lpN488s*05OBS$BH>(idJv5#$8(7t~B!M-z~Gx2Ts487T{Y+L(vr_^@#3n7#~L>u z)!vw9GIrEox7sq+39hZH?ZpEtDneXhqI~bVMoE<(Qz($pkehls)@8} zM7DR6c77tb*XTxwbGsOT&8|3-#lV7iFNdalr`PGE#Ckj}@ZhwPUI<&hWl`dWJQyV6M3tr{nE0j82 zd3WBI7oWPu;2AIDF+n)W^eYf!8dR_PQCE2uFk+od?@A=}u;TdK`)4G$Vja{EuG$G)fUy~f{Wi=<+UQT7l zxa^4EgZJFps`rDp28ui5J{W=N&W8gGRmskQA*5;L$C!DQ({-QsgVEo6vng+=mk#?m zewQdg?ia7z1{?|yy@KGiBVS+;)=R-hfP%mmr91d=^!M%da<5?f{26#2CV!QLeVhDR z3go=I_pA>=-TzD3F;ogk8ZqrGPQM@1?Xmm4DsdH5imbTaQlMBTLQ{+35mXU;z(_2n< z^xIonG4v_TvJHOzX&BdC7)-nKX|4sTEXSXklLc#=C#Lq2tGWH$Bj6+=LL>gs#f5-p`f>Rer1 zXf46vUX%D#ciW}Oq>`9Nfn=THd}`aEKO_nPyiWpiPZ5ssS__}f59If4R;H8omb&t( z8GD`tlJhpU59JX#1d@HSN0SQRQ>i5?8QlWeOC8?XMR0zsP#?1^z-7P*PTM81ZR0(Z z7n0}3UX}8M;Yro6JW54^R0sdtyR1?=Ft5593+`xO0_96}ezj?0_Q#eSWY@<JRE}d z;E4=efcJQxo$UP7w~uY` zCLmY0q8(CyGh@2+Qkp#s(SDj2{X{B#skdJ3--&x}+;2>nS(NUzs9Ob-S+wL;s^>)i zj_mx-*qE$`>f!#mPW}{t`C}>4232BZ3-Bav`~zFwKR8Xi@(y-}{BbV8a)CuXSAJ;Z( z3DtI2k82gzb~DfY=lb&hkoO=cyVakrK~wdahY!fU2Qf5(_9fQ0r6*gXZ=P*RcV{hj z8}EPDXp>v~G-z>TQB!QcCOu!Xr@l0}qa^BGs`3yT1nJZc>s++hP4efroJdX6iF(FP z?fLd$QnsE^>s5U=nL=ZwD zWwl$UmAAjdRSi+WRUR+m1?52Ar22B;esO)dUDnN=R@kT$K!M^N6!uqEFuaAb->-Nc z!j-WS#u_L<=4p`eZTi1eabl9*tf#n-3IY_PbT*NbRZ2hqE4eg~02yTa5>7JPAMU)2}N4OXvx= zP|uCvH1) zP?{VErO94Ms|+2V3*vxOCD5rUn^GT_Y=pSVF#11~IqhSOHuX;@B`C(rnnQu!HPpxX zKoNThR=JM%0E*Q3#^*OJ981twPc#z~q{uOZ($yq&M;-#geNTAm;&uB^oXHbn1cXpS zh^t@r+o{7bM=@9wp^<4y+ysO|)%aB!$>7!ruWRy+^ zwIf0%iT4}3VKwR4qPt5qV)2>!_9@h*E}B&>gf@A zORw$`e#lcBt&};#of`g#wjD}Nve(L-_hqztm;S1g(rb^YEViGYA<&3ITL?{hQFzri zL3vISz}s}-`w*A7Pv(z&-7fyIQV7(Q_!3VlONJjw<&z-Vgcc6z@;l>7?o!hGh%fMf zDd05EOq1^x^O!04>|cgWso=HYV=Cy*X6D^8e-|EavEkDlzApQm{Sd7PcpU|5y`?bY zaGqwHR>Q}=^7gPlPmO}eMzu_(ah8PY7FSw*doEVJUH<~X!!Zjj!>HJOzdr*m35pLp zzbhnO$18=C1we!?s}w(60$S6dE>uOWoL?&M!jsbZ{vvK%S33=Fpw96vR5T&O`4y^IXkBZqV3LTxWzkq*c?-S1dLHG zI07L!;_SDI&)!}7$smQg8>W~2OCe?1eSR`a9m1xw!|&JWd?tPXl82Gk>GV^iOlLg+ zW4gEMaBWeyzs$aBzj$II^}sV%>tit9?ut8U-|QBU_K#J?;|25EFze|rQr`yiL%rS% zJLNHv0)MaBH8e5FLqo_sv~D6ac%37STT~>Y=7MOFvNrZbh9B|4GiO z><4!OSeQUVlm3$oivANluv-Gu5w8I%i9RxHN%uUj9!j4Zh|(Wm*Tj#0qR2o=a~Fd~ z`N&qmAauYwe_{c;Rj^0m&TtS#|7T}Gt4}yA@}F>GBLU~`3ubf#&{_P!DtOwZgBU3o zo~!?Or2q484}u1KB&xFh&kZJs2g5-l4xe!RAXPXNTp6#)gR~j1iXbGADd)+1t3LNY z;=v#r2}~pqJjhgG?MDLC*{Wq2&8iQxuq}>oA|Us)BY-3pYa#%p4_XBXtAUABj0iG4 ztV$tAqK1}KE}2cZL&^?^gDiU_NRB9<14x>2V>97VetoW-G@GE2|70~+x%QvI){&Ng zi}I%vbAJY73(5ZtQffAlN`nuz?AAduQ<)Nc=yn*tJ_p02`%9mRz*W+Vq@ImMu4$~S z$!AZGJ$O8B$CKVMqG2!!O1afWRp-`Ucx&3viJ;q{aImF&1o>89Pw@46-2CYCa~nu!|iWV@I+^3)PqAI)${Mys5kjC zgxIH*7p6^CL%QMH;P$mf-PeM*HMj?#*f2iPGlzV;ySDa*ItRPiCm>YwkR61RS}mk4zDp1j8KmqVC$@_~PYxETzcEpmK*5{{wDD(!4gDx3+aHt$Bk43wo#6!)@x2r}kZ#z5 z;cwU&+sq)ejE&C*R5fHaQE0SqtVx$>JL1upgA$5wdR+PR$v!RxF(uHYnSJPfI4c*xXy)Usr8{Hx zMv?IB>hx>nwF)V8(~q?;!3|xTtRtV0R2OTiqsSVMetRs0jN@kN&qt%$iW3}Q#yXW| zJ*x92-R>{B!wUG}ss!Fu4L;)>|JwyW+j*Q}#}BP7)PI@9Isx{#!naER1ZjuyTzbWc zQ{QCEg3Ax{tBA$M5BZ)^ZLRs4tO+kV1MHZDoA<_WBBHerm6($8Eq`i_)-!o4X^DwaDtcnqrc|EW1|vM8 z$TU45!ww!zVO#6O*~p{b3wU&9&%68K5?g3Hov+SQ2+)zvPMA;GYOJJ zV<7B4OOsBLVyLg?*h_qUcP?c9w^Z1R3758tT7m4!JI_~&$|!v9#d)W)UgNmGJxpMs z=4Hwb(o_TJEf-SyN-=o>k?aAfTbrc4p7U<+>~xM1op`^gX8C`rWJM(r-aU( zEW7d}1VXP$KwK3ZU)w)BqV814MBODLuzJliP3KNNZ`!7+M=m0GAz;ZcrAhpJp-k=* z8b(rm`)Tblt6LZRPvA{mn`XD*pY=XHK=yHBO_9pb5LkbbJpX7##12<;y<{u7G$f ztm}vmLOoLf?(UpYDDHEZ0+#6p@-t_ItENm7*7s=VtFzMR4`}B^wO^NwMV*)z<~p}S z+V%3pNiOp z5zE9koe{`RBcdo&2!9e|@?fLLXZ7wnJ_Mm(oj5j^aAo)0gf`ZU%`9P-);?W*XwCIg z-4fRU@gvTIQJ|ocYlJ|A*IU79C<2gZQvivO{0N*9C(T80RTDAj+cAu4d}s!_3P#)% znzB1|Ou-j85SnTl`Fu)hy=c)dJSXgy%IsFr1I;azM&4WT%&clo|LVc=U=r1CR{+r%=9(a}?qC`hsNiv7>gT@V*)|cv{Cuvxb7ZSBm^h zw)Q9SQ1D}+ZE1YSzi_~!T5!ddgFa;Ja5ftMMd@$;kGGjqk9c%Dq>SLCNw(eY7YnyV zD;gh~LJJ^hS+BCl0?3t5_BAm6cruOZ0!gqe=u7GLEC=atC>26%^o<75WnVa2gk)^| z(yw0F0I&?|=dt{_;Kj2P$qd;5)KJHAbZ`7RS@h@psC*P8Re~y}ABW63QE0g#{n&i$ z;lB}c1Y6=&$yziIn*N}E4*P-Pr7NoXa>`fb-ar(b+5$u| z&RzbqdD7#UoQX|!^iRGiI9YZ)ug?I`yZBBz$fj9TxO}%m;m9DA(&yZKx^d>7U>@3s zY@G6yxN^RaqB$9p=%NZZn*xP)p06K7R4;Z+a!vY;zsY@jG*DFx+6dF+^VOH=oxQEs7R)b< zTy^au&u*ws=Y;rYGf4O!kT{@(`YdrBnkj9#gRcIGT4mKX50RVTcu4s56m|v?K*MF= zKemI1=hpbFK3hNN)gxql^37Ng<_x0;k_Q{~xqrk8-&YWxGg*WbPJbc~J!ryOHR6+l ztxCivG<%f@|IV8Jf;?eAuOz=xNU?YuhKCY3Y@u-cdoLb>0%o>-`MknCwmS{jc+82&7%lw_hZ z$dLk^-+Y3ETa>lVfvyXZgV2laWV=-osUl#Cgk}pCpq@%&NJcG61!U;|#-cLT3OW{Z z!l+guYC?k85*HghOuH}>f`z#5Sa1RXZ6wsTqNfD6t-uLu(hx>9t zZSI}^aKY-VJw5q-8;`-TH<+g4AKyVAs^xgUG+&XZd&I^seDU1*zG4 zS>CSZ8;8_M?d+t`Y3DYYri@sn0!ZT1t-WUtrD0X}$2{2Z@8J=^n8$wu!Yy)b?c0jc z;`0q>7BtnGZFqU%ZFMu{M))GTnt~t?OzOX6|L|;y4lGAM!%Bqz+Hplj)HzKlg|dp{-|0c1(lmZ~RbHDu zE$Y2d3c$I%+8+IBGf&nutGo#_!C-R^)w$KTJCAoSrz;(NFG}^H#x(72{P{}_{VYda zYZ*yBQUdki7Ie#tIbqz+zZ@<@rzDBq@-A;i{Jf?ge1r)vo>T<4M3Y))m>2E8t<#xO zyow)c*Wb9b9H9y4(bS&0Vf1}<_x8X_iNHw+t!t=D8RbiO@3h0XR4m3uy1@@;|HnAt zPY=lBm|zR_$X?WuLfNKY$(gM7u11>3l7W%#5+Jpt>Qvf7OJMi0b8R>cxNyf`bfiSf z@T)qm%rl(K&t2|&tvcan5l2?Lf86(d!KF(2SeBkJ zD1K-u$x1tY>}`rGVJ7sT2Aa?3lIIXhm`W!npE?w{I>xXC9$k-ah-zby`(cUnIB%Rz zVgFvkZ@3l8=l#ycH!gcS@!&&^+9#I;HriW>Dtiml^6IJ7fE*62T2Jk|UTB)3Bqh{c ztW`PM-*0+SEuplKk1#z{wzi^I<=uPbhuTBsh>`OsC)|I8Rc!>J z+$iyP_k3?3*OKtIPqxACOZ`Zk7smL}A1gx2()B$55=zuHaZnkb*1a=k3=y2cJ?}!l zO=YflH!62%jMPyN=RpygdMP+VOe9Y7%ZKN)VrNzB!0fTu^Wa?afaI>JNI$czuk_qL2Y12Sp+{^|T5cZEkGMR| z!K+ufZI($jfJvnhPo05<6o;+@VOwvqBIukrf;&FCQsF*8 zk6d8O&ynvpc10X*2TgUeZaWII1UU`|61x^I_;1&V{n5B18rbj#E^2h;6Sj9XRP~w~ zP$6H)YiaYdy$WD#1-FB_ir6Qg#pgQEwz>gihjT%i!5vfkh~*2|0Z;Aujiy!GUdOyk zQzVBPk($NW8DIZL^zq9E02p9p{ugicew6Q@;`_v{(+kJxlg_yaE;T8Flj;I>Rvpfr zD3*x!PCHh2sXF1`-qEey%v%N=YGClIM@rDafpdr@xSfb^?h34si{JHKr^&y&@>+5% zgygpny@tr%Dw_|d>D=gB{7C1DX%gCWEIlgb(@#V08{5IYO}b|Ewi`wt$cn7cyKQv? zk+7XnHI^caob2zkMMMy7I)E3KPjU_NB@^?>Xpg~{qqsZ6SssOsk5VPYJH}rRQKI>Z z9CKcU1W4OBOCg^^gp-se36gkwan&Bqe?DC))%r~O%Fdho1r)80f6M;$?Y1>9&zri0 z^fZd^rL@=<1Z?5DMFa;d_0Qh-<`jAM(qjXRF$lX4U1f?Oq)41ODt#c;Cs@{-SRz>P1rlvoKSUL=i2>&WYsu*EsTGpF&? z#hlQ%xKeQDlq`O7=ZYk6xHRJSvD|l*eCvdON)Y?^X4%|U-bE>r5VSCg;9(n@-=+HN zUe8Tmhx=n$zsc9gH1MjGO!_?kVrWEkBWANz^`Qv+N2acp9Y1|c@5d={(Q435dxh*a z8vB0}yOQrP?{M6XYx%|xXm-vuo$mg-!CLYDPdxYY;7Yd$y(LzT+}!J{^Ay~K$ms9t zp8}|#Oc%Kuwo528b_J)`*4=DBvFl9tm=UkI*($e%o^>>vS+V6!a>;*phq1a@AmQ(g zl0QMX@e`DS9tR7~_%uzA`}-N}v9_28N(q|iI+aW?`}gS2SB6f2e&J06-=!fR#3iBW zw)mb?N&630^fwTXLLs99<#C9Ig#1Pq+Ytb8+1WtL{|xc?qU0ajbNVyjnBzVV$x!IJ z58{vAD*Lsf>p>^upFEJGvb}jj>5+1N^F zP)V>Z{X&S&yx&1)&wW20|3xluID9~HArKp8=AB{2h0x?A1KA#*QEP4dQMpollSuS z7^Ge32;}I@>`wmS#ignb$W|0xedYara2$|*(kKSKG&g+ZW$m0?18DY0tvJn}F3Uot z@=C6Z>L+nX2a!Z@M^=J0G$VU&q&#Oinp-_vjryk}OUvz(Zps+)f!%`E2R9x~MCv7z zP`4C>SP;CA>`v_7TP#GE(<^s+E+6Z!@PzRp=-xU_9HXgU-KKhaA{ZhYPpx~GWCX(c z*=^OlcFGmPfdnz28S%Cfv8uPy`XE1UMT72CJsJxsgs08K5=#}N=Td?(&5F*{Uv8n+ zug{EI<`Il{u=?c5JYoiGE%Hk}?+H^@C*VNp2ry?2%`^2AJY~`rXec51S>Zt!n2P)r zTjt^8eWOf4)72iFbo*Ga&_=*7w3PX~M8h_(Hb}wQhMkID}7GAYQ82}DSP=h39Xt}Gs8%(DVzkA(EV!m4}p{2w_P>510D zXMC892fpAK21EV(M@O`odr2&^J5WO_3ODYhf_7s zp)=hCb&t`=hb_9QaY^9Sq=mqp@Gv(RIBId>>ap@E`m!NhSc~UQ6GuwqzN<~Rd6xpn zXv;(!O8sHUQ?`(ZGMg@WFWvHv5Py-u(sto};)*{7&(nD^vroWF4%2>X0yt_@^g4aR ziCm&-Q}kpKbrlIKd*%2G>%)u&| zd51pdjT2;zS|X;-3Fu!^HSbb`MCPETsYQ=R5y8P(Elt#?07O|cW8KqxWEO(yAq#Qo zCbC{spL!L%I47#}ZcBUWUAF8g7}CT(Q~k*5O^FH&UcT>QYE5xZd=r_*lk zGa2q6KXa|}1TWc|fFhx~gd5i;{84H#|LspC^}}RhuMwEWUllIanoCs0T4;~#Mf}&& z4D1fbNUziD^e<;Am!^_WIzU8Kv!!(J|5Gh_33?pfi2#XqrhFGMy(eX?MPSjc69Y^z z`Hd{Q1;QquZUv+0F&ce}27jbhXScTp1A+j9=se#vg3_SaOze{;lLstvevF%c? z)ol>Qz7+mHYL1`(x0>VM4u(l@vD%B~u_gISoLPZ>ck1@J?^CG1vtB-TUdBI*myF+* z!zZ)#czITHv>2OU$T)vsq22fl6w{oa@TCc;dFf?@?wPa91vVXZj$2(|q{&_9ewZ@9 zAQ5%H5;5-6oJy(SqbF;#I{`G{Hc6N%(aKFc@eXh{>HE~CAd}K9(ZEAXzlo^gG)ZX1 zR?7%~A3Y3<%j5ihlRWHnY*Z76s1HOWdrX2JlTzO&2@L5O51#PNGm6QW!D_h{Y0I0I z@po3Y)wlv1N*;a}EYAbl6KdZGZ$8$w!3$l6y~qKzxOkRpO|3Hb)s;oYV2rdpCgpfg zY=^HZ=z5edA?>({iq4MOBqo)M3a%njrh<2t;W1AYo(BdG2Ixyi3&+g=jUL}!LAw&q zU5(=JjB{M04dt-%AtQ^)3##JoGglLf_9UO~Sn25rU!MDSws$7|J@M$v{@XUgJtx(E zi9h_y*xL{CQjO{O!J$s3)~a(cNT;%6Jyf;tu6O^kpBZ2S18yws03J?)!3e z%sx@Z-#RYRaP4M=NTk6PVm##~Hg^%)q4Gu5517ovwK_w$=0`M63PH)ne0zR)LP!$q>GYV?NncEz`D0+ zJ;%5%Qq^uU$1~9;Ng~aty4;xO>v1_7y>dd>uG3rciz27gQA^p$w>lg$V@1PFy_#_a z4C1Dnyj8JHmngQ~Ljh%CF#>-m)OziktNc_O)&P{ojWEuC(-9&CbXf{eU5xu~w1(h- zQ!xly?S0EHxVj|{4poLQGEr|-LQNm#cNh{03o*6MxVaa;Ec2ETI>CIldh`!sdFP6UwYo|OY`DZ zbA#qB^f{8Xb6MY9asm#5=@TOWQ(zql*pv3qHM-cjAq^XmENmYkVr~BD`hp`-BTpk8 z%E6-AkRf~0$ypo&!9B--Tu4b%k3>Az5xbhGis7Z&q3jy-32c>d8HC|{tz{52%bVRA zQwG!?6+FK^PJS}M!+feCBmzQ}wGvU~$@s}0kS}CTTH_d0&x?O@BL`h>yb_HWA}X$h z>@8n|(*O1{I>d}<(Thl8gH=%OP-#Evv*MiT?Bp6Yx*P(YU|ow6vDr% zEKT9Os)N|e!dOebysD`p?%w93i`!9ruAVPs=kg;WA=KIrH3>8(PKtAeiL`}|7dj57 z?ASUE%$P$sNxw}etOL8(_mM`KTXDm1Gw9LP;bN#Mc|!!Sya6ASpsmE>N}W>Om?UonXg zxiu0C{866H637qGJct5%d~DNVu6nFkMHu?BIMormY(4uv=qRO>b$V3z3aeR3cr&5< zFg+z$aB48`=cq!^X5_NwA6JdN?BZvkU_Svy($evx%-gSL?nf|VR+FY_sz~}2Q@Xtq z1sy^k+aSFTMa0LZh;t&Vk4KWt1UPJkM@IW3WpA)D&hde@L|CaxZu^ie6 zN%cV9%=My`D)MXF%KZNy!8ssV*zlDC8}MLBUq*y|@|8?G?#%DXhfVH^+S7SJquQ9B ztSI&nOHXQ$?XLP61{3>-g}Vq~h=DBhg$T@pN)}q}GU}=NP8kTy+*4YG1Ml@%0I{ z(uJoRz9#ok{Mug;*yLrB4$VIqDY*O5d;WqHtQsX)5!-o*etIV61ssaC-sBsr()>WR zFtXy6+WwK&km~)(6S!8hmKxsvylW;L=s9eK%WbLml7h6TrT8=FKG#0vM94Q_Q2mfA9sL6T}l9G9Ad+sW*IBr?8FPRMgR3w9;h z9&Guoj*m7wOtQRnQJSO~z>^egX{gM=w`N!7qXDWpn3&|LJy`2qXv)HJIQzu`9y7h>m&l zp#$U(#BEvLJda+dJfL4P$GcpH_dIsjflxv*I-{_eDAW)8BIG1!S*y%-p;@%v@YT!Fs+ASi=C~=WI$)7B|ua%r%Bs=KM;J9UE4| z&3N*2#Qh0t z3yva@*oSwu3Pd-mpN0+NhO31&Il8+P|A{zROX2pK8#MAo&p@1VRc(&lUdzzrIo{*x3lSFzsU@V3lnOZ=7_ov`KwSKWj z4j&)YHDwG)mRK?cIViwjVq1djcf=rJ#m(wg!Ns?oKQJsb%3t1Ia~G_JX0Bh#PtH)$b=lOE**=l*x!EZLK=Dr{^;(g14(e6Uk}vUP$u3Us&%JFTI1|qRX%D?wh&IO zJ3Gu+<#B9Vv-1eoMcr!Ra^DV&gOPEpK<)NROL`5)i$W<;Cd!fiJ!ne&< z6MHby7b`{5ICppCKiBT2sz9VGlI!~Ly1A~&XJv;2x6X$qmDn$ct%Sgjz4`Px4+5RK z${j*mfQ*q%-w=+25fTpu>)>-W7d!%F9Tq|b>yt)Eu8Z;rkaZ+xg^JUq) zNk-pQHKRW@uq#`HO;%Lx0gQ+KB!-ta?<6rdghZJT3x>nvh%Ll*rf^2C2yw*sZghOH z@P!#J;V3BD-iS{|i(-Gj9mytKQ<77N%_N;6_Sx}&ADYoNLUuq5l+V15s`Vphm_ebK zvLhk?L_J9q_*;zv34PQ8QS%L^BJgp7T<-nC11$fKZ*C7Ww{Y(S{9kjq;A$ScaaSxo zro?-PBVddWC96j}EjhJ{OrME=u`x&0A7^uQ=c16+2NkSYNcu`O%JY}al93@uW2kLr zH^Cy%F_*wbk}=&B|M~fF%8#kyl^%9m8SdtgG}eit;hR@QS&XIdyzW(@7zfA74%dQ% z5zOkFFEJmhx=3j$VRHCNig_I%>wB80OjFGE#|IH>{K+TD$4UsfGq1Z?VlDmVGXXO) z0kT~m8KHQ8Zrn^ugnGojFtHj&`7WReX8WDfsO(2Sajzd3nIiDEZPV*Jj-r>;$fFVDk%_(U zxu#H?hXWA#t|z5>cLfub_hnBbi3H`*s%J`^Iai)S3YKS;6x3RpVkIN}Bz!0<#J^$A z;xI2O?L8KXAaIIW&YNI&@c8^}Bk}>ZK^R}s4~D^T zUFPm+FF0z57U5_cyv!6w?pI8JL%60P0}h8`hOdo=313uEIvkwEfkn2tC>?TArQhZS zk=zqFYq^Syp!>|7F~cLZfnW-)DaR6DDk)^q#fpW-!BSZH@_1ZLttQh-WPdA{ zXHKv8RiZDionS1RVjewMlH`)MU9rkma&pz2K@h9FaB&El`XIGLK10*(^0-l5DC*Ip z^hAD-rI9DM;?x-E%^a1l+5MI{&DK0e8qUcRIjXiAE80Tv-l2L@?I38`l7Y|l?3jmW zWy>DXvJEP8*7iYCA7OtM0K(@Cya*IS^5-lW0K(`}$6Vy&<_ry{yi&)Yn&u(3L=9`M zkA8i;QbE^kgwcMu=u#d3J6~a?ob27hs8FwhE4zQvKrj|2Od4^etI53%hZi>i$MZO> zDd`8E_D#F5cc%3l@&5JRT2iI*&o2Ln795UkN+qc!QxJaAyqC~sr7#8f>Z>I3rO0K7 z66E?V{wSH7EUp>D$ENwqO%-{GmSh1x9j7L7$lXL>eJ$b0*AS$iUmc|Hmo06JSVJb~ z3Z5L&=(=8)S(FpaK-{SeE&2un5nr3Q^)rU8Y-4TM5c{eo=LkAvagIUy22!dXDWxdM zfVA+eFX&3A^8BClv*eow=ALW(92%R+!UyKWc}S!`x3D51OSSI947EE5h>Iy2qN@>4 zr@dRKZrg`xLun-`5NT#b3N`97)^y$rO!Vbu$!0{tB}G>j5Ko|h!rHE+g6WQDP#lul&v!QS=IO{eM>mu! z@bQ1DSkA!4FdSC4Gj{ObQW3*W_RMr7u|<8I3M0vl6dj7Gjp?j!F8?z@kn4UavBR~7 zQ?@y~!}Ttk?1r#;*PhHZ&>!b4R0fG)aWBq&Fm)o;@B)zXu zr22!_GUF+2Vpz(UQMFRK7uVFe?3~1Zvb!u54lVcb^}njHm58n7Ga!RJ1aKU_?cAZ= z^+u&^($Xa`6Smh5`Otc zp8hUbaUf0q!fS}%bJ*bFFS<{NCeXd+;6D*fi)WaxfI??T&ME-y8$2lNyN?T&r~kWj zx>TcpUC&2*EJKWtoCufsZRI%(>Wmu}ofj>M10_!>F?i>L;G2-28>42YPbknXS7peq z-HkK@dE9z6t#s;nMb!314uKI|mY?H3W*Is!3yKx52vVkhnCcN?VWkE^&k~88f}$DQ z>=#?k)`7X}8d%%LX5mvDeHPY%)2~gjrU8bJDngBNkC^CIOkdA)#nh2-)&9{%DGg|BPX9o5uZ5|U_F+nwQ^mdd45G%u zDgtQarrGs|{tP>WX&H%`mbmnNe0cqcWQ+z8p_y$I0_rpUVkHXwM=3o8D^myGLh*3W%q&Wt&x z>U*yq7PM$nmC=wD#3Wv0RU3_WlYJsN+%4G2&skr=Rx#045cBEg|FcqADJ(dR&8>mV zZDGG0ZAfB%eRgexIla+}>(0V>D7D7N46O63I;U_Ji-QsY2~Xd^p}8>$bDwv0Sp2IB zFT2isvX75zjr}fo@2S}EkX^iSndUDI9e!6?;3Id`T8*LCXEpZG)hGYG_|3GS-sZE2 z_Qc_%Z8NZ~t;dqBOF1!opxwUT?1M{*@B4l>ipFA(j8!nnlFCPgCX)ghawv1Q!s?=9E(`YHeELFt4)De$aR(Bxz?|a&@B;Vrvl0GKC_{T1cd4^LBa5 z*CT$TSNC@L+4rBqO0L+rOPQ;kCe+Gb0k`LvHtM%Hz9!Ig@AUw)>T$AwYG|!F5ZAQR z7S_eAiPbDDn2(hrx@;A%VFz!jIZ)gI6?w2VFxKc9z)f$Ubku`Wt9`g+q5ng$37V60 zEowQ5RB6^B?T-RiffBpE!Xmg7wGhmDsz8RKz~*~I%F+w1fw(;%KcniocziMZk(X=3 z+Q<*BG32t+8b~z~kKm91ZAjNN^c(dm+*pk!Fa~zC?MJ}Zq{QYwdwufyy&e<`*D8sv zf3EtboA(F)O6>jqlgEId@UO7(;{D9$C<92LKcv%i#8sy(&Fc~GIi7<#>JR1hYp6#; zP&K-p4`0W!IV@LsHs*qCfc}q)sOSt-4IOy?lV&t?*q?~H3;fB+M2&$nY8p?kxfAyCfrrIl4r-PSoBg$C7r&9ht!nHnO;fPBFPuK=8u)! zjad?CT2p$Hg3?Su6Hd{>b8;MiHi8K`>@3>`x=rfXlQ}OvQMwSgEW;i?D(^t_H|0sX z5N1Rob4p?2*(i#9VbNVX$nBUrz$lEMdiRZZ>iy26@q7Y!aosWDcITovHSS_SaD zqouS1D^@)!x6tU6+_MD~l%^+PSx=r>9OikLCeQTNB@X=a7w$s*gEPrEJZwbQO0egg5D zTZB>4H2_qemXpCE@jsy^T2bwQRaNFJ+GiYuxtoR*=|6rGlByUvF!V_1F+MN?ur0<( z2}9$Gh#AE}$FJUq8m(Fu%#K++7_=-z%^4W7^Du8x`#rF29%>X@jYv-yljPgH1-(}T zZ2?os%#Gs-orH~7(@B|)4sl`Iyi|}wzo;xHqo$Jy`7d!tyPj0<(M>=<6IFWqGP{Oo zPlympOw6k&sAC!9+NH5g6*M#iKgx@bL$hpj7EM9L77kPy9IA4tocy2Sk~O26qSu7c zp)H)b*chyA-B*ehZ1WqYSF{@@S;uj1r8lDPDp8)b?)PL|*}9A6U!l=rKDcQr)CezE zwo4CYa?2c@`!oiS!`DNoSjSsmb>!-B&6L{R=A%8dpFCPkcf;qSEwkD=S^djTEJnBA z3EH?olu-BkpAI)w>LCNw(CIr|e7tc$XoIq?Z(mu&*F$sVP3?G0J9wyF?CJ}}Tieqr zavF!%aCh__ZIAE=+*3k|l|Q-aJB9^Zh1^e!Gy1cQhpaQ1Rx2P7qO)T+f*_8$i%QUx zfwjT`51(VMW)ek5`?Aftpq$pX4I>9`$6RXp6(h8zz`-F`C(pq+ilJ@u4y1^fLao@z zp-?X&BQz`~UVLAym>85D=SE^i?zSCgjsRIV4oNnp>}(u-V>g$bqM<#0m)Q!FeP@n^ zx|WWNnudWPX=*_u77-OA?lDzCqu9h4mqJ8ngUB6n%+RtO4iYxHlhJK+Mxp~+-Q5BN3k>c9B#C+DoY z&Rh4-TkGC`?}N3wdw2JA?_FJ0UDdm~+G&JL;$y~_Z@|~Sj+NDRIURwqN)I0`?OQgc zB8k*5A1Jij2i6!jZ(1X4<>m~<&@A%FoPrCR*j6^=vVvm)XIBTK4hi+H(cgnLSf*f*T@hc^m<_kqX+QCI-liWEs;do$Zwfrr-s^VX^SQ(&N|cT~O9e5Q|FO=Tp^DPzC4R-~2lQ>*Oylo<-7>lR zAT7-Yvt3{2&l`x<4@fR)w>1@UjVn{vSo(QtnCBE&$uVt0Pr3PHW=An-g7UNrG58I9 z^izoI!6|Ujqo4Ujb_N!S zfz>50tpzhxgU(E+9q?}|TD6#y-Q+#H zn*2Cu<+`z*eDN(^EetlpeLaz25`wzolW5Hq(UJ1O9ZEAml{__o)r}>)W!*nTf|(%$ zh(T;QZspwuYe@re7BDWumNUtJ@T%?re9w?`@SYaYQ|$sPw6ms8=;Z_NrS1m2lOnVd za1bbNyBkHEif~K5a4oLfQttw{y`P>CiCWgRkUt$fvh=R;!qAuYoJi5k6mDd3nj!An zeAR7}DcQ(B^K)pBJ>N1r6aDr1v=_OC;Lu<`scCj5uF1>($`k3RN`Atdz1v{Y^b8WM zf-H3l3wfS-PU~^RAo>TvJU1R-eK5&PmaVWNYDrcr`5vU66a-v92-phW60#M>$Ms?K z`GY6YVtuMsWVOgisVYh;^PRfDxq#A_>EoL7{PPCTK)0_`aph0iE^y6(tQN7eUvv=Y z-sTjo+zxxgd^UcRt}8&?20H^G%lPI?!ARnU2EW?O+W~zmU4M;|BqbZ@0~O8)g5?KU zS3tD}(~W zse*80Vw|+(vru45UIq#@?JL|&tROI>I6FsI6AmE3F&$BvfC>YNiuj5;xrfZX)mj(@ zhdxWLp^0H^T<2c?sOam}cmLG*wU-h)r7=14wawbdDMdg3u(9}%z738yQmH<%rak^! zT(3cL&#=iA_qwTN(`|Awy!J|Ii%-iGvK5c5Pa#m^x56dNSabGc7^MiS&=sheszwY2O||Ehe2pc3T!!VB1%ZqV}PGnF1_EC zl@*u)6Zzv9uyt;<*JG`;*S|c@k|1X63;yJTTUeiyl?I1xFfQJ4#I76FYs@E6P}1{RVpNxk=5nsSifuSZTR7SF z=nHW%sLr{m`L!2-z4AR7cJGnW7d!dM=9`Q&?Qp4VWQi|pUgsulDTk&*S zb8&9~;r#E@jry|*^MxqoX0y_ey$noRV|3F+N6YL&2CMaTE=k)lEH6u>)J*R~UBfR$ zbpsKZ6l1;EDkihCaKVsjKmU~N=28r2D<$4QsJPtI2s>3X5?Y@~^Du!a=LKU1*gcmno>|jbmx@x?C$kBxS=}7MS^w3ZRJQZ%Isy+l93=;(6#l0$k^#8WS zwwuw|5}wV9BzG|(SM0j!{$-;SvPb<1Ze9VuPLn-9#q6;)JGblb@$j4g`wG(>(y}lv zmUR1+>0#-eYsM-A*Wvkkn$)Hg<6rHY;oxc1h51>A;9Im6==*(J)scr;1U$r$>b3uT zIlR)e!Ae!mkk$vR_PC=8o)bpv)7$-{$Er%{28mbIv7~3iDdXU+m$#7dQS~P3Z6(*& z+oX@G>t{4R&&xKakkC_YWR|;Zf7&@IOOc&VLJ9*+9UX0eXj>Pt1C8Fg(RXfUs(1;@U={CO=-kE z?#hbfbKJA?fw2ci9q%K=llWmDRn<>h7VKU=o6RCV&Qj%M-1O}gw%(z1!@UTm=?jVX z-ni0?wa!*Wk2t>)s2N2Lx@fVz(TwzTe?U*FOXBplF3!(Q+m} zCRObH7TleFY9pSzSe5vq%^8Dsl;6w3Oq#-x3$XJ+;jgSi7>sxuD^ z$|oW{kFxY+yzAm_y)-o6FKwJyxDh%GJlP$J>=jO_>$#gom#UrM+@B*j-ai+giHr5Y zP$)dmqkrA7c&d1q#3^ae+)PO-*$qlc7}HCLQ#u)6o;%8IP54NCBsha0T5PbRmcs@k z%2_v|^qf0W@>TTZcDd|IT|&gpdan%2XAyC+HUhxMMWM)NKrMkysPWoN-D-+MD-duy z9bCFZ4AM&CjDQflY@6>i?mOxx`@6F$*I!9+D&VVCcP9tec&D*o<~N!4wHEW)^|ltH zn)aeHPTIq_=4|+!W5_doRJJe_@ZUNf2%gx!vFVkf8EiC{{~%|8zx1SL{S}7C+WQTW zyU&I+uL;ALkNDG>=es{p2Z3lfm`Xd=6w6J+n0wSamlcV8=hqZbGx3z6&pKO{6-{2y zKuPtTKyOo%Ou3*T&)#P+tF*5vvd5UXd{+x?i<5@nE8twBDMLv&rYBbvQFZEB72;Lf zHxzZ{GnhX}3n|2BKM_($53qFp9ujDk!2AO)n*sP<(#c?!YMiFQt`@b0eg_N+#w;V5 zNAP%{vXxD#j~ll;ge={7LZvyq%c*8Qf59FZoTu5|J0FOHhRo4yD~sS$?5NS54r(Yz z5Jcg2)Z_fULiY^WNvI~X@}wh`cDX-oHvJ7^t!L$>D(t975@!(6*y5`yv#F-bKzs5N z2s>qF(^;WBXh4na>K9#vdaU`ZeBw=&-N)auDzkvr9qnxBWjh-Vy#Q)j>RQisW`%vE z_Su2j?Ujol%ENZ1I?n~VgdlXLGxB=UT|zl>jds-Q;RjRl@>OaDMWGV6D)1k(}f8yj<4tIvTa%RjW3l`qb>qmI)69@-E&g@}vmd&DF+i--=H z%SGQTrHbF0l7H4PjVKo%G!o>QqM4machM22Zk|FAMWgM_%Xe|k3K8dgY&O?G;XhXr z&oycGkNs$d=4AV^FXkh42agCsolx`~_lMv9R2fCNNwYaF8UMKr`JLd$=2)@w`QD*F zpX?d7%02CGVk6Jzm!RG0IeCW@I>~{8as!JhyuT9S=t{2YjqC+04}dsMUKne1GU|Pp zrM-Z@?-b7;JX7(WNx#NeW*I80m_KszGDYx_2?;Ij=^7=;K6={rm)cclLzFv$zt^R9xusU*T ze}Y#PGF<%PpUOsLUYI(1!^Bx5Hew`5D7x#5QF^%Nu9xMa6RjEOr*l^Y@fcU+3Vh>v zh2OOA6btl1MD2f27>8eeSg4LTp3@3;I;h{ltd7WL&J~|3H;yu#sSw&M^(LRK+_&Ne z;ESUPI4XEmyPtM)m9Hf()x+Ds1-go=OXpG-k?r@{2wC<&W|?sDa?KM~?`4BzckPaF>l zEkMD36aDjbMWF_hA~wIhY_bK{2Qn-3U|g_DR@X?s3FR0UojaxtJ(4c#o8q)kV9>X} z`c1&%M1U;tqJ+(F+ZhWL+1pu*fyB&u>%;?(!E_GbK`n`vV1gqgJrXvZ2Xk7uz$3z^ zVD&o!H?PwzROC(t|KKtIZWT} zsMT|O5Yf^+w6pAMqW~W;B!3B@ar)o&hB+-6Vt{erw;=4I+Ukn@aRt&4ya4>c%ZMRF zG_UAiNMCJ*%+0;U@PNpdn{Jwt_N~IAOa=yf6jPgB_)KJ7oat0fJhMJ++zagPHoS81 z&g5N_1hM5l{o=wG$tcRke6^98K-3-P$A=>hVq->Qonm9wl&Vpc|0V!5_Qn|^Z^>tx z9rZs}1HS1Q{}XLj_L$$lIsBpvCXyeze`9J7g}~r;8f>9!?RMcfPAfu=A{M){BhrvL z3@XzZu#?aNzZ(;?{t33R^NP^ZzuNa4Mmh~3%0@5SWwIltBW7Q5dKj=pTDh+XsZIYC zcB!p)+r!OE^B|hxzG<5cD5lvIvd?f!pxzh}#^W*)8&B3mUv%GRok+`S{Puh2`Brih zT?#VSX?X^tK#P;?+vUy)CB|RAwmw{rFD^~SG%A!lzTST1PTAgVT=Q3KV6o_~ z3billfPQ8qKJAdM7#)*G?Df&R^0!_0vWdNmhF8+w_m_goYNEN{j89kAh*;8&!vbr0 z>f}vluXf_9%j*KNc8?gnU?)M{FT@l2JUa7v>HD53UJtT7=@|O**;Ti|JUXwLIO`MF zSh=#8jqB5%s+>)gqi8hmjbeQ8udI_tW%=xxgjN$46vKN7Yk}?u(!+FCnYyJTvho)Z z>*=Wa$P$u1KzL#F{wusB)q0LMf}e`;OpkERw400>&D)U17cM}mBIM;kf+WPf-sHG- zr*4ipDzagq5!RC;VeVi30}(o^DKyFhMd+z1IX@)06asD)aiB9>~~A43=UY!#z`CC z4{HqopVmOaMIdgFvs$Q2WhAjTl!nlGAK}aNH;zfp%+Qj8WoU`Oz`{jAK*jDEw>1Vy z;P)Y_I?|zgPWvy1A$fWLXNM~?Ax+wvl2EzD{+wiA)V^w zH2-t&*s{^!+jp#e?cK90NGk4}aGPp#hR&>F)&Xy^^0*&AglU;|DhBKP1R2!kW4OFa zjh}?`-tcAVrIcZ`<1K;SCY|U!UXfn`0y=JAL55PwmYBN1z{%ppimxM{8m6lDFY@1I z3N#fynT*W4*Yd7)|3uX2B9^{jm-Q)`F#U`LShlHo7MjrG_1eMFzH4JX<1VRtjwX{G z6CY3rMQck)ECkgx*mCS+OGx4pEO%vp${VmTc`r^xgczn1M0?p~Ds~I%(+uvXG7RqP z%FcfTC`%U%RzHo)B^kM%nTWWovdw&&;3CS}h0;aB<+^x;)~5=}S_;pN=D=hcgR(sh zBQ?NBa}sM^-iEU25_XaFhcE2^F$Lmoaea5O))Pbf-cJlrHjvoJ5n6LcAh!tN#~sh0 z5xoB7$GnwLHc$6)r`Q9}=8#&@LfLT9>Rr4>%Ie*3)XBKV<>*kF(>2fLN9QfckEKiJ z?dOmDd7Yytey!f6U(_LJsx~~EWt&A8UI@H$Ui)g+btCAIX)9tma^(LxJ(C}~muJ!U z>E}B@{*+xz4(5aLCol}AaU>qV($Jg+l^y57&ab+wXIVrnSmh5!;$6&fSilynQZRlE z_Er&o^yMHZ&Dt_7?e{5Ox^rxii#c(7#IS|z1re6uSN7??@V>xlQN`_>jT>%%Pg6`M zoB?TKF@eKO(C@3`IN=Zs?hPZR`8o`N?{l`|I=_fgd3!QS;E)=u4+wfALlO61UWBIi zdwnFL^V(R)Fee7|6%1e39k4+)G!K0f>Pb;%N!zTH!Rn!ANIIY{bnTAN5Q_7TB zriuF$8@-o3q~Qxw@Lt@MN{c)6Fc*Vqok@I6PS87}lag>B_nC63-)Urkbk(9j?6n(> z!`08|!i~=EF*yW;;U8h%@>-1+YonwUAyDo(dMDe6J?KXzLVxpFV$<)l2vhTysR%UT zd<6HWhdu<$pOG0vNNk@;H^)>tONe1^$Ht`*n$s;0qjuLJQ~0U}b~(sD1*OA!mUv_b zXf8M8qtcoyd=}O`w@%3zFryi%K|J z7MHcl#cs9MkcDSj2QcY!o+mCX)e3l-mWkqX8N{q$MBieTud80jpC4F|Vi{lS`)B*% z>(S{ARrS_jA$6)6L7yDx)J!%`Zl5)kbcd77q#H8R?my}uS(~ffpA!tWShJ%(E5_xq zs1et4&{uP^oqpd4K6Y@LwQJ0&+$#HLxS2u5a(yEq^>l0U1C`Hk@9aZWWJA)4@{974 z($I%jYrY0Ucxn>dWr|+{ZT@%@rtL*mg{yjPX`^N8>wHI#7v8?FVri#?JX<~95JRp% zE6ZT~E?0k?erYZ?_k3i%FoEAHB5 zTIq1?d2Zt!%T#gMDUL0^Y{?zBa2FNRE!SZ_Gt`REDT_yt^v4g)`@K-_Py02(5mB6NfYI}5}jX^xw-Lq0?p5ratf}>@$#ljFUQ?7{axN=v1yM+63{ZYwi$u#J?g#Qs8u<)@rPc#vob)+Y z%3oom@@v8BPVJ8Pw-Hg_a^e}MloqlS=kJq#+U=+zt*-lgts8iKBSW1~eiCsbo!Ubj2TUeJ}ZNR;(Up zW21YQSLwbt`qSGjmI>jO^G^K~{CwlTRzPi%nA5B<9H}bsMJmZz@|{BmGa@%ML}V=7 zUaf&Fwu&twDi&A1^|2ZSZ|HqRJ(w+O4o9FNhWrc#zy8~{=&%~hb>uBKf7tb~K^nCB zl-VwOlMgx+mLM7aPS55E`m05u()0!K4l>cqO*+HZ&76@k44Iqen^7JZ$Ex4&$VT5T z>me;I<*qetFj!*ER`hZ)pUANeXF#S!hq}EFkUT*p?2k-ZIIrK3@r-j=n|vK@tCz$c z$cwnCX;57eev6c7T+IDOJIM)Hy*bak3-XyLupRn+mbV2f|GuM7o4UlJH5u6p|Jg`4 z`9BwZr7N4hM=qSkx1-8kZtxfbde;Yo83_L?!&6;2$1`)17gzcEiTDHwbgMT+`u69~MnJqAY=306fd)-7V`3sj-Ud|`&TS8?D{rrs`V%NkMZ zk7X8w98y{F@lAmFy=L^g*!#>%a{-K!!Aez)C5KOqAk6LH=j$hyKimpShxiG7q{yzk zCVsdUt_(RudJ~(2#`LX_Ei`_`EUaG z-qrh}=+~grZ1vd3*ig9)(&u)vU?aELW%`f0(Y(&RP(M)x`)2;R1YC4zxens)p_7H= z?)SKOGk49-e1^F6&m9f-S`0c}u8k+PVRkJiu+?7ty%Q%dYy~1Gd2&1jM)1AO;${iq zba1DWJ6yX@lCXA={m~1ApUl+ele>r(C8x#b=aHPnB(IaNn%4V;qm=G>8rrJvgYAD$#b zEK2;?Z}Vmje;4KY2{z=+G`H1%^^51xI>7Bx|9`>}n;KJ<~aDp*!xdBD1TIG%uoDZ=q^d$#F7 zMlH~FK%d!h=m1~cTOg6)`q!HRN@ce1Nx;U`Ve{b0jNTLk8Oh4<58-0VSR4?|i#Bi8rGWMrNzu zWR@o))Xn~2tK9lZ>zUmNjwSfGy_n;Kon4HTl&N!kuD}%~Zc3hHE{KwqR*U;=?k6w9 z`e?V1|1od?cn!ae=@m!jWn+Pwb7s<22}IhJe0!lX$2nDfI@^L`DbJiX08pA@X{-J4 zy#>nAyl!Yt@nlb+`!2(kJc>f^hPH&cYvl~s{2Rerp~qg>W0B-~wdViu9_P{ZyZsnb z?a_NhN4=TmUOdGcq)wU5tDkwbak)mWZdenFzrbiVyhgM^g4w0`->QBT;(rq;F2rBn zu`%xA{QjHPYXZ!`yr%lye6R_-d*6UFa_ZB`z~^{j-2C?~uqQ{h8w_|~DjlTv?+j|% zr-i?r4XEw#(~UAp4XPR3guz}PhVTlJSXX2f-^ok?yMj#SqIRjCYs@Vqnrw)&uX#`klP@@KNI6{P;kNPfkg{2b z-w8@WE0OGKf+t8(FN`oqSs-07I#g3)RLcS*eEI#IyE*q4^+d?+g1~vZZLBsX8_`6g zh1@z#OL2lbY6@ZT>d@f4;?@n_Diyb+-{MC@{_fmEpD3G&I!PeU8##B<)K#mEX~$M` zKFOJGFzZ45k2^z1-|ElMkHd9u!YD~ZRnhq#Puy=7DU?6aryV5~cCJ!Nt5%1Wf~j7g zQd%fgkSDlcmr&bCGz{noPb7!4{;*JN>+MAT^17axJi_5%zj84_(1&VK1#m<+RO-2Oz+k&;%8k!?8 z_0gU0TQ2(((M_M^eqom;3opLGD}0cn>UTV7QeoYJWkLYM*>ZPLICF|XgsOo$^0 zid6YR-!D5%K=k%_0^&4H1QZT*992nsnP2V43J=V^@laklhvOA+P z)x0rCay*M1WD+d$E?xNS^`z(485N%Q{Tb7W*^SRI1XjUby1f$v$ z=&`akYQyanGecO%gZuJpNPU~Y3_ktGbCzX-uaY?RA1~+nl}Hsck5Gk6N7_75tB9NU z8U@q-u@atsHdp4|#hLrcejPGFHvahJi)Q4P(B@08N-ec1Hnpz3PuM>wq+;S;#EZmC zi`FR1HtFq5iw`%wA3$kcJ{6^K247r{T)nmQ<_~P32oN*$6^P1&xVbNXuufP~?-9Pju zTlE5N^TGx57CbGhH7EF^D{=YvZfum$mZM~KKW|n`R-#b!2wKZF3+-ZJpHQ_tEs;Fg zX-So$Kl#;~S{-ieI{_%GjQ(YSAt1cQi_D}rAIseOzhy(@|JxqWDAAYq$A#R21Abk` zT!k^QzHlpX+GdMtH7U+?%QW-H*)lr(S{w649lY=D)}5hO{BAL0j%w0W`^6mg>C#3( zeRnLApx325nh*VhfxhOqDLgr5&?;967qM2YPTJo5=$e!+(ZzG6@E$*pZ{I%Nm2WX{ zlywjy{imM0eJ_J1}!GbHcqJEAM!TFhc7q@JoYuP zvG?8#F;3|KFV0I0VSO>C!(-f~*@fU4HxY0AbbVeM=Mo?5@ag0H_9-LrQ2Bwc$tQ;p zZ|h+WHX*3nW`epk&F&z)U=B1fFP?F=DN@??nMvH;?ZwsOCfbi^Y^Xj0sTh^NC~!ol z#v9w8PMT|9{I2URJs|VmtbYU+#jW4GK?ghJ5>^|{@5)2m%6zIc=6BOk#~T2t+^wQi z_{WU?AyA ztzBqjq92R*9C7}Qb;z)Uw5XWqCyQ&me67|f`uHjK7`jrlV~L%8t)Lcz7aJVUaA_GF z=z<>4W{0c2I6i5Wsah41-(A~GK)+m>h;w)Ib$5=vs^C!zTE4te%eyqF;p-j}?(F%M zDp)Hax#$U*K-Z3LNH{VzVrct(E|6LL1vr^@cZI41R*Wki49^0>U3g|O?p>a$s0e|# z+uCB27j zGhDC*b_JmK5bsJf#(ee8ywJReXqGIT^cr&W!B*=IRsF`|F!bnG+({3a)3Y*RX@N5E z{zQZL(?+LBCaIZo@6+`0g7jG8@z*f$FD-4c&63GED#yZPa;U}-X$Om_@cC{A5 zD|7ZEdV`(ZrSNh0&MZ}D?qjJboo-}GN7gc??_+H+N;>vgYR$Rnm(IBi9iB@!HWE$l zFcjK&y(}e@(+uGMCye}EA(iS(t_hVMUgYmmCHlne9yoxDLND#ByjNa{Hx1bgYKnLTY{ih8{01>#GTn{C|*;0>0UhQVU5?e zP;gyjlI)OuC)x2u)*GXJ!!MiC+W%Cr(6>`ipG^|I0aGy}HJqk$tJsSRgYXv#8|O)| zZ}RI{<(hTV0W?Zi0|+^xo%R;OP;VgiZ#d&c=9$H~D<(>q;O1`II7C1?tCq_Vnq&S| zH#%LA_uH;Ygt$?WOw_Kvx{1{!4`Jnvl=IIEOkuC{du2|u1A>Y61^TN#>%$m^kp=qp z4UwC+aC3L|i3&(qzJM1b?DyRWnw1$2)AXM|yDraD)2dycgV-W)zabT}&Kd^wHekAZ zJGfa$#!P3vLOfUkU1k*D!Sc{{tf~e&4R1!M9~RbHvU+U{0kgc= zNc^sa5Zg`c)XF_M-H%oEbI$-tiXemJ_t4O^gG0@suh8;lJ8>JmG&gX=qATDSCG27c zPcN&(x|EC-E9d3EVdZ~Y(|j0g9UgYU#PH`#PC*leneMGcaEm$9{witu$+yh*4aRh~ zNIcsEXD211m;nzS2^>U)`@zajMX~bf65F6Q`(1t-_u1c$Q+6_qkc4@mT2U4EF9$qA z^>Tk22ka^9JjtTnop{q*I~FY`C^mD2$Hn5bH&>FYawzD37}bKf{)cCc!0$Whg0FM@ zHIf&)@2uji$QBb8UOdWvuZy+l`gt0qEOjVkTyzIBPBrg<63gh?+nxDkbwfGbt4n+? zv}XyW7S3Bou=ecoYn5+jNhX>3T;Pd0&4>41&v=BU5_UvxmyX37niI@fc5+Fw%>+lD z2$4`fv%_BRh89+VmoE2mnV=Rc5k-n zJDVT-iOQ2SW^DJ^_yM=zvvUgicAqMg0|OdP<#aW%+As!Ze;ym@F#ky59iy{#nL&iD zpoQORwf!f)L%EBZpC#t(i6zN9L7)4F#F&Zff7Vtk0>`*}YAAe*hgeVqf&L(EgFWyS2 z@X2w@8_T%ySh(71>EfaIl)o}^0}Q6sh(SB&LNkIhm< zWr%;rj|Q%pbaXMoS^9(4uu9_4wvlBD9R;qqN+;lF&jiZmGsq|p?3;^S3c2o|SJU5L zJe&mFEFV4`t3K?&1Mapr7jKt6nja>cZ|(xF+a4|lh9vJU10D`iny>a_n(yHOx0BiT zT`>puEJ<~%E{aw;Sz484ma@Y87M>ybeyDR?q?2CZEI&yLI$fDq3n%6&W zCi_R#U4rq$?ajj>9B8`vW9I%iRZ6!1)y?9=?d;-RQR8XA-I3(&ZT*Ao!`0BN@pa$g-HB=d z@JMIb8#n>C>xU100ax3b^bg>N%ZuiR7ctG}yNeGe4*vJ^^!J;<+uQ}*&C~l|ECyUB zNM5ft-_|$ZpFB)mOIF>p1>9cW1e_s<9xjnXlDF&4x65JY@VhSh`)l~()b7J3{r#k> zAGdxJ(JSs@XkzSAT|d2)OKe zxN5WU2)Gn(zF&VhJA7DqxI;x;EZ+YyxDl1Se0Tq6LCIib@iwgairS!${^6`F;H>() zAFOpbX7CB zAG33t+jQ40IqG&o9FA-Va98Q@;pCkjDPTbiEUnUkAgL?Wu^Bx zn0=2Mefy@M;FojtuBB4JHn^|k)Hhi>d9=cl zu?bQ&HfY{E?otv-xT9}+RNpl+c?UZ;p4SnNBy%mfww(k)baoWIN|vgqt($g6Hk7#6 z`8evF*LHPn>fi8^k=8GzjCMYyB%K|u&UG*USU+P>U?J+U?pN&%HBTbt%-?j=BkCyJ z)tYH3n)lEzbJr*8IMAGhaOO;ncpD8wvKJ1&l_(C+an?RJf8Z#bAC243{(^5+;NkOn zL~CD=U&Yl#Ne5v-GH=ss#(6hhxoQ9jv-`$*k)LWp=KRBG|MHjqAX07NO{J2;n<8yr zr)u}nr^s8Tg5q3Fz@DXp$7*$k-YNqW9v<%f!8 z(sBEV2%+H|ne?-a`OvLG^E>lSMsRtwZ~AojfndjdGb?!Ee!^;yHSc@l%qtagc7bR| z!SwgKs4C*c>99u!iF4@gVKmt8iH3NEuLd!bm}^3;6WXN1VmW1W;u2)o;%{UwhHLGa;HyfF8p|WynC3aztA|^RBC_?Kf4V_ddq{VoA4a18)=rR z-Vs6U%pbufIDcC;GQnoXFhJF>2^?qMhG-b*1tOD~U=WH?kR8YG0*(u$9_QDBFs%fQmdyD2mV;R4R%rYeDYEXXug-hPBELCYvFe?njyRd! z2ieRp=`Mb=Hz69STtTe=4T&f4vrBULUDf%!$=5ySP)F-yCmt8x>4lR8Ve4MK6h@UF zHyf@tz2(aNq&}Lp!egYI!+n>^+hG)~iMc$L0%nHqKpOG}7&ejnNF!T~(qGCVdIc52 zijo5fDRCJlEVNBQ@1SP)c{J0&h6Zo$_o*gBpFK{}O*(pP(1p$x{%D9$r0y9f@5z|F zalkcq8Tv&qp*e`&B9N`;_f#eAq~6^XLhx>1yZEdxZ%(K09Z<-HvSO@f4}GK4E(IL6jc1spQicsl@+ZhHx!e&CXeOi_qC@>$$V@ zmaKEY);lH)S21;rytPi`#|q`vo**kS?)FgsIfoEBt5I|)wCJJT!t^Xw zOV9ML(^f(9@Wv0rYXVZiNVI_go2EFmDFIeX>-4YRtb)w*u&L4#MB`IgE#E_=jz{m+ zR-JL;lU0}dFfQNLxcvFDXx`S>co*UD2O+Q!Ka1`~;;_1A*W8$l>o&`ua_;VGA4>gb zPC{6?cG-T+@ymkiN?y~a&X11#v6x-xRD#W9$KLzfec z4f^CBucNvTrzHApOdB2HoCoq{X>*A0vlN`4($N!p z&9YYe)%%MQ8OuMf%5{7YLmx8icYTCl(Owmz-iUnc)e!Q=3~!-^{dC+zy_cY^|S1A>KKf^+=eUC;qW(CR(PdI0>oPswTe>BmtS}OIEWHk1>kPOI$ zsdTu^c2&i*;+QepzF>M|v*15fERoTAKl1^3XG`BETO;Hp1S8t)EtFGvSynofm-UCQ z$Q$};6^elw;y1SR_Qvt|m%~-OzWFC8_Kr1pQ}Oer?@2rig6tNf@o=gQ=o8(Al^ifC zbR6jUOdUWKjt=U4eh${ZLt3x4jk}hoe>>V;U3O zMFu0SSTHw5DSBG{F=zy0CTaF`TBM2^HojJ8Y`kxNgn!Pt^f#JWu*QdyIy!z23}!~B zq2XRy0s)x`$s1qyD>nY7q0CEP8#{(+XvCM6woozm7p?I1D=qP%bdJNmuUv-F23mO^ z0pm2Ihz})l{KMGrB#>u4S?a|Bt4yDpK@PPmb1t{jZmx=J<##&|pC8h7j2c$+zY8em zP7C~KNX8uT$*t8Dhy<(KzI3)YDFi>3#sMj|VZX?FgddN3`6S-#GC8*Ak~N+{ekOkhxiheosorypTgN8d>g8bJ2%3=1_I*w12}B$E`Cf^ zJ~!MiKHIJ2I=N_DkezUw*fnle-5*Fl(}wsY%r@T-@z<%qyml# z*IYUI(%i_OJnAC51y|WQGbai%=Res;tg<`X-+oTRNYEiffrj-yGCfTy!C^ z?sMr}u1W8lyUwUl_EjrdnQG}b6FF|R0_-D4dCC?f8hm8pdS8bHevexQeLxpD`)TcrQ>)=jF-7bAY)ajkH~*0cy(V;y zxKITjmsYwI&qxk}pQ(62Ft5}e2xaSSNu2ZaR-VutCQ&FB`*5Ltm1AWTz;?w2FAQkF znM^Z=Tm@<*JcgwcFS$y5wfq}b{Q%Pbdyv(!dr*s&l0qQzs#P)?nJgcOG&d@7vL4bx zg=AD0bz1cawpbZd7AML_8kd}*z|Z9wTM8OK0aDEilBFtImKLjwW~M}todT}o%wA?l zCvbZk3j)le9A|9LsNi{Mpz6UVj@EAg0pk%2ai+r0dsz_vC8@-?=Ov) z5;L?fm1fjm>J~mq<@!UbO?*9CTav67>&eEAo8c`>YfW3vx zr&C9wW{z(bJiQ^>GpxAqxFohciRVNR1C_;<(^O%y)v+}cc$aT}9H<%x?Vn&|XB>#k z%|n4J44^_{^dG@yOff;?*0_$~0PbQZ?=hmK(|nykWE=S1>Zv75%Qmm#QPBYXm?BJH zer(srww zf=N)d#V!im@BU*1a=|4KxnL8hQU5kj!zT^}-WUU1#;A}RIe>6^C6{@ez#E%r&mjAU zm>^nr-e}~9`cfybh4iZHcm9@bMF6Zv*WNihg!N@8@RM0BD)>kIyuF1uphW;+(5tgo z5ywxBn9@K#(jN8Fm~pufXzq`?mPN14L_aAA;nS z6fS0D}-R78U}Xw1VG1zll* z^BjL#KX_31PEFBb)2q2tw1uV5U;UA?^icBkoS`MBuLX!MtZKgJsMX#h!1w7~#3zDS zQL{haPNLzP!8>&-3wjKLIE;WAz40Br&xn^!cLZCu1%2MEoK|2eMk9+ZQ2z3##%nB~^uLfdJKUV zJAugnmhr1|TT=jHkrm&$&B>{<;%Z)D1#24JdD2^f z8WlU~Yd2N2Sn1s{I)WvAOP#FWjDQ4xSi#brf$4xx0HPuy2vMmMfGGWn?=0ZZTXprf z)>s`&Ec~zj(*N}b&vCNuy)RFqdS0XcXM7IOV(b+gtc7`-G=wDLK2zUj61Gikp{mq6Qtd?LUHB!jlj1I5e0 ziu$J#V|#;P1k&{eD{aVwpO-Dw^?VZF_aRUM_xhXFwj~IjZ{Qq9FhQn+m^i)W(Ek8d z$<;MEoclr*B>0!tcm)GOPPRqjKLO$H4h24t^-T~myNmf02R=aOBM317xUj|A_s#;h zH-SiPZ$O~h9Rt~&v~3c@OAeZ)F@Gei=*g&LEr87X|*nj0Iq|kXx$(Y*zA#2y%`M*Gk4gZT0 zvEU`>9CiGeN4sDJuN7DSlAS(7XvMrG*j@(Qix4^SEIDslLwq|?EPb)ckNzbVI?EMP zL1ym{dFXp@$E~|J=Aa?5Gr>@>DDOX3Qt-BU;340DInV#`YQDiq`f+Gf^a~;19pO~y zuO|^44ym+m)La@1C}KN8QO1(70US5*S5n(-92eu)b(HAEU$ZmnS_&-?bWe%rww2j! zJ*#~@fCBnGOG)9%kmvpFd@ptL4V#5DLai@s_?@?6(^4@u2#m(do;)3n$YU`5>T^^5 z2^-vGObslpDNCXF-#OcH%%Z@FpI-^#H0d`DeAbC|kXg~%oNd2^oY?s`h+YXPEsXe5 zbLlY71Ac6kYT{g~k#_fUjN2F(9$LQ`+cHz_UpignQ3ITC8-qdhH4Yo+XXKX7D)u?q zpRt?5X^vaSw2`mJRGhEEbVmcup6@#mccn5R(f^0FFAt=u>;5ORM5c<&Q|3yhiwGG? zZsvKaB$VQs$CN2bh794Fl_ZfdnF}Ff6d598=42>T&u^W3dFuU+zwhh$W4rsFbFQ=R z+G~B*XRp1^x=-B6D+=8iWy{^UDr(#h)4!5U5g60Oj7=Xke*G)q%Kb(h{kZsQy7%yT z%PKmb!rsZ}zQ9I9PK~-S`vaV31F3wMPBMhpK%vryOB85WdT>AZhngFDahN?xA`|cek-utV3;dxdjJ>4X#REe6n_R zypOYD{et0qZ7q6`GhnD9fI`+Ugz0Vzb?w+}X6jj6wkbv-{Cq$Mr_ z?B3!5*hz%Z>4TpE&vN=bSd<->ZJC21v^xg|iLu9`RmNNdnX?b8oZV5dR+hl2(9L#K zTs{UN{I|NtW-q*Cce3Z*4D5DFCPa6{0HqQDwyp~V>=hVuo--WCA(Jja195l=bo5LE z3>pHZd#u1q4DsUd($6>H)Sdz%xfMSNNlHt?q}%z4dzu$xG+W(6!aLk!+TP~YlFr6n z&|R}Hit-LASobzOdZdz`N$g`4G2ds8n@o2o3BSzV4&9ZQ`T8`hD7@g_z*A(rC>>?) z!Y!p?CFZn3kUb_1bT^YkI$0s^y)>&D-u9hfp)%G4JTeLx&JKk`d;%A}9aHvvxsX}- zX=PU93AhusM7{x2`A|R24=74%wUNAtt1AT!Nl&T`A9328_8r(+fe9p1O&B22`HB|H>E2# z{YcLx2b&{i`fRa_-bJpCN;Qb!J7W@e+PaL5gcjU$-4`9+ZDH!)Z2=1>3uk}b`f83lcZ^e3ps_hDogNWdqGWRD>lD%0>+hSHy>YV(o}o65u3wX-zAB zj@g(NJm(eZpJ7HDw{$PRJ}X@kk_>lwq95L!84gRt3RuUf!Q>6+*+PfH(W{dBypD9T z6xiM2Y$yA_=waOlG93F%h#>d|BeB4aMKmLrW_Qg{iI+tBI1uL*b>PKOa0_nmwx#K? zV%38oA$qMt>Dd2NsMgM#^;#ZovfN<6^USz`^gp#NJdth}nA=7CiqdxG+`DlG$Lw*@ z0@-o(g8z$n*l|>BPqx~UMW|yx=C8o+I0!1l!RpOT3S1ka8SH8C(hd(uq#Y*69s5ti z?neu}wlG0V!pR<6iXp+77+jci-5{K-kCDx*hWTJnzJFVTVO#LHdkf!W#jD0C*%q5= zeXD@g|5<(de(0tVw|O<52CM2|cn_8gGjl!YsgucTaz_(28b|wU6tEl91x=d>8oYuj zwWmI@g%0v&tI_Ukm*_v)mPcZQw8+#dMRw^$;8XgrG&CiXPM#XJ$HwK7NsHtc`7+{Y zmP8{kCjk;@z@m_rgAYi6bZV%(bcyCDX{s#4!(STKPLAfj$5UPiCugo$%Jwt zPf&1SBqWlF;vgE*u)2Bo(EYkcdkLI72*sWE#IDAwxR$`5lAFk%84R&>+pN%Sd-wN- z``+DsnlJoV@Cp2B$%8-k`S6F@*wR?fyrjDDk&Kh?MQzu`jh2j=@2mPs61*tv6Bcx) zW%!{!!&=?NT$}7KvKQT#hR&&dWxcq6S+HQ)bD~eAs(-HFBj;SpNBz0+kD+tFK31Kf zTJ(5$=PU)`UcTFD6y4vo5K<4&B=hV$H@f>YMW|zL;@pFK|hU=Y6Kv z4lEwkIr-^rm=SFQS}0CMT-`)lG$ZG2*mc_Q`v%r`Y(EyCw>kMLn^BWHm?uyA;87zx zm62QL&dgKp5UB3k?@mk5c>=*AJCYeW!_KFSkCx3!fR*G3n2)*q+66(#n1?_B-r>nf zG?0^1%-OnK(hj|pJ)Ulhcv7;VGj5$;c$VAZ-&i>QHv-fA;0;cFePx|6o6nLtd*0+m zLPy(Cgdn{|R>aVzLE)*u-J_w2hIhN=ozQ((DMYL<@q-eruUz=j;GI>Tzk$S^2Pgk~ zle}28B3Mx8HPIJS)qiFDBj=T0AN8rI7EcD>aX%c;ESqv}GP97#(U0M@ayOgZ`H4g#!Ca%&@==S1(&%hv8y_1KlK1Vt?RE0g2LAv!w zci}kF{qEdQ5GH`~7T-}|d5!?8x~7M2DH#WP>R|jeCzRl7R6^XTIfxQ^db6v5ceXL^g zecECgk9IK$>)k!W^jK4snpFuXxk{}+ny2Z+H@f?2V-z+=1c_@`!>^OH(N6GIWe`Z9 z)pqMdouR-tn*v~HT{rczBuyg2RyKYnHBLYJ4+CM&;?jhu033d+LBW?B+-($~$74o# z)_0Fi)E6M&_K{(MvMo>}S&!!lo)?tDnESx^MATJYE?hic%)v;J9Y`RZF~^N<457>7 zQfUe9?yAvLvH$r!Cd?WW8D@PN-+H`geh&>9V*h0nU3L}7?bt8wTDwIU!WsHKj{V+| zVd4OYXsO717o9Tw)_LGwp0OP>12s6=@J=8eRv8Xw;m40 z<`@`aTXm0ga_Ud;(W2m%@8JV+$ehA(kN~r#uFwwg=etD7u0)X*4KWxZ((IQneFAHT zZ)_rq7fY7p^~6U`_VLt?_J47}8ehMv(GE^!f!B4ogrA&wDxD~cuoNU1`frC}$o)lH zMfm1Q%}K2kh^7Z);D zrf~I^a6}N5`O>-hu8$v?6`%qwFe?kx2Q86Vp}bNc0J8!M5M&}N!fqfFIcGtt3t!z4 z(nVG&3nNUzzeeL!)8~Dsbl!2igm6;IPu;7Ieb4>-$hQ2zj&&;_&c8~I*BQ1h>l;el zSZ|AsJBGs;WP>2?SkpPhpkTJAs;Y!S9L_iN?{U^8M~BueL@q%(i?I@8 zlT|v#x<$)D4D)%f*!9nXyGUKQ6iHvi*AlayJrbbJNf1YC&0az`!HZZ=+pFjBF9A4k zrsUZU(PlYDy|LnL6|O>)#7ZY$f(2{8Jucc`42q4+lm^VH#pxr)yx2zgH=khnp#ihx z-m;*BSd_NgGx(q9wp_df%+%BKhw>4tI!vDlcq+|HTuXP6{S&NPIT!_WB(ZU01A08( z!^;AU&Es3@5Ud0ALoG6_$AWzz2G`pb%l0dREbI80Ld5T+1BR^WUYM)GOgqOKeCLdwa(E>;~LXJ|O zGejd&;i|ZfX!Tfv7z&OybJ@Q9TQV;xayikuzhc6Abl~pD2>!cv#Tf4o5U2DI?+Xwj zJ3nN2q&96wPAMi}F4Ic|55CLadJ(Gp+b6Cv|8_$<$?3&(xU_iloL&%pE?dF<>F$DPuzp`MjZj$yuYtF_(Ol$E?6X|A zL$A?;L+lmqhe+Lipqa4Itp3u*#F`Kn0^uXhDW+Oeyce~l8983f96&a>y zS+mCw)?j#phvDRa4}Xg%UnWy!YBvepfM8i5Ruu3*PJ$*XSh}2^apV1 z_$AQvhm8L{QSHwxC%3QgpAo<90l8{Er^&M~#rOsSoq_+Tu84h4UpUQ$z55H`#)bp- z;I;rTariXEVgPcs2l?kKVo`j5ug6}KV~n(RWKYW?H-aZ`0Stp4ssRg`0spYgpWe1= z(>Tf?vvY}79G#~CObW3mXb6dELM8}@I4MZ!NBj*Tmhtqr<{}zw=Qjh}f_PS$ zqpk~ql?{V}dfWkc<7M`LbWMN9Sh+#Z5&UKQ6MxsfItWHr?FW{pcZu{_<8%~Ig!MHp zh!0BlN*~`QepUN_3v7F$wDJ0z{8}6$pBI>K5{fFi?Y0OH&p>9#UNQ@0`w6l<*Y6e@ zdolJ|A+z+(hPwknJw_sKAdG!~L=BBnO=&x?Xj|wcM`6k&tCP-p$Vqb*XjaqjHV8cBBnDwyXz=Dg(cs%R_SE2_!ho?(3^Dod< z%UI{%JYH3$nII@tb7kq`xZZsE`@^t5_3W?BJybJ~4Y@ihyvxwS^Q82yi$XWYhN?_o zCw(R5&^%?=Wu30W-m+=GNjyfmmh)PF5q-n`MaAxg_64xlireQH-KJi(%wG+C_mOp< zthM{axyM7z&* z2>MgGa`}h8*l(rEN<)w(Kxq5cIz5{0Z(;t|nah7Vg#Rk-wFUAL&fr7fbdWSXYl@w8 z)lmxUt^`>H$Mk}%>c4`l4p>@%REk;=!4`OeV9%iPL9kI%h+zLhF0O5YbA$;<*Qy2S z79m{%;ycylVOioE&)gZ6Y!*)v zcYpksP1OG_niplNRMLD7qV}=rxlPUK^vyrhy+aRlE}dk$AARs_M01O8lJM4!6z@yB z>XtHT&DpF#@@zYh7L`CANGtRKBCYCT5Qa?xqJ~!8h#F!{K(yRv5z+oaF7}UtbGyWl zZfy+GwL!WB_mOTQbkkz)G1T>IIE)~x36XDwLX-XgSb$#L4yx|%tXTVDQ!axl{4-PQ9x z*;io~^lDsX=G6i-5~+(%u?JCx18u3Y^*8FwCn7(gU10lDruF$4tG^sCwPuR2UdunQ z`&|6DtYDRqn=(A=^5h;JP&!1(vYvsG@kt^|_Pr5NvI!$lvH(^@$yzReI^FR>fN?zn zE+%M1&NbaZy4^_kemK${LAtio8w`(xE^$IWNIA92ULomTPJ#39rVtREE~magTjBha z$gKWu3dm49$eERaThRUKtBWT~I$SxzAv(u;p8Lg&8G?dxpm`bI9j>)^YvF?vwA}7b z5!FkDt?P52|A9QMe>*gRWQbhuyi}-*%O4GO^z!?w`D1TKo5Dyjf^eLxh&Z2BgE$*| z5jYgyMBwm>B?w1%0TJi8FNm|X1}-K(vH|B#JVd(pk#6l1q)UK&;zZH6pN5~)%(A4h zz3JqXpJyW(R`(Ww>C#YxCaxAd1KXwH2QjW{6;X$2(}pY4SdP!k>}KXw9>4zT5J-SSq^rITF<}wPSY^{dk9EgR5eEHzlqP^nx>hU-ASfR zF8k)|W7~r4?^qK-N8p?ZqHt8xh(6KF;#3l+qi=AB*O0@y^2p&PL>rI%g&g*G0`(-e zMy@01Ms)cI^1)sHuMtr$+ivD}Dl$Rt&HHq6dlC?3$&713*o$u01GoE=UHxzOce#GP z{rIH}zpDOKR?*DIRUk&zLU1JJB_PG*=io<%Di9$ae~&oiyRPti)gxnr3Z-v%oC0U} z52V1gKnfhg76`JvhKGurnb;ls5eY^1!}q^$WC|i1yNoDboC6}-QpCFmlp)glS_;CN z97cq706E9ejdaf-U90yv5hC5R_0`nVcK?6?9Y70@VjNh$&E z?ykj~(bR%*$$@s!B!WJr(et?`<{3U^)lPF2sNot! z3t9-#&Jd)Yyk-qU4a!vf5f5SsB&>$3VLm?i+B-_YFuF`vGpG7GP4EbwV0+C-bh^$dbd zY{=XH{jmdst1-UYb~@?)@&g(6;SaokbRk@a5yVFX9)fIe!N9H8Hf|3h?4JiG^tNL0 zy_~ho**V*rOM6EM{(h7Hg*m)i9G24MgoNmBh&uckSJ2F;D-{x^2m}VRwahyOe+!95&vRMC21BX&7A!)T+$)J9v+L8F z?*+9SJ3Q@M0$RAVmEerT{$&6EQ&DFtVGgr#7FBEaqNOZHeJyYUuQF;|S`V}7vhq8A z(aeU0kF2XhV#1w2j>23_uS&u*1CDE5c~1`O2w+nn0*1tj5^0Y@7;$U&Yg;-Gind}U z+tNltL~(eX>DbcC51(wUUCnO&ux=UVkVeva90&H*M#{=_4p| z%h4YVg+LrYzpTVO7lW=tVNdX8uuIS;j zx~tCC{`Bu=y8Z+58SvUt4#{$`9rpe|O#kUsn04Gf4cRE1g}wPdl_Sx(4?=_x8b+>o zD=rn@jckVhv3s1gzUMhXwj^>DOrA}!2ks`NfJfk#klhsIFxw7;IyZDuJx^KwyPJwQ z&%HXRkdknIf}$egbYS-yT6)vJyH~RTN#jNa=^%xRoUg3b`Go2Y)DdJq*z!3zP|n;1 z+jm=FG#T<0_W!^uB0%yW@wbiqt5D805@bvK@jw1R858Hf4Ji+1){EbY0bWKK(>P&Y zH*}F9{4jj3aw|#$*VaRj28sScjD`>Fd9aGS2!Y!e4sl&L`S0(w;>3bzeF`pJk34$< zc3TA|w!jzi5)&`u2fBQ42p*M4`6A?m9Eq8{^cQUIZL0*8;XCQ%B_8JNau}Q4ugl@x ztsm5$ZUM91!#LRKKLsCOhb?oQ3e7t6(f$4IKl<#{-+LIoVwO9n&JzjoE7c~TfF3hW z?+{RdM@w=Ls5xMej)2P6qk-T`>(O_Uk>n3PQa2y?YAnE+AOFdj{SIM$Ywb?M{`^B0 zTq=S9d|hxEi9ohuY{X(4r+<{xG}>)>YMs+Cqc~hG%9lVR)Cc*dI`I2whLDSb+dn!8 z`;8CQh1kGFgOwM_AqlLtu&cujTamyRPcMhuVa{HQ0A2)pE(pV$`CB$UACHhoU)<@F zNuHCuRrzu7l~cUTTw#QL=8o=wAN8=SsE&w;*FYA&;vB3fVBhsTgqsn^jzpW`=wX$y z6)QVz?mpBsAxZxy)`tm`qXe{67ADQc{ z(#>tNk7a%~5wrTaRQZ|nq@l)~d5&pzHmm7I){84nv1uxP#Zc14_x=#i&6rU37$C5m zaijKI8o1(7UZ$|L=VM%>DW-zs+NcAza=xq=lYZ5E^6kgF?QM?A{sU8!q*8<7%vZaT z(1SfvogP$INxzOfca(n>@&19W^v?!6tZ%tBfnR_%Q#G%(SaqniM)kb4wFQ5n{9%!V z2U0{Ia?5C#3Z+<#pO^6`R7**md-;0g;cl9CluK5Zu8053y);D+bAemOWWH_+{`A0n zX}b322;(()xi|f~lvmAfKu4ieQ|{|P)5bH0&8Xq`y%Bj@YJN!*ay;axy<^I%)~Y35 zX@3lst9eX3?;KMW^sQO~d;Gp%g5RR)ZMKQ<(2r#gb(!~1C0(En|n%~4t}WSbr^hKcJ2JZGUJ7Tj~fp8 z-svVadpr+2%$5Z$wsmeeYqefR$efJN z__VS=+i7j$-+8Fr_b;B}h<;^c5(=CO%ok@OJ{J}HPGE>x=7c)NJOg}H{N>8B@_Qnu z_|_Q3zYeZd7oJK9nh|OrH}0>d(@JUUG_-9g^4(}L_LMZU>=N$SH!svS@uA0;q*m^A zT7K*OsgV`NW6LZh)zNk-ucmi}7g>f;)mmbOU&U+ijY+AOj+-ihc-7xe4WMnNgSfm` z-$rwKABzm~-i(~~enrbysX`$P&){>VlH4@3q?I^3xXM5oGHGSGEL(Da5|ed z&GM7@XqVN+?pqEff>4j18I z1tTLj8(F@UquGdB`=-v1NUs`ch4&xdOptxrBwg=X|48T8S1lFA-m&N~MYd6)^<&?N zs7Q_7^Q0TYHiPr8g5ig%O}`IiT-k8fJ;t|il*40vWbcAc4;!vRKzKk^WiC6$@yYYo z{s9*nPRd_%d}7@^6?cbGb$zh@*;k>|0eOS*i6X5F53J=iWDN=?iLLU8ew{WxXrSVRDQ~&*{!Kl;+p!YCno~7d%}tidH%Hq*%90 zUT98Fe6U4^QRGDiT17XXSm!7yiW$oi-tQ~G*1p-`iCvs1Bpj4#igUU~kPwi^+oJie}K56Ox ziVaS(4%e^~wRO(P@?R?JoLP;&3`RRAJAE0fcV@l)WpK?oIqQpXPYJc9N8Fmpl!RQ; zCzDiTztWBpbdZPSUdBdb{hL z#-idW8I`dQR8ul1$3DnU$taI~aGa7+8vBqjC8Id@p=U~_Zxls(X*cQrsR+wCmi$?f!$kk>z}`w9M{;+0dzw##kI%krtjo8Q@Eftxn01tCtBZS|?iO)SJYAhS zQ44B#e0UTcAd*M4!4@QT4h}hp-x>|OnuNt+)QKE=cU?hv?8W6kP9VE(p)D1 zr}AkI9}lJv^u>PCsUWPqy%e~6nPOqlIW#I+xn6^e{Hq=)uDx_#~5Brj+9W_`Cz`7ToRW@Jug*v{93A%_KjA&29U!$i}_VX95!@Y=6tMS5{E2bIJC zK3nR(iHIQ$wR$SN>5g$Rj+th#Hlms zhV+9xHB?ld)6!;HP=wk}nj`1;)4a^P-)YVBUc;-v@ z*;E&ej{JyuI&PJeU>X)MAB*Ld?z2#{pr9^Y=e2LBTiXy!9~oibADmgXyrjiR7CKrap_s zwf|KIeXd)Gk6SUV5|O>JO$@l`(pD!HJZZ%gKbaP*>Rf;|hNc2dADSk#kjatO`Dm8n zGU;tmeP=BB!h+s!i~Xr%P4H_JxQ3Y+Q;lLF?=YR1*zn0h`C&IPjl&Eda^vHuJ|x`` z9vlccGkoywkxwG0t(G40F{}&kt*qA3NqJuMS9o9+O8PpVFzWlpyIUY0iJI||A1v5$ zs~ml2M_&$0$AX?GC?or~EW#6SS&D;jGiB7$$s(?Zt4Sm9xlW+#(NO&8r%Frg>vap! zgSEZ^SD3!V6*LbfHI=$tlRfysoWHcXqWDUj`>}$mkN>;3urx-cO84n()Yh#()Wtad z`i#bOo=!vc>GZN-*(IV`u1xnpd&`17s{;0V3C#HRWEw?cz?x$^%J$}@)f;{1FG6*T zD6D9w^yY&mpfSy2KIPV8z{xa#lUV~N^94>82b|3Cun2H5BH&^)z{Ob3y)=!f9o5w2 z|7y(P;Tv>K-pSCLpQ=sq7;jtMI+ICrU4QPR)x5Fu7uWM4AS(ZiajWC#wmL5=XfTOh zEV%jUZ?mWFzkV4}X=xIav}os}^?#QcB37sTd|8`Y5ywfs2;E$zCyNl1ckEo#bpG<_ z6f_vkBlyCPkoTY{+7-}{*RwF>iu28NnHGrwl2VBQ&S^Yknw=~^D1)z4XYUqo_Y)8! zxb9R)Wht6)XPDzd^fd~mglk;Jsn=wI)`iWz)6fW>YdZmqPWj>D9<}>l_VE^Y=+cMz z>?60Zyd)#%)caHmba(zSv=(TqM|tY%+)|(iLRh}S*Iehu22;{2K{jBFG;!qSS1!HZ z)*Cm{fU#W)n2+(JAw8Q7>(ACKL>0(~rJ5qzzr}rdwN@4S!^7{ItU~8-&}bQfyWP6x zt0Af%l4Dod{VrgnSZZR+O=E_C3~IJri(fcuF_N}Az@40~NBYT!hyaw$TvMn9 zIZRdI@&X`P`cus(()%_R-WD25!UcdhgDxpl#r#Qr-JW7cNQn=#v%DN4ziGn&^}G&} zsr@B-w(%p;^`?7A;e&m$*1v^uKW*81vcj>gPG}Y!6(?A>)WIobMFR1s6HILD?Df# zb7nXx@yMqOC%$~G;~X?s?7Xw<-Jx@1Bc8tThn!o7(*s$=K`J;w^vdy)MfA9&AX1pT zk7^DJ00lzk27h4qmM)B9eB@41EbbNjsAebUevY{@s`&mr1QtGJR7ML|#T)}FMC#(^ zR7sPbo3dZoyc(zVXviYHxPnvp*xWwq`JyCw@xi+kwq2~kojtN)R{PvMSOso{Kg(`K zr1M(t#tF=q7x-H?Zb<~Ewh0y-C|iRZl!rM?5u8ADWVXjsOc&>=94R*lzxHI3B50#K z)m)2NSN#4Kl!f<4fiLKLpPOG=4X8*=+_1Qr%vsX@km37-dtP6=C<^MM*>+zYj*(t^ z&3dO_83u8~pG8jRm+0Bark~0wcXGDw_o_{T%()kDR0&U>plq-Cij!F?^ck?UD&qwR z7IYsb7Um7{R_k|B9MmsPu&ij%lKpH54X8F7O&jKL>MRSC{+y)Fvf^-v+AIB5fQ1PV zFe0bUfe`7>d(>D~_VH4C>C%(=0t};Wg`+UxfuN3OU{qUk(R%c{ewMWDmNg^ub{Ff4 zn<2bZfO8=>4IGC9^_^~IWE@XzY~YK&xk%ImU$it(lMmFc&rPzhLhFjJ3K-Lt-!{Wr z(XXMNJE7iG|Co3rjK`fPM~31o{z!&gS^vD?c{8?*(y)!xAoi**fi0-%A?2u1LdC zD(X*9i4~7gLV3LKfQvryhb}Cyah`1i=)&D^GQBf8Kr#nBP->t6btz2X!1TRA!HLB@ES&jsQK$k+U7b>k!HnB z_O`k)IDj|sFVZ{qOKN)$I=80kl7L1$+XP3k9BShH1uTE^evN7z3$}(3a5)-}%Pjep zL;^MKhvZ{pQ}$%^#76j#{mOk(v_|Sx$0cZWOt#-`> zT)8-lsRy|7PJo%m%hpz~d_PW}sGYXKbA@H@wL9p*bNTV+`*3RVth)qRN7mnh*mg!X zDZkQD9(>8*!CGB$tIQ4H$9*zY;u}kXP~ZSs zbux%+cKL0zvDdN4DzD8*PVZMyLEg8cr@eLQ`FytUmv$R}?;`j+q7RZ`Ste@$eCbaN zFzftcQYk+0Kx5Ge!ZA{@^i z2*SJ}@+o8<@!qA&L_c!?bc=sH`~)t(Q~pQeIP#kDV{!^iYMbFPZi~iZxAl%_!6y{8-!WCVXxg79$o65f@^b7=ga6AGR=t)ciir4p=Nwnl3LFMH?`cmXMZ_F_Rc z2<=5s252t=BU`i=apypL!Smy2?|VcjvXxejZi97?j>EU2CvQ?5$XWbq?ZxzMF5(c` z8;HhVkuqv@5W6rCEq2wEd4Mkt;_-c@LuW=Ed@l&;F_jtL%`0AW|G4ILwe3j6^19f# z^W{{`$9;ZR_ZYShtaRk5XnI^29ZY7B`jSLn_Sdx7FjWucUV*5$?R8d%=CX0Yq^Nbie%18V_0j=dw!{bfTRu*u!`8x- ztnv|v+_ zPNngMHsx41H^p;tqGGuO)^&LJEW^gf^&S&X=z5ygkJ*>y${9Ew?7Hx-%>DSnN@>OG zg+c1|zODg1cKzqR-?hsJUa-Hb9{SbADaFBN?s{yZD^x0&ZOHrHY}b<19Gfm?dFiO} zqw$ch)&25y&u{v|Zfb?@Nb+MLMJcekEOyRd*C}?VOO} zNX*^RZI|GJ4(5@bV7b~HV<=hVvj$JTvJj$|J#qC)?Bt;s4P>%F7R@x}O`VRtk05fe!>^3;H|!X0M&?DSC*!Pk<;N5W~g@;5Mdq3#6Dk-sY$ z_YC6GIA$R=@;%g2&4XI1#VJrX*6RU0$^prLv$OFkl-aBH(0d1$TqcGBDfTA(d65{) zymt&E#qU_40`f8>kNJ%mjJdE4@vKtZAz_&4vMSkh&v*h@75Kgs|_3_&7xm!SkH zWQ{p>Gd?PtM`Iv%C@w~e(b9V zdMdP$-O(QEcQL)mb=nAPjKyg^Qax7)?XSCe5Hb(;r$YtNw`K4YBh;=`I|b#UqKU9< z4@jkxKip={e*LTYj2^Yl4|}MW|H-RW_~`7GXOP!8Z`wd zS?mWuVu%z1XNROrNY}ix4;qvpJAgFMnEd6Uph7WW26P9O2%Az4ssK=9FMD8Qr4_DN z-^dDmIh_DPzXZD10lJs+04~wM7YjE9`1XWk#!Gf^uJHPm2f;hEw;gAJXD+gLuxw?4 z=al!9NyD?e*O9MrDoQ*n7e=1XJhI}W@=?905~g}t1}X>|LUm>f_^O^rjlo$;N3RDg zBP*xD`^3T(LZA#acRv}N=55F~01K3aY@qx&BCN%-G;L^iZV5rQ0p!>j&cad2U092G zDo3xj!?I9XJRXK#MUpHET#{Ya|(6)3Du!(VaxlXBaF z&v%aE3SN1+dFtCVW)(tX%KZ!D->u3j6y&L(crjU1ve@^|^~UaH#npa=6x3GYov!J) zyR@%wj6&nq^J|H^`07p)yqBR8B@|hLN7EjPL#`?tA<9jUVl ziJz1C-IcL#Pc7xHDnrGnD@tQ4tMsCw-Mwe&p>!F+Q^>@M4QQMmB-kn`8PYiIUDbz=^aShhh0&ynEzTsQhLS=X_#A*Z5oR@ZCm+%%WPS(|frx~gthj;g1m2N0UiW|=vkt)N*$vxRmAnjv62fGnrP!>mr?jLFbgG4P6snPO4^mhcv(rrV_m?G!X^wZq7R1Tu4gQ zbIwi*^xODNvP^H4uaI^jeftWkJJTfJTycc**UD2I$NxVn6<>zL_-(H*cZ4xV^Mlt4 zqxoMnH3gT(dJj`q_l!O0|I6HBM!%cnai&MHc1J&TgpU$=e#f}E zTx?)7;o&PQCo<7mf_>T(_0{AC#pm~_#A9cGS0N7SA{(? zn{WGgN?&{46QkJtSov}6yv*4y{nh)!l)@SGxyQ{D;77FbB+uAr{iSemDq5tx{ow5S zV4Ql@<|UDcy5-a+lB_dJ%H&PvYr~H3Lb>j7-5{4g!S&2zQSd@(y#^Hu)3J-|WWXJh)Sg7wf9Z71PFvt~^>*-RZ1 z#ly{J@N-UF=!pJ6{?1*T`-@G;DJ%tffZx zQ5-)P(JbS+n+><`*Xf@m*sNMRb+Tya8>_Ch(0I?2!bshk>ydAA@|Y=6CGSpgW|vY5 zj`uud4#M^qmPG0fAAd8k5*B@WqIkXgP7YSG#yGJh=i0y|W+v%jId)^xQZ#$s&*#XJ zFvn>4BTcyjB75`Ia)SiEJa{Skne`mc%ATzK6xzLxt z3M{m#j4B^dRh}f?o5Gtxam|PG+Oy42VwA)1xIzi`Y6@dooNhpe8TxpWLcFeWsTn%| zGHDLBpMA;diUcDt4_Mi zrcSTSBVD7J_q+5n2sS2S&CpV3CG|Ov$9K>7MZn#SF(`?w3CxRx`3bmZG~81pUbm+D z9&}9M=E5+Vx6UXD>y`-@*|=jc_`7E4pGsFnv+s^$PV;ziU%t)Oy6HbJE1}ycDNz!t!s9DmcSK{m+lU-=P(x2mSrf2VyLFnT z-GbVzF)x^i#xeHXT20elSA(!mr%alrXO6zk!CD-=mxJ{a`_7j_aQs!FOn~%s|5)krBo_7fa1J(r*tn$_+%5i0q;#h4Th*2t6@j#Mparz z1iAd*{Cs(uE3vnw>O`Cp`qHew8G6b=Xx!!FBna;?B`Uc$FH$%2HjIl+uPLWSD)P~?gAnc3OseeLThsQov+0c za9fLKc7ThaIdZGzWkz;?ysecrZ}K_|WACHWWS0t;D2HkBbY$rNeuErPqePMH2(t77 zxe}!Ir>hSz>L<12D13z3!Tqr;Z=ERCRN;R+nO`%7kx5qJkLE<)m7$$cs|Wo6pnkTQ zp|dl|Rm8G>H%wNf?mq!nD*QS@09OO4>FSeFHA+ziG#AcJ^niTqRt-yZ0V2p%zOn*d z^g16_ilP$u*EYuu(i#qLp6K}r;DM782E|L4oKm8aVYQp9yx?6_$&NGXhn>Fm5!+C0YuhUA`?gEkt)B(83HHD}-d_;_QcOG+*UT24PJ?m7wA(C2DAj ziy;(&bNY-Ey93QS?KydTav~3SsokPEE@&O9z4vBA6NSKq;-IE;oJzk61Zk;^GHy{l zF$|>GclbQ1AuZuxJIv0I32j)h#r|Eos1gzl&g=ouE+zyL6SPFL4JsqMSA}6NFVric z!-pwRu6!LJCm3)|79iFOP(qw6+0_8F)H$ zb4TyoJi@Mu?xV{Tq1wHh)*x7);@&H|pr7ZeG7c1YXft_)l5@yuoTLZ70Fs~tY|6VH z%$4`%s}QKqBXBwK56D$a;!E&SF!|~E84l%b$>ac53HQdNEqXV~^&a?{4|v|1FOXa@R5|@o5BW>+PQBWjLP^2q+%mTUAi%5g8?UzAl@Czl8-9l|Hg4xSDfn0gZ zaFPi++s#-3#FKkA8G}mprVX=Jhjtxg$s#b$aLa?+o}NZ^cl~Ua{W(gXoPyn6Y6mEe z3knBo@11k>_9Tp@yOiLJeDb)7mLB><{3y0{& zSLnJG#*0~T=H}lzvEs)idrx<^RSCU`OykyI^0xJ9TlbOI%Zqq;Kp-NTI|#dLC$Md^ zF9_?G!h0qPZ$Ng*pjZer+O3wIcSa@O-LfmoJpj}FTex`LE-SLzZVL;3yacY*Z2(eC zWl;>hL`Zw>q{C>P?G2CPCL(8U9A=UrL?v6^zK6B( zloe_2fl-~AFV7z!IVXPyTfnPodSKr^t|wHvbbKMal$)=-RE}CLWr%%==J#KD!k@U( zxF6I+pM1h)y|UpiU3A$`L-@`#dQ+I0Z?4Y3sQTi`0G zoWMQ*!=&Mq`ftVlKkHK1`PxyHizB>T{$GS?H9f|5gC`N>X_`K?G;I0S0s?r&jEYy1`pAsT#nmLtB~ZfnZ6um9l`q=W{%`sc9PWxPgU zqQ`6w?8|3u%c>CisG9bhX(V=lpZk-YA^pVgU3k1oJWk{)h+j6z9KXDSMVtaa(2pD- zWSNPufCItXq_P5Sg;^A5-}0)+jlbS+HbY;UYSF`8^S5L^M4O?_f5MvcC`>L(^F++Q z@6JMZsdt2BoPyOZ0@qsTwiCaoR1(YP4f&(%0DI>;SYT~^^aw0%!G($s1ZG6)9=xf9 zF5BX;iDfX&+u}<6IWZ0d${Zt_&35EItUh<>)CRz4+Ge%!meV$C0q^`SO2_LuKU6~Z zZgY>s-6->3aCB=EhN9WCCfgT-t+n}e2*En|dhDB=;lh?J7V__cH685KnFV^(R%-i;H(LX2$i|W#@LD#q94iV$@oR_>jB1O_+O1s#Z}J8qE-GLb2#@-z zCIRQ;kWpt=Bl8bRz5^CnJbZY(N2)_;i!dh+wE*KW-C{f!wD2NX4|)wtIE&pV3CNh~ z@q^Wp-ydMGmJN0gh0wHrN$vdrM$5l_-*(&7gkoSgdW(M zjCpieemUt>W?qaevm?RQl{MF`$P1D6NzX&|a z4+xICB>pj0+^X^44#=G(_+-qL_yzCl)g!y#gPG0PYyfxk{u+fh?<-%ooWy$I;rQ-_ z{Nve}8C_UgBk+Kj{*?`*t*MMbf;d|~M{UQ;v%tf;i zXh6o|8T~>DeLUR;jsQGtj}B!BgawvvI^Xs)VNk1Ytjl*66e;CG_|6vnn|10oL${eh zcv9d5XZ9=%!aV=PB<6)E5Tu%7gQlFLXW%em?zdeaYPlC;TJ3o5q*KGSOJ|FF>^wS& zr`M~eHZ3tT&teqDUF1Poi*Dil+H_cK`-F?#7H3nF+K-u$I*LJ=d;OkZWTMCI8YyuH z$8l@Ne?QokcHL4m(RqtZJT-p?j0f><*C3>OwiTveKEMp^aMQ9WN3#oJf^m!(`$p5I z9Hwg!-xU`cFaA$Up?{1qR{mlN>lyeV#E?_A<=AIbse`bku#o*4V!0dTu!WN@4rNoA z{?aX0FrERYXFK4!tz|zxM)U6z#)3AOuGIHi|DOGjC_oSBQ_X2JJmRE`J_DEnw)FIN zGlYqh4a>3U_Fp+z^w#~i4H0?$XX8c5?F!0Ka>5fKSjmo=do6adTkDPK{y8*$nY0~Y zJ5!Dags<@(&L{`NUm0i6Ll^P9$o~Ja_SSJ#J>CB(lG5GXAdN^jNOO?x1_?pByE`SN zln`lD4>6RPqSn$RT|JB7mmS}w;K4<)3bkn8rbl7 z7x^#q`rQJ+u>ZxN{AV2f&x|202Hf`rU@}wg{FSU}XmFD{b@_K%ShhkJ${V92D7!on zZ_QnNMd;cF(1CxvLXDHTI-ra|HxHSv0M+`Bp88KwkP|QmWbgb-$B$C`41<{jJo)S& z1PG?}iu9mm5r%D>~h>zV^kvwS-|e|Z}P z=B#u@&QkHOz}fPk&D4C@3rrp`lfWi0{TE=8+ng!~3X@I?Y6qsg-{9mdOnLma(EfcO zO|oEWy-)}?UdNaLmjBm4EmQp$C1bA%l(;B2@Q;HYb7g8?gXjs2Qz`Iw*#DQ=0mIP$ z$E=~9i-!bu!cC^H749C$ah84$0_yK+9XJFMT)4*ZxTF|wi5A{^8VdSb=wBFdOt zBD|pj9YUnA43fu@v*ZymMAZH9)p$tbFx+f0>Ay#X-8)wI|Hgx+I{yx^{AS_bW>~Qu z%xe9oWB&CFv(5hfPAY_(tS_{kB>&^vr)g?Vfl>6P>K5%WZwv5AoBkR+s!MUl*(?sK3P{xRj&$NYiDfc@-s0B-w_r+OjBKlY9B`%s{MVD1ha6gXsGfJMX!Olrr! zKU4$c5An}S4?9mb{QVh!Gk?GC|6?m-W48iE|e z`HforCoXFZ0HSyQWq>%z61eW>fiNxKzo>5rGYIjk8DtEX(fa_5{D1k-%2UO<)0X8T zGk9CR$n^{QUZi=9e2kN9e)07DR^EqV_5&C z&G5jBbq@D%_d4m|dB_&4pEzT}PStM`su<^yi<`adtF+b=~d0tF-< zXFu;F!?E|nu^fpOZS<)g4`kw)A9HMyQZeYrq28}NxSZ@wQlDeo$?JXN${($mw3tlA zA3elE@j~LEF9W@o%9eSMirmuOiG4plIuCsIJ~MvB@t_2=EDpBv>DI-;6y9FrPK{0d z^`YZYWbSMPf{)RL?X|<_JDU25pUwQ#Ex5|wl{OLcbNd`+qc3z`b~A8fjc#o<%+yQV z?{pZ-G#ITQb(<7PStCVi5j{{^^T#9u)&S_0$nWpx5DnC$EU~aGTZt; z(-WusYeGj;@w3kFc})ebZH-EhJX1HJMh`dIQo|a6?xg2F??|1By9RMn z8DOmEunB$MRDv|OrcOCl|1QEHWEnIRravLle=q#U2ZJDt*@VuTRowuJr39HPN!1+d z&K}um({i=%Fof<1>$>hXqk+mTP}!QQ8C1icIptBkKK)cXB9}}9gy6e!U_je{(mVf4 zQo`<$=`Z%uQV*A^H(MTd{J5GZ8XRJCd$xBRCCCk0ikRDF$@zga$vbaNAFEhT2h(d$ zOzjI3swYV(KAH|@On-uKt|f&jsCln3aHlMgthV^r&Ui`ljL%H5f69kp27>TOOL%M) zUy5{p9At_zhx{BNl{YVuPqp|k^)yCLm$%BS^2K?^2&RI?2vtxtI`~!< zHYP)~I95JHAvcsq37rm7znj<;zbU4^c=FP7s5f*m2HVBHS;D9S;cUDf>!5er9wX`g z+e^{5*T_E=5?*c>LF}iKUX_uHlD$oQ2A9D#9KAW{eFN)k4{$4yPUZtg7owO2OcG)g^A1w+7l`Z48Tuok-M2gA34_gZoC z_gvP6FWA*IDgB!&PZ0`?IS*28ELB8~7L=!+2Q{s@zsjc+p^7FJj8f{Lr^W5OpJ{3Ix?fM4V)hf;V4Iv^!F7tj$ z0)$|f{4=MO5VF#?2SydnF*ow-ALioHSG}vUOp!6MwHQT2tYn=uYiIk{Zw^~tG^xivCmO{DY}$m z?>vU}=H+(%PMO^8{)=*QQNxN|K?EFKa_tzmL~3F$s!>9C5^9z-o;F#9r|yO0liQxO z?|7a_2Uyxhut)LS@4!02m8L>wCJXA@D|@;i6eFnKPdH4TPAoY;LYejR zzKQp@*2Z?;_d^udHm(^sKe_#O&@iL_>~QlcJuXi>K%&-%d%vyyec#v~O3G{eTg(Hg zfNf8kM5zoCmM%%&Z9HRM!cNVdKVx4}X9vfasJ#;HfiL0@ zGKwVVCSF#L@+Rf}d8Ro-n12VS%k@-JVo?>&K-;uAhxc?)jP3E_viN}j#}0~b^=TZm zLD`?fU%kMyu#3+lrNqeXVyayYxpUZ$%P8nNYe=8BWkN$YcEV_sV1Ev~qg z9y%u9&r2=E3h|4#M?_pM2tmi99u#mBGjiH%x!(r+^W@zk;0938tm8RxQ%Un!8u;3b zqa85Po~)1<(2kg6DAgogvd~H(mlE7%y-mHdoPL0xL@v5pnE3Eu!i7rL?;h9dE2JkV znVsLKY)&)PO3K6LzVVe{HR)`d?L}ZM-Z0H|&!SU0bc{=N1r+SA5)x$Wyoxe@BWyd> zX@nA>l(GA2ELMZ^R0vWYMpd1;YnFpf$w%V_ft$#a*OrF+HWhX$X&R9{7-G)igJc()ygyR!j0 zW$vsrO2B!_?rH;pupmbS*41|L@3Zf#XXRmmnJhC>5~<1_^aL`*I6Dx}eG z`KTfvx;Cg?kG!%sh<0+p^eolR^Xo;X<(P1Q^NVfx;#N?HsTe1KD3a-(?q*uMu*37c zS=aQwryGAzT8;FZ11yH|#q$kYuOA^zAMEEh8)kH5y28Mg5neVSX9~VH1xW{3Z^o$_ z2P(bbA`?Sxn%;-;yRUl|BvLHc9=aKRU&U!m4mejv0w#suPjX_hI$4=T%9g^}_df$V z!i=ZaFzvj8<9&y|u>GeAwiz~T5jNfArclHEKsv1{M~SE^qwC5pkYyP(yrZrAp!EC~ z$Pp@4g8VE?oeC&lcQ1JGyXe>ha_0hcXiNPn*4>@bbH7)mPIc(Iw*6Fsj7p;meFo>B zMX6KIN-~Cb0<~P*sDX$LW9ha2P+3$Rh_x5|DF<$GWKLO@ub*Z;5E6T%1Pdcg&ss&~ zQfV@la#`W@YYmk(1c&o!yFM^gTFZGLlum`zJkr?B%zO$iE z^%a=#=st0u)r!GazSV@}RDBJCmUX-RPBz`O8wYry)hNIfVM%7NG*o{9K=^W&j@r?s z|IVsi+UUy8<-Z1TPt0rpGu{PTJSYw970;a7H1sIT^{w;-vH~wOgw955j37B4j=(Yh zmvq~mvo`Aor3Xd^|FD6}b<`dWOw{;9NYCsB0ZkP${J5@$G zvOC0hH%&!}SW(2SpfCR%r#11MCa5u)mc(9L#%dZbio5I#i$^n#8vd;budJpR`oAA_ z{oPlQAR$-|mYmHB5{NRDex+#!Ndp-6%8#QpR~TgRcJab8c!5u-%nvenfvsng4DwT6 ztN_TWMxK#Zs3Si{X=A#;2_Rf*z-;}Ti3_q+#{^1TeI=wbhspv#7y_h$z_P;fWkIem z+e4WLrMih>uwc`ALvvZOrbP9~Mnf}*SZs+7ZJZ9br4H|;<1&Gt0i>A$6y?upO5m6g zt;#VuuE`81waGU*D{zNK{2)&%W3edA%!2@#bq|V3H#b4GUPHu-C{tx09dEFP!_@eN z_No1m4BU)zq;0tkZZ^7*Huh6=kVKmPf0T>8o>H1=qgs^=8bJh*M%vP!eycIL=K&I3 z@0PDBU3bUe1GjeN^e>*sWE=wj%RP~H$xC(o=22g%45m=m-u-6}kzf1eACE2ep7bY# z)OUScIg7RNCJ%FjUKxMmyYA&q{!V?TPpsd_UH;uqx1dogN0+2fJ|Jk$li5pNav4srEPqxsTyxWG2a0Gl8E>x&y4k=G` zD91KF{qcgmO>thMnCT+%KbSn^y_07$-sAuIa_S@pNq6Oiu9j*vBtQh<3ogVBsa}O6;BjB zS2WW@aG_yNe45CO=c}cMQAG1GDh0o(&lbJ6M>;&+T)StzR@OX7uZ9Jg-9!u71InQVKjUJK%x6WCQpmD3*X?83?945X#E{#YRx90!2_e`~O-b zKE{cdMs`gh3QjJbq2amIz$rMzf@=5@AhH#~X=J1zq>R{x-SkV-kXUHrkk-lGf1$_K zr@~GJ&td{UK`=%Z?fdPms+f0!WJh3wLAHf|*}IW#O6%f@qG1b5g0#y4D63)Ogia(S zQDLQMrBb%PUg5i6wIfIWi~>oBRk}OG^D25RN7FP$4Wz{fDuNXpJ#8s zU%=S&782)%{m3{|XXAQuPGoPH6;P>{2srna@;^KCtm?f3>|7hqUh59(M&A`@o)2#n zhcGi|4mE59j3@*_ZNxjsOMfAgNeE% z^MEqu_PIAEJOUvX#LV`aHadw+d+xoHm^mRc=Og(0DtsoSA?kz5Og|#LIjqIDimZwC zZ|+WY;7-y&Gd^f?b~v?EJ`L0a%?|b$dEQc)@5J-|>srnX;8=_CkBwe+etmakCk^W1 z=!@4u`%h9}7rt>B!X!p;I7?r4<}ot>-|_rCf0Qz;y-z{=p_C|+?*EB*7Coo2DtJVP zZloI0{Nxs%C46r|oK$C*oU`h7TuR~q;xQf!%+8+F-B(>Az#R2-6l4^(m8=d!UjKc{ zSz-U^KF%&MCxze&?9e$W6pg>9Ee4!rQtij^AClyUCEe(URuSkqUMtf92Y~+U^%A|l z#VfiF3tM{HUJ|;9UVpls-qk{t53)tIAKn%LJHOD|(Q(+r`GoB`60j`q0R!{o&jZh? z-6)quKg+xc`}1hfI!x&AM*jE7Imfp;469gpTk^XgTwz5*d7sc=Qk+QACfdDtY=U7D zV>*V1!s@nm?(cCHNLXHX@-#?i^pb>|L|xve#SX(qqh@+gED>*DWzix}qML zChzBfTpkgRDce|HxAQhbkpIDq@8ISegPVCAZ7$NBx4Zh1z8(f;K!u&|HfUtSn=XO| zS~Hy5)B2bEEx9ikPwrRh$;mmPp+-Q8^2m3Yjm zfsmFGfQbP{6Q4V>b2)+8HKH}N!>jGOo8%7CvCp4?DZBn72*ZO) zVB%Q=Txo$#$oO0dk_oV-ifS!LCNT3h-mbd~JrFYf1laYUSP6vVpbb?)WsS|l-I!oa%wIG6&= zL&C_7@V&})t*l)9_uwn4V?b!Yx25*+Rnr3JKSpkP?v>??41_o;rM}HH@I0iZuYD;m zdFG{m!@aBn3jLH{i@xbgd5KeGqt{bpq=)3s!q-i8`)UGGiq2*##aZq|uRrH*$lYd~ z1!1pdb{<;rUm8;z{@8~bDHRW*yCezLJ{&T^)DwjZfV-Rg;d!rur=Jh5sQRouWa?=r z!o0uNI=sXBmNne9d-W}RJXQwshxn^I7qyKryumMGLRHzD>tj_|ADliJYo9gMgc)sZ zw0#e}cejC1JJ9T@>(OzF^+Egs**`3nQ5-v)GEbN7ekGcJg#k8o^ZH=3-OkO2($*YP zd4CBpeu|NE7_S<0x&NY> zi>IaIl9-XH%{24;&X2rt!7nTZ%XI$z&l9-WL+}0gL8m)kzkBTBlQrcS+Xd`!M}s-? z33^^#FP9(>JI^!;C2B9FJSA3)Ek1nbzuT2nJJ~lm+VxzC^^)+#OmjMD+v&h}C>dNXGm@2vqP%$dzD22)i9dh>4weNV1)CNRM4%$f@156w@}csfUjc zq~)u$uI4JER#$M6o~iWw63Ol1*|Y(QT29%tu&r%%7{B(=Z~deeMEhu}+YS6Lqc78$ z7K$2M&hF|CaTYJ7XiX^tKBcImM(*ya-7kh2sgpD#lu=q&%JzNnDXE8tMkr%4Ax_$Z zFZW)hSX75?0r+ftZ2pa~cRt5l_la)>(?s_O8879jIPk@pMq9D+shYBOUh()OG&1}ZYjOO80pwTb(!`;a>?(7-*EIyKa`fQ=H48wesXwD0~cua z3d2*8hSbyI6{Dvg4KJ3~D{(AG8f7w>SGq6NXspPLUOB(Cr3oPONJeez)-^Usd|1$m z)ft=#W*jt1(XOQX_K>=>o9W5wQ%$A5(o`EWoM0c_l+GeQWyK&}9>t;@&casS>2_eeE6bm~(hS%{K^r^bvrDhdV zoE-PuQ}M>(y^NTO$g9qiQE{+4-5cpvADkxE!S7&-wV7;L8}g!6FjKsV5T$QklqG48 zn>hd3AURW;e7%s)T30^9w1$JIx3=pRG3~3{l_kWENYr(nt%RhjZ=C5xv-eQ^E5=}r zQ3f=M&ZO~Dar*9dava`N(nR*u-ouIdyKjJ<6Lp*4S>o-%Q>bpzmyYpN_3eY+-kOxX z1DVe?6X%p;aR;VEYo_j~eIw;Jtuxll+bQ11NtN#=d^pghX`o*`Ru3TEH22s=XllW-sQi1J)0cSW6M08?Ze8 z+tEQI$N@HNK_vwUhJ6L=xIP!Ceq5hU28%qq{IbCz9UNdQE~h$Kj;A*(I#nQ;Lcp}u z6$K{j94%}GCTx8H2=EQbtb(|L)fgzzXTogZ4rJ!^DZ8JmgUi0nteKh;mLNSCJd+Z* zWeNT<($C1(3pq%_i>s&@-k1tUtNGa^mz;0Y=ut*U4GX)5K|LB!E-NB1x}Q{#(G_I~ zhAUQiMQ0n_;7FdOn)vE)b)+;t2HscUT>VT6D_F#33>I;v%onBf3!o+^?DilBuTMSF!DtzE}ZJBxAc5C1?W#ZhX z=4bp?RluH$;d5C>_0}81MqQ>T_nLF*$OxcjTX{cew(nht*-C@A)F#cBLV4`lLw20di)nk2NpfK@#K28grbScwb z+j3Bo!^I)B{46m*yYZrqu)>7b(`lwY_}|WqO{G=a)hAAMJZ1U~6kVFsNVv7$wF;ko z+?CKNMJBG8vKES+DWmP*b=Uu7;jwFjcey*AY~j&XS*4f9`HV}abmT1Btx4E;wh@%~ zbSfd4XDm9Uvk&`srynz#=5ZR{XQXv~0EQe2*3RzqH_?-s9oLQSVVzQ{x0yAN%oBDX zTnp}Z<(9Xz>z=o1;bD&-0m{DZhHb(f(VWJ4)l=ZEn5;Uba*5!kuxCSPn-JZ$e|t-j zz|n*|@w0n03!F?nd4)r>16r}zv)Fj}SwUV`J>nr-OAbb&(6dZE!dVB47(L)jS~#*0 zC7l&}D{_fdWd07@)gw*pE$l)GZYH6e`+3m+ttDwg^lDsV2GXf0zTf^pN(OnH*LPdH zd<**LL;e#3Fiu*!fo=M(6^>GU4B`q&l5P5`|aQjPKSO6Ls=A)J1$jU2=Ub->YLn5>b0g zEXV0U8aaWr6yGh~Giy~_UYkeC&f^e*yob5;rhBbd=b3YQt=PzAt;3E5)~$5;sL96} z>w4l7zlHt&my-N`b&1KNCY54V^~8IGfJG(`Se&VVRRUvi!K73H>(dLs!UPxYDK6}b zv5lcA_|aZIn4FG=azH4@1L6xH z;*xSYPE%XNl*iBv+q%gKS^53giVgB~ayn!(>MK|+%d2??$M#K%(h8751|CT~yPpsq zm_bP$I`V4glh zLhb@@Yy@Otr{^DJzZqkbc(hZ(n@0as%)hMuBI)x6>h!AR<*`cN*i#OnYe1$&IKmrA2s`jKVbVr&8+npRgTcUy@)gXy~= z*x~v}i!cvgg6cErXaZs|c6?e0XADCaB)%d1d6H~oNbGdf>jW!Q%ji?IlbCRfus8xN z{DflcqD0<%0@1+w5&VjbW~>@=W3O~?eQ)^K9?f2{`b{t|!=`s~yeuoWL2ApUlocMT z*jqJ=QWSlqhO<|?F=JHW?6{x9dKi)YlRIS-u(Oi|PiWckvc-!PEEb}?6;H2|MPf2h zoLQ1hRKF$-vIO!84BUQ0_@;W#U9sIT7!u!%JAQC<2ao*Vi}#1|0TDdzNbd^xP5eXi z536gVm|3>%d-sYGn`KtfkHm+ZA*~_2%a=$5x|+z=&?M~CluayyRBQ@aCS%^WYQiqb zCGOX+bV=VzL3ta(diqI_cj7KFvT?%5K{!Yl#DaXIGh3u;C`xs!Bg+fj)&`42ids|P zeuSj(jx(lMjmM;9j31;xxu2w5aW|x}n`5Myn2V<*n>(lUm=~s;nop}Uts)=Uqfsy~ zOTMmPO*U0!1<{RPU+ssjHh=9kOs=jqxGtWr0k;lDB!a^+!r5uVq-OY5X?o{Ka)A!S zj}5{WkdOgB>T4&C>KuNWa~Ny9zkm9$R`E>|_(4Oeu}Ar4{J-<`>^I zOg;b?8ZO06DXF#R6zK5p?E;=YqePYa*035|&EWFn)bL(a+G)eFuO*XMRYRGDi^0Op z>qFSn?>0))?}SyslVV;TPYn|vJ5VS(3cgPvT5Xp%NO(whsgcX3c(}^0 zlA6*hlpW9iX|_+=nTlB_;-P4rZ0>c;*;?fjcB-woHO7w9N)z9IcQNU295`{kH2u#C zci;tU&fhSW<}=*IhLukefpa z%Ukf#2OD@icMc>Q_~hiOtE6BoGk8 zWPR=nxwG=;EDZpZ30DBfyao3T;P`VBci?wUmHhTQ8*0E^1pa;8?2on)v*a*7B(q(YNAF_UFD23|)`ii3G&b(}fg8;&Sqb?{YEnftWTaM0jlN%~k|J z%71TTQnudkv&+f%Q@|A?m^fX9O>Y5y1|afJ9iZ^D6_$}9ly?mlMlXY{6K%IE#*<}qkc(N8JT-Rd5$O;s+UN*ldIGb?$p#Q0gDvl;33R6&Bz}Lo2I;!( zPB6j*3VXy$HX>KyLzq|~AgI9x2Y44meuH3Mq@@5*qOx%H)z$misz# z_lnu+QRp%!kT2sRB)R*_X?QJSaG2^$qO0@f$yw>Xl;#fg-S*1qdSSn4g0+wqMo3W)@VskZ=`9k`LSZ zDYqxEG_%0g97)y>)=z(EMr#3zbNzIl(8qoW?3qNi>0dTmA$5;&ig!cPF8e z!Yyw?oY~ z47e2ZtKq|-8&}*=(`pJC?)8_vvU1h@GFj`we0?g_Ph{XW79YuKR@;Bm@3PZi2oek# zyBd1rD!Rw4J9boAV5B=(AL!ao37C!EFy;=7*#%=-Q^O>K08Ss^REYS#y`_k;-3Lvn|EQIn@GMB%}&M}W<& zrNCJF>xXmb-f@ktD<-GO&{>)CoznM5RZ6BqXEDZiO4Za_>ox0S8tthfl$V6*o~qAJ zbX`m7yNV}8vt5pqzPoSEX;BOvmIZ`G_rYy!3WbB{e@HVku0$$+NHtx2BZ z-uNjf?RUvXSE63QR@u@QrPJ`9g@{+{2np&moa zrjdoxi6kK5%{p0VnI6}=7CV*e zgTHR2M()^+@W{xX5USt_ItQ2MSF3LyYZ}`1;$OU^@0vcgP=@3u-WZI;!8Fxz90%9= zmemhvO;fv8z2 z99^5>JB@8fgLP>ozM&zrV5`pW=f`D1jh#B~7^f07e6KzNx@ z3~_Y`rmpz%SdG-c2tUw27vNqepnrD3C7&~4{o{0b0509*g7weJF*^63GaEPRf3C*! zS7Lp9#0)>5`0;RgnjbdYJpC94JV}l&@)HZ7t45p#+x4<_W{$6UxXw$@nrK)M$oyO)UA+Fuc%tR5h4bfRvQ##7wFVyW==LJ>Q_)c+SINS@!S z|10p9{sv||n2ZlSwz`5N4YA$5`-%pbcrUn+jua1r*-PZ5YI)IUtVxA%TI2blrtFBJ zv^q#BxXR(&F=x^r`_^cK|1kib8OFu&?w&cEf!3*mZea(_w&qFfffbw9ZO33mYl9gh zPz1g52^6(~0tdy`WTXGm-=7Qv)g!s=m{}(-5WQ4%dTriYhLVe)QNCFF;qxO)AxbTF za9zYdQj}@`>(mC%5O#q`QcTE&tgi}FpUsRVJe_zy)eEAd%k!-5XtmD4bp?MWaVD*` zsbd~g{FJPgn8to9H3umboPM3bVvU>0tmxPd{1>`)@|HbpiP9>m{V8!Vje?6jq{JKy zOg{>>`-@E*`m$K!XUSj0O{`f;^R_9eSPaN-F&>dp%@a%@7Wram;v9^8P*}jPvi;;F4a$(gbSZ;EWJ404_E<<=ngvY z`U$~=*i{L6QLmY*ygb81+n?v0s<$l0eAG|rm1@0Q!rVQO7m(^Iib<0)h>w^WBGUvKR zP+>A#niKTc8IZI(!xPJid_t-I0>OmA> zeI+b{)Cyf-y|4HQB4y#~iM9sXnOu^a2PWx#f?{?X&{;wvNS7lEtcWt7Ahf(d#5}cL zGdeprPa1Ax|Flw+jpjCD-KNw*N>l{&)&gr6Uw+;@ueAkMKR~z=079M<5DlP;gCQT* zU4Y;LgfEOE4hZEnJ7zJq++<`YtztouDiDaSsGmyaKcZY9Kjw(csz@&4>9dPyPo!;P zTMxxJGNTQ$il#*uVwPFfhiZ~q%t${U!C4_XHjm6|NtSuoHxbdELwnA-zKe0>OWS1= zeS|I~C1Yd;r6;pMjp@6PnI*C$S_mcCg6a&;D+Jobms%b6k*J*8#xJe2^y#T38pUI8 z*bZiC7%0TclxX+QYa3X^dzG9Gkmwuu$5)h8^ywK1*Y+Lu?3gMLE}*-nKuvH`WzR$V z7~T>0F{gCUD97w0bt_o`6Nk=EY8`I@{Wue9az9e`T-pkV^f07!;5>}kkAPFMI@I?e z!j0Lt?wll97@;WTd6(&G-%nM(>xs^+@iVSHK~D-&%YDCfEQI zdBye*6335j2bRb_Y*C15`u;2h zZ#0>Xak0(mu~Ng#82x0LZ6tW1Z>lx&@f<&ofg0ZiI>whN>OctXd>1L{=H9HkW$C| z*fiUvWpIE!sHv409DF!63Q0AJWlu%|eU$iQU;^#1l%0HXk2-#)=vWRjjk0-rrovd$ z;dSjgudE*r&RJeq^{bm%R0+DO3=qoaptcuAaPR0gJYYhL%Yd$1O!#Ievm3xHf5bx8 z{Kx;g^&Y+E4`urIwO(}eU?add7XmtvYei@1+CbksDNV;VRZjO}3XlGJ%D2d3e647B z{PzZr8T4f!kEwb7R<<0pt>K2XRI%rIszEL%BP8$Ay)br-U1@{dKK>e(Od&xLoh1liNZAnlNznIdzN#=>7;ook%mT8jIn|x zB~uvfnMamnr29hmhIH(~>IWVUfjpCx2j&7pj7T!38`&$G82aM;xW;S1xK0799epI?;i&Bhyn z&SW}suLv#ID=yUcF9iG)IgcJa#?$Z*Nns!|b!0f|&!ke!IPy@ybMRMBEv7Ji&5$yf z$)_sVOQZ^o_#ZQzu&~?{2;Z;dWoL zPQLauGGFHQYBFEGb|{$~ZTlLT9B2CtnVd*F5ji_UJ2!ddgLWlycAj=C@=AgBKyr5R z_AGKE#`bs0C>fbPFfHg?PrOd&bPjx#QIq7X_677c&|s;IK4C9S?-eQw98Jp8_GoCtrS}iqISBV}K}zUI1v3a%F!ba{!b?jz&$+ZT;&r zi*Nu7+ArWIv@!V#-ns&Umfpk0q-KZ{+tdld)S>l z<=Ru^9Zoh{GyKI+oJAeXK;(v&e6a{@tCVFVF!&CGiz(a~lA)F+NU1>|`z5=hFUeVW zdA1NDST|!{W%V!Pfx(v)TDV|3XHI3s$vLc09X}pg(!xS7B-E413GAhzTU=jvVE-v3 z;wBn>RPLEbY*n$P<@tqL^*EoCqT7lh$!<3oH`h+VzBxsjlP)MHtY> zyu-oC3`H0UDuB2E1XKeMW{iNN4+tnApr*XTlH8z5Rt^wZfan9ll5mVgA7Rt&7hT)q zcwUEYEu*xFc;1`IvKE#iQtSC5O&4{!hr?#;Kwi#}cld)eASxg53UR1|f-kr)y9pqa z8Bj1p*)|hi!$w?@3K(&Vaq+yB%tKyEt})r$3JN@al#1+6+v$(`*?kyBvh$?K0& z(kziB@O(aA$sZ?W!?Tj`yr+50^ppXNIQB?_`mD=jc#?WX4ZhMjkE}sS1JDp&p?64C zgv8bimJD5dPqV?K@iA?GB5Raa#azmkge_Ei%DVK>_>$#Yt<1KMw5fU8*ne;AtIosd?3|JM_l@V$0&(1 z%O)jO>y3&STA}a*hw5`iFumwBfc~E_h~DSq_&~olx%&lH(BbR|L-|x9f5g%j4^O3$ znbP}hk9X0#)|lO@{kljeBI;{D-ceS&9v9Us;<&-0vwxh4e{*ZPlqU?{Iv9e5ut7#p zCSA__;};_VtK#@TtFKC4?`42WbMGT5m5E*tS(UxsMtK#azB45i>b^%16`?*4brsFN zMlBV`zB65wsJ=&rDzE!IOjO?YHJYo;^qpC$9QHl3RY4_8Hck^y(Z(^ zu=;?uglwxfH4+nqm^2t|dyS0o8q5Q^P#0^Nk`Wz2j471AQj9^0e3mWs73MPv#qmgw z6b9Q~10^7K%Lv4tz5rrdia-pL5s1A=eRTjc^G48z@Ehuoc%;Y;De)VQlr2N~&mk(~ z15^p9i-Kr?Ezikh`^|O`(Xk;p>s5jdA%4RI9uP8cQW=+vOF~`TX5=X$6RQ|RsBM*t zMRX+8sDfCAHr<9gCUC13`0-tz4PyJF9;{>Mmi*9Ota;yr-Ofe-X$bB4cL?cZX4BIv zNZ%YXq65TZ`9tR?L*$E$q)Wqdwy!)ard7F)%7(KJ$7hZwbzH2jFOiGW^Y&b7hX!Z# zb&X%FkdWW^&4t>uKlK{LZl@gKLwgBzKnmrZawW2+v%v3Fd-(Cg) zy7Ezh*PXrf-!D8&=?I}L-v*U^NTnPKKZ_eL5^wz^SlB{<;j>;ZojUtMW5*0(m$4vX8pXU@JE%dBjk5YLkmu?4eByW(7V~|R` zf%@zV@%}8a?_P8F7r1TrsH1yqh_nnGGiCNh8O z_$NsS>Cyx|xN>aMl_!12-hX%zV;tLX;&76Yv7kDcL6Kcrh;-dR^sRoOuUPU*-G7IF zwEytKHG#h01j@6OIHGxi_qN^doF1cRG!kd9~15Z07rHc@Fo9iXtR9)nGHt5i#?8ki6oPfvWqybwUaYTP6 zN)9C8cq`&=?~_6{|FeB)i^Cih2gPym`uz6Bk&ztl=<-bRBi-1gnykG@(?LaVUCyP5 zi|q~n?VZ%kyKc!gryc*1)gf-;`Y)X)$%2&itY@crJ&t)4UmWdzs?h%Ygc@Z-dQ0}T z^H6BA%ZF3~N*VPl-!qh1RaoF7qC@545wXP!nNL1Xa%i#yS$OrD<%*G-WEWz2M;zA< z?buTU&mLC#YKfzYsLl|F?FI^0^|nbho= zZ`$^ei(zL#vi181ft!!ETK#d7WSA|8-UTvO>h`ZJHtpOrIWBlLIc6_4BYn1iy418` zxI{Q9mh7{$(zGCvb5XK)#F zeYvM~2PRZZ)^Dzjb`w6%Hfe=o=+9!0s5CcYTRDlHb@+%iA7ao}r)~y(+2nfXdC?Nn zf9Ke}ATr``(t9@XB6^u3opxd{x{t7umrBFBPiaEO=Z_Wz|9gLpf=XE~l zZ>r909=EYSOsU~281d=R9sC|8M6cY?8niESFQ@kn&ae-C4`U`A79FT3b$6o4$ z*o0Jms23+PWA`BR))_?G*Sw>oifS+YpvO1j8?3-E6awW#l*}zF;-n>~;T8Kq|4Gf- z5!L9#zqpd-&9nM5&+&>kbx&GQHV#_(U;nzeszbrk``-3N;x0ZWaLvVWv^|J|oao(V zFCAD=m7RIGZp?o{wfUsnFH0u)a=uF>^zfuw8 z#vK@C5|CI@86vUanrRUZQbYAvC(qK7`Bj!mWFBma5|kF;ti3Zy57g z(zM#HtBxG_lviCD3>9|NVtU3&kb*>!+(JR|`PbFUqMwIM@+3T`AN~CXo;@#W65dp+ zdr&aFH7oYU!WuP)H0r=3XBR>(y;9wO6P7#Z#k4J7^!DRs#Fh=A%d*x*%jedh6py9o z{lT9%Rb1$py=wGZ6jIp=73}!9Y6xk~i<^RDU%ilkCQ8(aU^0BvGawX!fijBzT~KkFt1$%nGRx^wQc9mU*rB{ zz|)6&FWqBgws>Vj=TiI5Q6!evQ{{v&wuiW*$mhkem6LtaD=o)@>Ax#v^A$EAbA zxuw*OvB27m{?JfUAlB*j#pfvsBqlVJn%HbbJT3@nU{Qmqu&5P2ekEEsYz4<`|8Y#C z*-zb+E#2uvy&RSD0_67LRj*=EX0p1_F`O7`B?c^3T=i=n8Qd=ncmh7reF+2~DNomtqa8-zV+`e%&*B}w zQP~?aHN9Ng(LlbgK0E9?yeDB#dXsp14-3ocA*sT0@FvxhguX@Z`6u)mOFVf=Dp9DY zDev89LtBtOt>7XKQXY#)u6vEccX6n$zxQ~9qF{CoeI5TOxkImyY@73->U1vuknCK0 z>lv#HHpB5RYA?3x(p{=HcoWT4&e| z8wOwCa)d3yzPQ~Z`xjppHtC)2-Dst_Js6(fJ}t!X)iOv(sp#U1Wm+P#&JlZX-9n#YuRY$xz&(;*9&U>@MPH ze)M>T!7VJ{(L801=Kk}$V?r0ac?GpN3-?iQ1j+fKp9jzfb671TP?7g&%*-cb7+2KMQrz3W9_IW$Uzv_)NnNu1lW{-(0?zr(qn(ME`*^ zw2(w993Sj(k4i&h^(*ncNRbCWygT5y1%=W`o5=6Yr^EHimXw@-xSyT-VXfY*`=nUv$F~%a({e4yY zumm)C@KXj*2BB0;4j~XBTa11gBj~2Ra*_WU5Emcjdryu#7*6K#di2m?ZeSPVKDR0I zOFDM9FJIlUdC!s7yj>Z&?w=#s%Pt}pbIsS^Z^mZ`Mlk1a(f-QC=I_MDYv4EHCdo^xjt{mQM*7NSA z@>jf?^e}jO zJl1@vBpkg22C941zJ@+DzLPc|bm=S!;Bi~m?lUOyqzG!$;gu>O)FlZ`$?ZMD1$6WOb0}Dr4hbyK41pS8?$111g zyd#V{PZu_(n|v@M&YDhyo)~RKytX^J>3GM|(K{mGN^kL{GgIMeqUZaV_lXqM{Z}?S z&v3kOPR~jV=TZ8t2e#3_IIa-26er`2o%rS1iHUG=eBI_l{_3JqWZ=`%Hz&QmCekTi zZI+0z(sV%obhpcMnPJW(_cKy0RjWkVW4QG>!i7xiQGs7&nnHWo@6Ub_XV^-4st=h1_2?*KX? zQ99CsYp=)&B=0Y0BwZ+{*}v{MJ#QE157JB#K3JGf53U=bIMEbEurBvgNp~04RP%n5 zjySa_K+L$ug&sJ-QIEnw!m)7{cOo1F-8BC3rSL|+h$^Wj+%*0kn<2Um{QB3~F68H; z$}g~%d4jxxibGD`CEQQDtj|UWg{~HKkr)U)oi}(&qv~+xgC?KgEgv)HwQ%#bRh_IW zE>F+P-}p@gagj!GCWmd;bvvi#FNh;S*DyuLRUYDH(TaxV-K7Y1Gntx6p7O8dAKSRm z8r?q$2N)|&W@ZYbhLarb-sEX9GTK|9NgUU;;wnEfdAo~yzw2}-0^?w&IabX!W-aPY zU+&$xwQbGIiSqp0OBw0{%2GFRb;Eb2WDL&lCp)`VXm_lwtJYtd%+5~J6g2KTdM)fd ztKoHFN}E0RvzkB{n`f06km7hO9-s*M$Q(ElbQ_4kHDVMH=YKxFJRYn5gsFYuq5HAs zR%i8g)P8_42W?l1R!PCPYkI$PdSP*PeC9Pf$Ds5flTQc*^G;onMI&^|@rl-n2leCa zBUWnPJBFc$R*j0;ZVOF}*V(uhHH_huFUs#&QbdvdFRH#eD2^uR8+V5UclQJc?(PyS zK#&le0KuKZ-QC??4)+AN!!>ZYyBrShJzstGR=t1h?#$HeOwX@-x@UW*dOY0k8e*5n znU$rQ4Ah@!Zm@N$4xh)!!}kruK4Y(5C!z%>YIf8__vw7-f2C-{}7x#0hM zLwRTYCkjTw7ioz9&U?W`lbU-Kwxo$^#_i1TyA*NDS*On$CgZeWqSueH>a31y zWiIPzOuw7c`;uoJ!D{&4O4-|2_`|}04s;0N$+7316P>)x!t6;R3U^CTaMn5aV;=gv zgYHfO6q4&x1aG#4o8 z6CV!2zA1>R*p%t}cY1PcVD6zei4991mGKEB+k%PCmd@xfxIOd6{M7$PnszDJ0ZG_S z>5upuHuz?qSBIN-(75$E-2~yM%ZGo+?To1Vzw%lqhkjUc+IEhcAs2U7pS_h^lgcTx zV#19qM|6#ooxF(PM36c~b8f2|1sq;PfBa|OD79_WvY9&?r+YLsS?0|GP(52i26<<= zXE@RonK!PA)&U)M7MdLF@=A1^h}OqG-Zr*$D!Y+wjOzeS&mvC9eqeKYd&j4p4V3J3 zksr-Ah1Ha@-)q>Lfj9IweMfElIQJ0R)?P{ve?u2G)iPFCVsa+t||2j(_~;CW2*p=id0y$0AbU zn>44b_sW#BkIx!bVYPXXsFaZ#`FOF!N6yX-Nh5EM)MYH(hMbn_cEhsf?y+U*n3kjk z2y+rbX4jZ-v+t>$L2iDf1IpMiR^nzdC_VS*zQ-w%rrL2k>#QPlvU{9q3m0&7|LPx> z%|lr`X(ve~=2RV0fEy=5PV#PA6(#G9BjoAjb1*i~T{RL)`^r#t#=&ibOTIme?#g;U%_+ zLzm{o&Aww~gAc{cub)e;?dr}dhwVX$2)u9=J1>iTv}{Q9<}Kl-|Vh%w*F^8S*KV*ej4TZYN!1lbs2H1#gLYPJsxl3 zouv6vbB?6E)ZyBu)%guG^y|zc=2=B)fF&?LrTgZXZ-x>fYv@YB;B`2?w7L6K&^~k? zGBi@q#_?lqyMs=~$S&$FiKcD``>q|K{Q!B#&Ql;n)?^b!<}I0+GiIP!InpX)DgCMP zY)pl*H>qW_$wEK%XV0}?^UdknOiLL!an;B?)uM?Fl(b;n?D?k!Vut&7#)vEr*wTAS zRdx0%0{+;wW`Q4kBb?WxDq9~b8HEyDTJPAHWX-jLJwd3mkN z&nYiPU6h^M#{1ElEol*QHFt*kFIpH)`qU2Mxmq@HBN~~iL2>tY#;v7l;cazhg~D5? zH;bmIMVDC35*qS~yHo)xlQn5IZ_9_k-eky~kQ(~i;YlAyL7nFApu?Cp>g>1<>phmz z%eZVFAvRAgMtJpS9K7{=_ESWd6*G~vc?io5^umv`442Qq-DY2vmp&D&I@4yDlJX!F za^j4Or5SFDCgU@2{!*bbbTE7o4dan9j343K_k5@Un1#a8k|B3tZ3h*dmO49l8oR%f z-qr>>YgN&=t~y!gQPvp&@@pQnqN}jA9P(@57$64v2CTN;ud3@U%MRi|jPtkgdo!qz zk3jG1=0lj~4YoA14#ZAr0;!B3*OV@d2DgV2jQozxuoO>|U}uba^ZN;4w#O7X0fht89cs=6YJ65VJcU-DO;ZXcI5EDlNqc?mc6 zBaR?jg|7^q^~HXb=8aX#V-aIQEA)(e9_&emfUkC1B9k1l>*l{dD~_4zmWrO_-Ms$V zvBc`E14XEy+fHf>S-3SttTm}6EI=}KW!{g*JMKXG+)t8`|EZ&{1Jk{1&Nr+jF0p+d z$6S66#3O;Zw%C<>SHWv8(3K68=@UE8H@Od*SyO{9V0v2#PTRRA?6aWi1;Cwwjsa`U zadR%d_g`Vr+ApsT<2hS;i|W!YOAT#dEf@>M=A|9Da!e0hbtYpm$Hq6C~vo1$Rxrh zMaYUK7SKfD~Ow=^1DIm3{DPiUmmn&ANu|s3!Di_QvN#2f*Dw> z#L!XU#Jq28i9-^@#c`6&Fmip{ai4{nLfWY80omfT{P(9E=*T-9z2GeFiS9$44@3sK zCx->QxA(pE)-3aA+UM8DSB-8?%461q#lZN@33{@5w#)BEvWw2vc6nEhi((Es=UEwh zkOw!Y^YfnF{4JErFWeH~GsIYNHr@@2)f4`yVC(JJ1yxF#J+&ZM=%-nZ@j=Yi>GaTp z?Ug=MC(X6IO%&$o)`?{w9(kl?)w!!>^N5kXQ^_*kI8{IYjZi>0dcy}W+VvYUcpE_t zg!P(i)t$F&m4UT3XU|9PaSHwiAiL+#=1+%_2>cgD-=R%S2G6JeED1k?8k@4v{%&-z zXD+-~TErEHo?S;8uZ{PW!3+60;=m&o?p@w)dvIJTotl8p(5>ftbq*<~b}2S5H1qAC zOIc^l@|Byy^RfvEO1^iBCq?L?xt%+)sCa`*_RnH$!>(~3${J|VeR{3?A>`sJ!da($63bK#Q&aVR^O=pHY{NYvoh}%ZQ3vk40dh_Ou5bYn<+AqX;55oO-Pvio5 z<2G}?dm3xwfbEOoIA5O!J5Me(VZ9Fh2%kkgT2e{2pP@j2$ce)Ayeks1L#DF@Bh(z9 zbG4j9w<6mYM|0`f<#}%3oEN5!+B{ESCnBqP>1CZ4q~i=W9XEm-k-xh*{G5k0v>v!# zJ@~`;i^wvHTBhwZ)Wm53=H#%&_XWTH$ulrIuZVq>%X$#j%xNdSS##m1>VA6EdF}F3 z=$cP`^Wg*-E#5q_kIMA`2HTb^C;j%KMz9V%n6-HM$2F{X9D$(v=zr_jh2HpILmdGP z%B%ZEE%_ZbHx)f&YvH~*QJF6TUh!6Jn) zu&FEoUF1zUw9AVfn#M4vcBe2%=b66~CS# z=T5ZD@@MC^h$Nakg<83ay88pl6Vqj>Db(u~1cSQw?(yH#P)sRT$5xFPaK?YPe*lC< zv9NRh5!#W71h%wRC}b4#t`{eB^%wulI7$~8o?k#d*-nGxUR~wfveP(V$MhyGKquvf zG7hI_zI$P3LtRm4gZnleOU+pv*Dr%?kCGAhB5R}b&85-%&{oDvZW+-D3y3|yH|>Y< zFnG&qe3!fG%pAD^!<(m3=$SoIKCF@wi9-59G;XmWQn9`bEsh{r8G{3PQv!|R0SLFc7Ts!02n{- zt2kY~;#Rm-3fBZynK{%NzkXb^*xiWRcE|hgGsnEj*yST2OoYUa(eBRH=f5?*C17_H zp7u8SRE+D2gcPQcJxd3|74(gv=FAr9;8yP=*|2M3f=kw~aZ?6PsC*hJ64ilOek(8_LVUH4Ct6oo-M?I!JjXb-bmF<>ij&#;%@`qj9#Vo`I|t#~h!( z?vFZPi0e1s(Oy&)S9T6`K)UJ@D@EyZgM())i&@yIjdQik>EA3j$hyz@jclOHQ_+^2 z*y@+O&e?Ugqfad^^Fem84)Z(qDbP3`V#2?GYp8vtjl-+tpDc1(Z(w8BT@sj61 zji0ERL_c5POgdBTa#^JkdXPYpsyv%em(riYL7E zv(}++u7F~{cK^x9Z0t{KnJ0&YztazMK<>-4e`)n!HA+WWd}|ladDoxblMPW@=qqae z;f-cLgVR5V7mDk4*{p#NblvCaiGvwFhZ z$Lu##M0}Cr@qzW&BOce}2R{PHU|zB4h3-dA0b;pptiKvxRr!M4yQbij;tdva*qKqL z%cmGV2HShAsrGrQ3u*zlA!qkHhP+xWH1e*#Kn6Ti0^Rv-W1XY;haPNgXmd(0mP1DHWg#-u|;n>sr{Kn#EI24^^^#sDJt z!{ewO9&x2r!hbUT{S%@P@c`JM{EXZ9>qwnE)nvwaM7&YTfIx)QBlNC5WXT&A4Yr#= z`ZUw@_$5lJn8Rc!Y-!dkQA|uv{warW8%AcZoD&+6+FLqllp*~5k|OHY4PTwQN`bG0 zND~rWFxiQ!vd%{ad46e zsSFs|n$M?WU(;O5h!oVOkd%(ycc*d)T0f#Pjl73nDWa~RyWgDMt}4%1d}63!ViA_j1J-p^@(mz@S*T*Wge zwlLvLSrv+z6HjOKR>gnXV%Glk=Q?3#@Yuc$xIPC)-~U#y)%Z!o2; zk^X1Dyc_ExRgVY0-KsE7z^S$nRgc+#*KH3Ov=%1tLaQbtmsH1)(k}@Au+_=f zx))nK_!wHP#-o4qm*RZ}n+1>gxYH%_VU_|Vz)Tl=1w|jf5BZWgY57Nc?B9Iv@RPnB zA2(+Y(aPKgpl!4mD*IR8qA|)$x>2D>zjG`1gnq28$5b`?zH&?NwNBuQ2C% zw%-6@mBjWjx6h7x?$hH6b2a=SzIli+VPi0v_1DEGOk7%Z@HnBj$1Y+5%>MP0$!aET z|GZ>xXORaU?$8@xoM>%`#UspbhGtSu0`6%1>!0R7;5ee!ynn!D53~f3^^Xz1Y=>|f zz5xSb(Zs*yS5x+C=bMbu*sr26mkAUTQwK@?TGc-L2e;;z>gn1sDC0+9ow&(4?FoC^O)~_ zld0rI3;5XD?)jC}-zIpJswW*l4& zr|{(+N)g@K4v^zn&&N2Y)qkh}H2#$Td?7RmWq}n%JlLT(DrKdC$$IS+f6?Q8hC=lI z*aGQ{m_9IT@@qA8iR8(--p@zd7b4r=w;F`>-2)Umkm_mg( zJHnm4lm!+l+L$04Q;9K^74Z+_KgjG(n2gGM%cmntt3y&+$6H5xdXs$2Bnqt$Ie~mj z@dwYOYLmW+OfC7L?|rGY(QFb*@8oEv)30seDR}#?qGiwdy!~b{2XK`97YyV4tq5nh z$7Wmy45EizpI2tv&){|&iB=rdO`a}HeTQc@1_!k38sqmzuX*F*CSW$gN4CaS- zQS?_`7lGLo`eMd&8ICr>P9|sIxWz{+)o{!cA@N|KzQ_o(@#ASeK8b4_xpqn6ce9w` zN)?RhFD$&lA52nykvOAtHY)-7Y-B6#b|OE~HCX2e1^f62`%0tLwd24omLJ#<{PhK2 z;XYo1{mB*Gn!PCNn4jllJQGLFCg7?@&PG!wQb!&fxwx7lv>%4ed@a`YivOCW?&k;7 zFOC{b&Y*o69F52Pj55uD&bJGn--F-ABqP@MkoQdFzBdqnHOD4f>TZ>`7XhRDvT^eFOf^*D!6ThwSw}4+LKDyDq2+f$gPzO3l@z{ z%2Co+tw9%^&k{DtWP4SCAq1R{GUd6cKiuxUC@RG>3$*rlD0S%KE@YuUNo01Tc0DA^Yi}-xMqx;$M z16%w3Q7W*OP&xxst<-gKmrwPe%=LoFgiKN}*^&BaIA+dT)g9yR`|c)ZeT#HUQjON? zz*~I5$eJH~qm@ZJKcffYgt{~a((>-l(yHuLHg!*LPdr;Q7EDE}>c15){)f~xYV@jp zLMIU{MU6foJW44x#2QAGQI%v9zq!jHm5G`#i|*QsXniEtzV3Ag4X#K+^NU=k!>vZ9 zrB#{Hdilqq7D`$MTv_E$DJyOZBNGx8SeCI6#j~a7g3OYui!p?s5aB;)1GFWQZ{O2O z-_hCDU>2inh~aL{Rj4F%?h>P|!rSR_KXV!+;Zku%(U(d?+F^WG`0I(a4dAkjbrODK zT*K}*EbUk&IG2*>ZD7hFgzs98(59CvZ&HI0#*Eno_{Zo!B7d`U=JhYH=!NAb*lw=6 zzq0&T_ndQ1i|1tYrAd4m)Rk?a6z|1X*R&$t9z4FvyY?ookDdAh%Z5%8o8f0Hq{8kI z2IDhIeHT)w55hMr!S?IjjFFv^(ih|ZW;-MMQZb^W;gs^t6=}2a1u|@tekb=l=cTW3 zPjS?>izfY93ZVx)9M9kF(}fS8q-I(SOep6C3`L-vrw>&ZI?|OE{X?ceOB;w@l7uBk z7wKj+W)x8_g<8xw`vqlMmy&+Q*U_r#$%e=YM!-M3dVz(cd_l zn^|;>Jx#!+=w-5NKx}w5h#Obj%(wRQlaI2Bx;38f{FqFP*l#>4+Tf#nb|numZ@=z8 zQ;ltxw~dDnWOGKsO0Y=~6H7jaIxxG;NK?QadtrF17X^#fiaVUa^b|}(l)sogJ(QE( zm4c6AGu74J^aPe|7sHYCJ$+aI`VhXlm8FnWef#EBBpsJg;8Um{b=FBp^w;BpG}F(D zq$FwP5*XWBDEQq>0v33Z*%CnXBSe%Va@m8j`f}mQ1vl-JsNZcf{U%$gf|P4`e@)u- zun-p!gmop|KK$r4q)rj2Q^-VSagoCfM8-5=UvE%2OLZ`iO+;S zogNikUM~#6El@fpAqP=_zR~9Lj2Eqi;8gR&4&dT?b2>*jS$t4YK>Ze|5-P25gRXu^ zDx)k(7NPQG$#@>g?wt_lrxpi1W=LPbn=^aK|yDd8g|^&aXTzc;68UZF&gUl%@44T@Cbc+0gOdQ!9ijg z_V%~@Pj{A~r0ML$-De`HAt=^q<{^*=HYHeRpkOjJ(rX(vWlk+MzhOSL#yXzV{Gn$G zqorV7Kf=%;b75E*w)}@1naH5uQGe&_tITcV7I=#tn|nj<_|b^Q@8cEHrBdFW~&@j{BUgF2JHyiB{vKX~GSXxq?XFv@_ zt6@({G@ua%4~{xS4Q2l6a)FecAE}PeH6U1A9{NJb7d*Ic*LZMysB{SRfGFo3@{Ce% zIO*{UfaE5Vj_Zr*0|Wr8^eWj~i-V)RLI_ug^dJeN0jb3i|6Rt4fU|Un28+n%up*^r z4-~V1T*|H8^Y9Kxl{;r|_^w@>!-@dslbDNxqUP@D>-CI@!*v_%4-?0VfLD5f3R^G+ z4bQmi(k@BWeMu-N2_t|7?SraQ(jR87OFv97B0$tH5nJV+j$eOMp%6&Vs8Qx_uz&D{ z3g*m10VO#$(^(27-z=UJ!4JoL?T?ucb-ZL3O2G2A3`!pjBm_qP?$cWBh%_sO{1%X3MCbi7|SY2b+liTKOz?mtwEh(tEY1}X9Z z>Z)SneB~Rnqs9@4Faj074~)R&f_HA5M4V45srmycWt~_h~VQ5>B^+7f#FccVO$@19+`FyoRCb!Zs=I0N41GF##`qkQuaZzZ-HjLE$_ zD*m*kvNustr8Mp~82YC!3cH!_MsW;R9Ca7W4_!UO+c!@XlzB@*TkTy&wH=_751-_! zq=-$Y<4CV(jz|LM5J~VB*gs3J?`?aciNV&DFW52CFg7IJY~OKRq1U}j@VfhXbzDB_ zwSjl;#uaa6mG1tWX#5TE{5=#wNVsxmk0{{b8;W@PE*pRBS2ob%A@T>5z7#dt;34uv z$yGO;w6}ZrCkERHtj=QZhwPgkzSOF5=0_9Y=_}=Tuk|o9{jG|J&#X~3dW)& zk6oU2aW-hW+<7Yk^H)48@8$7sWXzMB@vm^xqbSUVLEi=qnL4MzP`G_7S0*WpCr2q! zK|`tE9pXxbP=0BMjq>55h=1qHKq=hiY@mL*rs4IApFEVRAZ);O>J5)E*Yzq2xu@Zk zWI`4Kco~}hr7?zm4j?myhy6GBJ{f-FnvlAx`$v@06h6|}NM3kAc1R36#9;nMD#@S1 z)USZHdyV!o%z}olhvVom^U-LI0Vyu2L$6VcHlLNP=4T1 zv19#C6#a_&9aVksmm9sf-Ao#c_HHICEQ?1rBkX3pGrd1tYQt#(8|x}%>x8Y^Qe$Vg z_&C*lDp9I_NVOrVzxpR#xcPY>S>wNmOb++-{@)II5ST z*Q4^VW2`H&s92mltQtI&bd?KOSHI+>$xkquK!tAHTFD{R!AmzgZGtCOpd%W7Tin0x zef+t&Hy5b`C`B$@yW#~Om#2W#3e$;&v72M*7UsN^brE4t> zJ;l4@QS!|-G;kBvpgMS9;t-0vO(G;B3m@|HzziG`AU!1q!B9NO$XnM+0dI;| zg4F;!7=<74Fkcr6a9(i_1||9?`3RnnsK1TDs!Nzki~cNk2ifp%mD&9EBg?%hQO-8t zdhwEa|CB`}SGj)EdG-mVH1#Kz4P1!_j3N)ask}aBOp_h1-PlTtkQiStLFW{4w{E^L za{keP?j}(rcM^NOb4J_|G^#8aAcEwJRbeary!d7pB{th>LBiV$oWHXef;iFmg+zbe zboVp0Kha-izb9=fDy@s{aC(|X-YLB=s+cx1EHOHB%h?Lt>JDQ*)2>;tM;%b z;F?VWSMb;y{8K~ieUTA>?RVrz3nwl3)0p*PUPT7rAK@0Qeem(;Iv)NaY3q2{;pOP| zG00Ra?AI{G9oiKBJgpOdu^S_{ErBX>V%*Ec_uMBcHDavm2yab7&#K>|@D`E^ZQCij z^{~c2d|=43ZC4#=`ku#2VMso%O$R8i-D8nI%iVk8nVA(H*Y-~q9>24eNAN~2I5-#y zk0rM0MgETByH6n$tSZje7czac<8T8F-Ca*QM3pkVa}OcR0>8sh_5!X{&#$~+4mR(j zYIcfeN0$hnk!HWYiF7e@z_-3-2kEmH5@0>AZf%KEq(%Hi*80wYwd=UT565Pl76*UC zLHjNfo|Rqn8ClS>@B2yi7v~P=k_mFq`j1$ar_f;#VnWv8=Ue3FEW=n)wCD~t@)7T? zqjG_x8y7mN2jN$VhwAY;%C>#O+_&U|KXa4>sqUVF!u+CYd?*^>#4+V#6aGvy6Pe{p z)yYg7r&#NWH;xgWPuPu~Pmke)!mkH>S!D*&|3Bk1@HN+-vLU%*O^$8v7=o*`^7LE0 z(`Q}6o}icb0ecYNlqpx1n?Q}5Xp)%x{G4i4PPOOo>K+XC!Pt=a7)BXBzCFzR-9xw$ z#+M@QkELiF;A{8g!%f9~zEKp@;LeB1y{l#BykRk%D2_NnspmgC35&-!9>o}s!W%RL zhEp@?v^m@+9BxyY0^s(q`>6-#pTc~1LWXI-j~-mf<6U_Qo3i>)a1!`!UHt)Y2#dz* z(^ACAmDuME%O$J3dHN)G?%q5wxfO$UwsIhzT@vAv6IRmN7D&<&7K_r|7A4YG7Vd|s z+5M&yI{=)subv1cpX1&iC-<*DYES@>pc%2C+4SK&3G0a+P(IK8m8Ck#jR$oqdfYn{ z0JZFY>cX@Y#vWY-9+?5(I0z};gRBlTR=(s7uYsAMRe0m%lx*{c$DsiDu$}$cnW^YI zxGIvZ9Nz&=tCVg~nrZrY<)X*Qxf|vU58roRdT8)+2velw`BG4VJ+`hsQlC4;4v`&Y z!#X!OFAh9TC`K4$u2W;7BR7C{G=C9N#CP?yEyV33J=J61YW=`@ih3xc|vwtNP?&d4nsFptb{$g?C5yccI z)&$MutuR7Ieu@trIRy3Qf%`ew(q6#hr$6+1kJ1n8^xo?rD?WZdhpZwP~=Uc5A9u{EZ%s&WE2g~KL`Da1j(m6ditnd z{n^0{KD>E|Zf_H&IO-6M8=i-@fxFFdU^S62Mf{0S$WkR6RGr*bvO>OHFR%o~>>&uW z6Y`BynBt4M4~6mXWsW$`0%H#!i3Xyy;T@J|;hq7gOd!^cLd#8UpM-nz$6CgBFeM30 zWoaw9xTzF*ni!71u+m5JbxO4&47&P2dH0Kgb0p+{$4|%$ZNS{00@|*jhYzmM@WBYsVk0rz5lN(xmb)>86b?*AZyO=oV2`2)zI7(QF1j{?xIi`0K`Gl?=C>Nc zj##BOPx&5oVaX4^k(8sg9D}qN<7E%H!$)6*Y3zL?3B50U7p>o^YJ3l9|AZGh>>_oa zD39xHq$il-kYg6el6)li84k8?9SKkqazY##Pf!zDmWekKxV0W0MhPSuz9#(&U(B;^ z8}kQtwkS6a=^Vxr9hrLp#%6@QBSE7p=sK}}eB z6}Lf<&rQ1}6`095PC_&?%3lhSSC6kuj#)rhD96ygwpBzH&wX)Cb_r;@{`v^3 zTvHkt(3Q)Bo-XC8<)IBj4A(1@ttcnlr8%Gg6Rh(7(GgF)ElhJDBH$;!b-DA}(awRy zj0>o!1TixILvA{tWgtEdW`=z`_!`w7m+|(~p%E_QZ|TSWCqn{pvGc|czo;Y(yg^!Qv(j0ZBbSEt^-t(_goI{VuG!`6d6l4!hMqgn~#A2(Rw!R_xoLeM}kK4~z{ zK!>Wg3|5mcn@O>oR^<1I29x`VgxiDM$7h}UiM>?%`w3QkCvQt5%Zxy9QO#e&UHEko z=ZvqeATp99@BKmo#QTXEi)j&OSR-gc79`@l>)2)q{UHeCnIDBY++iHgKgt~?0QPae z2Da=fFZ*M)(>Ss|)yiP|gB@?y6MQM;y4KZsayblB%R>Xfps!aSRL#OkjI zBlxBW7f0D6$~_De^*osoc6Ey;I~cv36S!ioBuVK1NmcYoSaB6v6ucP0g(i+ZO-r2O zbk;b*#gL1_JV^?1(6O$u`1d{~Fp>DRKjUOEjtgZ;6ub#T2*zfCari{WiTM3mF&kM8 zf1UtA*Q;Q1{g%6xZ^@q>#XsmOEJNxaWb>Ug+5r3Kfh?U^AEu|BV5mMX;NvoMv~(98 z3&68-7Ug3ey@et=QNz^H!*B9=ybY3;htk3_qBA!5a{1!jmZeiIY!a{)D-)e*FJKpS zZ+yw9I&WHF5}McPIvu`}yjadge2mPU)Kl-DY!Wc<7;P3nSN!C`%LuFSD_}sX0OCL{ z?h9PUi3HPwh-BW(bAjHAElB$rXCp>u_mSeJbr7Lii7T7l@xlJieFtcf zgLgA{>$_L?>IGcaT%LJk1-kE8$?vf`^oV@yCz#m4GlXpV!2))fIza(gH>T|7`>Tx1 z3*~zWf(Xs$Zc(e)?Rh^!nEt)qW^e*C`G2hd_ZR%+7*}=|{OUX^p*`ukuwUBr!pj?- zxj*v19KJ7|8-d{ON6FFl>bv8><*#012=T0Y9*$#7t=k@sXl$0CsK0$jjnf6uNm63= z|7e^$ij>_tzaJQHt|b-?2svzP5ahPLB4vM=K0>JTCyos7hfx$ga7o#OdK;xdjeFAb zmIcloNS4+v9sHJ`T{~)i!M7%Kth_g-J7kX&5df$&J z>SkhV5WP&P6_DTYSyN^h&9mo24x+d)9kE#)WQvP+3S&brV<6*BC@O=W)z|VB-_m_U zk85yc#$89xQFSXPL@ztZ+mfB|00@Xzp|;>DWZ{M{l%B^`k=9o1rU^e3NMWW>R?Rbc zOr<)@W(v0d==3KdY{m{4zOgxzzIkUa(#S1y{py6aJGVV}oYskvb9kBHOLm03@_iy! zHXAhI-&T_G#c|oWWUQ8RB%M^BrS0X3@X>-w{`#@mDslZ_37al+ybjl9`{smFTCG32 zl+n^Mzs%0EhQL&}QtK}0m!i+cO!1$srSRy>M_1|Gj`6ym=^9AAd`j_~Hz(nA`f0{; zE`5%Vci4>rA>=CUQ91oL!sWYnlY-LQ+K0gjIVm(^fKc7#^#GS~uo0yYi8S&k*yx6t z0KpO*-xiqT-{;(v0Fk{{o|zRilJ(yBO^nGbzF|eXutAP#(Ja;)ozCYD3|_T3x3bn! zzL8b3qWn$FzQ0tm!SiI|Js;J9)n8)dL-`#rD-ninO=~Fakk>1rVIjlyRq8pva^Cx3 zRsmbk7MtWl3|z!c zD_MlW5H8gM;n#@2Lkv~Sw&2)e*)E>}^g#PdHD_6=mz&)sH>3|4=1Fc7)q|zMdfe^@ z3N~BP29UwuTPXM!nl|t0#>>jfVskUIJx={+%-aY z8y|Vl*MlR>&m1V1;edparxEOSySuyBT}bQ1`8((#>30G%d}`Pm>)XMZaijv?ffjC`#9H zOHzWu_I&m`KgJh1(a?tL@0qOKc_GoI{LXH9LlV-pN6|11h$~k{==t(C<#UOTupUTJ zNIp-O$sJ$vl~}(GG;~6Z(}0zf``r*bHZa_kDXenDs|X>`=7r=Vr}!~a+x$|P#M4tl_!=2Dko$-6i_&IbL*Z%a=?hWH@2dru(#4e=aUyqlFZYr5S>-mjWZ40?E*db0b0-u*U2un^krcsB|@Da zXtrwe@)d^&j(O_B1(!0pBYZzkN%#CWoH@3q%;T)Dtw6*ikL&08PRv_!fzEb^ktH8j zY#hzx1B!eY>x1N@t8hV_AAdvq;4=+-M^Q0ncxU&(6TVgL0q+jGGQMj9B+H*PQkW>s zp-i#*N7Oa5%YD&#JL8P>iR5{gz+%y3#(-B-hP6y&mA^_R0zf5zcMv6SXT%e^dZJhq zI8P6Ve^emjE#&`GI1@>Q&3=V0(P>4{VV4gcA^8{+>8SG0_*b6gDCJ7DJRU90SC1Jh z-}dPb97Ds8Y}dPS2AMPBz{k1QIAURxKqGJ8sV51!jJqu7l0%>7w%!$TtuXJ!Y7n`M z^~q^29PPmQgD4-~?UyFRfeMKDY~=;`N_cn+Pv zZ+4JR%J5WTxW$2iPf}Kk#07`+Lac~!fFr&RAy0%N21_|^lB?P3JAy_c{oRA2 zq^1#*4;xlilR*2_3|Kh*B|Dxb*|Q$kph-o_0X)u8m-Eg~Dlcp%*kHZXd~o6GEq_m_I@jYHB$Vhkx7lI*9O`jZ${M1cdq7JQ=K=^00a)tC zo0eOmz29XvOUNcx@R8(>i+KrIQh8~-THR)Uncu9pz7?p+zFZG+c4u)ZzF;pyPK_b1 zOIwi1eLG`1?j(C!dp;|VXFBm2p?FfICigNWkHE%13bS9yJ6N0X0oD`c$lAE#qJ+9S z?NIMX#a9!Sg+!mct7l;q1n*JSq$us9!JYg%jwr8bG;qZ$EMi8HFSHGB?ga)ylK{;ouU=g1NnIeaV()DP|*ESczc9F~qc#Ec=W@8Vwl!0)@Q^K7IOMuIsRR!S))u5-}4N)wCjn5Pr(oe59YX@B_}yo-^TViF`f6U zCphznA_h&5<}{Vg@v_pR1vFHy&?;+RMX2DbrsEZbdrfNmIF9+j@Xald4qX`3_%6xm#@1KKIZP=%Ly(u8~sT-mZv5`3gavFU03NAtO8cf|2cU`9! zDSsd71YZp4W>^Ulm}e+6=oD@{B%L<9AFVFX0w99k|xn>$)oc9 zs2+lzL7k93d)}0#g?c;>@0~RFN#b)El>U!I0ZFox=gIS;ePkRlZq!_Ck^da+T)8;MJ~~*%p;`iv1L>aNTA=GUQJj#%!lqJjeGw++;efs3*9B$2?X|Y&f{_e zsdoAg?sxs-eOKLhN^peWOIU<#O9z}wl)I^+3auze`!@ce<=*f)wPPq5W%h4m(92^C zRYg0BWQOh#R<1-cV<7$_XIhy$wqZj9cn=^|iqyQGBmWYg*25jYOH;y$y?F>HOTi$K zy7Ze0`;{SW&l&@pg}?G%iOJHFQLezj3t20UfhOo-PqjNA)yT(h>0hp9RC(){m_Bze zb})s0^2$D;+THP3^op@e?q)EXiQm<~jh_Q~jUb`nI7z?wOAQp7lk$IJP6!`EmccNO zY>GjBYmGfY-`ssUHNa2I8OxLaMQ+Ge9$x(G+sBz!IBt?|&UE7GtN~`yNAjKIm=}oS zn5-YCfcXw(9p^n^mhdr+8iIy*aPn;L%=t)LymkUbPdl}}I@pP8o4Q4oZ*0 zu65k-0}>o7Ru=4Qi+@WsjI0Ct8}}KO;*M&DdQ5NpaI}#0HfV197cR6044!)XxiznG zq=`grfs7isFM*M6N_8a7a4C3K-(IVqHQ_(>hjDujN|f^SRPv!e&X2=0S@?hKuPdh# zyrG*ujxxT^(?-}+L#Rj&kUWW1GqWd2an$HwT+_>xKb7$#m(haJwZUqE-hx4eZ#CpIBB&!iGIPVp47 zaQ%`_4D6Tzx1P}B1glIbIk6mRioh8(caJXPtzD-gu{C~AJ}DQ-sC^RB7slUdTF?od;aX~naS+TWY$`<^jY_L zna=X$h>42X3SUg-%MA1k&50@~6d07uK2;1IJAB$3Fv)K--M)TJyRSU;MqjJ$@IV+E z)JUuFBmD+#>BzlS`xIY`2w#t?Wt|~LtN(tMfs09s?_Y(-9(Cz1LyX*(*+zwDQ%s0& z&44kYWI$ELWvSO|^8^a*)ZhnRAH(Vc{X2ZP6?gX~9<)^{kNKbhwqTO@eghl*cuj(J zCQGuL%-WZ>Ku*p{zgc|#q+4f;VmkT|zx9HOFKFMx_W}vYUwL#8Q23Pu?~f(VyI-eRkL^_On~!AACgB+wGSmJMO!P zB>pf^tNzuDh9)k-1~+VN*nrE8si&nj^cohf*;jvHAQ=v=ypvIL(H4|tXX{0!%{Zq= zwmFN}lNTm{GkZRmCrQew94`jOu!JC$j${wvH_339VoN)GKmXW7+j(~%cJ+#?;1Rzn zjfm&SeOc$@YhAjnKQfb%x^W*aJ;DM-K;186Wj4H*sChn6(g^p;-t?bkA zphB~r;U zH4)=Q7w?}Nq&vhmiGXY2*IWr@HE|+4o*;%~L9ZeT~%Jir6iP8As4u8N$m2a`r z=W&*_41tO@Xm?LaX&pZDN4O?}ISj+A=fZM<8z)eik`;E0lv6ltd%DQK<|9@*x7Dji znJq-c=FxwlZ|!Ke&T~$c3@HE%>y)x3dm88)+z08&61nuR*SSRuV&303A?gQU)2FW! zB|Q$HbNm!|U(IJriDh&iyYtWfka%{QO0;i0{rKkztD@=V{ZzimrIn0pMvk-_b73+1 z4NuPUGRSdLe2QyjO$?h?hfM`Z14)rh@vbTJ4<6WSp^V}9_v6bADg7AA4iByIjl+jM zq$*t=V!KIlUZ<_uB)s)fb)+%=mmVq{aoNxCDAMxoOL%&!q|g0t_?PL>Eu3_@iM`6O z1t(EAE5a4S8Zn3qp~`pF|4j54_v+=}>$@(pl#GOxSq>q^6KsgI!x!gtPt@+hSeSd8 z@+Ea`gVC24)339@qP{!M6k%g)F$UNHWus2!9r|6SGDdAYR|xyuN2gN4jO zVoc88C?=S7zP0U%7R7XUYKI$oYFD6-ahA%^t?tS}5v0v8RrR?wKOK(8_+W|k zG!c|mkRZ_uJHaDnW2p}Wd72VdroUN51;e|q+d%X1tb1T24FcwAdUU8?_OvYvw!kc` zBgcQi)t9U_P};$){r@qAbIJN6{N2@Jf0;$&or|P%Km!^011Np8wngg{?#`F3W|YQz z29j@{AJXqVh<#(M+BJ)aO?z(Na6mfegS__*Odx~sTF(cWNWo*MVecm3HzXZg27OIhyUkk0!e+xNuk%Dcre z2_-tk*Fo+G<60j!$c7`e59m3tw+U@Z^5=5haBND-usdrf6Q6SpjIZE$-<$G4rVsez z_aqDVXxw2e$D*O4-lR~q_X?F^G5vO;JYZWohg+wwKU0f%!}jUDRy0hNi5SWTcM|ik zNCfWN%P=?d;=cXbDL?rr-1~KK#RGPT6OM)NDqp%cNc)H9&9>W>Wn`z(0soF7!Twyi zLc22rpL=Hpa8@Xv(l-B(Y8v1zco$kor%aS~Q^JmKYaW*U9ic~BO~`R+HLSWefg$7; z>4m>&I?m9%2%o^icv|Z$M(n-z$kt5xeS~>NfvD`XR+qvmf`;kTm_t_VB@d{ZOrz|W z2R|5foJ>}k0^N!KgJuDI6S3yEBH%}PZIdZv7u1z~ztAuqMn+oJeza7q#=xTS2|{Qu z9<@8~gFzJhVUG}y)Nyiu!}#?nBsrI{vhdz)4BwM>@GdKMq5u8v#?(${-8%<=jFO<* z`Ok}@5>w5q-E9>6J1mb-Nwb`5Vwc&j?syLuU;ISO8>_51YL(-Kf3${IJAAcu#i90J z8tdYdk|wt57iFR|RwYf88d_zd;Ns-pVQ=Bx>Zn^2TJjGFTUAiKP49F>(wfR`hfcV%OcHH3d7~t@Ug>K@AS(^O$o&-o0Ac?H_fv*IJcd6CB7u?n;T^Iw{b)tPJlgm z`qjX@0cCS$abEf%$*Of?^%-IAdf!y)PkR+DJ)MpuzW(#RCvu8?iDcY%)x4w7{7+de z>DL^5)709fct=TjNO}ZPQHVA%`J$2f!>sT1Hl%nU_t-Wz)-NSwn^Zz8+N$2;?&Dw{ z0b0MafExCJ_87XTH(VNnPVfKph6?FUSNzhUylPvrKK|pxpGN+U5$HbX-2@Eh{7=@$ zR>*Kq_~NMb+ODkgps|&B8adOByz`)qJTSYuf3*Ilg>?ySQcoR=-WH3w*u=LjQo+Vw zviuN8sNLXmQrPAc!I(Dx^UBv2?@|~8v%gGIEH8)fhF@a3<+rTut?}&HXDKrJtd8ji z8`a473Z_D)ZP{*G>^bhbsiXHQ(mXO@_8fvRlgp15*yob$mkE&$+dv`Ihr170ft&RO zA64=tf3MMB`)P_}kJIMyaA4!BQsqlB{z*!d)B2O$Xc;iHI}r=&P;@0; zCA+;Wk#j)F>rLhguog*I7Y*U}RhNgVB0r&ObL^B27O^OiDZb>TkA*#sNfX-CQ8tH1 zF=p(IL9VgXzK6QfXTG;Zi8GdEBl@9~g|ZA@6WWYi1#>XZs? zt>MDv&f;oBI4)VI9j&=FkX1{uRdxDvzW!^QAL+v~1O$R7p^_48eG$ofpq})m4L*N| zOqyp(n|b~Yw7{C&R{jt#P+yeWr)=cuZIKQ3{LF=Y&O(99Chm}pY7^W1kQ@gEP67PW)Od4cY|90NM*=q{Z}0 z2-OzSA?=MdMm?5ED+xO_Kaby4c;@fHWkIYQO%B9zd%ljw_Z90pS?i&ZOJrR!}leq;M(7z0z}%lcxGH zbu~By0LmL>b`F)vZz`0GDB>k@-|IggsvLJ+a6@X<-C9l|yqZUxh?U#(2MXHnk@0Fg z+t7Md5(U)Ig%VF(1=X)`B!i+Gu9_E%*3sT<5=`4bqtV*KatMYa`UifohD${B>iN9# zQl3&cWt~9G4)84ut5+8bV)^c(XVOkOpC8g^f0*e@r+M%qb!h$h`50@H(a@3uV~eL$ zdNxr?*x09h=(vx6y-dm!LTC)rYwFCBN)+57ig(STs4dZ>>B@2|rwd=q1xe1Ab(*SSm41J%+S@}=cX)LEFpC~Y878Cl`|HBtU4fa5ckGX z{=-0uCUw8LN>qNT;L(Fo;|f(=ZJw!m18>rZ^+_3cS~m|G7wTp~K<{(SN86q?BQ4`+ zk(t-pLXam}@-}x4(=O!dYNPO;bOT>IF zX*uXAfASuTjXUZZg=!_mSec-YJ(v{TMmR-NEX+=(Dm~r66F(i^va9%NpvP1$T=+aLH&1ClUmjgLl z`R_xQ*>CrZ$cAsxlI3^~S%G1h0odFvJs7wChZI~|KpNCED@f)Hb4DHF7}etm5!D$m zyj#o+BNHnZ5)Sh}z54VSFJCLTr-wSLg_eP{og0hB(}8fRxJ<-+&Fh1(0_Uc>j4)5a zO)AlN?0iB$dDP{vL{cxy7h2-G(k7FW+lOT(nW9B9U?V32ih*mS-nvyB-d zdp{kEoO_7lQh9I7ld{qZ-SoVQtv=}-Ok%Ua$*YL18=U`Vq96vEGJ2MZf-4Z*OV(ez zjCfibTG-(9Dq0|^<@C*gi*8K1=NE*fELF_6U2-X3lJo-dAr@}XM;`&XQZ{l5JneZN zqLJ}t?mjqkfxRG;g1pO(jIma~`sP!~ZEu)RioQ?zR%`WwEZXX=%pchR3SftULFg#*rhfdU}A2KW=s#A{rNZy|eB=8zOVsCtME4^k_qs;|9Oq|jl!$wDIS z{nvB57Gbl~+F}ps3-Je<4UQ*hNlgehj)V5sLHQL6sQ}4qYbQ!8za7!Q(0Qpv0?$!0 zNbG|G5FM>DJr*r*32YQN)+rYjVpZ3Swi68wRdYPzRbdL@!<egwD`n%y=yJ! zEl=2!*d8gSJOrVXv6y-v*y(MB?8_ze_n*CyAkD1x)_KIV{Xp#Mdy_UOD3+-HO6(qy z%Q%?caGeH8PuMng9ySpb=|O}zL0rk*zNT3fnw3HEYqT^6cGrp#*T17}ow0erDl>lD zYRg*%@tDHRYuKcSbeI-If<^9>-Jxc)Z5j9-<2Yj|a3t#t=e?z@Br7)Htdtt~Fy`WFrv=_ zuh1%)X||C|{=VUhn+4E=&i;awl~+zKf*9yKTKz2HH!%;1Tl z{26O9&KSx}VIXT-gDRRUpRc1zh1j0c{QG)gr`$lYhhUs;{id-W)I^mL1V6-sL1DL> z``JW4`$-r)wXn)rY|Z!7Eox~;`^&}kLlCy6sARciz8^$SYdJdt<0MRkSktc-r#(f- zkI=JAhhei!JdDJb(1KE7n>kQFL>Si6*CMpoXHDV3@NcxHdHpZ;$I5)7rsf0X$8w4_ z1_gs9h#KNg<1cpPl&gudow4Rbvg|YIw+jOISVXv=tf$FCAgRSwo&H41?c zXqMUfTAGPP9WM^pu7C7VTv^o7$`!s42+B)4$+=pM9oSE|^-MMOS7^QI*}pc2pnV@c zP+mN=K5=zTtS%f|sS;A3iw{h8X#15uaqM6Wu4E6+!MpM#;MWS)KhCD&Yn_ZOqZR({ z5%6+hyfRuC+}s?lXHH+z%+t6M{P6VQX%LAg(Fb?4=+J9%0*Z6=y&-zO4ozHOe~BAc z&~B4^MetysyHd#RjN4E6;D%eZHuPK_NHQ?yL0X>ylQv2=wV%6d#cLTwYz4gW%y0k0 z9@`W<(tD0JMWU^0o@#-Y=xoUw7ioz~uR2`z0Tq%j{S`ZI%Z+Mo!U!+%s%WLk^;}x~ z?}3)~)9P>g#rFx)D}r*uF8Na_j;lr>$IJ)6c37&ud1O%>K#5tB zc`VvyfJnUs5UH;OBK1uCEnS}8p&`f7v=b}>p|cO7zlmy$xIT5@=J9}#RvIuoi%Cjz zW_HYoF7-J-{ZL2@*QVz6_=DjK57+x@&t`@HYMt*!N?h&H4W# zG@L%kDmjgMx@UUYxL+x|(g4_!?`;KCo>tLpvMUyIXm%eui}=p8!~2!%m|V>7UA7pN zG$Yw^RrtBM8n@aE0K#I3TD(Ees!7dN7DR*VVrRH(dod+_ zhs5&BR_2F9do!E8Kt?$2iB2hsm{#52Q@-md$PdQCPXqxnoOtc@jGC!$shGi zbS-=_#^d*)&jtm|=bQY*H1j9Klfo^1c9nluj*Iu6!{5 z{m@451d49**?i%RgbVL!GK}?)d`U1fdfGp(y$DKcFWf3Po3pz@nSz2n+rk?Z=66kVoURXJA2rg4YY#!hkVH>xmju(zt{amu%=#Bh{%t8{UW_NtuNo#3T7cKaC! z2A_Az=4{zcdIqQMTHWV_SO=So>wUFmMat9yW43JN=jXBZ-xhWcT&5_e2A-j@U;r^pS&-w%OkbI62k8$Qbuh5kd<+hyO?0Lt=Fp6tz z_hOQ4eKJlr6@-Gc}ii zyq3@ONs$!}(ck`t?<;@>6H^F}kX!!1gLH14rWa2#S(YGDXbI_Cuysoisof^*E45RJ z66x5kJ^4=y@9Pa-v{><5QXh|anpsF@^m06_&b4hgv9Oa`g(YLZ4uvHv_Z_TTNFQ0P z4Dyu;&J6w>vsf9N1|h6@&avltPe#bK0{4D<)p${nd{kSb%b8J6Iv6*R_2tfa-k-gO z9iMBOW>Ag?DX!3Rnm$nVAZF{@23%bxeq;|sjL4rV{u3Y(Vu_fNetaeXO?zPHIS1}< z?Q<4I?i_I1yO^H4kW4aOxRU%C=4@6XPfQnGD#(2oR@(Bhba=wZ7gW#yNCF_g?Bfie zJ#gl%wv@K;zOk)1ru}p!3lTh;*!V^sbo+xe(mga4;S4-E@8U{Ab1;ZWBNH@g8hg0q ztQ$K?f`i$=?X0Wb!%0CbxFQxJtIeO?_2~^CXGl4;wY}H$U^i{&yRO#X3&Aqk%i3XU z85*EMPTQ4U$&-TE=B-#rxwYkv(`*=@3TKEcZPchKM_vQ)0QhHz{J*sUDb5&Uz)$tu zH#zFQ^X8%;E?D)!@jCAorV1{-kX9?Z3yF3knG+K}Bx^Iyqd!c(c;Y*s>-Vf5t@x=R z))I!OZo=?Gt=}rd=b^Ut9vL_!Zl4XF=YeB#3XS+^<79mlYq>AFDHRKDHG%F8=qRuC z4?)!I4lafw(_$$#Y`1kZE@^jPfVw^f?2=3K3-^>PYd0SwKoQ8 zZoIJ7a3T1}#sMQ0HA7x|!rz(s&qt(@++}WTfspk<-qj2Pjo%16i)A9%0y})$Hh%^A z1yrs6wcp(Pigm{V`My0vSfLlGdISxCwU1-x7SdQfr@p?qO_=hK&D4v(A#!v8SmxOx zt;Ynv+Du$tgySd!zj~yp753F(oR+K`ps;# zwf(d2o%g|eE}N5km%45TK>rG|99x$q2*BRAld>`Y>y-uytGr7C4FG+J)I1-61HBzH zLDSIWkWD~|iAW0I1aGQ0O+W(yAF3)EG_q9*ttkW(Y%ZW}B-GcXv;&uoeV2svt9?0Pb0Xh67@cF(v9Bc^9{(FjF%*&4Nni+mxF*!NuQV!D! z1;)o;l&Kz%vWYUFB4+6vhQ)H{75JQS%5l^1y7u(Fu}R7T>wKYKom`=mx5YQ3=ZGZk z>7%Lm$RmOBc72oA?xTOBcsVaE)xn36V<2PE1*9%HS`esy+Esw$!foCo9NXxzH^ zeOHf)zzmfYilYCwx8K+#!7XhlHqO~OY_p-5tZ{`iVE?gsc6i5rAI;Dyl z{!l+1yt%nZe|C*{kX)a6rveJwiQgzU=@lTv8G{i8pu>GvBwe9WeKSfpp>DOHI&|J< z-wAlLa_pmPYG63ayEqu9Gi_=S)%mXcAKUD!Zn$#sr`b{<0%dREx-5gs8>Z^Le|+cu zFXIz0pW%tWRfetXaM2Zx`@edOz=wVFRCisukvH=|rVx{vob+ipxsic$o6O87UR+nG zUFjmKcr!8iQ`=GAR*amu&ZUo@7Z~5QLOGDCTp+L`#-(K-kyj}8UY?HR! z8>|bLko_Vl`|4jMQt4ORo&Yzk4Lz`~!G^Bds)DWzT_pS0z4w#CP ziNq5FM)?x%ZOER3mCi;I^SC+~{OVvb5tI4HCNMLBv5_EufIVM_e;w|KkemORieSTR zIbAX}n^?kV4w0`b9Q$&0)$l5^b84m2(bN5&yt|%C`!3uYZe`86u|w09xU)lpHkk#v zh(U7FTM{vsOv?_zDmlN1=~4h+oX7mQ_2`p$6xn>snM7h2D&*n&?GVVvSB8R*uz01$ zNA#hrM~d(9Cyt}!9Ol7Op{iaOWDK^dDs)OmHg>!v1)#{!by@}Ll?WeuhozrS{>$Zy ze5?zV!(1R;Jn4LT28}m2IX`6OS(Mn3=4w|skfKboI&;wd*I_&pD8o-Ig9RvGnG4=g6r?*_1do`BKrXWgJVv2I-+L2M496)T z6k+}1!#2GYDH+;->|pLB@jI+e*Wt16n*MYiz#7ToRnsq`dfo{(@{oA>?hGvqd-Ohr zjxmr%L>zFb?6zCg81sCF!{4_+bMZZ0tsIB>U{=hSN=phH4U1cVbn^c=zCNO_m9SfR z8I>;sm+1XJQ$LFX&zq&S&1}_%a5dL=<7+p9QanoyNh3MT!YbXnKcy#q`_O|~tH172 zGiLR1BTHb#mhD^cJy;#g$!1F=C#3xTj%=Pur1VDlv$#q6r(=<$ zPG1_-xfal=ogHAR2w~$R$(PiE0T`~K8 zvvzch^L9*A)vC9-jUpZ5?Re&Apg_V&_Q#km0z*&Ttk04-s#VOKg9H%)3cc3SJ=qFk zA{|X4g9IXGFq6P;TvNXjiMVhPQ;z^UUmR6O%8JFv7$yPin-iJ!wbztnqhuUZS)Xfn z(z8}Ia7!ay-h~%E|C}~HY|+lF?31(Z^Kw@xxh9F$Z><`=gC zH8moz=Jq)NK4f*%LAS5JpTC`$53)A18?bRx#rgUh6_qTiuBUzjb9z*d6!tu07U49{>O-u_ z2MeomN}d{x^J-GP&a5WQq{Sz1$O^S~)O#_755(JHd$VccHc_Je5EI2Qy$v%W_AxX2>!ls69%2BA;X z$ymBsSE&etY@*H|JRl>F6JO+`Gp05OqeNylHrcBkpb>6oy)QpP`!~Jz2MIW{JdwE{ zvhD+Bxn?v?^4@a&4(Y#k%UyC2+Z%8AOXMWma>ngz0*-RwGQ*zP!SWMTV_f zw`81^v)W;)vi)M^KUUZ8-$t98ayZ1Q_|Hf1H&e{~_RC`WRp23M{>>F|5rI4IVP6V# z=(VHXtOB;3<$u)%yZxzp6E^9I_`x|Wtpr^=f-b-biNjI&O#Fosiz^uv zAF!O~|HsNSdzs;@=|G}Y^*))wt@c6St_i=vd_4oZQDR>LX73=d8_esUCr^q^hOH*z zeb+5O%A?K~fGofOS-eh7jHBv5#JS5-(;U0;Enot^!_s{*?Lf~LcnCjT-Sldg>%p6O z2>xrk@({cp2&{#XbMh2$w(8a1UDW8_9`u`Qnd|@HvtR4O$73A7LbfxvU_M+F;tGog zc=icjmR3N86^{?;rylL>wB9Zr?Z~DlI`TyxMha#p$;|NV8@8l>%UtvpoRtY*iM$Gg z@@%Axw0crAHWTsL*4Gn(s2M}7Qk#3h=cHR>mZrZXl?mu|;X15^PG^dGG8}J()Aa4# zP;K|-^h#Z=KPeJh$la;Vuq9gM+RJ|9J6giA^y{;6h{x@j-Q9$|a}&L@jo|Ws6#nNY zA(mUSPy7%&qG)vJB~OSx!F;3X+O!3zzSK!8jZtDPd&Z8hw66Q{sUQz~|#T~(V z4;xSsUP)-C?_D`L>QJvUK)atfu-4>WfX~|0WL$hX9j>GJZKH=Rv3rxYlF;TBFyOFX z(B|$(tfvocZ{Hc(($H>R<*oJZXke(c* z=bJziiz?Tkb8(ex;zvbh{R(`cQAzuNW ztpGFS?&=4s$yB8NPpuH{Mc}RBSF7Cy*wJH<($=7VBk_vc&*77WkyR1c(bxY&qpxNc zgoJF3=2BH1JqCVV(2EH_db~)t7dDWw-$DEEyW)tQ_`b(2X}E26B(E4)nArz*l%df$ zJ0jjU4FNUoE{wb`KsQH?rA1X&^9ej%mT+aP z?(V%nI;`chFlW6CvCk~8pJ4s0bW%${D)F7 z%|>Tsq(*rJ6wd(U8h;Lu>u-Qu5m^CpeV+)BYwbXx<0mT%%)_$XflukOljA(lSXXOH z31R?mKrh#_z`O}SiLxW%duPx`YA&a;6rr1ZQ*U6P{#up~9Zn5b#3e$w(bYz{~!>1Aw0 ze5PLD$<=-t;nj3jqWxFApn)k_hayy2tNAU^wxT8haiK9{6Q=7@9IwzY%Mo?+=P2Tp zB<~WTl3~gOcda7PqMIUHFSS-#K9YDiXI8Lyj3K93h`>F&mlzuJN7yJ$z2}*rjHx$l zR8r=wXNMYcxb7TN4!fmb&vP1y`dtkl^4}~@ec%7NMk8(^Pa6z-<)WD(7D9y#4(gIp z$wpciUs`#U3iGEz9#|sd$QDDRIc$w_2M|{z2duuI-<%2tW#~kZdRkYHN_KzC5JO8y z75By|A`o(+=cPXP!2zB!L61u7ErnFe<(mIbzcaxc|B@4D2?Xtx9qNIjo}JUcubGPx za-OY#d+XV9+MEk85+hCBLfC!tA-7^^$WsquAu(KatATGeNw|PW&$N~g=Ut?!7Ozuz zy)ZYg=L~8q;4A#9P8L))<~$8Nti-rFa+%8W3v#4mX!;7XJOpTy5{l(`LB1va&37YQ zSBtAn-GAMZAJH+M9Kq0ud{}H{)Y9wI;pYX?FXHV_{BE5KTVIkDjA?7wHuboLKP)rX zJZyGwGsziqIS`a zA6SC^o|K*}W%H-fR7*nndR=W9hJgY=Jy`!+{@9ztE#`@ca=xxG~U%tGB0z>yuL6jE6k!`|5 zF@bbV3WfK51%fD}t6x<*YQzT1FBC`dk6MMT^dQUd8bXomPCc=#;j)@dk#tL9b?1=? zImVn+gw#mtQbop`w6t?fQ*-^v55t1Gg7l4QU-kCzbr+%2we;O_5cb zlp+LR@1~ze5!5wetwkw~$}UbQLAe;-5C4vl!ixq0pUF229waCG6uo%i?y>|!X5t^B zm+blRsVF$Fb_zp65vHvMQ7>h!O)Cp|FSk;K?+HPpa&l`GRl5?Fdi;pPuFXL*xAC?J z&;z!$tH6ZHL!F{Wb~=Rz#o*upRl$H(6OVV3AyYe4?;gf=;yw=HdvXUz-X^{yzpB)P zwmmCmchuymYJP9E@0qF($gbJ^I031V+F`1i&3aC>z-*)JVEp4uDS3-wDH_+DpZq^uU|HQyR(2{9*WulBH%7nYKmhQ0sxI8?Cc z8)Nj0y^`w`qs7g6nXIIskt(QO-gro#_#4wd1jjT{YdKDFm8LjF(M)5mRXtyN ziBrqgp&os1CHLIBX1C9nCGiro3-vqRU7bnyIc|5v?=93}tRk7*)c1++dD#jyLM0nze3wSY|co@rMU;X+{);N#X7dbU(maTW8Lzxgk2(Q7YL zaP$O*bu`KPfOH#tedW7VXNjGLz9%n8U>3Iyex_bxPzKZmyi$qdjaAN^rzflwO06Oz zLk~F_R*iXEW1<-R%F#D?a4y&Hun|=%GlQuqF*~{YVwCHAnEp2!p_st9A47#1RQcZa zKatl8DHIs-ih&&RrgNI%F^4`5F|ZsBvySa@>?I|7|Ee&6YKXr?osW`H^K)Ab#uqfo zaCnV2XWC%7!hCqW!!LX|O-trxHKXXnB7rXW9@icSE2XD|{0~bgK6~ zgs9lb#&VY=Ea4cAY*SqBm>=c%;7z+FjriU|I;56Yx_XSw(u>%97-7bZ8e0%|o)`!F zc3ny%@kk9Bm(O{+4t8W}{(hMd`IBrjO)yN;KwmO%!e;&Hcf>yt@xF!8Ux*YOpCB9EB;PHKSGq}#rA}Vs$Ic&P(tCrBk?31rA3xBIdoAtABRt|XwEuOR z)M;-oe-aQyC2VggH=-65FrQec@3&E1WwvDD{|H+$S}ngI7rb8FV?k}3+AfQ8WJHuv zM*p&47gV+QN=oNIfcer?)eFq=d+$*ajUEGpClxVa5(GgBW5L^xp6H=}6_TrUxo;4S zkV&T#Q?%gyDpSq#9$XTRBeH05z0nrDXIe8sR6(m~{A85##{1-=euZPNlW2Uwj~@?A zIFY~Pys#s@lM~0AaA%U!1&6fyRpbg~n5jNIxpRSeZ-kBLd-~-bIn_c@21ZYkF1we7 z=VdP2G()KDy`m54@&or{m%@nb+xAypl>~#WjHlIzV*qGtjWZ%Ubm1|MQ8yJP~N2 zV&+jl>x-*cVOanrlB4o@wKe^3u!*!pHZ)}CW`p}K3+?9JguPV%k%u42>?RgIJADg- za>5?X>=9!?_<*5rgAYuyy&X*!K&$)z19@-$wN18LpB@fHv#3bxOP>>*MawuSV_!r} z-y=`THS2s!O2qz?qnMdH;$v{KsSoQhR*e>d&1`9y)+MMQACIV0{4Y~I-pks**O&V@ zZXt)~8^}4FE#DDowI2l#=!t+C@vLGjsp1s##dq!2As20J9!#yRk*~i>0^82m=viXu zsC)M|>|Nlc*8>vA0^BIe4huYX=4NM;Cpp}9=6NRKD{#i?z8E91Y|UUdy>g*@#PY~ZP!!cogs_w Q; zdn?Yo4)KfoPcK6N(D;JX)uETe({X_GPK2YXT|BYpY0J17r&s4_uIxufyyG8-wY8| zNf#VudyvQui#p#y{kE&6fu-KndToEcI!>kQ28hP==oyZ&(eg_5Z1gb_SdJg%Wk}vL z9kN|Dw#eh>d^P$QY&Rq9T=er8z&Qi}rb`lgz&;epj#?7qE_Rl&ikM}o!BwyN6e+1x zJ5l#G%EM`|JSFtIKZi&~&q#`0Z@Bk5HhO-myGCh}A)h=3s&vGVu&UuD`3Dj`Ke$`7rq=SRQt1c< zY$ak#LaiFjb?GJ)Ow?@i21v7x5R~my%Wjws`)puCi=jO{$%L<}4P*80{AxeJ$TECS1Bx3z0zWiPeLN!ZYlmc$|`O+4JAQZ&Cf)uT;2sNpE6E_?Fc;?#j^h4z*b>SvjdHFN`+ZPmYg7 z0vtCFv57o!ryg!I|LeZOi7WpxA=dXW^fjVNmV$Jqp^K0At1Tx%KmRs~)<8lBrSANw zW5(rI5%J;9lsbQL3gm(216VsQl45pSJH|Ad(**25$xpQEcNEMx6{8LfHHlsVb`KaAKlhULrCoGRmSK}k>^M^lo z0SpDJE781pY#9%^{(}18fkoV>+v4Og7ggn-#P0iI?goxi%xm8&OXXh27`?Y`)%3JY zc-5l~1!cL8J+J;@zTnz0P4#HPU_-41K#N!313)rS4%M!% zMnxKSvpv2|i965s9j8L&{k^uCiH@^8UiXVTH-eX-M&Nf8IRI}c?LDU^>p$}J3N~38 z>tBqvUiOMR-_`qVhb}q;O!r@h3e#8j-@CY7nt%51B4Qh`T4(KkZ@j0^?wT6&!O@X# zG79M;y7WC2$SCXIab%u9)@VU=Be+p>Te#^RmfUk0hVLU{zsrHtDIHl)?r1y|NgS(t zDOaja)-As>uk)JZT%0Pr4l~q?o{x3k)9}KaE4)UX8i!u)DCLpI;m^I*vc~CXYr%SU)9(CpYQy8bRTT0^KoXQc!|QJlFJqo~PE;>PTg9Jw zhld~eaMg}FW7DBa0?ZY-5EtjO(UkiIaEvc9_j@k7F`l$h7l8shLd*RzfCV9>HYffgk$V* zWckXiXc%a-!yo@{Lc87^Wzf>xQVFE2o;;i?p@;)InIO(L#pl>izGP zKoLAqKB`P8?Bl7%b@4NaDXt#6KG%@iq5GCZt zl!xeKC|)`GwDXa1VGPQiT&7o^=Ak2 z?R9|AB{D)pMJR#$E(u-d=8ZLcm1iW-mRYy*0NtkEIAfFGk|fkV^W!$XfO&bukh@X~ zfm$Bp0(H=f`Zd@x`LOX13p^d&7;8X0HiULAk{0JayG?&@VrZHd7aLtZURQ6s&bjXL+wivB+}T_6 z_iG%@ms{8k-^7k~@<>^U5=Y^0b7GEuxn-xQ;7JbNS@tG|y^0RrG4>{oQj-RDfV_#b zWb9n^+@*$cdOJy0|D4j#%vr2;%J>jx-Jj~+qEZmU5JG|nvmV$f{0BSZ4H1)rp-Pd1 zooCy})sBGiuP0z;XZSzgp(E_GSSvQq4yLo}w^)lA=ERgob4d=yT&;1uWPs=iAn@O) z&$O-S>>u(|sAQvIsZOGybje=5?mS&OnTZ&^iM}x!ZhhQUGA#X(rVC%lOi`Y^2{V2$ z|1rrLzKj;!t`6bwRR1cdF3sr~C?k;ndmmm}kbzeBlm{8Hm)~<}<$`j2#|{7)uIxt>Dp}@dPMqp4LrK-Y9J?r|D58GfUwa}k(-Hh7xh!fq!npTG)X2(_e}U+X zp_xZ}1o$Fk<=__F28e}HJE620>YI#(2zX0I6!78A;+EEfQTKy6BA284eL$k~<?fJ{kADJKm3TvXbnvldP;{Co6N!$^LD6tl3#} znr!Poeg%8&Oh5Iq5;eoRNOvemgV ze9cTpNcp$I05&m4SYZgwX=poGVQ9R^Jf)$G@5*+CVIi}2a#(03kg2q!iV{2uLTj#f zhi08eL>`tQ9)arM5ZtbEX}1-t)ZZc!w`!IQw6V>h2|nI1P((cIddtT~wD#w9TSC46 zO|(kp3!QlGv>9vKAchjaqnrO@))b3-dd2$1Z2ZA2u>~$NBadrf?X?xIDC5`?ze770 zt~-MTsN~)dMyO}otpDeF0$>5r1G^FHD>#=yZRn#ni#YDYjnT)fq>4A5Y+fI@EU6Il zdG$TZ;yNwky4ZawFa5(DP)6<2KJVL@>x9!mGw9nWM%n2b!2M*4Rpixaid_iTMn_NF zD+;)Ka`~ooG$abhgZqGyzb9isY@~nu*FgBJJSdPD#<)Pn3pVtz)GLv=ln2{sXv^`} ziCgG7803hSz-mqR@3^;l7c@VT-Esaf=ARFpQQFS0YL|c4!<71F{+*Q?e-8{KxL4Ox zG4pFQVMX=V>|AH~OIe@sqed;Z0s;0lcOEPzbMQV{F7G8hrip=AXXS!{2-r~%>7s)4 zP147>7?q;eAU${@i91pYg3_)pS&H}Sm!cm4NfWLx^pXdpq6-aWM(d8@JyNpwm{6TK z{AHNgtj92M-^GqAo19feGyxoCJmDtN+s#Rd8;8zfg&>(jx<^Rt?f-cZXZ)DdwWP$; z=&H6&Cc1=wq5$o|(^nR?xf7L+931>gJcFmlesUJI{h4%rf5U@adsYbgmUXwAY+~|J zmVj%%Y;=<&fJ8{s;nfp#ar-7zGPU9O0uwOx=%^sFDRXI?a#_$;I`$~_^6=!N=o1?Y z;S-B~;`Z$I{eMbI{V&BBiF`0ftu(Gvt<$R3-+Pk^GxGb!3VA8dCRxQ@!O){G`u?1~ z*VS8H^Wg4_%uiS8Q{iW+UZ7pdUwo|_0nq?yS}9>FWN{04*h@T`ko%Akf#60O*6S9nxmnjX~{^7+d-EW_)zEDs-yqF7I^;&M;8 zuVIgsX&#qJ9D|$xFzRo!*j(EJc7}v;kS=$#Njt`gsuE*7R~%kiOYEvakJx!p@4`~v zo*&uGsiGIhmK$dqs&iOZmt|6k|4)U6Tw?5X=~j2E4>8j9?ml(hPhtCs`PTH6HJhP? zywV`Lc(y_@u@9_mprmMKlN%>GwXndtuobzT!ACWhiyBe(BUgD z|5^bC$tyYA`C5a)or_%j1`{l%;ujSVd#S96G*Oj)d}H%?FpF}=RU&njvmN_5vPG?Y zyoTC55GwuizT%e|9V)!_ah77$_{RRX@?ZhSLC0-U6dw+ji?q0V4-H0930Od%9=M(A z&aUs$>E&(Qh>0y%otlU#y7I|kB6XJ;_+9(W(MOXorN%dfy3182b{gE;Q|3q2plbY` z2yEfQ+&@$P>3boXFPF3LyR2TDIoaE-o1T7$(bcY~`*JNsEIq1s$1q=;$WA+}Z%nqu zo25PiJF`+TILmAW0_IAFDxZo?oS>~)!3DHn)XMSxqPZhi3>VfY(&%o%nJNDaa##){ z9!%J+ET?2n8FQb+ozM(2P@B%vJ%iO zlb)9Jmwgqy#x=h-HWn>7*XBZvx}@E`lO7S^j0g}@4@vk|Od>x0v@gB^G;}HN{=;d0 zYvvXem%YmYjbAVH3((b$d|e5LbSiXL)M;@6;X8 zat1crKZpEO){#uinH6lCn0JznOIPeM0sbnBWz6SZVc=a0DyqO!h~-iIZpHR}hXyFzsR2A!zmzFVam<(AITV3mPfg;%nfL zYa)>=*x`|6LI%~t@c%^)tfN`hrF=RBpS0EsGXUV91u0ZzO97g{(b6a_I ze;s}b1dE}7yYOFwP8mX?05@2z83zl6xVv|flL>8jNq|K`IW)ZJEzr-mub-e%ftnG2#Ld+H&}@yo3Aa;P1@sq z>-zW3wMfURLO`|SX;`<#RCkm#iYU+5@0e zYsc`Saw5i3GSSE2&&Lr9{X=|{3$p}?xz1=u% z#V~$qe(@TW-m1}X7l`wOgINqu&q4?gYVMAcynM%aBj7nln8A|ojlC`AjbqQNhmrK% zagSBgh6 z;>k%*V4!2W&{l9+*)qd54e{pcI$!{wkl7>1NKB~was7x*Da0!XHJGWVhrzyKF|^;} z8pn#|cx-rV%SwVkl_NqDDk9)5fKKf-4Pe_3M4eWdEP;L%%t1w9FIm@+ppO9?1>CO_ zMbL#-&rZ|Q@43(e>Mu^yTXe3+xp@eQ^6*syXaZt*kROd!QDjbj()=PMbMp&h1%ZU8 z@Fac}&rW{g;Em)qR(^x8=OuGenvUx&f)*dQK&p7ng$DBol)miDKn7TQ35aooeuJlc z6g|qVyeK0j*}C5>2^4yUC&_K_^Id5hy3K!phYD5;!lt16&732KeVGxxw0RR;n*5u- zo#ZK5)9vpZP;%*#PXJ$BJHZpCjP3!|QhkmZR0G?(+B>b%Ap63Jr!r z&Z;<~QQPM27bWjSE6nh}VNHOCDMsCTbUs4$+rI@HHjp`6B?xy4(vJ&o3Nc5jo>ZPi zMrbn@R3eW1t`GnYeY+k2R{V2t>><2Vye3THSt)&p{{hb!P;JoRLYRrt)zpz4qpd6)v6=u0Edz2P*cH)9bLMej7 zg-&2&SY>l$?EJT#J(_L{-qLHt}|%YX(`~v@2|NeI);7i1XA_ z2H0BH3T7Gt-vd@SzEtoAqEkp_X(OGJJFjaWHc*CY+1qZ%& z0&w_#8#{^t#*=f3G^kgNrt(Ce0cu~C^$v6q4XBNKaW!U>M56JAXOa4_)lDqbD3#@Z zRC5#BWA#qxr<&NBpJ`*CxfWaO1P-**5K5(GcNL6f7m!q0AvH^)CAl{CQ?IFT?i?Lw zBu`e!2y1O93!z<-1m_--Nagi+6$Ef?@a8Q_*y38`kq|Z&Y)>>2ukU9Ab&1+9#o zLcoqu0oqJuHFN~VJqkAohVy;wfEltLN3P8uEpCw!-7K9g~tQfaPS#_$LutS9R_!W2(&>GTa{OeWOG24!n2ZXn&9EX{CK(~ur#ryz0# zoT-Tn&HD}aBKK%7kgiM~+dDC%+K=+5aXF zjE9tOjT?61Ewh^m!`h4=P}CAHKTiX9Dmx3fpzCfy*iH+eErB-IqEh6^lBy0>W%vww zNmuOUGD&qO#3nSKt^v;DJmmATOuTppLG zlJgSkrQP{@k?0uINv%spDO24v3%gRE@62jseh7xL`u$BI9q1S|K2Sd(%{++&1qpPXx?SFSU{ z*-3`a4mrdzE;&3?TgB+v_X_HrGZ~oB7t*1q_n0#pf6rblVSnC(pn`gu?z;EjxgbT} zq2uuBhps7q3W>nS;oAu@s*s5WBjjXm3~%}^RW1LCqxnLV0e5E{_fzDRunZzd=p9|l z)Tog0rZFAQIQL)`PsQBk_OCunkT5zjE}To29xU8J4d&h z%Nr?aJ9^07^Y-rCE8*pt2a+nC{R<2g_dp~;TxQS}SOXEuKE@ipg1BoL} zu$&XpE+Bb$HwcwX0BmfT`V+i-UlHjY-mddnVjkP3PlsMID_?fKSwpcO->#2>PG5GR ziBGFVeeMUH->xIq3|#rQV;=dX$IYvJJEvGY?BA~Y{Y5qo2lC(DawHIvE@RLTzS_NA z*Rpy0difO{=I{_Ec#$9PdZV&2gGVX>1rd_U9SK&F#OrGjJh>0I9IQR?x|lICC$Uie z^LTJi_;m$E;63qsbFsMMM`VDRE&lZtx+<)oZn1dvup)Qha* zR$>nPELr3zpt~qrByB4`&wBdqq3Z|@7iMZ?6`Snbo$? zZ*pjF5Nv81`Y?5AY^F<@`}YQ%hrhEcOxpjtu_zC!mlqHT(UzfF_rQ&;S`xZCpN&ocxBHLhr#FZ4SU`NURuF@$lksbro+UBc!Ia6clxyWRLu=&{g9 z+;sy`geOY6(A5$OfI(Oy+3{;^^2BgE*NJm3x|i2$u-KYEB6=uV&0i#x>;fMM%OA4= z06+E@3G6tQeUc)e+}T-QE`c$KF`w6Jpi|wpXbI(^+PL%cnJp9{-YEll+b$>wDtpFx z;Yy1nM+qTISoB6T=G&3@mLooRLa1OfG7O%kL)t9x5kF)*i%tCblXRJcsGQ3!_P(Aa z#TxRmDaaUK7jux+AFM~`8s(Dpz#SMNUakFIEm+C@sx|5>`=;|I`ZmsF7E@NMs7JFf z?--?>`$V8xQ~;U;_Jq!wt)KCuD+l8waiN3p!*FSVuMa%MpaMo6(h46!{F&^5 zx|jjgVH_%#%N?heOA^;kR3UsG2ozi2EZl{6Ex|CkRoDRRSh zYBXQY0whVv-ZqC?o`R8zSLeucjI|9K^8M9nRcICK^@9#p1Dg+1Efdtg#g>;1D&m=x zaS~$-z;vV)T$9qYr?PI`A_6m0yaCp~{!spELr3iwm=W6_aESdY5Ra}cAP|o{Dlh{< zlD&yR6XrzygRle_r@WlDDh8jHl5Ho>ugm-n*+FiHD$Ni!!C0V(8 zXNIobC$u&bN!u>Xzcw>2W^P7mV__O4*AoKfOdriT-A*=jg*(B|(%uvd*V&!;_ijPt zT#VI~<{5Jwzj|&CDNgaV04I;>CHtNH90;Ycj!#lWIqhTxDY}^`g9HNf7r+|VDa#_E zC%=NTj9p8mMIn#r6Ee30(8UVwKY}0(i!JHMHdY$-5K^53?vu0B`z1Hu(-?xgL(XU}`|3;mrey#aLDN-hV*!&Q+TK7}TiX|+0chuWwBJWv-XEyLp+ZNLXRb7* z2()?}-hrTS@ayYUc@8mjA!oXErX1&T87Y_p?pI}7p~H{A)z(ca3P&~thCe*I{dGqq zl)@968sXq>(FURyg38)B>4&53%%UWgar(~Wl-2DtF~Co*;|u!k;}_=IStJRLh~_VK zOqt6GOrewbK>qUprSSM;pti{(lPrzPoO}yrT!W_Fpr8gFp3#qNsD;s5yx}Z9D@*LjX zJwqKd&@>*>&+C#;Wd_3?H#UOV$h4x#qVOjEkLKq}Z0ulO`jH{UM;g8kF&gCt8o4=B zEYXXh!ziffpBYx|&=08wiUb~!u(@=jj*acL6Po=;q29XMyQ=Kk znjb{QlK1g?p_yJShEXv*DH;7(<1&&^xj0)P=}-$b>loG%Fr+UKFvzd#CX2_fyRL$I z&HNTt!{?X-nG30Q#tBfu%9Jbm=_!*dLYo(zV5eOIC*vI!nnPcuh;Jr95N-yr!Kn~i z*Ci*78rnp)IzK*Xd&7Gdz12)wwW$Zq^@ycfl&PsMq`%+SpC2lHkuA##sXiaT^D2;g@n|vwvx)>ga4zc}4>jETCpuVpK4RgFQ=E=FbSBp<0YqresLwPih2M7We}i(f}@P9FJT z==)9GtoNr$R;4d<)?t`U%L7v{*Uklmgk5vbvaRm|eM+&8mAZmkr?a{d))YbufrH=N zCJvAa1r`I3#H2m*HxWQ_wZSy?nxqhQMb}BVmh9HKlJNL+XE(*j)ag znw6>xIzE|ADAA`91($hzCr=)NM&t_Lgrzj4W+4ODFF+p|STw?@0B$ZX@_Uo%aU)74 za(RZ}OWATK-@|y9-yH+Y!6}-@%%@yB>AXP#vfq_1>E;L)C?;C1pBXSdDx5D_Xbs&L ziv)=K#1)A^sfSGAb1JxDv$AdzXnwm67##^Me$3XTr+6u{!oBs3+dB+pZ(a_uuYxt9 zEpW=}v@BBep4y@HpYjFAt>QWah%qy$UV^vAHk*ZY8fd*M6o$DrnyGeF(n*o}e?C;k zF(y8Dy1MJ;*D1d#0QMe|&(V5;&f)0TgEvc4Wjhmk7*Fb4Hn5a>DU)zRUI)p*=}0fi z2Q%@<&sItrEl0+Ot=F zdV1lI2<}m!pl}@_=0?ufJ@1pDyuq#W{JBQTOYw_uwEiY&#(1JulsX3Wt?z+#T-^W& zsJ}`?a+eu%qs?DZ%mM(+AXcohxIo0*bqXjB3D^t=O zjCM*suuvt7m*hy)-9k8n2d6#_GM_gPR5{sV(McD~p_|J#r1=f!+SU-lZ#z%5(Ttf8 zg;1$yPdnnK^JHJ!<3{81QSOiPL+<=i$95tYFoMuCI0I?C9ws;%q@Rkr1s0Z)D6u&* zg?r&n^tEWG*IpKKBah6TaU8T{ad5}%Jt*Hk6u+Es- z_$7`knhQim%Ok+=mT2JV4A-y(5mE7elMD>0GdN%&t8##zE#8>$w15+y+@AJGkDh++8yX$<&FynOQNaku*9In-wVk&8Ux zsQ39s%Ofq1ljug5?d3090(fj+v~WLTKiAR>{41^RD{%3v!4r_#F1>CJb{@48bqz_V zxlx_nkmltE8BMmm$oI|PUtl+ys}`N`l!kPkE;QWy^fZ0~w;`9xr8@dOxZ)uo1%Gt$ zP+Ig@T|tU>uJV}dYgPPZGUkdjuuaEGm_~<(0VUldGeR&f9OJ2<4K_~+#kWU^KsyUJ zD@syXok?wU2``LVeIl%m8}qy9^!K{Ymdby8&u=UPP{4$?%lJG*@-ANU8vZVa6oEY) zvU*Onky^gU_Q4Aktub@#pt0LSKT{L%fN2bi2VnEL+b!Y3PE?j75fUUHM`a;T^D?bgS9QTz`BR#xHhDV>5^#6!Tq~50u;oWy=-lxG6LxOfIU+^#x=pZ5_6H9W%+>?r>ks*y$g^WL z^WQVl!3RT4-}2I`n;E!}=2(MGXw0Vy6erpavh9_Y4k{7*g1~GBHz= z+v3Pbl`Ds-8wZ+Zv(R50$?{S%kEFRohf)Zrp);0P^U$u_WnIAmg%=Z>ngMSz=tY z5}6OTIka8YOE_=Y;g1@?;tRH$G5C(g{=}hNmW;~QOtVx8@9J#P_bdPU{V4acmI}gp z6hS@e&e~JCPaL8&xZIr$31r)gO4G5@NoMOd`cS-j1=ExosZB0W`>WZwS@Q2D_^T#X zoNB#x&ho==!|Orj3BV`$wI7xb=E3PM#u+qlkS?DyX~-a$lEDhn<=HBC(47IWZ7uUy zQUo}OLfiMShM6iP{2(wmO}3CwEci-TA7|CnpYdpaxV&CO1!YBUhw^?`nO2EQb(yJ* z4CULieuxJSu3Cr+t|Fu27($xz{jPdAVYxKk+m3p{bg?Qd5`)1F>^;`g#`@H)66_3e z5o5`dy}HVTlX?|5lA6>K7=k=$ilx5Hvs!)9#!s()Gy(KcemQ)Dn2zQWL(IIvbh27c z1c^2jeUrxhi`w}HyAwU%B>K)kD*}sjLd?=q=-h>Uw;1N>%4VjJW+5nAJ_%UU?DI_R%sh zj;}>?j#N47Yf<0mk}b78=@TSSLMKNqlLP)VjIluDlecBrom^7@J=?sLIx21}L22tX z6F;n>x28!IL?wmq<;9_Ur$8Xd$vnqdOpixcTD2vIsek!PDvR>35$pUDP199%Do*$! zflU6DZ%e)o<9QCmlZ`W2+P&@`k1qhO zfsOc})D+DGj9lUfn*Fa2Z4kRle6F%lNw_+1C>W&R{V6$_RsObRK^Q$3_ihTlYL8YQqE>2Ol(DZg_>rrRx_&+ z0YkWdb--=}wwF}MSmBlqpicuYO^hW)`iZ|#Q3XiMRC35u0+o;~yd~%I;AXIn33H3{ z>h0S31VaHmZZ(9A{H3Y6V+7NbvjvhJ9XW^B90Y=MW69$&OjMk+>I-JLrQE;{DaaVfYbl2wUdUAv)Yfn$jV|z{u#?m$ z>Lr67ST->UMax(j#ROx1n8Rux58>cIzD;_@07$Oj zwPt_(A4xuX*md_JOIsDY$CRu;-rQpF%-sAjP_B@8F3EZ^4${4v=&JEoc=EEiM13&Yby49E3z-Hu{u+v5$xq#08eynNTW z*Fc71@9qfCdxuJ*gictE8b*%0loR>@TD1ZOb-R18A5!FT?il|F66Dw*k&)uFa ztl>TyMmIs|+<_jD#M3J^+&lP)xj)_tr>*TW>0@@T7XX$Q_bIr@b{K9fOF?k&F)tO> z@b&i>%21S`uru$u&b9J~0(oWff~le!T61>!vZWYiQ?!F%A9u^UEfj5hJaSaiirNgzlvp7s;+e~;wG5y;Yw3&ZTyih;1FTMXdkq>KOf~PBvO30l$DGOkUHy|~ zx^<1(%Jl>_5nw>n!W4e>{iHQhj$V-Bzq8TN$=k&tXzcVT$kmp?U1YLqBIKHOFu}y` zZXx>5HxFY$!K}&1ORNuwiTWg1-+FH`4GbA3o_EJaUs82H=#UmrC44v%n0T zfzY8uL+gL-*>s3Q96NTS%L;oVKxz$iql@`}H(fQRzjPb911pgp9Zjbd0`VV2=nJ);r!!wa+ zF~}?7JOwm2gvem>*uUv{BAG-1OpGW&Pj)s=1N3tB2!qnzY--XZuTqg{@+nde_@Bh= zKu~g96?nUUay3Q9@RXi-Ne$5C3L^@?S3ZQ^*2hHu@Lvf`nQjM(c-_utd z-#jDlj_%&WXyM+Vnxsv>>?SL8%{E1z>*tyTUnXlE5VVh-0_<38riPcDwA(ZL;FS`l zPL)-r_V1}&a~2B(D#lJ_%0+6(7@j*xk-Aj_(z;M32gP+rHB zm@9zM+@>>xQ=9NKqj7QI?=+x&?>qI4O%WW56Ls^}qxef&BdU?lt7AjfDRQyUc3Fv} z*x8P-0s9BQYVh3<@?{fYW^J?VXxv>o0|| z`WcmMb4}LJU^8yB3+FvlwlG)B9s7MaNhi+wj{X&63bYS*0;#r*mm4uLji*$aSOBq$ zL8R4<2$}ec--xR5i%~&LO={+jA&NVT}~rH1zI=2D)%3AB+$)i3Mb1FHtF1 zg~I?`|3%mU2+7I*!DqLdZJ5t#5Kf1^)d>2BPxTUh>Bw#v3blWS5wvn*^^#JrLxC&( zSkDF-FY&h$E4M<{X*>0G%s(M72;EEQEBAxawu33COeG_*DqveC3+bD+VW3`}zb2ig zHP@ULSUs0MxqHdHVmgnCC;dqMpALzuP)$MszH6~Dii_x(tkdyF9W=?MK-%RlI7IU5 zjIS_G;j2axp#6{~5Wqm1%<=`D$gS#T@NXSc7qI^ooyfd@^h_vPHSq-=a#6V2qYvlyQ7X>oAu@n+T6XG=gAe(psqEdMBGzv=8=${bu^VrakGN#C#er4lg z*0ae3Kgqv_bo0eflVn#GKPRgpK(MGozk5bZJ|eE&*L+DOZe8h}J`6X|%yzz{ zbfT8`Ry47hbwoE;hRdG{v_#;KT2gj%jItK-R>4SIGP9{ z*#SZ=Rx54_-?FjsDm)A^islVRXK%*gt7Lu{?ZgqHu^c=Hqe;r1v2!T#IP}|fVfU_8u#Z^ zxud004Y&JTx8-1^3wns8b9+V6a!qPzsRDuLtsmX2ZT@_5%CW0pD#PqICpFR8Z?f}K zcM`6eyx=v4k(!%*P_}uHoQcV_pGB(&k;k2yUj4Omald}n&8NXFPJOoVhKFfvyC=b& zKW)bPjC_Skqd8b{S*ttk4om8X+|ngUul{s-*_ zb8yO>jpS{7i>50_yJzmXVJ4;5*X6JaYaw6qzHm>cI)FHMS*N=6^RoV!GgbCJ;fsfp zGqYygYhGJ&1^F+xWUI6MBKPD92!79GruLu3>K~dSp_;0kLoFzt)-O?f|8a$>xEh?Fka~ogmQN2BkmSRc_GyyBshg4|BS^+zJyIHT^X*RcG zyJyij$>sWwXvXK?LsPJE8At4Qp(_)tGT&jP^^;MJeXgA5GVk04FwwoTNijrDZ|)El zJI7{!Mv(~#FTD26Zuecgw*x}|pRne&82Ry8@L*7~8KVU@y4~H|r4s*R=2C`v|M&qz zKCRt*K&9G-tS+&N4Zd+~kz_Ao=R4fH#B~sUcnJ`Ba29#GaAEfX71O{Y;rkuv<&qaI z&^7kYHr`G>$QhALh*aAT458fS%o!>WY?#`;EZK{5(I8e>P3Geq@e;P5)M z>dO7~g7f6sZCjK~NTf=KiZn*1$OgQjz^^rI)*tne-+hxfY`gg_`zKA`hCvYZpXcJ&DzI&O=b#N`tOa?}aKIOaZ?ET&$7}*UR zA_^}d2;INw+XZ`Z=+DZvd*_?9`zzYb4JfD^nKdRw6%2qadjRjYBCJTvcWxDZQr{38 zaLoca+u$L-sGAq+ec(_5XW`VY)H1kb>Fe1oh->!0B5i3W%{1iJX3kLUwfI84Npn;` zwyyaX(MWqpmGwz;Ji%a7_W?B0oS|2+frD}F=A|uA*it|_Kc_xYIFx&3p{Zb6MtLK{8>{|i!Uptv14 z^oL;k78GglnKeeHHX9rQ#4N=dV7M+QAc!Dkr~svMY8NBu=+^Dd_`zLh#@U-RrVQK( z<$(SLFqLYZ(~#9=>i?u}vjE4`HX0a>YxD+o7{l%@DEyC$yB7-hWN2bX*t-i=*H7(Q z5rR?7JKW3r*Xq^^IYXLi%P#Jn|II~^+ZsLfuM3d=IgS760VE=@iVl)+XEAI@RYd4X^TI_2Ym7Bhb})YLtGJ^n4CoAQ1vicLQS{oiw?nU_K z81ijkV`+ID@C9@LPVZ~7NW`;2^-~r14q`B*gS&UAtAAxiu{fDRr;C2iyUsafZ}8@i zB$_o^!I4bqR=9^|-D_1ThBwT__1aHaJeIKp!i>1L&?4G}z_0)k`YvuBREH}|4tn$R zw+Vi&w^t%iue*N$9K~2d;`hD!mufUZ6Xy{b$u|#^N@_@n!uOOWk+AFkG{q02aUk@; zvB5}zfni z4wx%dW#Cu&eTiJi`)btq-ufl-uhT8+qTamK&LxyW7tuPVDuoO$aBdFxi&xzZ`?IsB z1EI;{HfNI0w1{a+Cs&g{hq#SqVQ3j`qNAuV>DM<3sEcqZfy6TVLQ$J?%3~)55*q!j z>P|IZ2-S)*Bk~%$3CIT}XdD9^vERM67$pMeXqVXbm=Hf^d!#a9&@Z;J?TxlpBneM8 zT%NCAa?RTxfiqB2(}>@gMRkpaW&HMV?Zuy9T0*fr@R4gmvH0?J2fp~7{pBw}t|U5S z78P0NGlRM~{VK7J?C0}pN&w}=JK2^`6OVVu-0QTPsVQ?p=fxA7TlF)MZmZcee!{sD z4k(CN8_O3m^$tCJ{Rz4|M7(E>&JOf!pQp*YXn4PR>wuyW_ML4px4PCnxT4wBZyU z6^nCWH;(ZdXT)X|oOcma#(|Qh7nogG;@9gBs1t^dL`a`K8=yEJv*@4$Us3jKNG`VZYlU;;ihPve zsAr)x1m>Y5>(d4K#j4sajZPkwl%Qrc>@Ub<^t0Lpzpc%Z$TF=D=3l}_13h%PsV9;n z!3x(+S+*xr~2&GxX0LcQy z`${DARn!1%N1F1V`XJV4hD6xNe4l&hLg=s0QLx5nq3(3Sxi9qk#1MiE#*lH{=xGg$ z{ueFU+I%|pe}%C--vknCx7!-KSGMeFwu5PLtV-dil-lUmL_J*rNfIH5=H_h>v8iCX zO)TM65vBV~|AZ7};P1OP6r@Bs2m^WCUfZkJL1eW*aef)W2}|8+BA=dhzs6eHA>pdf zMn06Ega8eCxYNF^AF3CeDb?(l_!6_-MNeqQ)f_En;^3?aWvCWs=w~$K!vvZ+V#W^O z4hvyhel){2mY)AsdS&(eOMk;QR=nQP%#Fb6IbREjT=O7kBXe!p!wZAy*|ceoQm*-; z&x*Ri?Q7M;``WDeLNZx&Jnw^NXycpAP|SMAkkZf4!%7_>a)i{1*o;AKJm|k&uher=w%3mLlH5XqW-=H_&<36%-tTp zRUcO%(ca-GhNxvY`+_lHU9|d^?aF#YeJ6|enh|ko^&NH%XZjdM6r9$?2!u@Zx;SR+ zOM_($+b|gmE4>sybV9k9IdZbUFgkIXzqmPd;=c?ycgniNyc~eI$iEukxZt?)J^Lqt zTseh$&msS^%jU^FtNz;>x0HF!I*h}+A&ilByt%@V9lj`k_&@WQn6ZDM%P7?~=!7I_ z4w-`fJj*=6f4=w@cV5Pe9tdf88F@~!_N(U>rP9pLUxlA5IreBNMoSdogg-F~20Ozy z?ziRcDTCx0-du@qT>DK5FH}`Fa#k%033(>vCrmjq*)N6~ljim0c1&h)My)~fjW1>k zMo7J&nwH+noVNtt^Kg5~*$5VT3zxCtYT3g;?>PXn-Tc;F269P`O+v6n z&rPmju5U%kjymGiTTAa;)x}Nf$KLLDN&g&ikS6k{EG@AHk7za1!q1@b)xwnh!It zHvTka;h`B%?-FFM2u?t6ZRscW`)WzUU`^m|X_3>P+g#OA{et*ekL2r|8O3l}0onEq z<;PI4(*>Pp6i+KG%T(^y3!SkBgYzvTdkq~b*Pn;$aRdpty@EP;qC}Gnb825!w-T@z z52lp_e*G5?)6>xvRMbITN!u?`ae<&C({20c0MHQ+;^hIkNPC}&;*8qU!k7lgKP|vm z^s{R04!r3*B^w@R0mdOGCpfdLA4Zhwe8A!A06kPs?Gxuh4ToO$&TZTP#;dyaqz&WU z#r{6*wMQ4?V+fE*GlN6OQ4VssJJ`FgbC~h?QZPMzqb1#nq#4|KqotZ#UQue`&ipw~ zQZ?kVZY9>yBjv)ASs3QtF7z|SFL1py%4NT%LZ2%fy^=!TAFfl<>iV!o74|@Ww^SFI zL=9BZS;-`M{&Zl#u)>VpS^IjXSj8l_u?*B% zR1E}^pRa+*&tdm|%xw}B&gc8tTnV0X0TYrUTtu00$^&5Wd|l}#)HMY@F3kHgUURj3 zO8in(UZf=5!*9t;-7C^#r-!#kWBqk<@lssqd}-9CN@fc4DTcB>C&#i%zZxE| z?#pr-w=)LhA6%0k0g8GOFt}X`O%*EXpvvYYW`?)p(z)&Ww3J<}?7IPb3aeojxEO8I zGArrmgi4)GenH(XpgyutS=u5-z{!#;k${Sl9JW3>tZFnZbx755>2S@GtL|MEe&UX@ zPw{z2*2xK2pn%KCW_>d^Z^W}rY7Le zBft=0uhG{m6qGwB#q}Ws&dXtyx(#FR%Rg47F!RhV3@TmnOkWN!pL-(R_yE^6X|HKmi&U5BoMeRwbdne^6rG|9Uhx{3EFj7G(mLedXH! zypm4HNxMBNctkejik@H?ue{E8wJ!dxp?GP2T8T>Y?w=@`$wtxiG)-?=!xV)$6a5M} zptD~J+Cd1BCJGR09AJYv&r?2X_V144CMLw$O>ga461g5GTaJ{(q@qv(O`B)v9UduhuVr0m@~0am1#%*&`_W!=ug`FU7? zTq_SCq)Gde`0j2OAb)=j<|otRPER#6|Nec(nS7*Ft>t9tOU*>+XCOBFcp1`is#xPK z4|P1>{$EzY{+a;3^l`w?pn?QW&)mp2KZ>(Y%SeK1$+iiJ)sAVKIjvNNA>)a$*HMk1 zWg~=_U$6V9B3Z$I>*rJo`ASppDNj&$++Fd;{>z_;i!XY?OsDl`o8^SvSA5%Pu2d-MNH0KvU8+-<0TE?XOlB1MtxeETeC4#=D2bL3|I2|E74 z<|5`Cu`7E%S~jCK>v}?Ngq=^@bpiK{$bG;l*c)r_1bu+JG#&&0aHG}(MVk1VyU>2R zWvZ`hW69dNZ}yYB{C&;sViQdFqkit3hpZfvh8j&#lC@c2@d~u^kU6k2iny<>q$7j& zJcmesn0@O!Fmr|oWPoISla%~Z$D+~0sz*Z(vv&y3^BC(BZYv>9dx>;^E#!x$yG&fk ztVU$1-;55Fg+!uARvRr4bCvt&@`0q#`otH=l7@*8XXa-J)bzLPrt4z62l%C+Yh_=Q z^8ap`5Ol@3b28T=WlO!EE3L&)Me7RobBZ-fx|0w=E-qt=6F&?NkT;z*`d$KWAW>AJ zx(;|hhvO|dbN-csFgL03S_HUn#wsi6WQexkO`OPP?=hi+yq!{f{uq{~KeMFxhX2SyK8pvgnI)4T?y&RuyJNaL3e`LQg1_c-#$DD?5gZ*nuaAK}qPMCxUiEaq( z5go1-wJJm0;w9H>YY0f*8z9a{J&=F3OmVNK;IXrbC8eo7Q6iy5)?23h)R@EDf^?km z`8t(#WtEo(nLVdE08m^%gHe!u#Zj?^?dz9X@gL}lgO+9OW6bxz&$n`NxjxmPbkc1Q zgOnF%}W^AZN=@w2LJh7@n6hWvtR zpw?BBW!>FV-P~XJkQp=`TT%yXkFGxa%ZymSORG7yQoP$R2ZX6!o8oE%sg$b9qjeJw zh>6m!H%H`6^8fFk_%|gfqFtH^Ci^LlUGcwVrfj0oH5|}OV5!n)XkQ1487JOJO2oe% zI~@sF&${FDAy;$M({Q;(h9fn-Ec`Db{{IIWAO3GdeAX{L6>qqSslNm039?%__rZtI zbt77aUqL^3;=pLk)W3paln%CbLL0V{6>1wsX%d^={iX;hQEEY?b~#I61i~?p`9;pR%Zl%t}y?k?a9R8Jo|t?U>_BcQiv=jJF8W zj2JB(DG^poahF3CynE8pC(#l=)Bj<8+jKR0f?-Yc2d&ouAI|r;!7!khaEw2&Oj<5> z$T{YEPQ2~h20tTeGMoQ$;cc>(&b$@Lz}lhY?!k{uS-nULxT;E!K<}TgnGV~4{0ZKz zDrLBF3_nKz+r>^|DM}_vGA`HHNHb)*wvXIyee{sr*8Kacik+EMU8w5*8licfV=dJ& z{(={}7bJXqTlAngBY@DVV4Su#^&?}Sf{}{kilvn(k|%fQP}}Z^P-#IHJYg`g0@y8w zu?oqY1ROJghf$WPM3E$C0>@4Uo~Tc(7Gd#HhnVrjhk(Q>K#sZkiT|0e99w%pdg9PI z!*@vfn<66VT94$j51qm>dw}Zpuc|-`+^w+Hpre7UqA6(|+e6PshyJOo`WEsJI9z+~ z#BqkX(HjT`hJX6I>HE*f*y$(u^C(rmg%++Bu5e_hZKN9nx9@>eMN>jC*OP>=0?a+m z?hG%k()487$~=+UCvENyvSpL){LVBh%7=eHX>Fp7_P^RD8JqQvyw$k&OuAI<$HAvW zmox<>Jjh4?$;N%aitWqcL2Z4v!CNlw7H*La*C3sF505j!PKxnzaMpM375an zK@jN-w?d_G(gumk)W~Zn%t*kLcVn4fhGU=qy?=)9L6njDAVBOHEm$kwj}G5{Oe-2^ z?W@j~dP%O6FO0>%)@?=D``<(stvS@D|-)0K*r_EOE zk4TAKo&6I|nk9Qm&Jubof>wLKp7iJup7j`(#f#SF5Ru}+q{bI(OoX!19#baB+L4OY zSct8a{g1FLCiUdCDs8|Y!M~Wux6jDe2)%jJ325NsR~@g>&+3zY@%srA(lNF#y~rVB zdb`(Z1^WweOGrkC;GgKK5H<<`E3Wj)g=qNJ3P?s7)HZmy8{fup{J#X_p8djH6k>}{PVZjPp3A0f>*YRZmLeib z?z8Sza>XgdW39ISMeI;p`zpPaw1;SS6X-P(j23yJR2ENq?kL4V2b;}M+6aP!GcWX8 zMxBfUV9Y_=+Vyd(#ip~+M>0n?eCuBNirZ#B4SF%3;MU`paCjJPkSBnBv?RQMSE$)1 zC-gAkwmi5`Tj01QMW&120ycB%5X@ushlq8L9ude)rRHL>v zHekw~N~&7@roOF296vVYX8QEc!E~nIT6sA@n}ltM6M!UwSBViN(6tH<8F1-Q4-_+- zlO(hU)DmVNI2q5^Y#6?u zQEjgydw-p-U$y>gK14jJ^N4n<%>SQjxs3by1@D?+{(h-3>fH& z&;4wHrD;oTDA}smDB6aU$qe8yQ*Gm)D{Gsx7!_;m z*}^PIoHg+x;51l%~RAd^+RH80piI#z0&h(i_g6^*9sDUbw(Mg)lr>)J09K-)4DQs z0SkLlPtlVl@Yq#Clv%9n?+2gOpN=xWWYK*0mK!wt(MM{;S3WG6U$3(jtcg*%C_zO- zFU|t;jf(hQ_w(%P#ts94tRF3@y|u+H0QxghRB zDl~+9`3kZ^V3vM7+iZHKz1#fzYA;tui|3^Dng42YRZr&G%(VoI%3$%B1Jinmpkf8H}5@bpg4Lr(SpLA#J$H`6JYz=^~#* zjVAD_ICfO{%VCMK>ILq{IP*`?gyD)Ik=L8wD(karemWda@MR=iGeqXp2zO=N)up)+ za%AVC!I-mZ-fJXeq{*uL8=)RqR*7;1xglqZa|D^sgmwyeCFokEv�)gBqoyjm+FM zO?7SmHy{^74pBox!#@&wN5<|f+meFVn(!|7q16EBZU5Izdy7#VyLM*l4cuX2L-$s4 z{W!b|*IwYA+}Q>LF4@-4NrgfouY@I8djYI^=S!B-$g5(^p_25LKUf8+O!3}0r_stB zca?Kges*|OuuS)bpDT66PT4DS<-->jQPlHKp!pvfg3R9$ip0X(i}b08Ohy0cKrNj% z#A7S1mK4&HN?Gz_Ad*vl(5BfNTeKh3l-{D=ll**Opx}n*f-c?>XwsSh*|8&0$aOgP zS#c9)Io(yC!1IHi7Tox#s!rCT8C6hBu0+g;x5a?QMkFJ-ycLC4`GA z(20;jRe2RE6k`W0M1?{7vgRIO+r;ETp74G8llHB_6c)wLvwf_xr0kBD;<_aLvJA`k zWBOys*wOQe{sfta*b!{_uJSf_1GH7#pSRsE%%i#tcT&CcNUsi+bKz~mq{$Z+GdI!Z z9(c?D^##d{G@qVHNIv?=gC;TBJI>yd#_#(yOdtNX!8qy1A1_$Nb*3b`#XWNC zrk{v#_VhJmLF6-*7-z5P(!wpVs{Pei%B9SgitK5jGxh zp>w_x6IWpP+bip(38c*{)X3H?>lG-5==WO^;`FWt+f_u&$ZWrLPi_a|w49Et6-R64Di6Ef2ru_l$zJxU->?edW^0d&holn zpjiF$Nsq?XKMI)+Mx7JA8Sa13QckX{ZA|TCqWinaz|4=Bd`Pxq@kYY0>px@upR!RML4BrmbaK@^&R= zf?}Z(Wb{@lCE7KLpJp1zhtu0>-eDaXYXv?^?>tNqd^1vMwn5g}WN@Tf(%}<`piWcoake({Cmr!Zxv8E?vNP3O`Gn zRdQus2!lp&nd|Ekc`|pC|B{)?uw5ob)tu_<|IGEF|0${HpZ9SfS8{uc{<^~|2H6S^ zmK=>7R)8}>T{AZ%A4rH%4M7f5$CD4Vbre96+J;KjZ>dc@#*tEC;m>pCN)F=h!>A=6 z3_!HAj9&Rax;~t|I|^&8QaDnHS0;D}vw7PeNeM#3E7i0>DE}c}v45!zjO)I%p))n4 z)6T#5!i4mEX?Mvr>y~QDOY+&Qm^Q-frC86EaMW@e-1gXoQXKP@;GP!W!=+2<_Da=Q zV=r0sick&C*-np9XK5S|Pb_6S-BRi@qzs9A1DpZ#)q283UV3VO2Kfk6%5ObmxO8hw zW$BkLbC+E-vXz_s)Bxl0z#&uo;{V)$W2g8%v5KdI0W| zwCZnb6>!zyTG)0ffYx)z#f8NnCv$CmlY#l-TDLecgjQPcZ6t6D!XQ2iZEJx}>Au*Znjmpps(F(-0hP?x`m7Hb&qS@bS}n|=;sIeYzN)wy zxJTHR${fzp5^}j=YeAmqPgjC^(%bgJ1MoGKV^btxI|} zXc%SSs@>Vu)%!(OakmAIV&YT?520>5_M^gEQhV_2|EDhVSc}Q4Af4Ovl|spq6BC1a z1Aubj=BCV54Z)_NXr0R7pH(yzRn4#Rp+-V5ohQZeMO2>U;C>DHe&mAAU1J2HYZ7FY z)g0WnxdVnLwy~iVnDYEl7aaD{wGp*7#iD{hYZ_N(c>jVj4Owelf@aqsb9U?Fv@jx` z*vi-exFDSBODt#Fq#PBFACV+u3h0*>mlpAlH!3an|6HdOLsqr&QN)m*(%&w~`QqmH zOq)IV)YN{!fh-Cj`_gHUzW|uLFVDxAn{R!F^#}(WOQh!#q=dzM%Z88p9dZqWyN;P! zeOkc5qQP&S*%{v+hzVM1wMhLGJ(|%bZjz=6xHJswR!^Wl^8G3=uIm*Bu(14>0FMzG z>Yp>nT&i&3Nz19PKf1b=@)V*>_f*R}E>B(;WU8pCF$LVi(J+f_n{kHy!VBoT zQOn7a`r%(YEZRg0q;qNRd?MhP!?Y;kFfo!&}xP0MN=@C zS`!l7gb|L;ck?{g_AExVmFUK^Rq2L2m;gwY{sQD9Z}?lJ@w*S1K9D-2(NYY%Nz1aortt?p3LZq}u8%;D6W;2MPCi_$fNt-))_iWF^Vuej9ETnV z@lzftu)Q-W6pP~lc+ccdhxZi9ej2E;UX3rGv?Ks^$qfPyuZIz4EveoJGJUjDqm3ebqU@YY7iC!qqYZpT5!8~)VxQwb(B!7 z86)tpwBnD{loDnUp8`T2o@);+NwC5UEWRUQDen4>Sw?73r3?qo9zo}8(+j!omcPff z78aEU4wx1*jn^$NX@+|rO5NO0=J8s_(gABM;c&&{nlRR}z9nM*7hO*pUz9mhkolKe z-jRh<54|D?My;@1;&?RKopiw$Q{wbAi1X=WgLo{|?o!fqe}LqR>H9mJ$fdWLfsm`s z0!unD5AGcwTh24?-RlFbP3fx8dqbJ-K5bFdJL`qdFjkJ7=X5?LmE|imBpwHEX2_Gm zsTa=q`=XN%?I16}&@%P)?jXc#j!t2Xv2ACJz{|7o#QAx;vPtJvvs&P8RlCZ;9z{H- z1#DWCntAlbb!PKm0VwD?O5CW)2tGAk+Z{u0sU8Y40t(Uk5w_4$7YnZRx+ z`%eT_e0>uA!%>H6>{A>5W{K3E8N>GR9XPX=N2Y;vIf~x-UAha($bw{fmpY?pT)4|^ zkT4d(@Zo}Rf9t})qUl*LX2upnmw%#F2yL=6BX6g0nJ&l4yATfA#S5#YZ%}A3R6-D3 z)}~-wT@K1iLd~cwy>#2VduY^%%7ig;F^qaPuCkipW3+(WFIEY7xgsiUL4vqQW%k>p zfmS$4ZbJdz_|qr7vG`uumE$(r!X|GH>z=gZHqxrs3vD{j&n_n;cHkSMV^;Wue27)N z%#H9z3lYagP`-a`Lw7A{l+edi(p6W_3majZsju+Ig>pieMXK@QGG1VT!w7lG%Q6nB z!yb;-vS_@MM}dic(>*%@KIVD!+a0GHcR!0*RsB$Jsg9bzhMQs&%@g#tzr9rsj;YzB zAG8jl%N3`lHuO|zXb262qb3KkM_#$c=S|j!sspOpg@eQ2nP9^y|2HC-hwH{!mgg|c zvO%GIZ=@C^2`4B0abDqi!`+OMxhuiMun>&4#>GhD?aD*fP8YsD0GG>ryDf~Trh7D; z#Rb>>0T02hbgC#%!?Se(duku>Z7H0lWUi=%_M=x^wco#@G76?QSf{}d6t;%UWKJrl zdlJ2x#IE3ipE7@zQBjWW+0jyk`xqe&Y+kVND4%;0(OUT!)sfA$wC z;D5bmd;n$D^Rf>25J9Be#Gw*5wKp+3WmE5xbZEBz>}c)&-nuAmiN+iwbNvUXji?u> zw$j%qc!@Mq=iR}?mX=c;*QrOs{|A%2r^H4ku`_1c9Iq!uQu+)_%hWxMrrdaH0~gBKT)MU#N>(8d6J z+Qzz%CeAO!|Gl7)|Sto0CYE#cLdi7#NgxAEc)_-1@NPSdg8gkPs|B z1V+##4)2(J*%u?}Zo6svY;d33*XxJdCVpe-vvW*(D%xf-;{IU7d=cpF9vTw5_0rkf z^@hs8HZQ5kz?L+Yodkoy&#@}@0)-@y?Yij=zMc;|vB}-43BuN;A^Y2)+sknv+JS$JAROz+9OLK!U|bY3y8D|10t>3+}z{Wp}$w2(+TMB z-LZLN9)%eTh7>Tq;cbh@TF1&(cW_J4N(fP2de0n%Cc+cS*R|L|Ss3LiD4%#7GO-uz zA6L^1BJMV7_4ff+FQ}FWpc0lQ093-e)XB`$JB3;Tr=`Q)H%BfB)dla(1=0%-2Ret` zS9(UQVsw@JSe0ljWqen9u(YxhA2vd;ehJ0#nle(VoC4~(@SRC%;pJYoYJ5Q5&<76i zuYUFYLNRA~FzVNuziBSe0WBQZ8`s%z_&H9um{Edf`_0;&XQ$1KTxZwKp510o%rY$T zwxEC%c$+zLPX!8>V<6an>#Xo?QS+N2B+g3(|8Kgq&UEQ9TR6oW#TorvL$oYA!AZpEtegXwKZ!)5b z#U;?~OM3B?V~5CI#s1vnzeh$$`gb{=C(>Mgx#d_6%!=9=K84ml*6a?8fB=5wvieBJi2|>+kD3=OP;V0vmv;mh44mht z6rnjRixaFeQa6hW=g^B$%1JVb*w5l4l!FY zOOXjaQmEFy#7Nmg5!7W+MbqwQU-#Bh%1qThPEpBzi94=i^4;284Hr4uj3JSA+Wgj5 zLF@AaGW++R9O#dZNR2R2H9*69oab4=;!?u<<{xrju8^c2#h_Zh>L|7EagS$(90VDM z1~Uo#U^c&qtdjYGQLM1XGrKKk#+}qEy&NqRh%bALtY^&IpGH0xu_OF~Vy-vuxH2v{ zfpDHb1;@{A!URPv1@=8{P>qje1!9N3`3j$ecnKVV&>6|xIMl#o|1IW&HhBNLblr${ z1|gf324Y96gQRUotJ|b{CtakZpI}}5ByDG1s-(9rx@<|;F1mumcjG1OHv1U}`d@BJ z=dDXFx`+7m?oX?+G!vcMY&VK4J$18@X@;gFf~Po=A0)5)-P;jEMNR6EhNy4hj^yOHr z-ZtPMmrC;G(3eLHIxwpVJy+uby*_&|+G13{IBL@5xMp^dbnEQ zz7kuPFNHK#gtz7`sgHgLTZ6+vtl5*DLye!~;x7E(5k!5w;GBAQY}DU|ia+ol1r4 zbHP%DeH?6zfoJFIkwNt`jE&P;zix|+`xC1_jC*;vxpq9#6{uPa=sAZGRK(4I^v zNReV2c2~7II2$fYfl#XJuj!~?(!O~C(is)7tdB7#Zd2+`YvuL%SwGc?k+sjKPb=iz|QEyPrx)2=9GE8^KZL0^IYbS z`+Gb7)B&NuIv<>j6p}61`mRF+XX$6~`;6r2DtBEPaAm)$hXiI2D-B>9o^3$qDkd%& zWlZV$^|VJDfentKN{N-VL>Be6l&X~U{lrv(>7fGT)bJV;NZlD56AU{0SW2A=+@_3s zUY^IQ18M?Ud09#*W?ILWyIG;rm}5eZl17H} zk#hHSfF${*`AlvfM$&C1woZuAtdI;TBV34$$^A$#gjh}gZ*=~3O!|+7kF0DXb=X5J zhX=YL=PzQV-PPd>UNc`ILr3}{NK2!2*cj*?%`@5WS8xt+Ibzyff1f?B>xGaq*c%+Y zzd8R3qD>!Yzx8}u_3B+u`5R_By#<036M6zE4VB19h`C_96R+GW`=@t8>c4R5tgLG3 z<*=R1QV>|a<1rTKetXsPWR-_@_nA|;<(Kt+R$vgi7dxmlfC^X#vQ}}BzsoTIoD-IN<4H_k4N2@FMk>| z|0|01$6`X1g3N^(os^Z&eCT_&7Xa_7NONQPQ427Jfw%+is})wWaKMgm_^?ZL!&5GG zydr9dfO;$%_0Dfej=zO3x=K-zx7`KB@Sn+Wo z?bVr$GdPk5(4^rH2BPbV*LC6X{j6<8Nw;;`9_zE)iNJ;Y5w~?sQKn7Jq3#EsJb~kw z_Qzc{?SlAaQ;wVqpzb?Md8`KdBIaCszX6d2-A# z1m+feOQR+nW9(bZh<0M4$ns@H#LMyZLz#|Zp;6%wq+WnYP0^0;-kE2)rqXWhaiNfC znA3|JZp(0~iEmEFtWSxNCqq-gHkCRhAz2H9d#z!VmV}va78fthq6O_NZ9P^HP6VcZ zrl{?JPNVIJkZ-02xXvmgmpE&&Q0`QEB7d%u@Hf{dT;mxoj*Co1mD_;4X=G z*TKh4P3d6%3EWv4ZyGiaiW6@G`zBSF#_!WPMvnzn%CUu8m-oIPw@)2Ym+;Se;5J#L z$2OL1Abd5{<>A8{2*IW%bKz-vs~>^A>nW+nLl{=Z3LRlN82mRj%(M1oY^8<5pnCsf z&PDyt%_yV}tfLM(9RyQ+%MWlt(ZlvSc`acU-S0uML6j14!L?_RH*BHX&sKp1x>^my z=i~fbD=V*IgbEk-Wyi`ws~&2p;>*>HLHw-{r&8k@qdW*SLiEQyit)XE=#=ws*LES6 zD`s<1JTv_<)>j9KvHEGGE|+Ri6d(X$=v9$|a4>jNs@u`7Gbkh|)HBJS+ecR)050<> z`O`q(&#nfAyn~ucT*sui?qd6*d+nuMCXcXxy8nY*yT_esopQ-sgHW9F{hUtloaRA@H7 z7q7*tIr#(WAg#K1E-{Du9f}~g#9J#)i+3!FwL1HB%xXO2bq->H_eE0`RqZ%~S53bx zULaR_^Z;2WtVohmd^oH|WrBpUXGwpnKW&b7`!-zu@Z01BbCJgnu|$D%wo6iBCzZ65 z)^+a=cs9kJaNJ!*+x4C^xP96(p0V6qqG`)|CO)QITT$@P+MVgd@Q7(^kd;N%uPujS zYm?Qw#iCPOTSHU6Rq{a(60Ba zPNj|dCHQ?fwD`A2Z?VwZN5UeP(&kioV4FQNaou4iio$83%A9T`Je75djLFHB_1j`N0pCNV=*m(y))J(hs3Y`C5B1%V9iFVQ= zfmBX01$jj~W5??mKhw!y7sC{cN=RcPb!zgx0pHs(ex0z(%hW*>L&Wi9BKvhj7WK;< zs&{}aYVQ%+^PODmJ8437)tXaTb`{6HuigN*QKU1c(N^U>I;|n}6Olj7-8tgUIq=g) z-ch25adbVegwYdtc3mURJ{n}KiWoLgNoB0^EO%gpB{6zfi+I=icm}{S96SS*+DiE* zdU?Q?{I%C6@FUn=IECi*4W%gFTIC^~ShLyQ-Z?9kuV$&-^>!7u_kn`HWlsk2$igqn z&FJgPKp%=2sc-eyn#~$W%<*fQl2UpZP|>ijTb3%-2>+d*kd2bV|1k1B_jd~K2eCT! zxI}Arg|0+m5UDtM>TfQ;pMEJ^?LGVbx92?af0N!*kQz(5n2Ho%%yWt16!?wf4|zmUV5>p#bc#rE8H;rZZi*AQ`a*X5Z^5#!?MSIUZT>n#s-5I&Pl5Yz z8PUaV(%Eh%Zf3R;bMExpxO7%+@#5+my-;Vivez_JGE^{{4BGHa*KO4~atzrpIX-Na zU;4=Z_05Q*+Po-dLQ|q7Wk?u^fYJ+k`o&)|IzXB^`@3@?8wnFokV;}k=b7K0E>%pj z9<%5Clwns!(*yh2lTW)tEK45?6}?ufmY-6wYKc#}=Ct;md=Y;6d6D{+0ZoENht6n( zMn}WyS|l7DJB|n}r!-Im*Eez6zey|*zVgQK8!UzE%h1&w+=R=3_iw^~182%3ZA$zq zmzl4n%FQa8h4z}lt(B|TwgqA z+>zNTE=L)*mYXhADnM;uZ4MR#&vKG2p7V4$(vh>immi@6rr!HQ1QaTwuafOld?gQ) z_KG;T<)_Z4Na#(&D!cAv&eU|RG= z&eUASeWrt$N}C+;!X@idFi?plo2}PAhEdN)m9{jwJ`LmdhhhD8AQ}lJ??E{?d49-^ zuX60yS-513aLaQvb$59O0r->K6G$?}&|m)F6KGAM{}vnXd9+&o@B@c;>9)Y-!3HgF zhmHG17m8N0EgV_=c6BpZXuR?YszX=OHKJrrc8k8`6gd`WSPrHuGN&vQG>31;YCvS2 zr;FBUA7+m3g9@G)_FZNmgo*Ma6J0?D|geGW#DRs`hye1rF-)y5Ui!y z&>!VQ<=;CO$OuqzY&QD#G6#$-^pGh_`rau_h4UiaU$vU73}_{8A-UBHYH^$4==4uS zTf2W%r`*N@{QY{KE9}eyQDidaRw{s|h}1t!aYBM$Zg-OOxjFgx?Kcum zmw$r+@cC{qdQt37NC+hsACNXWul>T*);v0b3P2}Ga@Zk-u+Wkz%us^q(lGC7#juU( z<8kJ&r0w*r);10bafQbjx&@}b<`~?h^2diy9&N^Cc!JGs#sQT#C3I7*_ViUZO7Xk1 z4@64ePDtXo8gvxv!`swBFrIAt^7W6(=ZaxOYaV%iv14)lc|u0azZvRv6tOEe65^Cb z&J?#aDJtUSqLK3Dg|$;NI;$=(OJiJt;hfk^?oMTrH9;r)DA0qi%mJlFe6zk`ASB1u z<-CQdCBuhzkOcS@w#2D3#|>R0o)p~k*sn#Gr`y;!)%r<~ifR9HH?qc@m7Z9ewNA8j zk)DhiHiWugmh1OuHXaaHIc$_G_dMf9QBZ}GMLn`xBX5?d)x3R))?>a4nmUf9Vvh2; z%aAb|3Pn~w!z6JhYCi^^0JlI4&U;V-o~WBBS(vC3)(OtHk*_FLCLtswBNI$XT-eaqW3Cua<$?OB%YN3iDzVGGIm&0BZ;cXgyJX?CYD|_fK*XQB)uUV~eWLu6( zo#T-zxwCy`qU7*`t&s_X3(Y@4BCPWWj|$yFXz8b;)iv{SUdfuU460q`=kUq;uwZ91 zsr70R>av&z0Tmga)TvN~musQQZWfc?{<{=o!&%>$8y$DBTf9S4-WvMeNWAFwbHrhB zG*+bks1!oyJ#9%}F^#G4w?uccElYdQeV|R)=f&V2VR2Nv-$EE;eQs17?o(eQ7k%_d zJup?9-Yey9YcX%o=SKXgw<neW6e50 zUeeO=ls{1{`54?H#H#Grs90Muv19>M?e>+RCO8eY z-7RDC##c+7pp&Gpj|OjR@w`Qt^OWC`e}fuzY6Y4tt?>}M;Ea_DZrpze$tu05fv8V3 zOcYVax6Nv}dwTNZpa%!K)aEPxwY1}@UE(z#x8PFdGskM0EvZtU()V_&uad)M(c$mN zCL@H^V&KgvY7pSLw<3ax_r(~j+){*F19t;>^(fxW8@Otvwh5dSt9m<~XiZ;oty8t(9Lv3ZOJQ09$_$hn6)lh# zc88`QEEibMxS*6IOtihzo8H2Z;P2gXzLgx86tLaA7NmZjd_?X)M|z}IJ-)WQyB0+K zwiDG;62XnGE~XzBGqi&XUg0#~%^na#X>!{*7t9)d+;WykA1|WIMt~dv^@xe89m@3W z;HFH5ye4bkaF#&OTHN{ms;MQ)50xt<)m;0v^S8iovl&USlb2 zI(6#RZh^a6ZhqhXc=BA32fHZyeUmW#o(yMtNxqAET~(;<1^uUY*dFoATmDy$VDf3P z2LQgs>Qy;L3iW@!fbXLDvs1OnoZ}Z6(u~C|d^ndYT4uya%z5M4Mwsx#y_!ib=K>FQ z77Ls-{K`J%RX~NxUuA$L9jP@rN&S6UPqKdgxb*uI|1##QIbR~b?>ck)*J`2TX`dGK zuPnH4`AFtm+EX+Pwy&qt*12F6+k{EF{=5ZWbp9--1uUbuJBMQwp*y8$oMIkt6N((P zED2RyvcpDOoReI4Ky6B$+(gIcXSU|c3_J5Yll`1KQq{5k=ul{&hg~^IM@!Fjgja!0`QhRn9YR z*Z>i3KFjGbc?%8?*Z|v_2dq&@8fzmxlCnf&owq3kLp{v4pi3WSQ}{?-l}UUE?XnpM*;5ihqb zuS;sHfI{-Gz7git*RhM3-@beAKD;$Y6D94W_8Iy;az9%HKzs7WR&@ncG@RP)dY9`1 zGHZhJ_e6EGF9<%{s`w982OCv<`Sp24-JX_pujojM((hT)^Sa^v5>+VKyM~70v=juz z*@YTnjHh=N1={_ks^ylNTni+!v}`bSj~?NoJF=PY!gmP?3&gNb*OiKA%hoXIdpKv zqFm$Oe4%0evu=1p;<*2+Wfz)DFrZJD7L{h}F(*iAwn%Rg0Q19utSl1CWFeD$9*C%} zh$43#mxZRNF?>)tN9w2H`^yfvO_G?Vmj+#dK|#v@mUf$qF#Yzm#IVA%v*X=& z@-XMMWtjf;^`(!n*U&+`(d}FOJ4ro1MqlB3VZkHVNRZ0N)MO*t06jfxeQjyXO<(U|K-l5=;G6dX0?A!zCN zl5+!6?upcgzq7rSBc0r~$CsH(@IMbkPNv^d)BWF;Lef zsBHpDx3?_lm9PSXMp&^>JbltQ-t|dYc-B1~8*?R7vwC;{iFG#hUQk!6Jl%tu{JJb? z`Y1ZU{S6)m9nt}TBT&|$+V9>o;}nC7KTn;y0E3?6Q2U5Hc=_4qB6!() zGiaG5MSZSp{WW@vR;%>ahHyC3q1)N+4Wjnuu;^D5AMLcYKutj&)=Lao#SR#vRnd`S zZnWcr58amRD_%3{klI?Q>T%ZX3%350*U?8ZWME2VeF2`;2=WhF^&8Y4mAW#=ugUaRw!ZY1z}g8lA}ERVqqu81;!yVRDW8wkbo%9R|fC2JN|~fb~4w7 z_aL}uUm=Dm*j*sui=kd1;IANFJmKxNZC^nDaSK^AUUi<*Y3V3?cJjhxa&y;jlkjrv zFK)0Su;y50F=uA{=+~0^r|^IueAqOpf$3FJrpo~Nh!~oJyInsUI&}UTw^@%ddptzc z_rQ|#-42crwc7X4_a+9*r=)nCjcPW|zWR}fe?*>gwo~RnS ztnRT-im&K@rsNYfgs|jnPQ#JHJNYwUehs-1nhMaD|HIZ_2G!AYfub-F+@0X=7F;%N z8+Rum!5xCTyKOWO+}%BRAP^+j#@$_mJGt|`_uTrbzB)fzX7!qus_E`EOC4#1nMwyc z?_29UCr0RPSgv~|B8x73{;f*83l8SqJ@0h8{aw9qOTuR)z3h}QA!HKoHJZlry|BiE z-k%G;Sg-h+Q&Qtpf<0Jun{O)v-<1=3K)X&0c&Wl1uZf$s=&PV^JWue-F!VE{249lH zXHnoE1#T$NpYc~9{3c1E?HVg2X!a@riQi4~eHh^-va}BBPr>_e5;yg~FJ?JypgPmV zLM#*;yc^O5z3RI#7xzPeb$R^J{NvTPKq7sOz);G%4fcwbC}qdqEPdAG`O%A3y#^5@ z``)nRB7^8=n!_TD{MBVYAwB7qsFSV7SLY1BNv)^yBmS-3ySNPm{sPI6@Zz@OXl_wX zkM8KiLhkephtEz^JL&51tHq+y%$9=UwTlPJdR(gp`KHh&`k0DIhDx)qt)IxVrJ3Ak zcY6$SLLGhzPJqMgHu0Vm&9m*KP%>N_m>S$_Y3PS1_DWkbrUu{0D( zgwp?F{WV^R`uAZ6-lxU4bLYHq2R@%8%Nfq4YS9@^o`ru0p7$@atZjLbTm_|gOnTA z=vn*aH}=zACFV0^)B9lOl69|?kFC# zKH$XEeYTNcC*?mgSNuv?o>735wSog<;{r0SWOpQru}Y~>lBY;48abm+{o>*)+29$w z^5?Y43d~azn!* z@zII%JBMf?k%+~_L1ysO%e7*haqCGqQl^;b98vLPt-7U&kIx!7Fq-^@ty2jWcFzDZ zoj`FQFx~X7dJhd1gq_dnDc+hxLx7yfUR<^w5;OklB!Q*#3zJ|$e7)=F&MLC#1mUjZ z-QsOpoRuieoBcM^$=apW%8GBn((n=q%MC^4?T%Pm=fOJ;tes3Ip4Y`649Gt$XfWKBpszc4OcPr# zussOdCKR5=huKT2S^%lp0?K)-kE6Z74>H>Td5@6bn9U!lk|HD;3+ z=HpBYffJq|)Ko`U?a)UpG^&X$p?W_DRGSg6O`}Uz?uRBg_=7agV(G)}KeHWqpT;8S z;E%`B51M~wW9EN0RbXB`pdCL%-SH!nNV-EWnHYM!G~z5cTF*ph|2yyhxAjY<2F+ST zg&azv3ZHF{mZ5Db%%FA=wvJP!213ROLmQ=p)3!kB`rXA4UqR22?Q{Uz?3g-b*2?9+ zy^F8y-2UCoeD$32>)_if4A;^sq>MCW#r2rK?ck%mBTD~LrQYc*G?some(4juS- zXxLd^Gl2a|5&1YrA*v4pm+vrdQrxf6&Zk)Y6;*M;_u$Eq^FlXW1Y>S@~0{!s;aeZkEB}uHoe_Z!&S(DQp$?aFn^msc^Y9`n-2B1=L%_Z z4%>h0s*$J9+DDp_9t1Y@pzTao$rm7*&U|!p$l7Pe%G#Iz$!oK2+oG@%@$M$kA@iPb znfD^$bxh;$o8|OJHr}j#(WOZ;xW!2_1RSmZ`?}m(Wt35T`U+KKV*Ywx7dq4>eIAKY z=ez18Nz#2s$#YmBcBdg8@LpBrzP{XW)5Nxg{@{JNiU<1fIir&A$FGSe^rI7i!RR~Xi1cqkahX$5aTNMW1k7AyvJx|0GgDemw#Mu&kqug}l zw=>5uU}nD|5nrH>BG0O+zKA?|!Mm0!r-+8F^*t!jV^J!~eTsh7N-qS%?aA4sx1*Ij z1Bv$&S4{2)JxF8U$B^4tbd>^Bpv@tR>1zq9~hI@T_#S zrGb^_zy@cc-Yhy5t<$o8{;5gU<&=*SHaC}a`7Hwnm1B@~PmnoG!$CtUyd-&K2#lrP z0D{QPVBCjCdUg`O-?HTqA-7iK)HU<5vHty!a1Av|!>j0#)!#CR>%2W-BP&Mukxd>w zS$)8XgCX+`&qH;gDL}k6E{o_zOU5|Dr88;!lzViFBU;Nd{bHRmU6@@T&Jpz`2#J&6 zSSo$X`=9Lo5S`-PxrUxEx4hrflx6`F>e7ewD*L}sNG7K_~?>t(-2xP|sX<^c{Jhr<`>0j3l=!39|v z2g1QuiaeCn$=t629md~^s^OCT4+o-7r4=@mZI1)Vrq>GFapad36wc53-{gxp+nM`O zew0i)ud#Z&XTK`;=N!P&<4uN!Bo`i_NR52@$M?(s-^2Rc?4L>R=qh@3JXW7hyr$?U zW2jvoTcV)_^f`Z6;J8+nGloyrc39Ra5jj$%!so`8`6j>h^UXy2Y56tj#vkkWkMFh< ztQhYLj(xZ0q(#>g0_%o>pZU%v(;OS#M{(;@dpfwa8zb zm=X<#(PZk%{-zkST!K)P<4r2iSl1!S4^AK0)7aeJ9~?diWReU){?MZHR&OX0eqtks z&rP5J=Tp!r*GXYskUKOo`z%&$Sk~P5#>E<_iLCV;YS6579ZFl@$#VLc7~e$saB)5% zyeATsavYB}4jSq@EXc_s>V_HbI>h0yG`>Om!10v9Y5nPjnBaGHtZ{2i2GJ{G0Ou3N zi}4LpyQA?9UOk6TswpsL+?3TxA^1bCH}qoksF&!KBm2!4>a=-Zi1fwy22SR>5G)8x z(n{vQ2k#%kktob{q19%x82+)>A68U|_T^W`Y|X)6IWWouSe{b+IwIMWuSdUQ z0}9G~$~pCQ{yh+_SC%~^3>+f_P&eBusZO1pH5GqYTlu39+}-v$RVtY8F9Oy{LC;sS z*PYKnjk)JU)76AtA^CG@+4VLp(Tr1u4xOY;XL8p7|A~49K-*$+eCX@koH%AJ04Oq49+}PkWohysXzmUZVX)>NTDcb zU7KwF>dMo@izTYvLdnkbO-8M4F@Z3(wy=$EC4o>rtc_W}@RdKQ={hqhiNst}oi0d4 z^5&7Bnd!w$OZ`V*=@*W>BH0K+3(XHi&(IJU0v*2yO^v~WAsy|@jM^9$F*I$BK`SL~ zZLSWX+A8@HCiXA%Cx2#F^u{7P(!-L5bkJF2TmRAFJyxzmKAvtTGx*8qL{DrT8>Idl(hfhGiq5HJA{IrRe*_UG)(OgFiiuz`zkohh zM5h|ucJyR8c2Vv|ZWWQ|e@M67cgSbR%4kbUA(oX_jCoEP9>IfHEzmJ`3?0otJUhU*=Y#!2>m1hxUZ^jnY-HtA^Hj?484(SNt<7 zYS>dM>e}qU}9Z)J;fP5!90)PTFgzgS{qIG^h}NX#l(>=-SFmg*%Y3qlSX_t zT#!6%JsQlYP$wD;ru~{!@*4RAkx*0aTul7=PZt!%PEbA7+BqhfVVp-9g=EZH1>?_c z9?KI{d?{TCuNoKgBc_)&s)t*&pk_0%fMd%|A~4!`Xt$h?CJ9@nF;S z2(O9SQGGT3S9@bq&My)t>SZWr^QJ)A@LNF_1@|*M@nAun?Ld+Sc zeeKPa%Bk3F{~C`dzQlZ!6x^8Bq9*R!7Bb77p2|!|K z7_t*^2Ad077IJ0M>tJ(u%<;=rrP5w$o%qA{nUKTW;090=i6-VsIZy#7Ufq4y*_aJ; z44RXfx^SZ{a!uc)_BmZahZ-Eb zd0WD&?dLdcn7ZLCh5BbzKuzD9Y*^YN;tV?Y3Rq&?t+izs9?gJ(VmSvaBQKvNtuEk6 zMmN}K-o=Evb%-AlRMkpHIR*;Lzy1S=Bl}K8o-il#3n$0RXfLaw&liU}UDGl5Luy1j zYZXkG`qkt$rc@L;2^H!ZhSxKA87}o=363MPy2#E^B=J8K$Abhl#xsBD0SZ9IXTawu z;+O1aM?=;sTY;ky;Bm1lY&nw=n)>6JJ=RevTpOoG!?S&hh33@@3SZ%W?=JVQe#hI_ zFr~`e9^S`NOx~$8@W#QP&3xot{EeD1+BAewBk{+drDG>V3eJvO5N&`+Or`0-r4ocA zcCVs$`FATOAiQVI5X!asy5w3dYO`yt)>bmKJmYZGa7U;8qoqvk_ksc1Sn}nMJ$vHM zU}tk6cE*U8BpGpCkIDJm@YVwkIq_#-cXK~k{aWT7yDCT=7zJeXdm#H#oFlJWz;|r)wgpjqy>5-`=e{>e1Z5QS?b{3hG7-Kg2-9|`8ykY2W-bLmL z{wp8crX%>5G9 zk}mxTrJBt}Iv5rKO$zXDG@zqf424C?-5qFd4DF7uDkScLU5LBqekkek)z*CAe^@&< z8)=uK^Z+^mFrOsfFQZ;2GT`4E0TyYO;=_zP2+rnRPZ2-vksbwmcD9C7FJBdaZu+lV zsu+Mm&Vx{(tKSMhDivZl%|$@YlVPeOlmlt>Jyum~IP* zxis*D*;ZBXLh?e>7fgWjT1lSuuEd+!K&BK&K71or!LDX{oe(ap&>KE7 zW)47dptF5&R9PZ-(;!3JDr@g{I>|yR`8w3SFe#O|XXNy>8~*dN|M^VtDi4*Q?(C@y z_HIKSLxoH8DcNkdzC_ZCsi-^P8DO9)_@e4ZP^0p%*wI3+c&_Q*NPSp!@sl>0KWUMlq z+1q$|I^o+x`G#Gi{=7#y@nQRGN#qc&`D%ZegfvoN3nQLk6k|iX`HF&z>Xzw6D9o#; z=YVl!B3Unp1(!tQ-y|mig_ol)d`#gg53MPit@w&qhy1rKESvFQmjue#-o*vT-CPcDw7~)A(OV z#N;T-VpK93MlvP8kirh3>i2`Y@8Fjf{esM~v#@s8Js+qh&npNiH*YugLua#oAsw=P z(E_zt0ilb7C8)nC#UzqTr+HAt+^R^QI^87}l~Bhx%J!5H=WD(3}HN(zyD}ZafOJ};7Vbhk6TU_s$u`A}^NZV8tqfbLjG+Ys{kZyx*3FPQeJ9i z3aMO5e1%+`#KxjRGQ`Z4VIwVqKkY4U*g`x?F(cnVN-bo?4rcIEEp(>H+y~wP9=K$8e%ovQPx)|}S0R=!^zSAv zpCx-&=&ZY0Nq3RLrr9avFGmdFdk_m*!k9!TDtRCk3)!d*JpXx9AY=X@n)5JY6>2u= zxD;+`9*=;*;XX9CpoyF%DyhdCUq$}A3(rKrc+Kz^Aczm`f}W=KKKAMOqAxa_>$j*% zrxN7UBHsehjdLb=()IxGom8{>wC9xOO(171?i?x>5Z8c!IKel)Cwi-Ud~;6N)vS0c zxYn>J+FeN`hFjDQTqX(z8SWESlZxW6L*Y`su4Q7$t8L8wP!m1wyMk+5T}}Zs%KJ=u(;!20697)}ZTkG7!z#*oH*Z;c;I5 zFxJBK!l-9!JZjJASCfS=(Ol-Gu3#UQ%0@!r{0zNQI#JaTwL zAkw-xKdxNJki*0^Dz^_Bbz7Z4Sfi_$*|tnRKYu}f>mImaOeK@Lzj6W@ZnmXLOt^qx zhD{~KUxuZl7Rse`MQr>nTGd))ksxSGcVYgV2H$bajv37LJ8eKdt7>!XOE=LHm#-+X zn9o zwAJ)@5lMyhXDdiVe@pDfEPC$8lYI?7yIIiK@?wr%W5rcz{wyY~1rVUfLGsq4oEg8k znNAeRHjbCKbJZTHeGiCGE5=wi+Lg?ZgcH&lG^2!iQOkBYx$S!~0e_nVcI}82ii5pz zkW=J4(QN){uZ>-X!@LO2A+~IR(G1iniNj+ZoW3DBaBJcBM&Scm9IF zjH@BW?p-U5$jIH$WDuY&NNMQ4ejvp<@tj?~;+==)61(!|<<{TwdI<}0pP>|g-p+jU zl5?!PVS;+f1?AGoV>7_zV|FR4?oTs(oH9D$*zfF_D`^8YR<5ct3!f+K3^wtfJ2b>JX2 zoNz6MWH+|;PB7a+OnwcRQj==X2$K9}Qw4b^Fg9x52A+xZ);FN8@c(Xr%* zU34u*^jFpP8n#P~pAx&UJYGUR3kzAJh+1M`KeSBv!WFs2)6LGsKK^yNUTI;FA-c z(Q{2JQ$8t&xVIV}c6K}>rLN{mXV)AN!n5`r78UVs0qi$Uq9c=QQiJbtI#aXO$1_kq z521nO&(!|1TS}G?@fqVcdcLuVYr<}5nd#$SsEJ69Z$TGvS|D{%ay(>VWuc9$v=eYy zM_eavj@(2hD)!Uvs>doi#_h(dU1|En6UQRQ50h#2;mOuA_R2+9c=}|6*eg!7DedBO z-jx0==|qjeXYph?Q(u2IpXOQPz;Bts_I)QinoS%{lh6;khL$zimZz!}x3|3&QElCur!*^?ixn^s7~a59tznS5Q9Eq@es-gMIJDEcv&W&{-=m z_d5l~367sCZH0LzEhTtaL{o3hvdUm4CB|H})8zW1>MdqwMnv^Q#_|5F8OMP*{;Mzp z9KUhH^Bs?EEOUn~a&`*{6FN$x(>Qyy2-P2VnmsCBL*~hKfxp9^A&lHtcXDwDjXA!b zaj?s+U$PaYuqbG*T0JhyFR`zR7uMyRurgbu7PpBqx{Q&nlt%vQZ{$a;kCb&|Yo`=J zWpI0PlV>@U$Pl;hQd515E+)m$m}>h817*KLuOjm|5zqLvFZe>^V9f3!$z)nnJyYfW zsF`6Qj#KsNUFtWUXvjjun1&+TY=7V5cw6l|7cG|E+7Z6C2eL z5^(GmuJSF*p4lN1MSg7R`GHz+mFSE{Y}%9OFCC`0XvHCr{C2o>m&+FabB~W-Qx)Iu zu+TcqKHwc)MsJF`vn6dfZIiDQ`RgkPnYk7Tr!)U9{^#&NY=iL_^IU{owOx_v;HQ{? zh{@VSxvsldpqq7W%;T7 zAd$3rIrV9q^dkl$%cT}+vu#+rjW&Fn+6Yh)z~pPZ(Oa>QZ1tXvj$lVgnc zIBx)!5<|>M7U$*otn}Qh+MEzB^;%USWfy__R<%YW{4VCXPL7RruqeMk_RqOnC7V=c zn;fLI77QZk0hDM_0VP`c0zIEmZ6!@R@1s`tB#RYRMVoQ4A#(&5$bys}TC@AXh~2 z!K}eD@iPDAeUoa`FcR=W^S~tIyLocWcgR7GHips#c9oW zh7P&)Y0Ys7FDrYS3d?xKUL(WGZ=-pC(f~^Y;p6vb1{)Q|WxJ z*V>A^9c(}KSP?=2>q+(-)$JUd<=S^1L&^N3X}Ex%kvhV^p<#Mx@}@vm~mD(|rLt(wz}*(KgM?O+k8Tm0=3qg!Aaq&8NBXn$RMM{8mdPhu$R)PKit1QlUsZ1~ zwpnw-sY34`Mz|zai$;FutSEa_hIebqkmh~V6#C$=)0$bq;MS^9|Dk8&XN@^ElkBLW zVR+CZRun}|j5?21ViLK@1c{6RLEnv{hR#D&*Lc2YVLLdIvLm0?mTuBg6%BIQl zz6jb?juiRS`9G0G7lT2X%Hu(Fd4TYB{a#`c+#6Wtf}rs*3Z{bqDT6@P;24k+2bbg)stP zyh6d;5mM$ir!ge~WF{9gSp{XWn=wZ)P&AqIYrpGhBkoX-s)p#{-wE?TusytEbOhI^ zHMEU{Uqi0mba!sPL?z#{-*3QylyR$ zoV5Q~e&CB4rRZA1)(sq1Y$dypat%LJi1IudZYo!J-wkxy;3{ZwxXu`q%fx9T3zBV$ zN1%#e4wS}d7u6(afTbsH{{_w?mp^<*B{%4;-6x!R$Op6AWAk`;*50Rvv6-P@MESu# zj6mXjDi{g3n&PXt1jS~m5dOBy0>hhHrG(bQ=$~^5m;yz7FMs9wMxp6yDJ$B!XueDf z?y>hN_{n&7ytMe^@9`wF^^3m2m<@janQk%kosJjEXQuqVomN5JV-CV)vP;1}VVR^G z7j55-XGa$WB)LjFJ9wTY2p7)Gax|Y*e<8UU4N)DQUD!vgGJbh1)oL7;T2PCmp{Hs5 zZUXRB*|O*dLomDljt+Loj|%8vAgYjGIC9BPB8q}tut6>aNE-X6eXB&eup61!@<`;ohL5&V$lj_d$db9A@T49J=Ctrk zX}74fiMlT`vAuFR$`}UZ`eU;*vAl!&o2ZeAdU0zg7%+m=t){ z*Org@7=tXhvNXgqD%7;=+mrxx+3MPiBCBz_bGD8ytlYHrYD)NJTX08R(YrK6GO{x+ zHeXc0{d1yDho^k!&xlTw+(P#2c(IZ^x%KDDr_rnL(g-P{^;AXRN&doOYG%I|!CfFIX$< zB{%%1J1X|o>PFL7+Hy6kyzt<8ihT&Tixw=x-f7c^eY+la!oTZ|c?dGqi&n5krg;cL zR}%*BU!5mHkV&7}B(RsQU=5r73G3e|r1>;QyYiXdFe~fVRMb=pU5m zwA+;H4zfJj1KxQ^(h;|7Z*<`2&_{E-z(yGGa~1+r`HZs=IlJO@aGLxK%Z9V{E|Oaq z$OQ3>o@r%{ysjTNX5WyZMUwH{ExlH};Ah`_ito!Cp|;GWclVoc0xi8|VvxH?+ZEuQ z<01Z*y*kJpc=!`9Nz^Car>A|EhO1CAQQP_2rWmqU>E3L^xJjqZ+gH+YDf6SutCCiVv}R7tNC8uJ&B!=6xRikrcL2I;iUnaH z>ZMW01mbM@ASHO%xu^q1Zib{$^Pt9iJ?nqb_HB8LXa7*10z=;N^8Ya&0@Z&Y_*dO^ zoWqYBG&xQcvqfF+C_oDs4%-!)yfI3>1Q@OfWrYP)TUFNI0Y&heVrkdAPf%Ac zB*|qb*~X!I~DDk z#ro$6F2*_%e&6!$E9w!DyX`v8K5B<)v5_*T&I#N*SdCz%-h0g&--qMZGE3bMW4+jF zi$DHh?0oj;D(q#W?Uo-&WGest%)0Oj zCAkBbQAH!+mx7sOjn+BBF4mG}3rW)PG@TGKTkgfj68X`llVP03VzfE5m`8v7c6waR zJP!<5`oWNo>=$@{*dO6C!)grWlpqnI#f_}BOiQc_cMIY0qJBr7_?m~FE~_nZsc{ER zK4n%6a?2^+SX3-`6xt#vxuRZ{qxQ>fmOto(*46G+SZ&`c1$J~vMqAV9A-Ewe?dOzX zy=Wo4Rlxnz4i2pRDXz1b$MRQ=;2}_wz-#8!(xguXwIEIP9#jumRE9EhaRxdoj;S4F zk^hNibOELDc$5wJ#f&p^=&f z)BAuH8_N>IgU^VbierB^RinL$SDCpI`p&Ks5HIf@8O_t1qr>dWIQq3UC`MlxG&2e* zcU=?UmQ>e16*7_)hwE{VX-b66a{uN)V{3Zmm7|Js`6y3WwrD6dlB5SWo-Cb#tvzZK zgc0n<@|Ac98WeW#dD5>D^P;zj3M zygvA!+AhYchxu=D=S#JZFL*@%0`Z89lCWLLF5b^fghM|pT{7V^ku3FBjtKeI-KKZw zq}`<&)L3TbyjFRuL&?ADcxFtx4x!ho=&Qj8g;S*$*V)i%g!j~%dCjKmjeQ&8MD4u8 z8Yv7BB^}X5BEo5KQS6rrWA07S_Qf2RR1a~{5z;Ag$0s8n`3|edJ1q9TDPs6|PQQW; zNhcwGZE0B8%(P}`B8t7`eY=Ggo>+YeA+2rmA~|i@mX&hFoI2`Is$qGNnNkg5tl2K_ z>@9DJZY-3`g(SCArDAUC=bU7rt8a_J*V&G462-o(wuN1|>pQ7*@bg{ruVdi&*tgv< z4iRi4qK#QLRTKW-9WLCa7g}Hc2s3DFFNWOL-hKRj;!H>5U~o5-rPt_khBeDkchtt6(ZsI8xhR%K%-F@>BPDhpwK>MT=C&#$N1;O#FN% z0E2QY?7sV9>>yTtNc!bk@{cN9mV6*%u!$!fW4d~sW}n8#AZ*z)+#GoR|2{Qf62OQI!ll3v8mk-o?=&s} zmeViCEG!py+{H@kSWL>@?Her`866m>0=Z7xhwzWDJ|t4fW3>tx%q?rjnRH_-XSE70 z|I9n>G^LNsn}B?`V~MY#V5WMB59M1X(8xaFiC$!v%!C9z&!qEwHS<)FDq-uiOFpFT z0|4@EoeV7*H75UuTdzZ#YngvMI#aE~?qgU{`B|;A$aZ}OQYri>gP>!S$8;a+H~U6> zwu3Di`b)=WJ`(R%5$pbV%>>fxIV&pumSHA?c_(-oE@8Z)iQXySc>IKDaTOa$_m;EJ znu-xW)LPwixZKDtdzxxy1h^ysaYc7KpUUix_q;~pUR51>s#o|h+vyL1k*faReIOFVa~XQ z`Ll*ALu!-46hkhoFSRA>m}Gl9X%3y>D(`ggm>UO4QIP+Fq7tp#6G{l`*4=@Xxz!p}6OknFjkj3i<{DwxMX!vlher=Ugjv{feZ77vPH*V%LbrWg; zGB+Sw#cP!lQDXT{;_R1Q9~7fl&EMioFFd>xhM>*M+x7@^21o^w$5GI}gV*;%)pP2D zgh>rD{DQ>({*Q%8PBCQmJBs4WS;W$XgFGRF3Nln3x8*gK@3`cr4p<#pn&t{qG;ufX zaIw<7IEYE4P-S7E`V@cnQ}=NZu`B@(nK8gYFTWEs7xM!{X#oPrfy?i}t~8q8_8NPy zQPcty>b3mP1%wleAE7KglCRtvH^@|hAE?6`0#pMC{^nAXYV z&;9&mF7gQ_|H?HPCxoGwfpuluQ`f-S@57&>q>Ouu_zIZf37s+OaB0}Fj%hoRT(C(T zx=Iwy)5{YMWsY}cs4n5Nw7bR}jY<+nC z`0=v7KSix?&>ho`%1ho;X(>HRim}&(?ONMhT|?5+!0ye>Y%(n^yu`Qj(}|6}a=${= z7}gLJ!aF~&e)%Lc?pIb)qB9ZKnOL02V;J|JfFXCRLwpi2do1M(pJiCd#9f(cD8>CK zRm%4bTgJjrtNg~vNQ;D8^o=6k9k4dC=Ui=KAeF93Jq6rgnExp!r+)@(1lu#n3dvOh z=Er~}0Ua9WKlksb^fa)J+9L3OWwcq`{!r8r*h9^eVh>4&EvE~3%Wwch3@wPhxFdfFwNI1k7;^x9EoeJ zDi&`OatGd%zzx|#5;e~c$Rr#ixEG(Si6{Q#*hgKvFs3J5yF}WJK*37R#^+OEzoFo8 zS2F)p>=O61&8}6hLNFSD`4V^SqE{-xb*tf4(s&D9TJN6)%jy6Y$X-Sc{=AF_d#Z`s zheb$B7LK@DCMTxd#lYTL%CtE#^?$vjI+`1NdU}x?m9%btIyR+geS!}1<6>z>RT+Om z$0$kQ(EWP2zIl-P8#)-|CmOQ%-3s6Xqh7lExi^Si>;wgB$-$^Meisih)Cv~rTpg=wfr+L}8%%+R&x#5RVUsu?~j{fXC)Z4-|1y%ntRSU6RJaG}GqrpBaoqQy}vEis2ALvlBtN4ANJ@4Ehz)hof zve$QbT^C5})2yM%XEjCXG*9#Q`dGhAZ+T}%HyzXsBBv&(AqJs#+q%!pXdhlp>LQ7$ zwC5h{e|qL^vB9q z1?UggTkuc^Y|ZlYt@bom@KP?Z%QSbTEcwMFT4^xNqwn7H_*U%H8iyKgQ71X@A9T!z zrougyMt;^cNtkYru!M822OcGFH2&se5pfXu3n(# zNwOFIy9_)F(M2oFb&=<>J}av{A^6xut8*!i(N9I6W_v8ZI!95Bh`D|cJLO>F|0FDyX^J_$1c6mX&^9Rn*2Sa5ns!2 znUWitUIb=2fkNmOcm$_EPj=8{m3mdR+89n%EEF?mt0*XGbxLREENe_75)iyivHSbqEQ%{KGG)pN3McI)v_d4oT$JxCWv@QF7Mld^0KoUrDv#iYLiqEg9 z*rJ9W-0NTYfxtt=>42mwX8Ncruh6aWHGm{E<&vSbHsunLc(*H0Y;DBLOlI(U5ARRu zy4rQLh1Nyc<3MA@{fwXK((JR1ScCoXs~h$F|Eclj>GR(PC~^)SziQKl8yCl32l)8A zcIV+1?ya!#nRI7a(6MA(SQc6eQ}8?j#F7OW!i-r2Jm-p;TvU(Jg!R*#5YLq7d`3&p z!Gz-NnwJN716WEk%$5ciZCK6u+^0Fh6UdjAkio#%EL`$Ow^l+b z-TEQ~x-g&bhA_!24(z~B%>TD<3C0bbX==2cInN;5ULE7J%nFRg$~Lf9Z8Zp|KgwJZ z>sx}z?{CfyuV?=UxE>#)UXwRthtXMIhs(k!k(iO}pNG?3oq@s;O5temE;BC(J(IX$ zjqtW@%4l2)G#yCsVYBaqrtHy%ERI|yU7wggZa%p*!`RmYrw-1MH7qsAKn&RtfTW@| zx4=l9cT%9hwG#du7R{tmO;eNe)YU>uJacRG<5nEcG?bv28@UR;G_iyAHfQ_;RxM50 zO98u)c;FVQ(#kbmut{e6TaBz1`dguBo`IASO*RaOWjOu1t1c z3q)1RPv_8)>OY6-cBAWY478=E1O($F)p!E{tOk_f9wM0G9>;{T(wJf}1aPte2yqF- zehH1UoZZ*Rjr$}qbZ^)g9%-pjZ*elI+a+D7QI8iLe242|^d$v#1&(xBkJQTZ_lSyZ zC|i9T_CXu9vz>XcLor#H9GcT=OHtvAvJk`(jU1=6z#~*2Su2;S8wFAa>%p zqQ3SzfFpx1jv44>XvO&j_s-Pli@1DgyX*>FXHPrZ z2f5TZ&R-){6J9K>Eih4%MrcfPz*C8!_Z*EzPS^3r$eQq4HAtYORUxlD-Fo!NPBGA0h+7g7HEs_=&u-r-*G)mJ-m`K5xAdAhI5RT)X zUVPZK$z2GJRi%if0#bR%f0aSV$FL`BFvsYH8^{I6wTYI9b2lcVQ?7ISw3hf|gLeo3 z<|<;yByMK{cP=Nz3e8!9IV??V0c3~+khO)Aw=z`ej`94OiTM~--AGG> zK^tFNxe`p~$KGHt2{V|%;1=q8Q;4bXBq22cpX1~d`>FQq_t zFlIq_pJBy8OEWLxO1#U>2hsp+l~rfmL7wRM6*-`^Yej4WWUHt>@(DBQ@(s&9$atEp z<+;8bTqV1&QU&BxHl%(Wsod4}S~BCCJG&T@yCA?BDr|iLluQ9F(vFl0>Zp{8U|Eo# zI#7qjm`>_00cDVU&!Y7uZdVgc@K8d{?PLG6YwTiP_o0i{_hiT1c8p@Q^;DLa%jjfdSIEkYS2#PqoiORNK)=1%0Qr4dxBrN6^hQ+J?_w%6#6m=$Xyvq6=o z*+LE0IXsN6t`lU_lc)b%E^lUIn+@WqT$urDr}5#Am#F&K@}1A@vCMn4Z6N6hIS=%A zb6g4H{3f0&bEu2dPlYTLp)>Y>FNp<|^yF>93Ng$qFVuMcLO#Wf6ld}-qM@{}x7CyJ zfMS6oaX09|avJ30$Rmr;0Sh=Zo1YzSx0$nc162`E#n)P@7zPBZkvjh&=RJK*;kst!BH2QKm=!+Kw=F6_V`jq ztIXgIsLi}0TK+c{ha3;E%>$QkQZMO}MqN}Yd)VS-y+qHCmFFB3oriV8?rVqRb{wA; z;SH?kYIN%EWcvh8$L@y+6c(E$BgYl=sFh+fAeQ{ z&j&4b&;Ombx;K1xHJSrkX9gQ1(m2}Y`8Q#49v zfm|BP<5PB=>Q_r3fA7`Afr(na?C$*ku=W-}aRlMIXhKK=!QCB#LvRfQf)kct!6mo^ zcirH=XdqZNcyI`?I0SbH?(VV>AS}N7CgsHXJ@Q+ zz7Fnxb)4-T6rHy=rdBvPxsgeW zEyf6W9*SQWJ7KE=HWYaElf`#d`d>+nTHJLCo4lswPdl)g zlCv>stCKeO4;fphlDbkX-cDT5atUaf`c_WpFzfZ$(vB8*@AhXw<3%WH5isLXJU^HS z5qScj`?JhnW>l1u0VTDADz8)k-GAC_MZB|btvQX{0$~2V<;Vbz6*%aSe!A06G+!#l zB#D1_mzrb!}Xe)&ceZ4L24t61eOHwNXL&`ERve63IoRl$zd2r&K zRGYxW@8QFGbzQ`wJ5%bM*X-1!1GLgebTbsOSnMrK>$3;MJzLvYJ=>WtFDTaA0i0+&B#<&MMRh3Z@H+mG!;9BNR-4!5 zedozjTyWe9R)98Zx;8%GL{|q{)PAIzU!f`f`WG$<;whmA@vt*JPQLq#Mz$UM(eL-# zEb^HR%x~ZzG5-B`kqG4i@=G-6eY?fiFv)2wYWZAKL-#tBz_zD1w|;mY+%K7fV7p4% z!Tt;nZ^g&gfxrZ%%T}4|s;Y+j;9I|~xB%x$rAu2_|L%dPNEMyKJ*|X(JvkPkDfRd;euBKvA7v=Z?nMW6ZWAzc_;I*%1xRiukej-wZ*A z_Wa`6(gz5n)&hvK{jg;H!3jq z)^p4VZ&b`Z>_G7Vd(SVornu4U#JP#Qxq z8$m~k912^9!w~~Rv$GnwmaQEuFh<1*7Wm?I4@{lt=jbQSI$bjMi5m5Zl3tj)zda;y zQOo66E13uA2N+9eSlEkx{E2+`cV|Co$ghrR5RmG-Ez@sEqRE7-Q_MyTO-xM~_*y+tMaJh=aFlULgYzq;M+=vvYW8{-^F*V);blj+UvXjZ}M z20Me46r69R=`uXCu_>&$Eqy>wBeW>UJvvCF&i2fCs!p3#-3XsmT{0INs-`8Is$DdZ z%3MsrcA#GSLF8G5w!T)K#1I=+7%+-IXr3sCCfD9C;5KJ>0+DtM8%>4<>*}!Jv_MW9liO$`MiS^?_1u-1u zEwpnO$BLWIzs3Hzv?>t#>$V$wd|gAQ{CA|PU9ap|v6OKJMyE{d4df%a*6K$aI{kfM}_na8phI14J1~zhJ$a3$g%bN4FSBwsx5G8W#MrqcLhbX*H za2>ssshMAP+Sc!wBJ3rk$2eeb$twLb;0vd6%Y{7PMjdJi8uUJ`W+(fz>6RD*O2SGC z+#6Dcd}E8sX9X6aqH~z#bOCi6ykwj2oRm8K&)FrCv*!mVLWv|WK|kG_d-S|+gTw#W zU6&r|D)A)e=S&Hi=E8%_as>?|KFjAcN92kJ@ioOzcj#qc_E{Uhts|*Fq9n{K-3mXM z{~QO5{)vf|>JIYV-RvfE;LLPr+1nqDWd^h(qOOm9?!3pczcP+x#M(Y} z{3dqOBj&C#?Q=IGKkeDTt_V<+;)_JXtiLy^%meFS)i-c~$a}WCkzAgTz+H34v5XWx zpn2KkL1esDCaYhlX#R5xkJS?m)k_e2S3X5q(Nj@=l6c(@1{GOoIA@Ukjp89?%`b!9MfBH1u zL@w2(3Xo6#P)a|!RJj(5*5&nJqFq#bbd~I(0c>-s3};j3lMk1+%;QxIy=ecH3R1=blUGJ&6Y4I^;rX_UySsjgc}TpTDT*y?J&pu4eGVls(d`9&JQ0JM0&lEG zrQ+>p85La*xX^|2Fem_msuFWPu8-UHw~D87(<9;!d)vaQhFG6{m2(iuMi4`+-x#fJ zozyrpog(!!*!1`5q_3X1gXn}B1si~gTF!JRuTU!|td}85M{@1&{8bx`hR)&Nb=98y zT2AwNd=HXBedxqC_0z*nMFAfUkMjPnmrQ<2+zWaKDV*A37T!JU!M8TY`zgOjX1;fY z9>xBn+$Ozs6ryyE%6#lPlhV1hu-;?yWnQT9O5e&C2Lk@UZn~8!S$1FL`cu#ilusEC zs?L0z<0s^hOgzqk{Yfd^hUA$r^JJ|GHCX-|RI)k@n z_O4M1xVkI(e5|ViZ=8IWT9|4B}xUMYYW;sbS<0zsuqMhRV%Fkr~38w0Uj+Wq`7>|_ggqy5-f(k6QgJOs{SJ(|l z0l7u5;!mF4<6jdza4Iz}l5m}a5lqIR!In~!LIqSA2_E|^Hqw)W^*gB*Y5vRXMvG?k znF;k%6An_dF%!qcJUK>YQnSKhWC)9j{Rz;9CbRQGLOo7t-RSc$Hv7nZVjio1-9%R* zj$7C6lj~am(A+S)=zK@Uck_s53}6gA5zWFo`~lq9gvj1R7x3=^EGM6#zod)8c8wvL z{sx5osIt1~V$~7=3PTDISm*n}V*r5&kMv z1VaW({$uQw0$GcL_$Bg*rmD(o8adqG{4(ngc3bp6wcOfKSMM$lSc1gEUpe!>E=TKj z>$n>4WVlj~c*&m>ccQoRmNBODWU{;V^+RO&q%KiOtP|=xxi=t^3&wKt8&*9=*J3eZ943a$B<*epxOBeeUst;V3PaPkymSU2>g2T zSoq3&_PaR7d5fm42>s^iiChv;7f&I55ZLF1@3O}w-pvgFr!X;LQ93^m5QcPVR2jc@ z$ul|FFlmz*IlF>1@AXT&$I+Mk5yW|V9-+w)pJq98sWw#1hQv$0_FjF@vGChRw#0tS zS!FdqOEgy-1IOoWiDuTv>gT?z>&48;5)-G)+Pnq=_=Veo^gl3%P2eV5zq*APSdJ&c zl1lDXa|%1Y?R+K-R?(!GiCOac5q-#11dohr{4}mG$+n#-OVj)y5$Na4Q$UO*vuW$2 z7>aPk-^l(HM1(J4ptgY{dWi-Lc+Xd<+sX1rZjxy5Vp_3gOCZTXo-1=iQ zAqd21h9_EF(#Gf1Tw%`#1B%l*?N=%b8Ud%c66w0xqmhYk{dyOux7~LNeuWS6Ftcw{ zaaV{^Sv<4rT>#kroMU}@b_TA(eJ2GZHWtUW230SfkxcqUzhX&^^{Jk$-{Qk=X!63RdRE}d&qLCh5g(v8?B_xH zfl-CUhs0&;^T$l3HGZ6s{x|}m%&&}~VbAM4c-j%S1Z^#2Ut;(3DNCTvQwaX6l0GA0 zz>Vcml5Pf-M0p04R~b3AL$sB&Y;^s_#0|pNp#kvn=LIY7AZ1yp%m+2c8}29{CYR1r zMiNFxb*A>1!*qDPs%7z35)aE&g?wx;TJdC(M6p_~o*iqU622glkvzj$pQsWCn1v!- z814V#)?@+NZI6_UvX~i22i~FZphpe=CZ-5@8$qjZ+YIY-=YyP+a&Wks9DD ztlQf8YAdP^Z@JdhEnid=1|Cp0x#BPX4L))CY9xk%J379KpUDy)8qN&JV>3RVGZOF- zZQRZDw1o(LdFxVMA{b+#R(K{lt9`gqQ>5t(5h^5@+&Z1myXvs{h3IC8`P+FD_XFG|Em`gNtg&pxpe+Ha zwYvcjn>_kLE}rDrlBo{=)9omb##S0qT8YR183vuG)N>j9i?5^3jQo3CmI^VI{5FLJ zXJ1Z<3K&Fvm@?4F#3$`a<3~o=Y`{B&2~ZE$cUCviD)ReJE>`?NhsWVR#OJj%a!40*1jY>uuZi zx)c~ShU#hhae?EMYG~@B0t^OAKH2FlArTocshCt3LpttJ-=>t|e&43<`tAMvLQ!7t z5Wqdf41!6iL`{k(%~&4g11p^&0C8cJ5h5l2G^QlsMXl(qt<%^rO+Yk6>VoVqMDhaD4*^_tg!bN60Nbx0GYB_Lt!eVh>B)6x|Uf#r~hW@s_oaz1G+U>=3MjzgI zs5+5TNH}imw!d1pTK0!Ak(Wdd;#;K8S%7ca&%5KZ+MjTvmiufvZutNfB3i+UWkLnl z7UOHkAr6_$nN4|$wgh6pXX;jFpqC4O*^LkD&cK|=$0@$F3{G+?hDUweEPzL0)M&kA zSUXM~L<{YFml5{YTl1yEZDz-ho&f{n0wGo_Ld*-Vp@MzE|3)!*T1>+zUbR?QiPaT6 zay}0%v1td`)vlf{PL%mH(vR|x;JxkRAu(Og5mD_WTaL6Y@ezDot=h}vkCGkDhJOjh))uDFT85oSrqZIe9z`hn2qVWn?D*TItY4|LP zc7k8-Ypl&un|Jk5^n*MkVECBMkB3DKvL@9efxrN{_`d1cfqn-2+4WLyypzDA1v}aP zsJyP$-H|Ni#>J+L9&%TwA`)^Nmed%#E#}nC`vuHGei7E()Fg>7Ns28~biU8LhnSOT zj>9Hbv-`5;kI7kciNm*!!!-GNa>6ugn6kq(6GuU8G=p{{`tLt116RFvBbYP2%4{?< zb|cZD2h6DeZ62c7QZfo`mjW6#nh`B$}Sl!K_abf6FXKtTh-&qY#y0 zgKOOuxckTEZiE5c`+ouPN17iaGP;+K1tK*+BQh+urSv5iE9d~Z@$L8DE^{Vd+|FZm z$Z8d@C;bW5`h(#-;MG+{53RZY9fhw=zWvq@x~}+PXUTg1VkSFmZ6Y$|kLsj6%YCw( z<^hbkH#H6MZ%xG3lajg?fb`iwp%%;TAi}EwQ0P`j-y<(Vkz}`H=97(jK2q*q^37B0 zdM}R!Mf)V0-)c=5Q)ecp6?sJpO4R2U;Bau<=NjJL?$>}*K_KC&mE8zI&b6=jL~Ro!EPX;VTjQy#F=|gTz-Va-LvAc;Ad>-aiKv z%-!F&ybXF$pTE8IUYi~ql}CN^p12-Wy%?a%D;l4=z*->s?Oy3HHSttAhwEIoWJ*bj z$1|jCjwd6~PC9BzDI?I!?^(jXbEscor(MB_loF6vgZBA49`BD+F*u0H3bArXAy}Nm zfA_xv_q`73an}-fm_QoIOEJOG6}tkXUo*mL?(UTASet^HJWb;9sl(WS;lE1MPb*tN z0KCUe<$hcH0ft?neFHSfsR^QZ#YyK1vt}ZTx2=-r=j;oH_0F4=n!F z5ZB(3an;Y8XWFmn$-kOdv)&3V+O}*Bz5_t|zoHb*wTGV{f;eu|E`lf{0USOm<*YBt zkEPext^>f(6^B86RAWUKLE>AQBUf4);s8QPd{@1uZsEee`d)I}SNhA_!~b6~`(t{? z|7*J# zZ|rg!R}}jgd|kg}(`1pR_sk=!L@OE8`gIcRJ(XRnZ)HaPBS|%GZnOT^ zId1mqD+a$H{^DyR)RXaovuL!ba|`?$q<_P-G=YH^mY%D+B9t@&sBn3xH7K0N5%YBMmn_fWbfSRD+P>|4iBG z9tfOclKC}@My^pI*zfW)0NwRxfTctZ7hRGNC=E~L6aZc0@C>mAm;k`vjX#GA%2iqm zz^VTexzGL(&o5G}!xZyt$(xj;S7LNn(bYhRKQA^HI^ps7^0=;VL%)o4xK4A%Ji|+| zUXOOdE}ltq8aRj-Pd&0hymd)Dtm_+W6R&WUdj=dV`#=uV<-P5-ztOwcE!I+|C|R$z z>-s+aSBv()f$gV!^eV}V&s-8f);V97005s9K58eq9Fd~W8kM4-Zs^T_gzn0JM6N*y zvtq6NXL8}if5fjsv+$G5yRwr@Gh@O(I_4FmV5RaG^V$$y8(-LlG-9CFOE4g2+y z1ol+eItx}Sv9#!a9 z6S8#otjm61Y56U}J>jDXUui43;hz0g=s9-XaUcM{o0O!T0mPW_jJ;*+uKY2_+2#wC z%&h-`+;am=8C#+4Wox+Ws`^vFb)EnzLN0=0R=dU=75-~wE5^D)H0eWW<3C1es}kj& zu;GCCQtj|CFwysQ9>E(Zil1LM<~50dW5) zYZ%Q!Zt$8vyY(=x*c@1ZA+M|dtoaYHk4e#u7jJ`*(l2e;K7MuM$o&ZU#t@5MZsoE` z!gnU_$Ask=5UBzM(D~26=QPj@w|y-848ZXh03831;G)52HX#V<=Sd9!?jP7JRT^l2 z{1mYJyT~fj*u*>U)qJwhP&>3tSOBLAgeu5R&Z23bkmJ{3nGBRa1_R_5oTTU6*m>-U zNQNA1{O?0OOmD}^yFW!;59W*$WhWgx*gw|#AoN7zoEw)lfhB(_3@Cwt*g=zx1AvNtli=(7u85II}~-T$zN zgb&H?yZp&_BLe9J1P>SmdNgxecv%U zL^J%yAhXGW0`mv#n7dH?CmCiJAO|&8GWy)=!)*Qa_vwv4|5T>VIdK7H48^1WMB1N` z!vDw|fQp_8Dk&n;PAu)soFKyV67Gn9$ zVaF8<04k3HcrbeL-2SKzL?z3T8__eT=!E#Q7yLuW1|pLAqW5J^yJ8t$mpdQB!k7#4 zjPta%9`(9^@;4;Qq5ScQ1lF*Ur)BZhYw*mrrOx-+9j=|Rhy5=dQgIuCir->cS--{V zpuOUXQBz!$qrFRlPssA8%8iYj&k6ML67~D_6K3VYYZ&sn=DoiBV1li1CUJ4Z;Z>{m z>*K|;$G5)ZS0OM+ccK$>L%^vmqF>MA>bci2W zo^v*X1X!PnKhD|SpZ+@_Znt9VA>KmqJNm?0&E)f)<~jB9VPeC^hg3;o)^Iu`1d){1 zzoS@|cb@)QLi&i215BWUl@an?IPD-wZs(K_8WF{;tD{!UkavFdh}D`)t5#gLh%_A| zLlb@XLu8(CLmu&g82fz`m1lW|{0^^TOVBfAZKRtvygilCwL#ox_hp*h0dViCv06z=OuoN!tev|1PWT(BvAHUB0G|0iP?~ zsp-muxK=`19G>K_K8*M+k8`g`-KI0qdi&XYZp8ks|00R0QpbfR!wIXBX-cfqGpv*{ zi3#=;Uu<*o=^R0w#&*yg|iW`1EL2N4zN^t6mx7iZjVV&M)eH=G<2+k}gRyZ6xQFMN30PPMEt(f?$F6* zN0MRRGP|QhxW$UQhIUt39i8QygOgcR;AsZ#7$?>FVh)vlzeBuNkY~@;cNtZQWRn8k zoZ&s)DoKCz+4OIw@fSbB^@N!>2x^Ply|o!k9&eVDUn_1cKU136S_bwbB^rMIHD*hc z6Gy7)^W4@OUH5^f=1S4Nt`V`s=bO~u*>QYbA=6qTu>z}Rz$NbVugF$W`uFj^uDcVY zd?VBpn-D!aTsE(P*zSR`$`CBb*RpT|=}g}m23`omL#Q@F4 z=GT=HK3)ie%|9>6#U}Zrg$ecj%iUhF-|KYeoA(9|>~zG{5v`*0b9}sGyvDz8r+T(_ z-V-Es()Zk$3ua!d?P7;GDSx}9`&UfZ%z~aBjO5F}>gdqoXQkz;{2a1&XQZ!+Sk;>?Z-b`QyPkGh;jG?y=^tI0n8Jwt!GEgiXV3N1#uL(} zu?M=E^;2}bOjXjBD{%F}4S62sqU^ufx0n@KQ&JFkK!IvOYGi5?%14Z^#B+?N6~^4O2n*nsiB)Bg`!eVhh?dulx}@z zc2Dy)$f5i{mo#V{8`>m8SBN(CPgjY+2Pdv)hsP!cy%L=t18(VSS)Z<$2sU`NogEzG ztA@3n9jr!2G?cGidw5j=A8|YE^0jW@*3<%);@!I6)>Io6p8sCFy3O;o#kQ+C3juwt zC1_NF6xVm+Gj@#lt!qJ{303<=Ha}&xJvrVIM@+yMwa*eR9CIy5GNC#&KpVcH!dpGD;2e+^%h7C_nA;N=u9Q-E6z828K=(8Mc7sl5oMyOK*)8ak8xxF zm4CG%9{eQ7@kI$4gDFmmehmq9wq^}V`I2ZnMx9eBcTMl2eKAHTSW@WSo1xN=r9Py0 z@j|C6*vmu!H_i9iP02_80(__lI%@hA!;=(UoT>c=>(aZ|6_85bsMxS(boN@D z+-cL_V}!e1aV-)4%$v%w<#NTkdWCqY+#w*rq;rU3HD5Q&J|MwK$|m}B;wYRdVxN|# z3aC^2`F6ssidAzn!0r1WAgy8@{z`uLeBaf+&nj2DVt;f0)jrivRfytJ;i$m8_kfjx zRI4Ij<1f3X&?h?G^eyvu(>I=6_b|~>G#%A)_ps{;H!|@#RZ{V}=Z|Fy+^6_rJSr3T z%+{wiIqf&(9cfBx1f5A&L!^VPHIcJ-KJaXO54B|a2nYt+tvwcHk_tw#R z#J!nbVS1j>>dz|e^$EPs9m@dIdyH*!dW?-}=DNonCvQ!7*(ugCLzKx1AVy$Im}0B6Ir<^(NqF;T^5O_4NX>q4LnY-Y~QW zd-yg4)v5lCt|f!ptjIw{{g0eH7uj!nIBBaBtQJf6pH-=OCK~=_yzL>F!^jBv!IyU6 z8!ZL&yVrU}HbjA&5mA7LvR$tzOtkD>zL+XVz-1O{4F_T0Y63O(uo2_<*jc18VUfJk zVM4HBbyae5MgjVi!I>5_!A67j;P_#b$(W4x^9Zw+VM@RE#@KgpTDyR;b;vgr*~Kiy zX1`*x7d76il7#%PITONOwpESgrfCkSN}y9=mV>huLwHH|l15Chgb8cgLgEV`kX*Ke zFok&0gs>=Q+)-QIcu(7MjQO&1PLa zQAXTFDSozK)K-kS1VR%JWLb9~YdK!%enX zr-;uSkXek;){yYMVzy_kN!k3ygO%3nZ^j--+wOnKsS{1ja&kb~@cYc)?4Z@0DukQE z2Sbu}?`Xc_M+d0huq~dxICNtsb$hUl|yApN-=4 z27i9U8-&U1nMAgf_`rfs>j(xQ|LP8Ob1X&^v_a+VUeHBl?~z1PR4aMhSD!#uC?l`+ zYWYCy0*wP~&2^rAaOEgIEtjtq*Io%|#vdxrJwj0wg z9*Op#w@1Ff(w`5X+@&~{C`jY-s3g@`bBOwJ+PfS!Hp5YhPjSXot)tCwjxD+LiJ)FH zF=73*LVsE%qfWkp_+GJd%}`rD6>btuo%i8TuF!jA4GV4KYX{kg2OpSM|9>5us%-`Z zb2nu@)(49m1;pMxEsspJYwvjo$?4n->cn@Abz$7bS0cE=V!a>KD-w&odwR_yTeMx+ zG{Z1HR>9^Q3VIFfCd<7+ARAl@F5&7~_V-!axQzEWuC3Z}x*&rz&h z#{R8;VVj>-zo0=aPV`ujn8Dk>^^sum1eQ;c+szr;3(?xyJ9n?lnSQJ{KFYjs9SLeW z-d{`uh$0uk>QL!PFM-czP?KM5Bl@R57z%HE9-EyMh+H3r`}aDW;7@Z@uKk^ay0?7R zv2W|twM&5L5*UW9>u6+NQjY#*o1h913|(Hg*fE=3xKH$=?M&az@BD)Gp&yO55!@NG z8)H0y#%@V%${fxjmcV7#GGQCpNb$RJ=sDt=;J8PU-79n@in+TiFgIdW9v5tr`Bp|jOym=znZs|v8nY6BumnsaHg@ozUWw#b5sj!1Rxj^Q&VbWjn)ANVge1%=AN1O|WO-XbL+Mqp@kG0Ig}(-J={@-S~o6$|_8Q zYUWc)iz(Pbiwv~-E%tQr-kVZS{-&9ZAI_I^!sUp)q>}S_AIgM(`Rw!|DZpGb14~=C zx#W`I_}El_u~#^|ppS3=G-^-{FpvA;*A;oE$VR;xJc8!uYKWL_eLK$RJ7XXvPMrw1 zlc-HXGOf$n64Fm7KFA?U=c;C|tGIhu1D53r#h9BPpR{A4K$kbWemMbwnHmz39lqH# zf61JeGiV9iz86^rP(;B`5^U>to6w0Ccp}_r0*{Df)R2Uh6xgFr1{*0EEj`B6(G@3d zC465As&6;?I{CIrkuW+NPz=npzwDD4aqLFjP`$b+0RGp<(=LK_$ZUH-m+vMFnrEbg z7ll5noAQ77jVWHzN@85uGn8BFjU<~sCUlH|4ipQX@!W!+JahzqzKrj1H(pH{HGX++1ye1%PYzl< zHXhD8df1QrYu*^U0`BrL@uRRd<5NLZw`K2fbyw`r&vM6vm$S~zz)nTV7%vOMmAWT) zH2s;&8QkJ$R6_0#a>RtDC`f3;5^%bQiN-y2b*%fU{E)Bp!CHOls3R1zxFY2bH<9^f`e0GGoPC(sXpsss6=8>&P-#e>^ z^p0{cf)z{zy&IOkzrUTX3cBcrf+tkkg7hJ#h;zKlYh5dp?$X&XKT?N%STX1!at$Rd zjp~<19<3oa*B*M;>N{?GXBB8V;P7+{2WgbsF{%-D-i$yFx1CtrsVgqrtp=S%LQ!`p zn9IloQN!m6$XjpJ&Rz%78Ffz=7}jyU1Vvqp zqwbeX@4HV?YyBNJm#3&)+{p}U%(i?og0!H18Be&I2x2GrsFY;j?)jf|o zRyRczgcp#I0fRA_7WTEz;$POs#&mA-frQUJ3MF&R0d4q0a z!S_|t55;S!4d}nW1F{!Q#p(d(#Qytfrrbs%}ZWZzr zYUYUAQSYb+Uubb{t3$)<2p(2C9$GqTYwi!$khi+03=h3ksKd2~Zq(%|^02t$VGfMK z0}8vT+flm13)Vok0_q_5ba&uVB-G%58>!|0Pz>wrI7C8A<(ZIEU+H=r9IL?f_r(vq z;E!9p*PY!cEq&zF0i9&puqpT^7P0rVY9>-`ud=GZnf%txM=B}CNuS%&~X}@ zAfau@-iJlvQf|t3zex)Q)WfP*mw5#H{6k>hVcDly&Q`~#KH9PRT!SBANLroxaF}O% zcw6feodnm-*RqX>2T#0q?XvpK!jr59KZG8l;%}+$mG~`>u1J`tve_=z-wTk&J38{2 zOsPg@)!XmY1$dQCRWyJkV!$XT3EQ`Ij!$jI0P`aPMzgo4>0k?o&iT3{d$@laEd0(Y z?W~w{{Xto$F{3&|kDX3RfL=?}+8@YnP}V5MJNSCpW0(2w#lFDCiDs56KkP_bsPQtt zEhm`NwXVI*roD1TRH0b?-A4z*FX=CWdFpAFY?Nm;;EvMUtg^qds8R-YZ;|{n2_o<< zek}^NR_QYXE7R%;hovJ=@FwXk`Q?0ffPc+(TbLAS%gLNrz7>0Q2anFpF0)a;1Y5wx zMfu!rI_2ogh3+rot2XPepZs2TT)p%g&0j>J7QclM97zsewrV%9@G$XsuC*?8EL=T^ z85#8C!$8AGQUgxmioHSz%=C1|*g5E{MZ2yyjT44oXCpDU@^UsQUxYueKV`xd>!>QEk;*{Cwml7dYok#oD)K-l$aK4qyb$3VGIoJLKE7n0pT|eb^Tbe zzpRORQ|-AB?^{3razDw1z8v#;x&aZcQ~OJ5?DVrhpT8+JOSqV zEA}p$JD;F1#e$$0o&3AC$HRx23_+5v#>R%lM>GkZo^QX;#H&sm_Kn&pn>W@)@yVF1 z=#^b+BED-|msD7p;Tkxv0q=j(aC&0mq5hGZdVXv<-S5-@aZxQ4h&vbK*h&Nm3ksA> z+Wn|=XHw>=&G2`tXH?lJMwUvX*fMJ4r;B3u?1C>p}m((@J>BOT-qN9&&^AL{(?$7&v9= zQaK*?e{8(8*KMSDSABCu`Kq&9x*BuCM6P*Sf5=A~dmbFV5%T7u@l>X3#=-ZrQd-2B zS-aL-Z>6lM2KWkLSeqZet*#NYf_zkdC!Knuy+`ISLaO_yd8|m;ot>a%E(zp3SbUu4ij2QJacMi0t z>eFr|pF}pw?H3-f+KrzM-5}X*2rFw_J5IiDtjgYEQdXX}tzPIw8amwdSkP1&e{aPx zYg450^f&C|xQ4`Y*sm@_19Wb$pY$r9`K)yxzL{x?ydhd-sd}%+@q)J=^vY@MqA@Z{ zJrSsq-f3JS&p(dJk)I^PN54ggQS34z;P!5fZ40x`l;{0RRG4)iU}p|c=k2tadc}*a zhnxw@ghnx}y}=U!XQ^WbRqH`OFJ`X>R|K8%Rh1*J#N3UctyPM^+LG(Q@4WX^*knBQ z@aOMdIe{`77j6UE6%51Y1Q)x#U3clOd|#*r{wkb}iOJHs?QzkM!mLa`-wRwM9`(sa z)bVb)wmb2iYHZxu_-{7HylXtVtk`k~TN(C_M}%|TH=l5iQ7#^}ipUQmwk`v%WDW@p z@MoNO`lIO9GGPd-LF6J);#a^OEeu-|63!XBbo-yLRUTAWARQ~q5C;q+sVjv@FYEN zV^zeIrt+!+Pks;CoHp;aIWkwE@VEkxxBlhZZYzeDjV41Ma>RfN#;5|V9 zTM||zA|J@jb=b}c}(iv%AmOhWW2TWW);&2hU~h%p5Crj6{4ag5_EeNFc7qi{4~2|zXq)z3%MK3$o3~9boMwQXkfeP+HZbrKwm&;QB!)_} zak}2n&n6f3-?+J#y_ zg0PKBzSA8THtF}gG}T_l^HHV}=`){G?Je3s<1(egUM~OGdIMlL9OzfR)BK;@f$iXh z`lOqbv8BKdxhoP(L!2#>j#a8KDt4*C>RH956aR7r(k@E(#%aCU*G^Kiw^19KGkxg3 z6^ASqxUmE2KUeZ%#9kapuG2Cb6$@`qMB>7uW{eeuD#=gIqt*KEb?aLW{T=DLz}JOq zm0hMzF|S*%1!nTJk#VkKHzO6TQWjKcTWvTku+6*aD@ECAFdQ%aEa^f?$_>j@YI6;@ zG{LCFQ9pu`ERYfXHmu{qs^vWykHFt+xu(NCBD#-3dGXCTyqyT$G&E>VRP*!}(b7>Y zbey%PaMi?&!LCZE=C$?(*j-xh@~F)qS5nGC{mzBTSLhadRLbeCd$4-ByGDB6!iAA} zw6D>1h9}RtebxAM4IKJu<;o(o!@vnd;y9Dr{8P=$wensPKon9wv-Y+MKGjrrTx{ zaZjQBb3A}zLGilNc>61zw^(}c4O9loiSS*zd^P_KiG-d_(=IKY`PL8Pf5O{FnozKv zj$dbi_wEGpfAK!XZRz(^6Ti|N_j!EAbveIwb=INN%bE7$8k)6EGu&v<#TT=1|eGQh|5SuW2zoHr)v(Fee}g~ZEGb{{s2)R2=QN8m!jKw9ddHYYRcOy zY%0-eeYjM2`vFuoqjxm%pfk0HabKV4u-cDoawd{aDwBdWJ<{J)gE~{V39Vt?(xfibR3URcI-Bi%RHK$j7Cvuj3nAC0Yl28fMcl zf^5D(HbpVhD*e}$&m10Z34ZIRqUi$Diw9tOu>dv5asD?!oAO2#_XoA3Ahu3?CND1y zDmyZC#qUQe69UB0SFfsVVjmua4z)_)u7i=2Lzod!-2)`8i)(+kzJ-Nbd*6?-er^YI zoW90H`Db?Sa<_;D5;lEmQQv;pe+99Kdmo`5#DR#e4L5(x} zlES>&Vwz}rY1jSPENVHv4ILTQy+?dZc&tUyoku=&;LHrNlD;Fk-~&qz-Pyz7jbnyl zWv^k`ygMGvPPy~D!2e)M(uMdvu0UA{HH;3S$XdZnU0ubq&|TXkc=jbI zGlOer&wd3As>kC)i$%`%;pYdnnZIzL5vXs`#mdv8u?c^wQT}5m(($!6u>#*xGY>(N z1`jGLGYaN=Yez>$VJ@*67+Mc0|Ec&Ktoz<_v-&}^s(4`aW95_?deWJ-0fLR@B3hFI ziSt03(RhjQ{ad+5kf307&FLL&zB=jcTK^GsGVjF~@=?qrlCqu!s#BF&!ngcw4pbu! z6Gq@S#*zquNI5A9o?e*wzo2Gx>)zDzBb%UYQ8U-2;K%-9jmB6O0k^%XjebXsOwZ?& zL*SYQTaZyW$H0?uHCT{Kxmq7c*|g(bgi6iGAM3o1%gjczRyjc{dkaD5RN;B1LBAI| zkYp%ZH~5j^?Z6j?vVlPcqQM6S|7h~WGdYSM$yDkIL;P0R>(LtVcsrOaRYO=`I!c|j zVOK}(X`EXRR{E$~EA{tWbR8RYPzn$?FHyDu536YXy}HYx>7+xpK@N*34NLa{8wE&l z(8c~FaeX=+wB<34U7T+%dg&}J2vSpadGUfQaMa}mlbL%71?XeZCv%o=_Hwo?t3j6+ zNfuf>6d<5z_Y7qi_@PS?YqApobEZt?9h9^*Un;&_h2zWifon#U3TqSwf-~qNPQcoteB&OkAqaNU8HG z?sF-vf*R6<41;0_2@6>$TjGVx_5e4-;&yc+!}d_3&hfTTH@hV-5m5MW4u(gv zRs@2%lyoW)Q*!z)iw$&}9@70aqenh~-dG9vxpYxeqojFW(O+Sl!PNY|&96Vx?aX_@ zHUwDqF(~a0wH-9QeG{kNj znKdbpJe2B?wd*`I!$|M||HB`=0hX0u^i{J9lU=&RkO zsl%mOIiy=O4o|o4HcL)kz7yO*_$)T549V)RmlFjG{2rcU5iw7i_o;d|NoVFI>91e! z>Tm`r5<8FU7e%S!==s~dP*}brtSY^!oR9WPu8>4u+5N+%muZmp_IdNx`KO!qksZ%! z;O6K^a*asJ%ZU}2nRf{%;1j9dLuzDmPkk)O>w9iUdZ!yP#B27VP26D{7u?k0O#ts+ z$~)$GiwjoWqhu^A|BAq*7?VRSY@E?{X0|D|RbmQ^U3dFS#J$yyW`9Wi^7MrclTkbe zxxyPAy!@BNpEHtMejO$X);HrDpu)RolIzZ|~%=$j29j zFKv@=ho2G_ytIXwv|DJB3(06rqvL4!f0)3&Ob=p_Rr`(Jc05M-{iSVC=J&G$l)>Z_ zI?nhJWoFJxTMxgtuarSx8^w?*@FGu>T}WS1OI*S3&gn6m?PC59>mp3bj8*CPguor| zhy;17=2U;6rKexfzOM^-;Y_uzx-!-&(lHtl>3>J0{s$&#lDzcPm%w>z+p422?TP1- zKqSJ;0~A4pmMtYBBD&!;?Gm%K&Qae;Ok%T~JtP*P`V60lc{qxN zAthR#*)Yb6l_~a3(xtrZAQux*?U(`w5}7szx|rk#|1yZ7j%hkUoru}~V z(iZ<#otOyM&oJX*rfgfXA~7SB^vA-R-MXmhSE^X*bX9Y*>fjoQC<~N7=LJ!EA-W+3-{Pc6vmSf}PWfZ+z$p-DJj4Kh*U%L7A*C#AB=(UNj z$tJ))b`1VJE#YO0s4MJgFF%3sTWq-XT+O&t%0~&u`4%N8{IBzErA?Qx^N2qs;iD}h#=km`E@g{`Q#!p@7`U8$L$2V%?J z;9oyp+753xYfGp1NV?WigL(3vFP3gL9ryozu=$RAD(VNZvBg7uG@%=}6m4bd(t#dW zeErJ%-nHw-Uv24*NHvBQspdzb473PkerYo6kQdLx>ZjK=9bCIc=iDMTDxWnB?F zT#_=EYCi6N=yCmQw&`44q}yG8OMFnlJyGnEArgFkC9v_`@wMRd*U~J{13xE+I$b1( zB6xvt&W-gT7V71yuu!Bb*`Q2p#O}HVAug?9SEq%lsLvg@A}_^;2jRQx6hfM({=Sf+SmL@;c@Ybg3@W8 zQ0-M*TpBa5M;PBoa@ydGcCZh};a{ z-&Kp0hOLP#{7k#Z&lYMQtdTkXV0+qec~Ut0J_2RFeQ~u>@!7n=@uDK<;b;FbQ)>R~ zd5}nPw#`?y+R++j-=8{pC{Yd>LP+Scb<3^tSchY$PrLzxwiZyGO8w zw;?T7-dDSZ%WC?VnS(YT{N5PE2~CkUvEM1J96{5n2nx!$xIz`SIdg)278`#=sJ{dL zSo<;Nod=Wn7ANh%>PgPzM|og7QnvQ6+~xm=w>J-@s@wm^B^gR&NT$qVl(`O>%2;$t zGS5P02PrclQ|4qmB&uU3Q&MJ`$B+=2B2$y8D1Psao~QeK?)QE__dfUg`(v~B+QVA= zwO;RWt-a2p2^q~>4lzkJORWH=kYfbjc(=mBEmd?opqybVZuGJ9tr~srM2Z}Lc)ApSOlJDQmU`>@*VhhjQ7-3RLIn3RHZy=vuir(m6mXv zlKFQByf15|zkHkI$1^`p6)^wo(C0PqsSIUuEOkJMiPu^^4cZ@$xC=M78ucdnp7PM+ zHZNs3S_@so3>EOzW^L&hOfIi*J#Lu&d{c-M{j>jgc&}On0j})*MU2Fc(!C+QLY)M- z`WxwR-`TXrted0VC@-%;0mnHX#covT$PK^Vaj7B>^iNT|aCMooGn0KjL605h1U}ec z$@q-Jd&d<793?zG1RSl;Z+k7$vBNwctc_2I;tO)nizU!@P=`fo61B%@Qj^A|<2_c5 z-ph`&^#86Nh$qOCgC`stg2&Zr=u2lVi5Hbkkaq6g?N;4z*V0lyM*^Gv$xJO=O=A8> zzno$T%KQ&=rsY{WDdeZl=IBjKTe)bz#pLCOC71NKI9`2PA!ARdWjaRa^oZ16LdMnI z{z_$dMZ@g2g^U@$zWh}VYrnD{sD76UyMDE(F^iW3{Zx|*Yd?ywJaK)g)0+~fDMf;= z)JkcbwQ%l5jr_=S3{jV1zBGA#DX*v@%jeTW$BMyDqshM2Dk}K2ym-U2yl-%P$Nx=V|^2eW7pT>Q#jCTd0eQ{7EaX4HTUq|k#~$y zsF6Ih82YD%QnpV#T*LlOH^2Ql|$jZ^*(+aj_%(E zb0~2^TM9}O%bD!8vubMuxS%!FXq40sDcKP9t>L)F+3}fXWh|57G9_+Pi+`Ku>&a2{ zIP&)=_gBy-90t*}`l5c=O2e~WgXwQ z+2u}Vg?R4EpF1B>;;Ov*;B7efhxAUOsIXPZVSGz6Hh#VJ8!O7#_2?pcTu`+DyQ8(7 z3da4-aWS-=9Thfp@jS+THEFEx@!hfI1wFss-iuG-QBoTKz7v1#?DzFsRduuCKq8TE z1h|C~Pa z^|3}1mm_a&b0Y#6V*6iWV74u@eSqfEhK}C3!t!xl1G-aIId>-;?OlA{lIAzm9Gp5& z_x8H?=jFGVOhAZTaa{Hkll&H;&hYjWHVHend`zr1>#0N^s`5oX2fARuV`M)r{%L6p z9E(&p%$l>pnO1oHcYUv+=w4RCtOm$hjy=fQc@nh1mJcV|$YE9mTQy1^i&|+sO^+KG zrNkPJe$<*+F3KxvoPF;=dLFx;d>c-v!HHF#497W#c^j;u5)ufqT4431>8*ot(=^|_PK=*m=4^zghb>238-oev#{el!b)sDCPW=xE*g0Iqn= zE=m&JQwvQIC}5}Q9>aL*X`gt*rbDodQ0l)YvDrgl=T%^O^&c)F#_L~UFX=(-LvT+Bga;ya{aGJ_C zQkkcnx0jSUmQx}(xtt|wvZiqkGxWpKk9oYrev$!w0gbU{*wI}p_4K0XpDAF$eD0o^ zEU^dGTrog^>mM+f=-cqO#;!9yI8= zE+wYKd5;D(S}k284e1>?#DQMif*OaEG1m29ZHH3FO5Bve#8NYXrK|k{z1A&dE1 zmg5|^u{EfRzh@c$jj-|UL*Ns`^ZuWXBIT5OPet*8>-@d8*Eypi9MK6ojB+n!p6F}+ zyaSYX+_t`0TmY%^0oA^hI98J4;itZFf2Y81lg|oBmGj8a`bNT7-$mNjK%u1p)Z!x! z)Z$NN%+SWYu@b#EqUh%uS&dfAdVcB*PJX>w^8_Ki_9nfk6=nfInbnvc=QU4)ets{q z(du0p0nTff61!@55%XX49f)U2{U|%N-WrTyc=udf zxts1$np65Ye3M*uE>3UX}UHI<>im<#2_bMcLrhA_6OOiQL_ zmS^S^mTZeqVd8o$cvav&1f9AThdR2+R0N*Y>mQW3(KaQ>9UZTo%>>wFTrW=cF$7y; z$%eD6v1CstcdO==ac4hSRHtS?q6A z%Gqmij&DDqiW;8!Ls;5c;)bDV>R&TTIKPm%mr$~gqiS^HSoJ1ww^W5Q+zR` zTZ{55opbC1-L9&2v$kL7D2di}7oo7aIZxVW{l|rda_UV3bMwP=N+rZCCgwI1D*1#2 zC$(CQ?|FV+&gI`q1(Wjt5xy3TgyJjC0dfToqiZ=AvFp4M4$eY!+`47MQDrL^SIny~ z*ygnP#r-@fttU--cF>{t?GR;o(L2KDrJQfzOEC?o`AyT2nGv1qy240hg|n!=2e8?>|+Hpot{gp&b;pBNzAgO zihI}#D|wO*gt=GK$oVCH956L4l-MYxWzNm?sorNnqV}fXr7^41Mrneuyhk++JH(l- zrkhb3N;&p#ljdzQK@|Pj-()+UPH;i931Fp*j2unTLXvmNJT?hK&B9@$`%ghkN?J>b*|8cl$HSJx6ntBt2e<~&hrAbz87 z+GndQq<->=_R*77+G;1;g6pgcfhDoP63D&fPDkpL;_nr4yiIEHX+Kx{x5F0N$N2Cn z`y(5(s?TI?+av2%h#tZh#n9KTdE8c)sX05@mpp2Nb!Q+1_wHnP@1p0?5?0I{Zkhun z6&W|SENShhzGVsuUUDbCaNlbcu6`+#e%$&>tss83JFS@bzV_I+`!s_XNi<{iNz-LJ ziK5w`23X#$KN}duC#M;GSB@)YLvEE)h-ETF_!1`{-jgCtOH;8O?=84@@z@NxqkG)? zL0p+NL;&268fSIDv%e+|zE~*-sqvX3g@e!U=4XbQWsI2xJ|;If{p~W=xz&c@skw-ToZ&UOnT=q?qMReSyG@S#Dd^#7+fx-xE*ydWpMw|jtABO zIcodri8JR+`lhG_)t!!LA9do;R&x>x#usOnk9bR&eu3-&-PwFn9R)O5qVh-bL6rvI zFz!~_dx!8I6cHy~3V+B0nh$j$-(`fR#3}~cbF$f2Yg2MT* z>qck5RJwvoKd^^~5@&dg9_Nh>X`J=Gw-YlIfFpacuN!F_FVB@_jF|9#+C7N}!jecy zEY5Qg=EyY?@tp^OWbwb<9L+0N($f5YNT+|3c%pXJ`4jlVl@KO)6p*0TZb0-QLuiBj z2uM{tjUK!103PNj&?OnU$`G!A&Ka4969v#)l^>y?VjCr19{q4fvHUUGJz8F2Nhxd= ztsg0K{+F^wiO+I{<_uN#mu;1&gQ;+s3_^`GegXFMD`Vz}K3QY!^}wLTt6(bZz)iI~ zO^LN1zBhK=!>cO~W1R@Lv-tdEUq&7hFZbFplOQ1=V*V~=ei*klqZY&$K0+%-N7){$ zN~#$YMy?s#PMI!Ccp#cxJ<#%Qv|M1+cR5W8K^7_!;iyUiu4Y$1y4d}DFbwd@#|_W8 z@j#V%VGy&?!fW#ZG4D6v9IYS4j$MBd@lg|XbO74Oear?Mkpq@S>jFk1e8=NYa1Ei5^kl08WeSI})zR`AA5xg%B0 z)*=_oP30R!%+t>k`(Dx^_)QJ@++ShGMrc-zUoVLpyIulqda=m_Fx*$hNDKie%Q3LQ zndMa2;OsIm`U99xNM}TNbNs>R|3I=R6IdomEG~g$ZQUtu%wo=Z;5ZZsV=yux+B6Gb ziO;+Dr?guLDsu#F%YsYJ|bbS1JtAJ+^_LjcC0A)Mg(Z2)DUmew5 zXcRRGAZ9#(R)XJunJ6*%$$%a%1s-$X3|ChPg?`J88Y_v1F`@X>u|D#We$=8l#3=T8 zjzewWkj29b2!QWu2r~FNhs}$_cnWJ3r{2V} z57|ydv)XP(YY>n&%lna<3BdYusm6ql&f*2!XQB|TEEhvdT@ynOYf@s%9Jx?-R{?}Z zXhJwNVU-@nsjd;wB_)>4qE>6!T-j)}x)Q)HB>ZsjZ~*|NQH+5CKg zLpenzj_RDo4EcgQ+w1QclF=_*Hlr?bcuIj>Ncafy?9b_BrVBOAT?z_G_dW9bCN-#bhzkGx$UHSz@GP<+V5 z09SgH1U>(wCB0$@{?OMVe^%g6(k%SZP5FLTp)`Go`_8fb$R8)@-#lbTZu%mPhGqwR z|F6mqohac`lqu}J8GA{i<%dancJ8He`blg3S|R-Wby~603hl8YoSH#Lc{O7V_|t1T zk3?U68fIBsuNYX!r=RUbUn>j>FWk)%GeIO-cJ}ZZ=}`G8Kwje8n$AiEkv@>B#%V4z?dQ#sjrT=1Wvu~34 zb#{|l0s5AR!N@+XYc3r6J^e2Q_vb8`OhHz{vViOkT~URwMgQDXLP*ZW)m6wzgc8b5zKJxu8O$NPGGfTHjJjY{U}80$qb2HS`y; zi-s?WJ7G6$9obbyN^?8Khbu!5OI>@eMRD7?%;RwMX=Ha1*`;&pyivfGgWO>@@yu@L zF=ju+hYLet5gc_bHJ!hs!!+?s&GWh&tUb3QqeHk!Y`A;%#4@VdML}46Hq`9=tHUju zUhpUOAzuvdIV}$Ln0)2O90t*<=PK9|W3DUE&q&(KaXP@!Gf0u~!xdVPz~Y<@4S-R0 z{qXQ~xEAw=i}}A6$w^gs{By3IdcF(Xro=L_&xVRdq+VALhKFyr>#t4vVZvEE+XL;( z2S-yE+6*3xl1c^JgMXD8yio;@tOGGzeaJ^?arm^`#R@i)T`7bb1MVqw2LL+fv>vMJ zVB8mE7D7c=7xlCrKKb+)Fuo7CfIA`uja-HMesAEzfiIuwaU0P)O`ifa`%@Wdl>)gI zdxkGX)U%q*mMG)LW)RVsc^0Od#U0uwtR2jlC@h~G#wZ-n&Ri4^ol`v=aL5Yqc#WYD8rRr8JCK9K3#n zZ%!4`U5^(|Jds#XMmiA!6+U>;u#=~4;cgR9Q&1tL1x!hRzA60EJAfy)#_q#;Y?%Nw zD-O_a=v{tndY3lNzP_8Ue3juZh#~YT+S=_=1Qt8&w(nFC4pkicJFcbW*6G?TAEi7; ziTsCruV}BmI&AfA%^V_fgjLAP+e?z5jf~utvAS~{sG~~A`7*=F>x{cC1OlxnaY1Sc z5A@ZxpZo6{!rd7yzYJmY;XN>$1zfq!LTJ%@JuQyAfC(Z=jhVmU5?D=_?kHisD{yNM zFJP=^!ILV1Ugje~E9GnhBmv|mIecOrI8X;F2~JXW#$L#U9>FLK^4q{k_NK@B@c0C9 z62zbvK_MZs+wK~u^Gj^Kfalv#FmG|87WMv=@Q35oYcNXmLKSA1K&_v!kk9!=UArIK zK4nSdPIET4Y5bq(-T$1?^6D_JIt_MpDaasN@pRRW?h&tin7!A8C;MrhzY5ki~0i7F?7yS zH$$Glto4wcuv7CPVV~w>_=IL`X9$bpYY6Br3i@AW#VbQJ4L?jRDg$oPd)MI_t>$;T zD5LZ@aT}dvtF^KL%`mPMWrJPcGX_4@PW{#wp|gHP0p;{ak0{k>yaRzmso#i4y6v#} z5xA2hjPbcSXebkK0RU`D3%2Jzi2ec3+a2!VyOT!J|LqdomRK~_M>89Q${T)~uk3AM z2+2;U>6Z@P)`;Z7ztIRebI|9_04mR8N5WTM#EzAGgeyQh`~5A=;{udjs6}i8dFNkh z+~$)KIN27#Bt4n1-dAv8#`16d^~-D>bg0O1)CGXIjT-b+ z8}Jyc*LJ9c=>c+sCfs(u`#^@u!O(+N2Tka|i1gS=hHVq^KO?s8g5!?X{=4$E{pN#7 ze}Uj<(@t|9dLxhe-IP8{cbuzc>_hyZr?s7yw z1=5}hT1V=6ayx^f^+qT&Dkbx*9FQ)0zSXMpm()=of>P4K zEJW$Jy!i$iizK6lkO7%M2M=gJbaD&yY#pRTpcYDtoe6ZVh9E57_Hf>TDt>JFEp!ml zCl%FO4;-z(LkCo|51^z#8~=m1vDuVKfU9!b*2J@e&?AU>2U?RH{x@dQ{bewoWSsh# z&228tNjU_b{S$qr8}<3hBde6}Fz&yMz-Sk$cGeNv_e;#ajvj%`xhW)aM1C{yz#ruJ z4@&Fzmtt{r_@W`fzkf=f&nO{A15yn20F6=1oe$c zq495dVU%ABU@;0;#aLTKja`4f%Ouq-672ax4^tkC4BxtD(QS?X| zghhf>;p%N$JIORqLbEHH72A^+cC(GkJA%b)(aJ@WQ{OlMt*3<;12Gzw#nIbV;_zN} z;b>NM#K3My{{Z!IeN^*#ZK{}InZg0?#X*%>H)`0*%# zD7p!riLeM^%#&TiwVk&5&oi-qM6J78$MGj{hxvU~C(lJ}gi~@G&%At_opMI*9d!pP z26KaMcZPSFHizcy;xh7Y2fRv4qDonlrs2c)HoNEZlP; zBk7zADM|NS$Vs9!At`w@2eOip{g9UI?1yQ-orhtb@63L>=27Q;RAxV()sdcmufIq8 zBR<4h(t+?ayQi!@nUxtIh8rv&7pyuN$E+nRgRhF7WB&@?{t5{~qX*0oWX=HXQDBL_pu-YjPZ=Z*TRO%&-q8TD1}QP!9+ zqE9XQ+hfb-dss9zb<#rmn_H>2Euz%u!qFv6Vgbr-=^^}9v>j7C3u#uzBxOKIshhr^ zVAaV;l|vST(~cx{#>0{)&LlV`H*pfPJ1mtGm~0{!`}V*&d|_m_rVrV*Kz8xoAiGIk zOz~;4@R)Ml`$25M6w7YPp|mddiE@GySKVmuXs1Y%V<`BBW*!Kz?e}7$W@j6i85d?7 z@?uhE7k=0!XDhF{;`TYbxj~w|jbd6Yi{2ndDj0MgZ24} zhe_GfvV+v_s@JHt7#O=WM;j}&ykyxw<#|ZlNhSECIKLV3GhwsC;^Jm(&t%L5DE11c z^6b@cnw(YBv18g~-^~fPV20oYj2v}m&XLfe=K(I-%P9aCRSp7|C;Ut+OM6i#U|ahd z!S=lbV5?|21K9pRF2>7%a|C8{unn4W+lTE z@;W7J6!JbuE-NUQFi~f)og$A{>q#Ygl}VZy^d>VmiRfLXDmLhS=7Q92T|1dF>3flC zpZn|dT?tH-CFcZ%Qmts23(yIiuxcp3602$=`w_*?9^Tr`JJd(u28Mz5inmI@0a(*}&ebKqu1K1Yir*>21PA z0A~c-wu@JhVs&YxxH%Fj-a?9f9f5oVX2^ATy+XiLLF7h!-zH!xd}*xf*Xs;d<=^O( zqi7)RMAYDlIJqW;h}4V;zo|>7o9`X%9%rU?wscIVzp4CGr(1bg@TxfZLkh_1A$l-n zX^bH{WJZ!-$?TIjjAP75#wx|W6(|2nvCp%!-bcPi=KsGLCjXpw5g-A(gV3Dyv)?CA zc^oI(IEDR)$fxoKigIVqa|03G_tJn6;qxw#SKd#^1ykF_TS#%u8_Y$+BLAwkPm+8~O`+>N?Mrtt(RddEOXc~@o`%0k14{4Jj4 z^UyEQ?-PA5=43f#Q_}Nefb@)UuFmZ!9TAG!5(|Mk+YVQPFVcd56yaPIVq@F4O|kz7LPhwUawz_~UF?Hc zXk%LhwM3+#rUXQnpuG&?<``nmyWg&Z*LNBw;S5Vsp9wc+ib+?LBG*(CNeOzA*`Ek7 z9!?3W%H(PHH@z}7JuZA~Xi1j-9_!K}hI<;o!+Rm10q<36fm7ch=O*7`x5UD%Rx=EG zhx(`7vv9wB!s)Z@cyj4#{vkc8wcjjVYWS@bYK?jK8@E!63p$0KOpq}r#`7=Q&mxyd zY@EKZFF~zwFJ9Jpyre5SnYsPJT6%o6N`;zI5$-Pgv9oh0$|Z8x$3yc^1gdGLkh4Fn z;8gT*(Qc3GzbBNV$=#%?Kf+vmlatWm$9cNk7?{9wPpsNgW7oYQg*Vin=0k5t^0L-% zvq^3a+C7Ar+;8jKRs^A#KSD8+R)k_rchH>VjmiHJDcy@QU6Z$Y#iyHUo!np^#!3~K)Vb)xmsXXf1r{O1FErOD&JhxQJ^aETL4+=r~r!vP@< zm~#kWqNhm0SV;r~oeoDRv9BRGbGQ2`hMq^FSR@7gi5N%T>&D0dh?O)OP=F$rS+#_hB zwH5MqbjE($$-7PGdMJj#)Or?h)rIG7!=RV3yCc(ms@6&zUgZ}I-!INkVdMT9|06la zodiv<6V#FVcc<7rf@r!NK4yTvYk)yYI|L>eqXfWBVPZZ4_-TF%W$1<{kdu22hg5q- zs-R;0i(ReR@FKXKIr&y}fX$W8B^U{a2fqvgX2=0rpZ_h}v-R=pWC<1$9kVM8m=N{f z(}f6wj%mR?+dBimsY-8F91V%#;FLU^ov+Srpm*l|R4we;bTH(;z!g zUKWS+JCZ^BV<$!`_P4Cwv1>@?>f%utwfvR2BGiWi$oV3Pkz(j=;H>*LtN)CqvY^=Y zv8CtyT$&C*OsTZz!ZTnhYRD2vq&4jN5m$ip*gwAN_`8}%0xJ3?SqqfzI3c$D56h5=^*+9Q96L~A4-Qv5#2)w>5x6fmo)d7@lfqi zjjhBJX?4Y8(IAn%d+fkHP>_h$2}9%uvfXg+8%TCTo;M`rA7?22Go{n^+q8NwWDL?& zW9cA{iyJk9G#H4Z2eNY5=dQuzbwq(8FJ_*zyYoAHw$ot+n=mqpq+MHi0Fe1zc&47f z6q#w5*)$BDYJU1RwS&;^AF{ECJBK7lcSbq>?j-Ts=YgP(Ff9UTAF}%`5E@Z0@F@^d z1j7IZ(3%Ap1%TdYfI$E~I8+6%2@!4Zh<|-C#Q+M&Z0XzU!!7R#aB=b^=wCz9+ns(2 z<^}yhg&+G)g!g)b(n50IZfkP{xS!{DlnwHtR}^88>TuWT@ZO$Xt=>wz3+5=fBurK1 zRhhgF9r*`|Hr=iNNHHQTMhw+|<2$LpD^bF~q0`5FL*ba6=X~gt6HL&?5#a0qcBE^+ z_KLwYs$aSRNyR&i>=aDt%@g1npTniQgQ?r}Avz87=K&=I)vV>;hkzn8U{*b%jf}p+ z4x-Y2CHA-S;X|OdIS%xQA7qIwx0fkczf{KqEDTZd4J?VEzmN;+#T8OFa9apuZjj+! zMmy0h#LnlQZSDiHxh7DT3u04#9)8sfi143}%Oc-H@o$Ut&eu@G-yy~Qs0>E+_0gDh zX|zUyHe&UDsfW<5buIXYkRi`e7aEtPeUA&Z68{Rm96R-u9#;w~2_ey82QnDq3fC4z zS3WIkw3346Z#H`R^}6qp?3WNtmEIjIfpMbkr@#D^>8M+t`U#P>^BxU;4@p zWV8WB*gimQH}+$#pc9`!L;{+g@-(wzKH&WZK2brsAcaxH(Z#2I6s(Ua%#ttJVKDP{ zsud=*ZK-KJoUf=7RdCX%>mwMUY}prbnd-V62i0|%hiwIq#OL1awTg&~DUlQAvw1c~7o$R-5_K~k_kc(3jmPvECH63`XgP~283_=bi%5#WEI)Wlc1CNj z)&mM(s-Dc+O3TM%t|by93ZB9m}y#ymG%>*OMuq}P4NV0b` z^ZV#(=4bDE-N0b&wD6gyu-%016l`k}6^1ZSdh9}XN<_ZR2Sp{W57MxmR63!2-f(kR zYTvmd9P9>B!Jhk{?j;==3w9toA#&Y=J(UGD*xeECN>O|KxxHP(tqQ3`Igeo!cm3iI zSi^uH)-b4oH4Kzt4Fg_S!@xiC@2_E?+RfrgOn%9WN|d-Dhoj?8+4{3q=~VcQj3!~# zmn8NpSB000)?Q1Onc<(9crC3s9kfzYk%M6>w zQDhb$U!{K_HEh}(O?u6UU-H$=!kt;Ax)iTk`G+5#RULpIUJjDN4^5|0m36gSInh}) zX3uz2ycok!uCu7kyhP^y{a^ zj}N(2<>4E~@j9VBjz=D?9zxcW;Ado98o;hzj9BOx^tv&wj4JQ?c(jY;WAS*C^SRYQ z_NiyV8@7C3^;f-au(=Uh-|tXKeK2yZ`tCL1g<^$P5wF!jjrWO|4cmsVsy$w-_HJA& z(!-UKZN~SHnx@_^?s_cTb$R$2bJ8`(K-u?<@oam8lkb{0U|P&f{mfLJaG04r2{!Y3 zvS1cR!BaFqe4NgSW3P_uL-Is#4n`BU%ds_-=PvMs>aw^l7PEFx-P~AE$_U67FYmAy z#jh)-3$IySP+*sp(d!A$t6h+1KS@^ABHzZyWicKQ1U%p@}nEWy;sKK?QA_c*Uh>w8SN?QKR!Eii(Q>KvDZ(Fnr!^U z`9)Sq*_8|003+9#cPQ8P=?GYWVUYT(cXM8XaTtmk-65h{2p?9qT4r zhjsqWLz%!~GdxGBPc#XIf-cTy z(;%k9Xb`Nu`pUsio`U6rXuU*QFpi^MtO;TxKSVu1hv$sXOeNWtW>pqX=E6n^~iX zSErk4qsVxtn{lIvLYJFiqexblTNpIA(~YaK7;`r5@*Nd5$HtBpB#jgzW}W+~XG+uvFc8o^1U=QH=iyWwHq2$a9|55eK#p{0iel^sUA4%D-FF3BK(& zGxp0lUK^9ENO-4QmzjUVI_^+rzaeW$4y&ASE)CgiagsF0+fWkap2Pf6eSD#5G@7o7 zm&Aw)+;}o5lcvYSBF#LVJ|}StON+a9?4{fHVa6@Y)J8D!Ds^&*8+`_dcRlUPK=ag> zJY}dQ9>piTN!&uAc72k;YPK&{4V*tGH3^1xj7T0;vn85QyYpWWZ`6LRodK}O6!lGHXgazapsc@r?{oxbQ(#LKkFf)$;%D3<>HQlfhvq)B$Px~&jtHG z32vy>zA<4;5Q?IGz)7Yke29qME7(68(@?9dHet*m<|t@=3uSpGijlEYv*k=qnTiv} zHQC~2?YO~PYNDW7q4;-6H$aII8{JJ@_0|El;cmBk_D6X$rxYGv>Xsp|NYQ_DBgzEO<* z-^E#sCUx7^%rvp#;u}$-@uTiEH#*MvcwBPoRz2t39dp^a zuv7&$Ip=Pl2zK!|L*0zF1*c*Oh<@Ibi1E+U=%`(lu+_zMZwhqOY6^593+7ztW+c6( zvRFlZWX8++#EeI|E#4Zzpzuddle^E3MBB|8-Z)uw`jV~JP0K<4PiDp*mAyd+zoSuQ zpHC|cE`NGxq55{4RliH7ow;{Ity<#|vWOxZ=qYQms*f2cOFg`fGw@5VX(MRoZYFL~ zA|+T3NJ&bJXmM8G8B>xjj?(R6ENSgw6g}hIP0&&M_Y8v?Kwkasn7HLif%u!XZ1E2c zljq1*7rPl3hV#YWJbfVkZG9ws!uVbGblOjLK=6oxQ(>gKb73SPM*zpAMo!&6oGjuW zY)**N;@{KN4-FNuN55yR=iD1i5@X&F6V=kd7IXY%(cNf;wB~iT60^8yQnP|+X|v{N zjM;c}y4iYkUqA@YUk&O9p9eqp5Ir@l#$e_RoV&LKp@{>p7)uJR$m}yLVY7zKhRpsd z>|gC=eA}2WZaJ7CULG#zjA6iSQ-{lv?C*IYgIli|8MS(01HczwOsCz34!}YMSZL8@ zMfk4(RFZebFa}MJp@7(qwn_eFOFfLs@3@u8l5W8QOT1S=@}M0xdYv1WU!1FS=o}vM zD1Sjw#oeqo<8jWl*h_%Tq3e_R%)y^WdAteA<=V&(-W2|PwJ}Bdhp`hkqfF~t-d1v% zo0pd+Ul|aR7Cgrg-&EUKLrNRG#oTe@p^h0gr*?aMo38?sb|wY!dIoImqd+;6wRaf!Rq zj9ernI5tyGO(**n%g-LD!bL>|i@0&JvfokQfS7^1I7~LWQqi&{3Kny#gT>sI*~f{g zqSFcDl&#OI_2Jjfqg-U@Jn*}JnyJF}W8S!<_UZ?3;Z^3vLq!d>D6M2k;0lo(&k#d{0azYB|E ze1QP874(sNa_hWvcWRUv(NAhjOKr}qw=fqp7TG5IZO=GNU4(zXE_0sGO z3eXeU!&~9EFUDPgqOWehfXsD$r|z4HN&W+mHd+#(=3m=4W=B}Z&BvN7Dae}4{f=o% z?R?)3&k(LPz9<<>`}q!ZL0gi)Aq^}e=VP<2h|~d(!1Bwphnryuk~HXf4bbye*fSU&A5fX6Ss6*zU}Wa@YAf!9lC7P zQP#PT=`WZd_=r~lbWJ6eWUrxm5V6A49V%@rX~r-W3ZlvBhFaPaj)GjujA5LtWQ}a# z3k`<&Q}9d}2gIRhTVxr-mRZRZ>nMoW6+--@`x+zAlp}uZ_}f zid=8e4*6a80CkF2Kp93v zn-HMV^`M8*Q%Z`DZ2hJ}jK3XJn_PX2Tl`HR^hl7KC0W@6AoJ*uywm4?5f#U72I=!) zDjscnsLyhyjpuhdiuF>~%SV~0$>}Sd;Dq@mu;?iAlO(G0vw<^qnofrxG*{rPiUnPZ z>?-}NTp%Nf5)Bh?>*H#W$xDQP5isM^-hCG>7#3Az5<7gJ@`|I90GsbNWI)kRpn8Ia zWBfCARR98v_x?Dr6(1GB(V$`%M^)@7^T}NY`fvG$n-+OfX{QcFGcJJgD|R!&z`}~T z(`myAQ;4lEWCk-4@R8 z3fen8XUs4#6;$F=s29X*`|$61h6qvO@^)%ypZ4jBWqcdDYe##3YmBFYC{Yur2ir}j z*r~MY`yAq^6M)!0@P_69D+ zxk(D{RWLN-SAkC>B(b!9qY;2p`5QR#x}ZzOru92D5NwLfuK-ju(RNwe(3Dj@1}KCJ zD?!N-Qbrtq0i{upI{{wF{)0_lTT}uiT)az~VmE)smfB)yBqBl)J{`5iP~$H>^ZS43 zqEv7E1W=Q^PR8F!+$9QFk}*Bsp-J&ku)y1X7vg*UwiO=*r{qihkQmX3CkIg^s2@Zr zLbte*ZZik*OXdCx36N-(?cChAqMA>PFNVO`8SV!m`KuoR$BB#dzwzaMORl)k z+&cb;fd4H`gSbpDo`QGo_D{ND*lo9;yiY*ndm94OYWyfhN%CFF09_CV>6gbf>I4Rs z^ey&jDJZSIR8x6Nl^ibyr9ptSA$Q3P=K|uG>B)dDuY#CW(eVFrEVDQAztwl#1**Sf zO%6`*xq#dLTHn=V5-V+u)>{(5>>$0cU=E7vuZrf^@ePlJDDe6a4dc|!z;KSNN`i@Z zJ9kj0+lk5Q#~Yi@F|WaB0gLm?2vmyb>G&tCy$Tm{bk3$}dfe{L4mYHt(QYcnP z4&_&21ZjFCsl{pAyjNaAa8{5p*!qQZvHu9h_ii)qC;O(tR}E#v6T}2QM7lTk54osrU3z@N3meOkja2hdnE&$7D8-|_Q;VKiWL={-=tvd*kI!$likU|@TYs>htuk|! z??1v7sr=*X3`M1pnH1Zm$}^=n&)RRz{JPdBzYbUvIunS^i_c|Kd%ns(flbL)#`a{J zE}zwqcF)h|G`y2tGog@uUf8fQJ9yGOuT|Rc(=ndUXSI9$w3^AInFLbSMvIqBTx%BN zA6&ck<7>s{o-XkTlP}AjiF%%o&F#K_FDk*i(Ds^A^ti{J?h?kp2TM-$ft_u3v=Rk( zO)L#B_laCL)T-}x8#yR9vm#0we#)o5TUoch`}+%0xfvT_(v*Uig4e4~)_3RLnWvL5 z!kJidM44DhhM9cu6o7|S!o$`qXeHh~hXQ6O@S=qRD_VM*`tCy7KxuxXz}A9W4}|dr zuP7c2Y`yFEK=`zAW;+kYWs?mIs6nUD_IicB{R8o@GqaY z=($`RU9BmSz@DkorKa_0?5?KE_`(&1i7?H>8Ar0e_Bd-CpIyu@42#Nc4a?4+3Tw#T z3>!U9s_6NMv=~`N*5bHI+p9*Hv3BuJ{p=6j(9Oo#qLFG$h3(VY+3L1QdJ4VOmNC&! z6SIyLBlz zLJwG-LWRE&Mj7CS$F8Sb^Y3*rJcu4}6G0iw)I-5V|6XN2C`=?p515}s8N|OF6J@l< zRGi4EovpAX#LefN(+lb?q{RY452B3x%bST=l!dK>6C`;9E?N+o@`~)gbyz?)_NDV7 zr!OZPjMxZjqB8N#I4JjUg&5YTvN7Ss^6wGlEH;j|Z74WLc$FY#g|?JuU+R^hgMz`a>y?^NI0S|Bf>6*41@=&o zdJsL?{~8W%`uFC&zBM)={Lvk2KW~Dm$cwL?ExSO78$QVZ3r_(8cF9n1ln^)AUfN`J z^7Nu3{Z=uQT!c%c>9F=2w%hgoePoD-lEMR=JB;xwEu@U_voC*s`5^m%(aCJKFZ&*4 z(;8W4fBmA6naydGnqBwxc22gi(W~s3rI-2HGDd`aLf`OpcKtNYRr%PzZFm{?5U_S90$NEMW$a+D`Sr4%)x>1$SE0tT&nv zH@?Tew^<7=X?r~>+U3528tJMZ6E&PTh+bO~g@d*+QGP<4i4e+5yXq`!HKAiPg=~~r z-ytEl%f$EF$r>(OP~-C%`tsbFVVRn+3$B(Y_5~qpR_4H0rL6Q#l$XTg(ZRR;F9_KM zpmhDNkZoSu^HK8X{PF=@lLQ@>Y2Fr-6#rlaCG{G3rVTIiR(sabJ@+}RB9}^t`!Z?@ zOUm7agAPJmQyD#$Y1keLaJ5;~?!8VxktP>-#+n(%{p}t2nArgeDjA@_3JT(Dt&|_t z&WgjG4_e?+0L4rR9X7Ss0+VEZMhaaIk48g1^9;XW4g%*Bo z4u&r_F}1X}%%l{LpTEU@*rj$Mn9W2{;pNyqHR&p1jnb($bq(z*jE2UvimrxJ)fIe`^xKGloWmzLR*tC3`1b@t+Jlh!&aeLOHe5|^N)`7agUm;XaZJH9e$N? z8w+TaHc}64XRqTbs^e%r6HZ9UIkGo4j0yKW2F@8>@xTVYpL0wqaF#-=TexGViyk&v zohSz5I6uOKGa2;2K86QsM=;^6z<$Ot;V!l*@hlGnmVY1)WSPwj3ZA`7Hr_i(XPPA< zL7-VFD6b=RDnP$ds=C_i z5aK8r32{p!?=0X#3Ak{LP9gBZ&)bStvks#0phw7q!r?*Ia9$I65dCfQkDtWg)Dt+B z9FA1lR|t>^p$>u``@0&cvij49=vv0w(RZ%Pm-b6$Wgf6lq9zeJc8pLU_-n0mdfewS z9)33YD|Bj{=OdJo@$i>L^{o5_r@rXRXl07`#aZR=Ui?GoepV*d8 z{H%FLj_BwbcV4g5O`eFfipSqo7xmcPCq_Q9g?26KeqCI*BP0LFOceOO8Gb&)JaU}5 z-bv|7frHBQz}M2ZgO^s`6&QH%d@YnODfnbE^(cG3>HnkcE#Ru?w!d*oMFjOA(xQMU zASD9QDgx324385=Rv>cBaI6!tR*(C@FeCp)Rlk$Qc&+f>Zohq#_Ef?Bt234@ zRN1>mJ6hiw1#0gk4Xn@cvIV^QIU=xRv42TcqtuDQEsQ+KDgS{5H|=)&seF@> zmp^F-muopG>z`D6(!|aWU+0aTb8#-*Dw*rYM70qzEOiF7y=J7x1hhrz{)|vCH=SAlb9R^x}AhBUEX&-L;n+%OSgC_OAOy8UjCxw>~t#c z7gx?LvD)2^YvZ;;%o#t-s4_`oQ5y!IrsMZC^Kb{RJ(yc$?|t%Y^|{^&`cHKaB@2?5 zkG^4Vd)<4_YnSQicA6PE=kBi(K_N48BU;;Qsb;!K#k3(5hKQ(jfspB*U10_R8q56U zQ>9_);vXyWas7(|vg}igMrF?gnEhaF%m^6f9XyBEExwbeUevB0#bl6>&-YIu-=tXw z4oA`Q0qUC!8J`^SDB{?^N1Oaf<*`)-+W;rZkAM=zu{;5I*Zw-cPS3z7Pikt@%u1H> z+yQb%R$Zn-aRBq&o)zsI=jkOEQPBG-RmR`;v-5z)-I0%;*A+;0PV|a1bKxNa z-(_X+>qCq+1P5xoJHb%!1LecIKhj=t#Gg~V*!uc4Wz~h| zV;uon?*?8K_@7oZ5szUOU{LHj|K&>K&BhZZ;Y@fpjbm?~>3bb})ZqsE5p;xz$JpB2 zBDLXho>uKJOu>DSqZ?li`JvzBqP5F6_ilEDhC(bKHnF0%)wfD?Cv!o4@B&36|I&hW zVsFs~e^TLE-uszoz4UDYI&%5#WiwVXBLY?qqb^pd32D{F5A&~ogiz%gN^`1srcY-v zV+K?|QqimKP^q4!3~nT%lC(T>hOy>daHbM&e9DRoicWp!2D`$n6U`sg&;9OG=fv9EQjiq7A|NH$T;UCJ04#B1yW4djM=+fe(f=9s zg#9Pf!-|ujc{Z_CvV;eCFug(;&}VBnZQpzh(}C3ls${7p%BpVUJwfLVAb>>(4 zCf59Wf58)U$wAP6ESn=oH&xM~rtaj@p_}XgYhux3zP5;hZAkZoU9BUa@%un?ACv26 z=py={1|W!tD+*pC=l~xW{B7k+9LK+v^dSSyAvh#b2(#S=+;eQ^6zZ{nHM>ID{rzJ8 zw(2=L^nf!^b2kmlF?Dna|4<%gl*elXa>h`2W7t1{|Sd!(Nl>Um!ig?XQb_hCx@~ zPX&R)5yc|WtZol@f-hZ4vkwUmP7e3xc#s%O1aPPoCE8rRkF zE3NUYXP++Lkz?sh){E3aP3anjVf>Ai1IeHl*kAD-!wr~5EE4_s-O&ub?+hCydhr?sa~7LwKMBDE)VT58eYj!0b1HicWI>)sw$#2>Qc| z-gnk7qSHs$zV5S01o~{M1{PaZ9#Tuc?NUY4i~|q|mPoKacOiKeB@MZM{W_CVCO|?{ zy{AJj?;Kbq+9u3J6bvH%{_s48x$0s9DvAB|bSc+l#d+(B$1q>mU|_;-f<;aJ_gFM( z$AC!l(xD%Ap%$%dJ+WFj`I zVhR8&eI`*rWBzS+_3>sj&Dg;->&5tb{GFout??)|#KgSH*hH|}n>327x=vfw=$Qr9Z^7ZLd%;;m z#FB=-#HnRo0SG;V4=R~B#MmKUkyQ%9u=jwOu1q-f>o^bHZ)P)jNg@ohpal=!sF)~g zKt48K0|5(^@`oFlPyr*<;DFDICHX%b@jqHToD{l9hsk{(U=( z&}H^!%fvA@Rei`!bN+x)H_kW=dBieUU4|yQ06yTz>zICFr)QX^+;V_ zV1JyW-vK&4`pF;CD+o{t$W9wOBV0HRB?zdNz7mmiwU@*^5&m!WyBMULln_^2LkZ8D zsx*@7p7!*e7LOjYK-h@;``>sa^VCy{?BfNOBXa&uo>WQ_I8G=apcYPP5rql&!BL(L zX5cPa5p-x4YOpJeIE7($-VOocz5zDKQ-@zLx&-v%Uyq@m?l3#CUl9BI7fpw3@_y0} zzFA0@N}gU96KYMq`lR8U*y0N?hD_{TN=)7hBGdjJ{i%E{eLw3VHaO05OkO`Q=IJAPsp{dkA z!J`BaO{^7M09c9+N})sdQDrnC--6r+8|4h>NCi@SDt4kELWHWMNfTXJ1{`tI<2(@|kC6I5F-l;K z2K$C{{@y8+srWj75>{GF4%>sA74^pWYj|Ub8|cvaUWw%B7LanK=FwnbPJm94zcaB) zd_V?hs0cs+*aHAXUDWyqfN>S@OX*Hz*MwaK_MNe}Hhn%#hklt4+{oZBIbMqVH(Dvb z^3Y1q;`c}T_YYNwsJ%oLjF9Rv>Uu@yq@+mE8p=#+s-i-ydwSA)TAY2%LQzB9|26k3 znP}Pw=Gn0CXALim`*MFI#l65S`y#;H4a8Dp5gE$w#hx$5@tqdR52kc3q8X&X9~eZo zUk6ov5^vulu`(<P**EN(*851^*LboWs)`w9+2Pm3vNo?}v2sxcoaGK4Cb}f)=1ZlVj>olc z9){tqy;#Ce()1g!62pC2mat}OnTSGsHPBz1s}DQ##C6u1dhWz;0*5YO(NeVD)PeqU zz!Cqp9FsnB;aav>)Nft3amFPH7*Ms=kDWTCu0j6APMAu&60x=&(d1_n1ZvPmb zef~JHLfF6|Ta=K37a(>3b9Cz0i8a)r;5bmQFYN-6@#CG1`xMQv3`*=J<-o@OEg((z z4CFi$$ayCMZ%i*@fE*nm54;8FrboD|cY=qU`zSi1n)f&v_*0a8q>Y)c(GD0(0~Ky2iK7@M%u1}djs5(J0U zqeJ5EvA70&${PF;rN4y*FP4Xf>^J}M(fkwc{8k?L4;I%%tG}a0nMSYk>pb{P7Pb$q zDimN4Zh)cxg9;6b10bc=stqum7LX__ou|DqlUO-Y(D_$K{^|TW0MW5t7$-}tsXlk< z6sjG*po}FIYU+Ub5P4%z9WeQ?fzcG(i4zs|Gj6}h9xF!=h9=1pUI*-=;O2YBARVT6 z=4BnB_OCR{*?tM=4Cu&GfJ7DV-5W!zH>3amwWCvt??&;yeL)x0>MHs?9b&~1IW`O!y*c3 zVCm`LCIH_2U*pSy9Wbxhf39VdAwOBeq=yG;*(_DOpCP6G3`UpVJWsdrP*f?^R2_mL zEi71K{|TMODnEaeo=oWuy=<|1go^dp>+B25(%Jsj4p~2}C9^d;PpIn8l(ROkIh?hT zlsfAnse0rn;~O!*FWU8u{`p)+St>YFzEohw8|3=U%tFwI*a{19*y-YYLvP4N#2W3Z29g0xj%Hs1 zs~%Rzum%EgjH)0<_wf}qA$N+wJ0k+z2Q+7sX&rCOy#E0BLb33q79=fvvwtYsoy=is zeZm5tRU!->+F9~V(@YJ}YVU5ih=P#=xLUEFgq{Gn4@~vp>+@iOfriN)^MYJ_uu zbN`pkj92kJ#_g&-Vi?#5fUOp)^@FhtOdO9vV!Nnc2V?6hm|d|P$t2~fH^u@)ma{Q9 zgu|4;y@OQ*uz2ZTWc~L|vAw|d*|(T70d~3nzH=N@Rr8U9;V!7G9$EDmm`)s6LVSJd zXUvd2<2<@$#0qhsbeLQR6f((`F11wFjuouGwVl69K);dUALeW~1WIbNkdnuk-7s)t z?j`JZ#M;YN0h7l5NClPyl!T>aCPTntqJPnH=iGWp(~KKXdoc)(_oHOw(k?rRghwPV zjC&~tT^N&=Xyg)NaEn(&$U5C3AWuG{=vOB35kb$e=g*3JlmY%S*!Qzs^y5cNrOx8& z@0>b{J{X>dq2SB~K>i2OAQRLM$)s5BH_Q3MdbE@zTu~pyX;2FAEt?bmi~9Q??W+QY zCNck)I*U^L;cIuITzkPL{oA6#o%RJxMJ&Y)XX~3@%^Dq2s>7Zt0yZD0hu#t z?SU#-qp(!QpDyutPTVMM#Rb9Y4(GkGhFu?H5|1`owJO&3pf;s%w8d3b|WxQ)?fjC?g?ZA9w zmBKI|FCC&Pp2qr7J3Y8LTLWx#Q^7043DiCOTju|Z_jn8+in_MGhoCC++06i8^#;T5 ze{#tGC-oG8!%#$!`0>Lt;3@*L^)pZQH3Or+{D5#Ekm9<>L2_X^P#z$RX5|}zeSk0N zmLveH`8SOjD_E*ay&?4HP_;k~7xYg2lNmPZlBkp>PaqQ2>X$+A;bYF zc2Z{&=HNAFaEARB8ao|-_0Pn^94syX@WHy_`(NWeFJ@qPFmHBPC5Ygq5^XZTdWwb5 zM!aCNH@gbp3g2}|$ISP35nNcX=W;lp5LP3BHPXc`tnj0M-v zi2nW@<|`&v6?gw_n)PFqL9AP40PItRZGrX3jhQ)XYAT~Y0ekQMwr2Xr!kU%tIdm7x zd-gN~d2*ZbmC|PHe>w+Y)<{8RC5OARLkFI=?*PyLH7dbZ}`D!Z5K4MJ3VE zLk!3FZ{l5k8#GcZ@kC*X=l{fE_`~zizFL7bj~xtp9EbpuIXv$J-h!EHbPqZx(SL#l zE+sD?TPHRp9D11&b=|!HTHlBP6O03RhSHf`M)33_o9Cs%WvqRoT?rydLCKI`-2o-T#hu3tqA1%BF=9Jbc#eB; z@h7Rnkc`k0D@^}3!v3*q${*m|s>3mwdRc-sN&S6{I1R%qj|D+9*oizCaGk&HQ4Y8P zh`_;x)m&Y6Q;(vr7F2Rd$jIt}L8XR_<$Te<9k9Ezbeai5q!Jsu!U)HAD=juAnwI<+ zVh%=TO+87S=vTr>#W-#uiHPQ4^0QZTnVZ#x)w>G+r>NFH&Wt}`NN|rsB2b{6y>!!Z zC0;yunJrx^f^)Udy>;M8D0QEEMZS@X_SDv(71-VaK zGUWmt{zF&T=V%fQ0>0nbT`WNTOm@`at#z1UnJ_Yw49^XYwJ?)(e{VSd?ta+iYMZ-G zL(lt;cWd@2>OZBbo)UKMbslTR8~ycI*wI8s$n=4eP=21j$FR7R-%4mXo#NSqvL>$Q zQdKJ}af&WazgO$-k~h^-Aw1q!K9L(Ma8sVNSKMcg|5{q*H9wNSaE&Nb$-HcMrJ{5H z;S=@`C`;xx!k*Rc?N?Ox%qs}bK4GLorqE(`Lel0^nbcELNuw=ZiB29}jR~EJ?dw9_ zwlB4vl_4BqzP99?j*s!Ng43RtvI>Rztl8x+`bFnX*CM*U>-=P@b>~5xk2P_w)_N5C zP5!$2bIrf6fUmsAFcyVU9w!P74>{Fx1|l^qQSJNp1Zo9~s|`(pu7__7&Oqeua*w%{ z3)@L_)A*kqwSbwGOU_C3axdV!?y)tEa|){$!9!zYi`7?V-U$3t6=C$M5b6U%9Yp)m zDy-r=gkerY#A5tO2vAJr;%{4-1w+X$XqU5A)K|zL+`zRI6i|MY>Exp@jJZkYgq+TJRd|aJJCiakLY?W7;ASrvu(+$3AMfw?7-lFy^ntVvP zNS^9QM?J?(?U(EOkTNv(rNLWmpW$B>#RSzw=PZwX7V<8bm_BD@w(LB;JRX`1XPt(Z zJxb<@8+u+{BYq&;{_8869A%)P^?2C1SL|6E7mA&CX(ze!)~aY|xrr%dEO~-~hPI)f zfte?jU-hI3wbp&px0UrKKi}9pOLJ~fJ!K8IHZntbMfFxvW4_gFu}6v8 zx%HR__6zD?cqwa6fBvy$a~pp#h??XJXA;lr+M5`jP40VV9#CHF{%}{?CiDi!jIiGo zJTAI>7mefs{9EJ2Bhs%fFc>!|GPpOCFvK!aitFCl^uG}H^vv<|^sKLLcxiE6?HrY* zkVm;EYmtkRoVvHmy>_AM=ivN)x$J<=k4lsDQ=3DRoh)0AgJ&*2`PpgLUprtq`+6xf zsbZt@6T1G#5JlIIt;bA{>f9aA^NQEf#8P*Sh$zT=FO|N&)b4m$Re7OuE9;X0ab)`h zS{&!Yd5TYz4QC^$PB9nqWQhBi%e|5siFZJ>j4?;_j%gvjA&42q7~RCz?$jN>B0Q;gh4S{N?4!^JvW8 z&^zTj?vA@%B_knXBz{Z7ulzb3g_Q6YE4?%6w};lcUhFMKJ)(9iQ{%PvyQO5Y6Mb=d zb0V_c=q&@z1g-@4hiLzg!O~=!)EEviK3b;E>-Vo13V7Z6X73Y5xI)Mu@dNRSVVm(a zgd~v==rURpI5KwA9c`!|{=Ag0b@IdNUCb}_3@N!WeT|~6_8W5|bX>&0w#XA$;=YZr z^sUVO)Q-(CFIZ1%oOdj*@--J+bd~!RyYOJ7^SXoz@f{QTsU7l`nfq~L{qvU#YbJR+ zghTYd_QXFcyDd`~am+JN!!b&!6u#P}%&E9n*)MNShEK`Rbr~lAhjBB$6B+{ap5!3t(q^Lg)d+2XsUj% zlp&J;<4H?4|E#L^4ym!Vg>JxJtkrS9c%KFKZBkKN@{B4jdfe+Hy*o#Pd>;C(&`6MW z^#$*da7ud{H*_<#b4g`|q(pwB^|;^ZOsr0zFZ@F4Lp&)W;c5VbtWm$jN553@Z9g*! zwmV$@RiQr+x9D&$lZuL|NbJ*e1qN7ByUn}`xHdU(j&%C@qeo6&vvHoZgDdRIZS`C3 zn1v|s%(7(fRYU&xIEfP4*2)<8`q>g4_0GJs!PjHq%p-nEg;@c9OF!>bQ~vmDzgX{b zW2C^Q7P&nw@$HWK`#B}Fv`6Kq+pMg1tYd;rT&4e{RrJR>t6ZSD zqd;?_Ky#x6XBfqgumSB!Fj5fcuA1{xW_8(G^^+V!4_R6D6CXpjS#j;zchn2>cG+;< zXepsZsWsFid1+gP^t4V&a;?(_Q_cub8uZy(X%Qc5_!p_3(JmI*S~l6frzoULAC*GnmOzqi0g5;B@TS>~96Mn97gzY&7IO2IcLJ2I3kH zIq!{XrYCje5V80zKMQi2DsdRuDAaZg`tlvWNQz$OJO0ilc}Xm+fbFX~VM}#w(Cv4H zMpqa(OB05VFi{PEttMej^D>kqtRQD@#gd>mP$ZJkGSZ2n(r2=+R7R`R(Nj)? zW4Id_tH-XObvza$K(om7lo& zE#w;Y(%@J6REkC04;j=V6fT`*D^n)W3TlQeYDqa-$18}9Y7 zkdkz(+BBMu%p@f@cQF0F&~#(pM}?TKCXkHJNTAe_-gB=%fs^6am*5MheA>0I&z^4i zrSt8@U6-k&BksIKXIz|Xf>`V%JMPEU5^;5%h>%}hw{*ywZWmT5%L^&%h;Lu`&hV}E zdZeq_t64XDa*aeOVaB}<560JDTt9ft>&(9bn6ayKAyqRn?wYQJY5m{UQVs*Ck##3o ze}~Wd@`#M9PL3Fa&pcTug2iRl`;EwW5`|TsyI~kBP#zrYAtLjv0f=u8Fd@N$jOEUU zuq@>ydit9c^?nE}UlBqj7d2palA+)~IeSw?p`&GtN0*;Op<`f-$L8HAk15y=>;^~I z5@{9kKaF8`wj|vXJd{ROF7hYQz4KNsZ6~qj)oRmcm}e%0@8_*p8DCt0x|KAtnonaY zrqOsp0;s@g=5J9Ang8P&phA9>46H*eYRF_hl49q;e-;+mK|lYqJNgiH4UGL+LAExy z-#D}LNEp;0SeRfS06O&KJcMs%Lm2KN9U4IbPCkL4*V-u4=_EK`4&idkpJ8wc!Rprn zA)#y#ZXrMi@lYD2V92eWhf_A-8qtY&K~3f9K@>s{#H@xTLab^VXjy(HbJmHKDxkR& zRRM}JRfdbNoY6vMKx`CA3%CdFzWxbI`gAOz2h~k8m=y%kZV5eypclQA;4Ho2cG~St zI|o0F+2E6&s+`1%Y8@o>>XxULdkLxPKEI|8{~|@J-}(I<7smfQP8S>1=dM<-zpwmr zCetO@=W2&x(38g@0u9h#K>z+bBwhZtC#H8Mn;h+YSu6}wrHfrqacC9#c@1n2lttm0b|__Yp|J3 zeHyh(fVGM9<`6^j>&+U}K)7ZC1doE_4UFHvT_`$JP^5tv0(UJ@v6r=cjS_db2&C_b zh|8te5vH`apO#JfLSHcJOW!eEdv)T>Gh*xXvzo3{mkE&{HbZD`1(|N}CKJn<$a~A0)94@$@!mB;J%7-V)_VYo~ z_590`7sVX6b4ZFDTrR3WiU=C`pqsMcnr9g+J_Ys|(nK!*6!Hzu^g?+?-dphy)moHJz2b6iaf1 z@7GHO|0KBS_l1V~D8x<1#h^vwSq*S;^el+?t4~eaXW%DFPj?8dH59rHS5EdaSl(Eg z<@U-M-2C~3Gxg-zUpdFWNl)mx^&q%~?$l@u-MN<9WbM{-W!!h-tEd_6@}M>T-%{@Tr0@h6>phWUT( z{^2S~k|5KGP2RTAt9WhOS0AYcE~jO27&)j!dBBal z>vd1Il5Xh6mKVo`TNVvo`)+S$YW0WR&^?8(|8{*7hpvE*zb?9qktn{=+_)%r(5uL?TuTO3_REC&A5W+w~9^CUM*{8lTV zt9^HO78Txp^IIQGvg*@&Pv3StELDLNHT}CZfw4#m z|NNojaa`tyZ`GA#^=Yo5chRRMnZyomisXk&|0YBg_aG?IH%z-F66@8Nc}z3>(WwS} zm0Dtgu_sML+hJ!oR(HI;4Hg9AIX|)=3mX)j<&RIv(K_k(a$0|9S_F&zxQPFK_rw3@ zLjg*^WN+j{0jFsxU#H#NQqN;%Z&z>RtLPSnrFM+Um`EKrG((6#~K8J$I(TMZff(H+#bU8`acPwvW zG$QV{-2nvVT07WHoaH7t7A7p%XN~RDs!larGzVQU`2MF$gx+cWn=I1p%S}v4O;!>( zAMgW>gIN#}R8d$hQpd-fUnb4+ty-fEf%nHb!t=q|titn=O2sAJZ~kqc)Wk0=2q^-Inv z_DyE`T|vHgb`Bq=6579iwNmB`mKR(f{Q6bdGOX=pNcbDi*NVJ+=Xgn*B-16Az7BTz zpQm}1$YgXz_1cNp_wKn}5dKU4vqUKd?`{RWTb5M(7pPT%xH4?*S#kvSjkCV_H)3lc ztc>DkiOnd8C3xwrL$OqRr}Rp_2X&rKfg7OX0U;pnd&z_WpGR*BhV zu!>{eYCDVH+`0mA*}#ky^&EscE!gl6aXiEBLgM)0(1G8*B-_%uVhEbRP41pV|N8dt zJK%vsmjUBVULjjCJ551_OCT*tf{428`{UF6O*Rur`hxKz{)J8_#W;rjHf4QqC`nbH z28%P)UHoz)Ub+$gX(ZFr3}bS3fOy7Sceo%ow9*gh%g>Y*FV?&m#3DU6M8!D;30Q_| z59eSd{Gtv2S;HSkR&4lp$7i-)xd=e20xUo0M@`M2LJcD&J7$?2M?|L_jfUq;q`xFQ z8*{U;7vBH0&xV;B@l|f+lw2&S{pd2X5+uG?(dL}}gsm`t=Or1z=^M}6QTZ1B!r!Xf z^e(@z)E!pY;iFA$vVAJP$q`$Qcn-!(0zBo_6uJ$Y1F*4sYG`{2s?9hGn0>wMqJ^Ok8NQhUv` zncq27KG+GmSJ9g%?qH#{sXSe-mtWp|?hU8Q$kOl26R##4BroJ{MruP;2h4 z)AoUyaE3?+%9KuomiGbEr;R1__x@fT$6q`*yy(juu2r5Ni+Z4EsvkkDAdR3>FpOYQa7Azn zW=Wmb(Qb^azxOR>Oqn17SN$T2UQ0aXmJU}v&*taJ2>wZ*6Uy?$cfmTgjosy@AeQ`Y^qK+I?^DH(Ij??CNL525r@ZWhre{Z}qf()y^6eil#@;ajLT)Tk2Jf zBssQZu_!=kJz~rCu5wym+`6OQe>~Y+^9aU<%eZ)Y)cvl=v8A1zE^DsK*^{G5KVRF^ zRxQB|b}O={1QznImyr7)6SPS)OpuE4u_Y!3TBYQE$UbfAjLC=An}x-~axHy$%O=Qx z&0@FWn;sS)pM~l(hlaZNM~lvU=1oeX_Z3XNEz*7BwpF+7?bPmp@OA17IJZbxUZftS z*H>;JcgpfS`J%fE2hWWA%e~I98>*zS9#v`l&$PuoPiYwYC@c9qi_%CVGS3-5%BLnk zK$HE{D@4_%{sU{LzEO;Q!5c3e^PKNEG_{S2e10zJj8$gK)a#{Y$ zvuY)o_P~owO5XHuT0>44Yx}cg6`?6r2k4H^Ep@k(&-@pZZp-7j)ge{d>WD{;I3;s@bn9MN%#=b1s6j^{+NrCuW60G-uiuSd;7C9{Q&bV@v-uG3BobovY);7$IQ;qyDk!>W>5QP zNm+>DM#T4i#l7f1?4Hyd9O~b(B7<`xv#>TRy+(9s>6cLIoE+EG_2-pkt>=wr^Gy~$ z(vG%5%j?|s4zXL_a!FrIwl64G7s#DA&YF&$@s?Y6per3j zmj{HKY#;N#qr#54ks-`rozSxs-1EIHshpym4v|7Zwb;Pg{ZaR4LHgM53)jjswVgo zav^+0qX+ze+IO^Jt>H(k>I>dp$va_~nRSxX2BdH+EWKQE!d?z59W^%S&;m%T?hET) z-+4ncu7@sY(k757B{ui)wDOaJM~BbSfOY%W<@dc1QOx^6eF zNk98BGf(`ci|QO+wl3wXoMalYykWZWd<6H?mx{a>QX}~5(!L1kXCQ9iyw>D?k(nSN z+a|fE)utc%!c^prPK?)Gt#02U<+I>#R}I#E{h!y$>IsW*Uttqb=*F%j!eaMruMwfL zeB1mda~t;hr9+kOn*D79y~_g*KR4`+%Z6&?TLu?LYEI&m(Y!@!4xAh8wH|jk@zb85 ze5k>FJ@DamYrSKM13H7f^xqw5es<@+8ftPsm-+a*jb1fpf6}1vU}cGRdA?EYg6?Cj zc86=%*&QpN3!yFh7Y2)S7M?uTDt&VMI@_JvmqHJCB`aUj#Ac6tCTSV6{66LN0$Uoi z{NA22;F%RHU6*xZ~P~>1weZxcz?b<9`DcF{=vO8k)_OMcrBrdUg zCi$7zK=%w?W_La$z`35SToU~A@fL4Yb_?MT&{;nu-b^N&BTP7#BVsK1Mi;@UAHta2 zP$5+nQW7mr*rP|Ps!=3;(J<-qmmp9|g?pkRnKZorZ&_;oPaWrniC9`^RoKo!;Md?R zPvb;KH?pX&r5mZ^XKSw&w|GCPXHrxhZ6EYB8&i>6*Nq$s9GxwGtY>AfRVMsaFn8c0 zw8%Z1r!eP049!kFgJug2(2R8)nsJ_$?TcisRV#hdOxWC`PG#T6apkU7>DT7@Xp3_0 zRFf(zu4Nhgb(Kp1P6y#@P2xoHINY8SaGEJd+tC+@McAKvr6Vbk=yxLcAcu* z*(Q$9tDV&2+H|W%T1+{62nzdx?0w8P3>WC1S8L>dgerb)RRmPAGeMQdC#W*VRwYA~ z1v6CLZHB5Bg?+NJL-UjQ-EF#|FCK5$blDfh-POA8Dj)=fYfzZ+t>`<^0hd>?Kd<&b z-8V0x0tXC=e6EOUxSv1t!8pLYgP~|Pmg9Hi+~91N#l}fC$0pSe*%dwX9wUn-Hd~b! zK5I=cQHy1#*0!9?MepUQ6yyD>eETJFVaY`*yQ)@}IrqeVUPW=_PUSsJ|LRh|LUwm; z%dK3?{k#h$411N|yQp0#A9ag7$?BPz2?YahF-Ye=gxD ze^#>BQ?t49;{>-wke)v>A6z?awa#-)tT=i9=DprCj30enK79LClZ{rY$^Uq&!8|nE zO|Nl1%wuH8{_RMwJNU+kZlS+bzWyw|lXn|jcd``rU5+KGdAl6pJd`zNtRyK*+;ZR= zv%#F+<=6m+;@oZU+(jy#Ip&x6XfrbrwK>6?bajvw$El8$Dn62xJHC-sHhzQE*h(cv z^lfP%lh@1UEAP-m+<191{9?s{qFxn-c*uKU*%z#vGs!ZvczIrIn|$w$*?3FzBwZj6 z?^Af<1Uy0EeIY~r(UX{NPs(aa<|LzeEI+q&tZu7rgucBhWVQU9RdR^k=qqPL&I zXH!ZLy?3!>9$!~!SJdkA`U+PUk?W31?x&{n`KmjVk8^)D;rB%0!MK%T$Bj{6NbMvS zb|Zq_$Y3|>*o`rEV~yQB!ES=|TO?1$>*GtEOxMRqu@?#Dd9|@n;qnz5cYP&@y8Qk_ zLhDJs0hqG4F6-81Bs-m?@f=^EpCi41Pe7`Ke~#1#pO3T@|1Rlw{D+a$_@0q+TwQAo zce{PwpU-Cr9aB@R!&jrKk5(D0zo?c;ZBAxQV@@VOYfgrH&YbKM{37Vg$u!TKlbt5! zBN9AHGx@ez-$*0|k1z10XD_F>!Gs5Y9%-h-?zUIL5XClUyz(&r@RNGt8_zl8F;`*Z zY;bq~$Tihh`(6U)o^N?k8C})mx*gI=(aOSv-u0sDH?7g15|!CMVk>xk^~kj_hBZAd zy@iU1Y}}Ih0=}xgV1oZ z&TMXqOUjCMyOl-M5|@?L?1speX^$(*+H)JrZXA_0OefZ3yW!+g6TBF=<;8MObRwL4yWz*wU!N7mVO4Jg9cE0#6bePROGhXw#}Rf$bN9A8c>Wg ztaPbqo9XaBgRKw7=4q?0N4EOb&lJL4l!p=h6Lx*AGaW3J4zON%eroN31{@W{V^R#R zqHi=9DtJtFJ7Ckiy=-Y@3CGN0!#g=P%ks$W< zVO^l%3@oD?w@OSWhcNP_I6X++2f5mk)FHdUFeJEhhU_PQa``ze@bS0#g2~+;{-9E? z$yNRkDlYyICQn!jcpsAa*+5FEX2{NF+COS10`~Ux`*6K24flP?4kdP}DGrH!ouJHXZ-`Lq2 z$cB=OO-)936s`VH3KEu8lPKKQgJfOUe1iUvtjoql>vx{7XZ15@y&;$|n*n?yGrW-8 z3-Wl`M>z&zuADfgjnZ|NhvGq^uPUj^_cQkAA(t6giL*=yeRrrEB_wffMJnEI{3cEB z#w~POHbIdv#|ifpTe5d}P#OImDLsy#_}L4L5rkaQYj~!l%Q!ELkH28~UqRhF9jYa1 zW!dkz#j;qhWo1QPBhB8v=5pmK<#G&nEB24NCzQzji-=rI1cuJ@TW~19c>D3HI$ew!^ub@)Nc)_&m0y z2UPYR8O^#;ZioZNB?ND0@8)sW+3VZv;&M<#T{&Y#pP*&xn1IJ28igRf)u}k)#p~Qj z?114MK$-Q`BymEHqNvyJjyRD9yydz3ih^Q6x^cor^b_g* z@0Syfpk(;)(2@0ZnZ_bktTMQ$zzQ7nE2+B7*OXSQ&BsuIT6pMJ1#rj>XHTL6xAD

+Xw8WFTREmL zMN?YV;~^9KZe~ou{oOY)#cR`QQ@t(v9=3~i>zCC#JJ0JR=;b#<+rHCpY6ToCeqpX4 zXTtJZZC{7h&s1$3)9CC>(T98Zz&)s91%%eAQId63sCI3SV5kv@-LhwT0KPZ3VhEzo zffXEtpIY1W<>G)&Va21$}2>UBMB?W)prFF)wCwpBh|v!Axf6}Uq79xy&YMtZG0}|%-y=Q z^c#3lv3taFTHCj|3^ccuxn@4>QOaqA?$JV(H@3|?XhQ^T*yOZY4dR^z+6>|gT92Yz zkS7~_-qZRW4-z0gLvBlXiEWBY4wgUIM!oqxK0f~>O`gfjsrSO%@r?1mjIN%7M6d0; z_3_UbtR<)S9=6`z`d*%SgLd_vhUCYt^I^`+0*uzPr#=e%*ZZezGji8qt`%kMg|{{w zD4kTM)y$_r@oizaQjxb-={8-l9r6=dgg?umA64 zplqX!{sIB{e75%_K^w0~@aD#52=1nhR-8^7aQyzcYOAA+m>o6po=^qStP;;ZJP99Nwy zVL{|S$PWp2N_Vw*(r7kn)^JhxoZfS`<%+HT_<-2{)___ynw!=HB}lS6IyCaj(q^`- z?FtqT-&5tv7ku~a=MPHGZY%x1(ElO`q4eD4YStr0E=fZRx)0xTNt!5^OQd0$G#}rD z#9AjY3B{Lell$VF7M)gz>SE~zsJjGpvd?+`s-%glMgIHo|7WBBXQh4wA99ly8RdtN zdd?H9)t@#doi0ypdZ$0lKoSa77c4qQ1&~okE#Xn({>9R_RivTt3JPm7P^f}Jtd!9; zV>JuE55F_X*mkE_M12@Rs-el^wuf)t%37i(d$avSY%F_;hpc6rPTVthNr7x~yGDXO zf9WCF{vo-y4l;+ksc(>9t( zVml`GMEW0R$-*PLS?VrkE7!@Xp>ba1DB#L#CUwH)J0%r@lZ3Og(W!aa%A!b}BpU}f zxTd9!UK7(v>a?}Dm$B^aW?>LlL;JI8CNYqj*av=x2QcWWp+Ayp-UaQEI{Npj^ONX( z6Z?KQq4c+1Iu=AqL&wqn-9xrSdPCM|jUF8rBJ-g>G;?o0F4@ZV5zleGTEWwl>#|4a zm1`fLmRk=ZG*GL3b^7Ug%ZZnowLN4lPpD8m`C=vnld?)w_FLO3$;EDOSc{ZvY+|U` z^*#hrH1`&dm#-YjbEn3!B>)Qa!1;!Bt+)G;yMDfrL z=Uz<`UgF9^bnNy*bgf!#k`2N70LvYn+N2ffxiaN;gQs zlG@C=#-mCZkB>^H)Ldj@sS7)w6?P@X49BFa<*rq-ty`quG0hCP;?663PG{!5=A$K< z{dT2%b(bfx)U}w?R)$ROdlNj_U5-dy_R8#e z-?vgGoCMOWuJndVeJ94EnsxotRW`)*(+eqHvBgxMw#l6L-IO)qd`cfv{WMr*15XZ` z#Y>xT;xI!+hRR0ad1UUq$tjFhUrP^S)*2g>mjkXyV$3ZBmPElAsMu9+W|o>z2^D8zV}!+AcUj*d->A4&0p8weS3; zpG6+U(gTq0O8P_)GOF~!HFT-GmK@*#M;7=wsr0u^_Xx?0=KPopMH7Pb zFI{{;`C~4#ljMSR>5_(tr0hh_xNoOwcc)jJI7IS!8MbkueNv18ruLFrvv*NpN}g&cTx_2 zbjC7J!(v>1EL*;cCAK8s`jJw;>(Llo4uhC(423Qo5Yof>RA8)=4PFAe*jMvjNPj)a0Z z6u!qm!3hd%5v2nkbNaKM|HTf|0~~E1aK29;&XBs5b)8G8aW*%Eti}by_`Y!Xq_k)5 zbs44TIreC>6&G8k_qD?g()wLA+{Y7KvM<%ESdL$8on1&G(63&O`kYhdL*8OdgX$TO zY9VYU$uQ}f?7^(0O=fg)gMYJ|J9SdBXWZ8&$ZYw3`BsTjL)svh_N%Nx8Eu9) zgT~sg$_AfkGt>;mYb&J>7Pb(?K6gIgiAmL`Dawl|ye>L!h&6s|*Ck9D>^t!$TF8~Y zz~%v`xaQ(KFhywO0gdXygz0uG9jZXt>bVpzm0$Sx8Xw)oCDL2Cee+FD^$F&Jk`Y{q@(rmM z;k`9PWcyoy3)4LC>6KkYPs^3uB`{EPl|B8m8>JAlQq+PV9zcdt5pr9cGg&Zg_%R-?X3Y$>Cxh>d?!`-QP zR6jD5`)Pt*G%ikhD)9@Jb0!ZH04y#rHz2w~xhYHRqU)pJ2Cbn`IQUBlX{p4*m(Q7u zTFfwe6Gnt``?EqpDjEv9Q1Finh2%IhxZbTmgko998c-_H_W_j>5a@r3?}aQ!SD;^RgATj4l4 zL!`&s`VAst`(xnmGzbaU5S08fay||)nQDexQ5Y+NGXA@R9M_SWHSa6tk0kX_E5(TXZz0a4fRSv$non+Y6xkPrCbywl45EQOKVeAMr>44Kt z+S^GCVsPOr87PpZLqQ!1<4`CR7mQ{odZeywdbrq^AGH_v1TD`(nqLYW2JvgLk?$lq^JFGW|1wZumHvlLC>}s0EAHEgz{d;#V?( z7N-N0UU+|xc||8)MTSSOn&w0p_gJWB9eD`{_Y?wcN? zK(z#qE7>jMaVSxAjIG!&^KqER5!N#;k*dC>)MY@#eUe=p$8!mAy068l=@qp2PyVEY zxJ*R2X341kZ-?n3(aye7U>DEBiOTJgR#SU0AZaG)wiv3ROL9;3(v_S&-Fv0P{d6yX z$>ix?i4u84uTn_~qF1lv9BHq4i7#odbIAf}uV0Dknck?9>NCABN|;pMpwOC0Jw3`G z3w2bf?2*>0$;HL*0rP1g0rM@C1yabgc#h19BcO%o~K1KE`g(Rr< z7sQy89Z1?TK@;!NeR(r5spM|hsE~b;3N+b;M|kNCm_Qig#SbSdkQ%J_KyPR zG|mGP8$%NtPNiG&+!E}Pdh!OgW*iUfY*jUgactk*)Uk%&IxZQGd$mr-`bX=FPD^@U zf;@2t9v)ZCITcyc*FAf}`;D+ydVTwS8MT4WdFi^vyw~D)*PlDL+iD={<(j#=a-Bv5 zH4_jyIrD&-N!geodAAU!=WvAeH_9KWOp$HMO zw_`>e%HEEUQOO>uW6wzH*y-5g_d2*g_x&EX?)UfihvPcuI@inVdcL0X@p!&2jhm}i z8h6$_q<5FD`0noaHg4^k?EjSZ-FWXYx&KOf|CfjF=KA2l?hg1!x^XXcb!K~JQ3>tr zd+T7S#HVI|LeqD130>{8Uf1||V^J5i1us9yO_&_mTkhQ5DLcsh)p#XvzoW!=bN^s} zDdXTLCGH zXlI2RcRqVa@9pm}?ys~;k7^$5R3B_Tlin}bn`!K0-2T9LuvllZyCHp0p|rW^+;D)o z@O_5Q#_~aLb>rUtLH%BhiSL1Pb(D@Zt^zP!FKn-#`yV3-?hDr{mn#hx6hm# zx7wEv_ELSfCVWs=CU?7i57rnDes*W<|EgY|^vap9lYYFmbAayVss|@ae7Ak~XL=7x zoS7PZce)uDdLLKBZgsOKQ}vbXua)>%mhGaYcekxgnD@R8N*}zM+)wn~-V#1oVf0-y z+##3V8t%2*`cXaGTD9r>OLK3R(RUrqz8H1;hT}fClR?Lf)?d>Zhf zCX%jv{a&|97j5pPSu*l^bPV^a$VkI8ID<7h`3x!j+?Tj>SyG)VDrfj5?a{tx%gv={ zeD|z3!qaticiM?==ZI8yzkd_$y$x+3$rw3f+uGo00w*ZX=#HffA6PGfmGp`D3n^7+ z;b~Rhm49x{dlMcWDD-rpQmcTJt<7fs8S&s#PHOF_Qr9QSRd)sM;>$A?`sW6Q@ZP+8 z#X^btJPl>SHOkA6#yRzr=vwpXZyCm1D~!Td@wT5;K^cyc=Q+3h)JLTjT#DZoQiPzp zTS9Xhx>J#^(?Z2l? zwUy-Yap45*OgPMJ@;nwn$)Q+G;t<-lTzq0x=IJqe&1|Xa7-jDP$(UL}p%A3CZ3wcp zhFzO+4yNp_A7q{vWzME842=pGE z#QnNZl6e+c?PYfr&uGs{B?#KbAp}|H_O1-MGfbAZai2|l8F8)UXfRo7Y7OPnr1)2v z?U?zyZsB1KllEOxRIs^0NNSzI8sk|(brt5B$`j*B#}D4veb9Ek9&rQJE%dw$nd+Ee zo@cmxtOUPZy`7-358J`f$m(s2&TdCF2T?gPLnkMmve~mL4mCCCW*9%TY?fXn`&=-| zX+`o_89Z6_V1u@^{FK<&+~ozLwMc2>Wt(dfnqjF`Y=|_MD@Paph`7g%c7-C+m_vAk z>zm4uj=l;1qdKLnwZr&D%4Q3D!9-jxhVWP`n|0E}4!O=qkQ?@3bb$BnJpncv#ir)w z+~h8in^ag<&lH~0SZ3b6q@OlJ4=$*;H|vZrha$#AJdZE6IT~iTU+8e2qDp3YERg=7 zz5r$tzf!_1DzIu* z8Aj*R3WaS?kbx=v#^%=49cu2XX+YdcRJhSDKv>;J!-&G=%{qxSjkw)*Ovv--VwD+4 z@`S?K&idg8=#W(Ll3H;t1TNsuFvGLRa{YtJIobV#?1;!h(4@?H8><$bG-*Swut+cw zZ_T5{`^9p6Z)AD6kO05F01Fa767no6zW3@1SkVlm#1^*#PUn!gtfvpTwgi9+i`b9W z$w1AarVnwn;tRb;i#MkXal4j1!kfa22O-gwLB5ku$}7E4&JzACJXG}sA>?{)8a{1) zkuuYOQb6|78PAK^OJO&;(M90)z|vda2MU$p20>#KOX)ZB8Q?FQRpbHUb%;w+t_SNU z+yQ171eT8joB`^HQO!ds{{y9lB8-AwAnO?6ojFdaFOKeMTNEfxbTe#z?r7XJmNF#i z-FH(q>U`l(LPE6olU-hNV|ASiJI&uA`(C?L1lXlv&pJkv7S)av$Y+!ZR=cQhG!Dix z-uQ*)EGcul$^X5KxUUz|6VVnN%Sfu1-LPj0Orl{fBBx>SXl58&Te3c9ezf|`XT#Z` zPw@kiNUEY~tT=I=ECkyy!65=1fx-7Nc2MWn46De{bf}S9Kf1hjPzqM-CTESp_$K2j@;*^2%nrRE|F#whjZ z&kd-Xy%2fcAXxToU9be0HA4)sX;0c64|RfsK8wH(m1oeq@q$|YLI}^Gqa}!Oibv~L z|Lf?^4jtM*6VMA}#A!`L+M)oNVexaxBlqSG>Ufz`Gvk($9S){C0QXW25IZKvbc<9F$6p$Wc zAd@O^Wy)tL%oALIQJJkvYx1a3Fx75ES_pD6>z~H6ci;4eKg@j+ZTt;`-kf?f#gP1Ye13PkT z?#Bj9ACeP^Pkvg-JoYZ0x_Wp@dpN%(wyC?mK#~>}EzJMJk5(VEJZB{hhnhtWAW!FO zAkAB}HtW324ptdVGL1*$Xo8|-Y7C@*8$EEs$hlhmTkMVvZRc~tdF_ogkTV=R#4(|$ zX4u-R9rC@?PZQfj}mPR%`lUZ@HnkDK1+vu;BsS^0CL3A4;A_DIT13fr zUqZ0jB7;x!amqf`4i>Qop1LR;%%?F!xxVs98xiG4uC_WM zxw=Uog|G^HVCuKO9la^oId%xZ-`D;)7k3!q*A89eBH2Uv#M(w`5Zf=KjxEx=M`NmjYFYU&j1!Y+#m#r2}n7K ze^Df|mrw+~;(x8NTVNo70Wr*?heK|lMuCi{mu16p8jfqKjsc+fn@?rS{R@Z% zVuWaTw|-~d%QC08+F=i?uBcv8mizgfD7;U?e`J|dV259-$_sgMsCn7z4!@&2`j_;t z3Pbl4;V|*to`eCbipy z9<&e`wcH|4*w4CmUEcdW{&}Upw zx;3crMQ=?@eZY3ne@(TgpBPX*n9z(oI3uc+=#IGEkY($wYmBgx;hX!N`yo z_glWsU{?KIBJ)fXBu{rD^tiV6+*b=Zm*IK1=}6$Aj7KznN`2oK^Z z|Im4~Xhx)ZBjYNq6Z^7bz3^o31&KXd&!Kw3gXvbU>CcQI5DQq~LU?81RI!J_ZR55d zb-~YL+?nfn`KZdhqnDhkC3`%W9eo>l>~pTG$$4LxG|BQYYSV3yns5sE;bXuo%e@9G zwW)k>GRYu6wM?=`F#&h_P|K_K#rvhR1FCj12W0Pzv5D)W7zR@a=)`O4-b<7R&ORSbUD*x=} zNNRGi3%<(YtKWjwwn;*MrD%Q*Fe%^bJ-ZWOaJv568oE5^(VM%zITN0D%c^e7Jm3D} z+gi8Kn)U*OY*3!;W;90AYjUOM*mzxu~ zy~Cqf^t%7@l+MaiB_(~)6Htc4H%DW#7n3lDaTbkr0O@po0Lr*k)c+Ww*nEWnbX`XF|~VY z5I5gc8*a3a6$CA006|+3-QY%l+enkmt8M^AtT&ssvok+5m;U$IUIViS1|o86^jl{_ z4|?^>=6R8mtBnV#WiOSqh2%6m=K=7c?{Q&%Lh@m2SR#+wkL}b)l_X{aYWvndV~gMZ z#`x%b&XQ(LtM=sXb$bps-)JG&_BtU?p{yi%9&T2@G5nI8E?!4IWfh-G_pRZ&a(K82 zjZQ-0AG!5WIP=jwpw`r`-m`|F#nix$A;hgQhF-0{Ct10EySo?ww!aCmg>W|Ql37qo z@9C;?xTU&7(5~qqn23_+jWVhMycpEoX<*R9Oy)l!HOt+O+|}z8Aro&Cc+OuXcaZf6F#U0Hy3^c_68J0z^}^j;#g5CcB?lh z^Lbqr?|Rt9QGi!~DX|apwXc@}mL=V;g?fr4b^>@RT{h4sQS&@uE_-e_ImBOp6u1ybhP0<#b9O2#rcKjePl> zw{=KdWM}0+-Z(Z&lgS@DaY)D16lL#7o|x@QZ#izXC@?HhFzSH+aRz?^u06K^uKFe3 znu+8&1**LydDQ!qbbZu}2w7g#pvnhj)C@KFxc{GmTgM9Kz4?^125E9d@m!7*jcWGN z?T8#H1b@u7jbKb|Bk@&Ot$0!y5?kwCVPCuj7Y^&UP=oe2R{1#k!&-fX;rSnRFWpLW zW7FQK>n=mqDNv~Gp{u5DpuE@RQQkm4<05owCOr|FneLPTKkG?Z&0) zYBDY@L<4AzuMM@#?cV5BF~5r{hwpxeP3{HX*(%?4?S#%xQ)eg^qAcq9D`l-tXg z0hFA~^Ohx#hsA*9{{+T;g@L<#5bMCTUZqjn`&Dfope^mCk?pe%v}M=}g06`AJuDzm z%@0<4{3>kQ1yC;MSv0xbe8n}m(dNtGov)HB2YP1qo`QC@701yk_7UySYFv0q>35ll z%kIvD0d==bgWD2Gg1Z?7Wr?uzri^b40W+r=;=hMX& z0#9F1%hFCtd+jSeaX6cj4HJ&2EUs23DQ!|7eWu?+8!4c_z=1Y6XoQnwz}6TX9ve6f zSmlC{hnkjB zh|(7o<-q*|GN@kMjYDqm-|nwtTn^81@_H_h+DR@%jqfW$+(v7l+8dG@+$VyD*QMD> z)W$tZ%a9weLexH!K8PN!5Oh6j0g!+G#0C!-y0KgcRy*k!ne*>pxXwDlLZ>x6U)7*I z-yGw^{-Ag&q{j7xMQTbW_5}ILkiEb@Fb4I9dAj|M!r1=NsE=xR8j<7lSS5SO`Auk! zPZzLkUpFQY2V!@C;5Yh-RhA0GF?e`=X%w(V#TP_v%1dA+|H3={pJN3^-AhtF+w#z} zynFICs3pyznuv(2aqOc(lQY4NHJGEbD(MdQ0W6Fa!@wBMRwo~P%^gNkwV-(SrJ1gb zd-Ifkc<3cS_po$lG)6hrXvMOR8b9+gGc3+%k8=zH!_mY_3|lGxv`A$J9|%4F+AgFN zTmN@-CeX7-<-}a<-{}5eqU)VC!5C>^jKLvrtsEFXNSY1g71hV@4q-&Hm#mry43Gem z7!Kms!L9@+yK)H~)zTg4yfH66cET{v(c{~pb7cFsd0{5{&k*U!=&$Vv03;^vKR7)x z_4VxTN(pVV_7xUU+~o_vge;-fQ?2uRv6;y6yl|iwC*)#3h9l@6Zsg3I-j|5uUkL&i z?mWW0batGh+gwxbY1$z|#+{xBQl)+9JHh2Nh26I}5|ifFivPgyA^4ul%i2AOSpJnH zt?_T^?KBSyF;2r<4tzo*tG&T3sa(GV1pdB}3&;v{w2phcAX^s4b$pCiIGgq}e0dZ} zl`?}Br}ndjU?ejf;>HoEJPsC94_ym{idjA(JB@S)e(0m}ZgBI5OVBd!*K|*(1T0o} zjD^k_`M(BnGw|j23mh}{KP~8V|H#LVxgbYAO>Jk^N5(+o9|2c12edU^Z-a~#FgoBv zjMKKE(~q0$zl!51toHK_h$W*Vu@WVb$881sy3h4dx(V{Ar4E3fdH#H}z2X6H)}A!L z93jMD+w)(tZlDa+()B$45oO-M+`fjQ@z{@Hc((YWL?-E)|n#V1=)ddF9vU8&z*e>KaC zdVan0i@JxHT+p!S_c0o)UoR@`^h2NaRMTvHbMwpMchMbo4+)C#9Pdl$v#VdiQNyb; z_K0M)vwtXU|GcYmVE$Z{MJw%mZwe<3`ihEo(R=Mva+hW9&yswxo3ZI434d}sP>Y}3 z^ed^M#tuDP6XQxTXB)iE;-CSiBY)ZNl_a>vd4jSan--qu2R-AbC3l z-G@vnCJ_cjjdKHAptkcqXW$J?y9fKZ*+U$Y|5~ z?1_n&zBh{38?;C$8ta`e;{|A0#HcIcnyKPlvkIZbW8y#6r|f>sCRU5&)h%p1o|9l@ z@E|+gnnmyX%(;hUT}+|h`RvF=HccGETj?T*sx)qgK+(5DQunn=XTQmsrS^O{kql^t z0T|!$1w=4L43SEPU71TJSXsq)(b{XC~Vkuzq5>IF7e$EtOA27i`6#6>{%;j4Z0m_FkdU#D&w){aW(6#0$(k% zL>Sp8^9&kTbzZ^=y3y$%8iEz^3Y_4(DexN61&a&!k73inTGQY>^Y`SIQw$ z1~{t~wIU@%kEUEUM`>O8DK&BtePwxOMXPsBN|vz@HM%q8{lLR1>zA&IE)3r|r$)L@lHlQrZc9xt9)(n8gdSK?akX^3 zCB;Y6ub<9Zd?`*O4;Qz*Ot@5B6aAWHZu;}(bbL8+UkFXjDS35Q>fo-gs?k_&?M!{q zJ2JBHJ*tp6cW0>0$ST1n^1RPIu`EsF97+-5`stUJJi)APehZ&oN-20E?pk~jvhgnz zPr>$8L~n;l$43xTXFfZ@_%a2PTgRk$>@Kla@_){FyJKgsHvZz&PrWj4gD=|bl^Q_~ z8S6C;lmcuaPjYHXZ8dUq!SMc1FWj2(A79m9WK+Cj|CEZ-+U|n8 zjr~<|D%{F9eI%h^6 z9MKRl4mwws=X@%3>fbQ#6Au*q^w|{FpYPcDGHL3~z5L7FNY`UI>8D2N7B1= z5$it9!l6@p%8w|}J6C_%yj)fqgfF~d^f<4J-u97~s^x2BEWqfC(ki@4hD2ZUp@7j- z5gh$$sGa`2#miDDRd-X3gz$G_+&WLH4F4J#!c&ri`7PJY+>?&lHx3nic8|m7IBOj? zmW&o#;(kQ-nr=2^<{T_8u+I28?^1jX$LqRpveS`iVVd})L5eJ~T6ps6p($$TH#x;O`Ql_HDB-ULnhz?gPTS(y zkAKP?G#IY(( zwXEdNs;6A8CK;CHGkG~0)=aHS z%4zqWUyyk}a!(ErPWn#;{HvU7k@iKOlZ(+>6%_-wy1yGdt0J^t9Oz(v*Wc2Y#7vD{ zK?t{En^sS*Phzl=u!`65*I{zeo>l&M%TWf?S_a6asCA3Zt*4p58G_!2e)tikqZb9J z+WuMc5!aHzhG&4Q0lfnS)`0if@C6C{h=lnOso8PdY<1ip=ke7X|A$Sd{3m?aYPQyu z3RUacvzNPj_&x~0JC#3ka<6G{{my*?Dz=6W(~Z+kyXoz$Nxa*zk?mLg7zz%SRd5>_ zS#75_;H<>EKH=GZlTrM(Qzj+b_;e4sR6jK_E-KS*!Uwbcq&+ohAI@lfYVy(mDfD-x zPfc{O0xO|~16qnt-ETsk0J9AOCQDdUYH?=7x}BqPO#770=bYd5!CEVSYC7y+08_h$ z8glvxEmfEeF*odNf2W&79=^zb;gq4%Whml@(PQ}}UJ=^3vn-}&W=M6bv`a)-74Ng& zhL?XVrQp8q@I(LO&}mL>@;vjpOQhGrIJM*qx%dNh^~-S=D=a7sobqrge`ueCO8>R5 z3oplsI5I=PXD`dw{5tK!W#9D@_s?`W{VBJ66V~;@P$f4^7Q>nAeXFWImsc4u$t_Gy z6s<^bR%-N1L$~^+4A+Q?V9X^1jnNgdURJ?(=q5j8%$?(5fQXMj|Il}?79K`Q|KI{O zTbjGjKV7lr$hSASj zot{anYP|oW{Hp_}R8aRjv!x8#+{~3B=wZIR-gFi3b#+vQ^~pSKnqXXQqJ1e7)r9C(R>f-Q$yc*Sc`1=o38_EXa`S{amYy*6V_%Z3`Xn|nF~xqfQg`3~xo!jvAz2LcB|3aL5pa54ql_EY+Cn!2bK5(br$y3euQWa7!>PkeI>FJ*Zb-L3>>F^>*bv4X@TpH(Kq zN`^sc`NULgEQ+E<+H;v@;%ZowHM6g?ktscCAyu?%kre2+9atmXRv}a%^8dSQ@TbySEN(PYnxZhv>qY!u!tfEDpZ2J<5)p0H)h)-1(t{pA1 zD%R`YQY90UuFNpV6oNIt6GA&6mVBu&DwaY2CJ1Eb)F@3CVgJ}I|FR=Izdzr*sno83 zI?cEjmgKEp;ih3`zi+%CoV+8_lJdH-$>K3VQ=@%NEZXx&d!kJ^tW~wNkz;n3EJe`I zobtouZk=z)=U%Y|krAy%Jja7I?DHsGzWl~V^{3zwsJU~hByaC2twGon_kKRw#<#Ky zprKT%E9ZZlm1x5YU&7)6t<1ZDNVT+*`H!zQi?X)dw=cYD#D}-d-)-5k3ECXAMtI?& ze`zv@GucTCf4+h}rB1vmJly5we)h*K8Lbtvcf^6wMVm6;BtA0N^}qDYKck7Fu}m;M z++bk+bef3c#fb1B{lT#L6YBPqJWp@=fo$H+T4Nb<+z`NY0R9E^4yHw!^n@mZ`!IEs zVR!aEdd!tKMS6)=ZJf~(a9|1G)AsBoA`^hVo&_EL(w9NA+6k@B$Hd1ZsN!gVl`oE^ z({8#Wvi+RrK!kDc!5kzDtkgTG;7+Q6P~%%+HP*DD1q?h&y@mKq0+;Pn(Iz-&twy^k z<@Kp)17rJ*KQ0Qd>|gAE?Wbo^70E|ic|y_imvrLa+c7aIyQp03X=BW83}vg%Q`$=8 zA?$uFX+P5NK@L$kEr`zzj8ib+vs z(13rp|%pv)UW;n%t!zV9Z=yruRAb zcyoSx;L8)f^=(mTc{jWe_f$}L?_08+KEyd@YS+i-;12802Hplvr_Pt)a$iJ?Ets~g zi~cg(&YOP{z`S_>MvIl`U?!n_3S+(v4|UO&OgQ2UsXi@AY{gG&M@@Z@nKUi$j&77& zDPG0r#e;#n6kWM{%N25pdY5V$Z~5i6?$YP;TA26?Sta($oK+}H*b{rh3`g(n(r-rN zZ%au%lZV4y@@qWgtCMjVl^OQTlJOpP z@wu$zu-=}sRP%p(0Lyw}eK`;><4$PQe>9~|?GaEBPkc7b%na+8me+)xijXRXBqRH- ze8c}}{w?=yA}rZIk!KkDz>tqHz2+A7WB32!{{P$>6C-vD(?{3Y#^Y55++!uYMtN`{8IPd3bg0YmSFr;Y1xjz3r_e?Cr>+a^_&|+#fHCIyqbqIrX6=uhVd`E zQf}iq^L)CAd*I6W?zGSSYKX&BHDBCmA1;t?J4-nYHSNIPxZpZ7XntyHyj*h^x3N22 zU}azE#cRLGb&!oKCV~8Y9ekwNnC<8Bp3P}$&+UZ^P_p9RW$7mb6RDrIdd0}>KXi=e z0>-hWV!D{p zltt!d6{SjcI&=FRjlK7-gn7~M9E}P8dFfso<)4of=M;7ywdl=J`K0$ne_ac22n&_& z{T>)D-Mjfx;n$;k4j5hV^4_fBszwxUV1YzQoMDIs6M4B}19`XqXl{S8wVY zN`{Q&Nc@2__<|^OpX~D=+aEKnti9>A_-mzdb9M9??;<;}wJUo0Igi@>?_du9Z1cZi z52oXcHPg#K5mTqn7}EtSSOm?-yTLacI=6}jhF}HWpfVc)9g+P$ps^9NkHA=O;Ula7 z)4=Wi0krQnYS4_jx<3b7fezed96EaB}Hm9x8xie)^n@Tp}bXj7Ew zv1v8w1ygh+0JB`U5p^;b-?2)wHoavW91Z5ETAOZ&w^pEKG zk2Yx~^XL_BJu00ov{rQxt;+{HFUs5n5wjih5ymk*bB)xau9&{no}t7cSDylK!noVm zrgMu21teP{{9Fl<#`rDLv1VigIFxO$odMHhPm@e;xD;emCr?AHenNcyT}g0Y=FmAF zeYB{+ldzF#;zY2gi=^nJaRceU1=830s5~Q^wbgPmVk-|<#3TOJL)@Nyjt%5t;p{PD zNjktPUIVKL1y%v}T|C_aRw18#4jzJJ#)%P==h1$a9Et?JYi@11$_)9K#^j^DBr%>r z7Q~NNJ)X=GzahP3JGDpW>wQ<5_Jbw3|mQW{_1bw-8W#Bb%W#A*&V!=PbjFTs} zO6a0Ed%6AGU&Fu&Y(=z4o-5QQAHf8A?_<7N8H( zoU4G^gMnU!iuhII`XQs4de7C~l|V1_&-L$1{OBs?;es7;(&_rzB7t_}`iHCUz>#6Q z@m^5j9Y})oc_g%>yNiSr4-Iu+WRLS6e-+Juc30?S}=J0>svDK_c{Ldm5W&99qDsb>B&WYrHBTBUW$5$o^#uiX+A@JxW;%RYsc|nQ zRKM6yn|boLv~RZyOBL&-6bkw8WLz0oDAc@YuQ+HOTSYkkxx?Tj#0itWiL+hw{cyB{ z2XyL76YiRJ(ny#AAW#x9dsY;{g7nrApqbm#p_7Ks95k4-&&h#Tk5W0nwIl&1M(-O) zspcO$bW)Z$1J}oL2SAQ(q@%7ro~%c`-*m1tvSK5AF#`UU61K?B!cWPUpQ=?11f@K2UVI`+KeAOC9}c zWF@A){kskHf87%f%C$$ho2H!%qo57`*`At1C&K_mD`ITbvyG{IHWKVNT(SW>P$Gpe z(yOH#ab32$0`~tT1KAasJ9LWP#R!{f)b)Df5RBiV8IcwzikMw$t5W;g_fdWt|Kr2y z+`hu;S1>a7t=G}a-@x*mL(-A;IK1;bjJ^=4KuggR8(QTbqIp2 zJ)4&WaR##)+X7+4ec_)N6$_z2(jVya8hP-C}Qt|yIP87?q99aq2IX9wPmVEhj8_f_LNq(iJfZC(Se;hu1Z7GNx_L+gxt&Mn1@ z!U1D($Tj>9pbmn1xgGAx{yq5tYM3DReR)1tqTr;jyd=r(2~610aWYxV8&3licX-Iy z7blcIR?d)TvunS4&Z_jJPAihuqg3MN7~0kS-m5N}595M**zRBdF%%4~8y4zqO?6xY ze!iQ(Zunbrlrv(HwQd-ZxL}p)tH|6ij2Hw*g4Vz~_Q0369=+PMO3jh18Fr6fuo~3@ zk;CV~m4;z=B<5X3s-v*Q__w^_ZlMq?XZ8eeWfz6BA?Ej=5#n4-%D4kL=pz7shV5T> zjvlg$k3ig^z;NvrUg$^buj;oYz-ngr{1P22&>S6a_Hv8U1nY)m_d?fqS(GegG>2`V zc5K>>$8$knn@8By39#5|>nMZ})C)b{m`}3QU-SuRLG`@a8@*~buHk~oX!}GC_}dltm36NVd3wV4DzwWUH`od{Oc1EjySkk5PT+&G9(PA?Q>NVN75=? zWcyPZ_pfr%x8Hs5YklOOlg;Yw`M@54F9#=W=fHumDQNur1~++4T|8o`B}|6oQ>M%y zVHG2``3d5-Qm%lS@(n}2<-d^kwD&UDB$pEjFGF6%fr%-0pOhgX#vEqbVX0tC`{0Og?IF;&$hecd(BE3d>V>u)>;(x4*jlM448gqR2P&tS z1im~9z7gRAv}-*D9CRHf9|JK;@BeC>LukO3zT&0{9Iv|dJKMwrO}hJRUg)EKfJF?# z+!M?0SErROvSccH`}O=R-puvnF#kIH%h08^n!i->nCD9T7iyi`W^DBms7O6v+-_qL zc%um2a=%hCxbJx2TcZqO>$h`x zh70IoKtrj^mEnT(2N#`R>s1Q?C!2Z?80$1J*0K#Cul1OP{>Ab*AXXpX6fym$kpI;d z{{xZd0)P34WLro1AziH7<3J06CcQ^#8aHr@{w}Y&6yA;tULf=MYV=q(m{)){CLF?K zrj3-hO1VVvq+Bj5Gd%WVE(P@ZSbf z+2`RbwB?+HO)IC}5+xJRF^tAQtq8s4{kJ=hOD4QD)=~A&AV(ebov!y><{vKD@BwQq zg|H}bVgd0-%?&7|w+CKWN^-fWgnDW5UOP^AbHP zZ{^CWjP=zb+NN!fDYMTftXZW_m`Y%2lLBP6;%YFlCB@sQ*H10;W{MMYBE>Di2gSEh zr7Rb~LkF~<^?}|%KV^n2Dgb0EprRRK0Fs$~?yxZ*Jhx;K0QHh`<)<4K*YW_O2nxK^ z45zbno8K;i(oWbH|B}Ph=+(H>4O?|T-<@uFZuqImBktZ^c$zR&;l={gwyb ze;|I-2MFIfH!m05Nex>7Ct)bqaT~fVHCqqYcxxB@Gd=3;or=p}UPngXEx_G3M+B zYE%Ryg>I6-m!*OXu-X;)CEo;BkShF<89J|ff%0>UQevz?crq~%Ts#9tIP_hmAdkLh z-A+2?6c2A<;O?dHs83@UyL4aC#iLuNi9uBF+}~41?^sI=!81A5QHQayyxx<^ZS3|> zD9AF&TN$|7iNH{%U?{D79^HY>45Ak@+*jqPN4Ch@+jyAFV&7l0VAJ!*yLSC_NYL1M zGTOyz<+MsK7MahHn$aoB&)s44*h`9{c4KdUs=Ew!4!?cQXHDTTXmn~U=Ke`A^1rE9 zN$X>~srfQ?;x|%UTgVO|xh0yE z3dC<3pRhVjRl7ieJzfE4Zv$9nm|S>8_^Ta*rGSkvD~^p8tDTL#<;#zRYVI$*Vd1i! zJUUN=?i_aR{LQmq4x5S4)G7e;#Wb1n8UBNOBC-Yg9zQ9GS}=~rx&x=X&=sufIBU=Rlzl>2818N9PspZ3}$0Zg9E@U5kOFmfck%^W)3i^8t~1R7?A>2 zaG4^6ZqpO+gw;X)@X+vv=${Xp!K!S^6`(md0szhRSx1! zc4^zotG)`xTE5pDpH`zER|qv>`Qc$TJ*Ygg%w7`nYXjC=5>pxW0DL?3-cQ>0+Ar3D zE-(9a=QjmotNOu(ie2EPDgyKJWprZIGC=sNr(b6H=_``)_)`iYWP)#uw5$lPSi~HW1zk!1KZH+_Spew`x+GA z3*aF_W2#^`y4?Z5ZJm-qsjUUj?EE{tpzmoAK(_pS-~j_g0-$@!5TN2HM;RM{u%1|l zV^ZtC-^_VfhERUK|GxmWa%_w-;o&k6w&W0bD?TO_EDM8Jo3`)J%$O?KX(&ACRK&MP z3_m7smIq%2Pv)oy&51mHL5)G`jYg z89rKSWisrf>tuq|I(#>ItD)ki!77Sx<>NS?tR9j9f7vq$cE^3KkA**I{F#SSqgwia zRp&m_gBho$J6W3796l)gG;WBIZ!Ba>UUq#2m9w#N(eA^Wk$vIP@IxiK`@Im z>b&h7)YjUkct^AUEm;I`=o>xP_$56l9VR^j`M3hRtZAL^boUa!lamOe=7te(G=(bz z9k+i7St4`jc;B<_{qoRPlH7h&>qTDq{q(O=IbqZDbPrOUiFfYfdJd)2m9mdNdgPr3 zMfHSDQlG24&vN6_b1xQ3kGabQuf;b`3oP|Gx1oq^1yHP)a8S~h>`=FDUn1wU-F2P0 z3GOUb#+$lLX$#=xc8O4s;`*lLR!&p?EV@K^M^86kiYo>ZlCYBly_AS8xX`xTq!AB< zR<7W092u?Xo2)E0+3E4=>>b^NZ@*ltD+v>sU%J&v2owombrDmC4&zDp?8-?;UkbYj z`AqMv1Uv1Sz|Hy zZTqmM_}<@8KfCGj@)KoA>~c!hCv-U2jv;WlUDcXyr5bItzOpKP8^{%~;5 zw@dR}V0CMcd9z3B*4cz!JL4ofS_S|P#xR%SR zUgPo2t|y!6NmXX6JY}DkC6qjx-#j964hGkrufsJFHhYjeKM}FN=~k!ozJ7`12VP04 zYD(<9R6N^ity_+53x zpV+I#_F$I64pDKZcDWz7u;TGui7ojc=OdKgJAf@2o8?#ASrOT=E)zd;F^ki`J~CDK zFK0@B8*Kb|an0W0d*s%vm_X}U5jp2BYC~E3t|vSXTCO|OvzT}JeY{YI-5K{Kv+2VZ z#xs-8-k*9E%>FQ0EhV-y>J!wM3ifi(wZ8HOQS_(c%z(4=t$|WpM$BU=FK#@@kyoyd z<>1q|ZA8KY`Ir#zC|(n-5-1mzUTZcDbopf(xcc}l;mlc{n<}ogk2&(*l^16_buPxL z;x>&v$xPB%ndy?oPoxPmW^|z+m(f189g@vb$*272duX(7pAzYdR)}IrkT3lh!?_E) zOzBgW%(kkR2UX%8(&(hDcaDCN<+-L>7evNa$arD9@Lu3Odwl6I$Ht{Kl6V6o*^IKo828m<`>JFNa7Osz|e|V;Z;I#v{d%R&4E?u&AEdc z*DM~^rE?bikDEU|7O;s2Wz%CaiTe`MCPHbnTFnB_7FPY#u*-Fc0?WvNI!;sL z@C35nIW%Y{xl{CfseYj3`aSmrmb2eY>SySO9#NP2x~1+D3@0$-MwaY9IJe;BIoYA7 zBz|UHZd|%`O?M(~NJey}U$8es@&cBw?e|Znx#sU1&iU*Pm68YCE291={thpSxK2a4 zy{}X{5PFeV+@Ni*uk?(N>0{60pgR@SA2Tj%JaKuvEq1ZPAVQP9P1=d!!z+)k_eMD~ zoZ{Grwzqz0in10hXGFr{hPHQqHKn=ZO5NeVV27p-pmLvo7H;&}W# zJu-#UT#JdGl{Zdb8$6p+k1Y&L2s+*O?P;)*xEX1bu{mkfggNQXJF3v3V#~5%C22EK zI+C!5*zY0)<4bM#UavR@a)sX^r-&?x59ZV*Q&8gC$a*uVCI=zim`&w+ti4Fe`Z=jq)0DW)8jXV>={r(Ip(LIouLPQZwzx9vr|#jL2*(09 z@uGt_DkOp*N>}SQ@8dggnANp?fK2rAQiCj5K33SmCh~m{p?&8%3FmG*uaPS?~p>F?~6LRNzz(bYH(45|sI} zsTz`N#;Wz8()CJ*xP6$y;{{f#GA$OW)h|jC5K_j97KoHX#)Z&LYA^0-^Kkv<*3R{i z;^**Ii18ESjFQY>p+0-Bi?Q`y*V-BVi+&x?ZISpj!79Nu(x|~#ete{KVsfN(_kti7 zEgitp*<=Q{cFLcXm)NC?j0tAIR{)O*#|<(QdK<-mz+_~_tsX6U?$TYIYh<^TqP=t{ z=DVpggBNQV!-kfB1VN;FX~Q-pBK4bJl{mx%Yt~H!LJ+=D3JaF_3J%w}r%!!HZ_%oj zd>@ROr@a?gP|zYMbH}rMCxVdN;+8o0fIobLW*~2 z!d_nl$}5S`Z${gc9qAb9Dy^#g8y_S2H}5D(O9(=PfsQqEg+QhgyhvT1dvTZ@gc^gF zTP7USTJxl#L(X>3AVQ`@U^2uC4tOG%`woD(Gf`Z{G8nPvV119CQO)2oVjUBd$@!i@ z#)?jmPk5W)t~J3KZ{wDr>sLpTfkjrQw>uX>j1XZ0d$vxB5dgVRsckZ ziE0%mfX<%d?&ocnFO!6N)OBne^d^@`bqb-Ok-AF?k4A^hNexwiDGywtSRGK}o-T4O z3+6dr7QC0Bn;Bd!1kNz0-e~r*V53;Y$*#2LV1B>tlG#hBhhNRcL2>62sdfo8^sMfP z!lQy4S^YXAboa=o$**}$i?zH@e;p|~K|XkUTGHh?4>%aaf1Ccghh{lsF^h6JWY3Oj zDdYmvoe^5q?OOEACxYU8IsYGX?-|zAx~&ZZ0!mSkB1MW+L8=rDB192HQ6fb^x_}@> zx`5I`k=~VV00A-5MVf##X^J3X=q+@l1rS0F$@>J{XRUL-v-dvdUF*HB@5jt6ne&-r zj&hHCjAueTj2Pn`TyvCnQ3=ZP)_Rznqea=R%ViRG&E%I&Q;!eN?|P=_e1|3VXJ5Md zVFuwWZx27`fc!c*>0XU69D$vtuSET~`SzxdfTpEWj`7gEj?mz4(T_2|mq2$0VSAfr z%&!rl>@Xg;JGYz!Fk%N$z!|DHN%5J&_qf2VPrJ_g%DNxkFBMR=E0t^U-WpcR5)BsF zdhflPncyVga2SO|_$y;OfCaLi0opgsws^o7V@0cHTqLT z1gfQsZ{B4yvSFDiR0`A8JEQ@x+&$&Flpk3iV2#IV5eHr7>MXw;Ll4HNXgSbU;U%KFhUY1)ZNPJHJLhzrieMFd z%QR|!@*0BDrSoE?=iYob*czg6 z`FLii=}wsPzeEa?QXg%h$yp==-^ofvFpBRqgsFsd&5Z8IWD{5C9d#An3Nx@p9GnAy zj$gd{ae)W-pyC_)6VwxZ~{eIwUQpO&)*+@FCD-3R8^5Bs)h`7Ie9H@q$BjsIZLQK7hJab7Va0U-;CBPvnQIyTg8yk9&s=zB8kpC9(H(or93q{sHRb(is=Tl%R zfnKB!AMonbXqG^WGpucFO$D{~Jp~v-3hr>_(Ic@dk%hAHtcHs~Gyw;sS%6wMLnSI! zIpJ#9u#FIt9kW_~gK_8`k}4E_w{9eD)5|GS-lt+)Sdi};j_C#In)dA`bmpmQ6h5H& zd)kFF?nstbBR|2>)v5M+E@%rmBh$Kjj`Gr2g7W!LJxq(%rt~-%fCHR?=L#E0+noD$ z&0}|93YknBnqkh4U#Qk-ZUfg%AGa4ZhZ=B%KlxXD%v`uwDU7Q2w}@c_raG|^+d^yW z%~Nl|2W4{?<7s;6oy$0N5CJjl&^t@SBqwyy7I*nP?!&L*)-J{-@`Nc_-*ndJZ!et# zm*7u*mP!>Q1#aBu~eZF#sKXCxp z(1xOyCTeesPU=rx1mO;b^V{zH4|j9i^aHf42ONGD+l;F0HVr3NN1dR;kWif4RF zRmoyYEG<1HE50#6NzAU`NS=|d9id+?03VdibXQpEp(GOrK7xBL8xIj(Y-@R;KM@{L zDkxOfr4|NJ5eb_VD;$Y9ZP^hTRoMUVGq1%WD{+9Ie;R-|tg_crzqk@h(Wt1x>=wE! zB>$pH6?f=47r(8SUq(hwgtd37gIrvGKXbrUL}~s*MxuBhDp|QVSJ5$%ZLynWB2q)2Pdt9 zM9={)PkvP-?FEM82MkETmxPR3;Nc}*8%N?pk$q-4VliwS{dHJSP)SDfK2CKVm3 zHSI%B9H|Q|lR|8Bk`KeViq9;6(iQQxYfOCC@3pneO}Bfg$=zUXrD0y;h$ziZw>nFB zG-qTlHZx=t>cr_o`YAQB-PCWJ-PQyBbTDGEZEMHVrcGgF)a6;dv$_*{nLFD3&KJz^ z`R&b?1W+iTe3!|JMppKGJ1ptBi;vol)te1v(4Dr7f9TxLk| zZ_eOpY0vG8Zijci$F@JJ5<)S;@MgHuOZn*7c5gX`W9XpIQy~ zwM$w5vKO$g6?3XR<5}=URMBB_YgkDeOILoBgt^yTD!_Z;LNjl=*vYk}u+aUe=FHHC zca;BKm9>Ab**6c$w6-W$c%wNn6VzO0t-PB@keQ){{!~ast)i#)tOqdZ!2e8t{a&Y4kY6rC;hAm@!1m<*KDk$C+>{^fdY#54V1rb> zIb?H7c?1@dQii&qF#@Y!xlF#iG4R5D$k8Zhc?0s?-IL>{yh1cMTlEJ_#0l#r)w4i8 z)26o~G*RTBE%;$q`{tJgYk{!*qlsn3Mf%hfSJa1Wc#hznfPw#;R?e@B&4AAQE@oD8 zdY$V&4TRg@p)73nT9d2}(5 zEAGJT^BqRp_Z$cABw$bLBBsQ?bV9ZDb~&wkjl-XEw-@Km>DX*LLwjwZuE4-CrU}7R z7vK*~OdApy_NeSo{fe<^5aeSN!bb7sXa=j{4D1TywUWXiK4`7e^fx z{QN91UQ&f8jHOg|`6ZRp{4wgB24ns^B!$oM%i&u}2D6uQdeiVWVIE1k-hp*>mG_1h zhnG&UdQH$o_0}(At1s-7)6~sdm#(agbx(JXi^P`igjm*Io*95`J3Lq}uiw*Np&GyS zqGCL$vH_Ps<0NeC2Uk2Q9%=V>0bOZ~t~Y`@u$^0N>0rj_ek`XE+&*V5zal)iU@|VB zE)s^{=h*%=*Io-}JXJsZaAvel+%y5ExY@rPc+7f#)b)!-izDJ=wakt)Y6y>6lLwFJ*@O`co9QEt&<*x#@G2LF{Ze1=XGL=&KB6f~WNLiQ#bU5Fj$|rmN`BK10=`W*S5BVn@^uidz z28KRaDD!T%4SVuWnwVr%)B~C708e|hd82t{Z%UKFzHN~2%y80C_GAeKsBA>SLMxw#4qOBVSWF51kRC={5wn~kmiiKb*wU(8vEC_QE# z>RV!?zAB>|5K*e-atdBWhHE|h0%>^iC@#vStTIV6GU?V+ceX9~BI!8Pw_{JEwrgtn z-Dg0QvFn1zLl(tazP5Bz?fMiatz-+YdG~Cg$N6_BKJux_lNh~~jgNQV{}5{yB(hN@ z`1VbJV2EU^9ng=k`q5)yclgCFHJJCr4X38RDa>t=zd$*s8w~wmQxoBD(N+H zcALzq3ORke%#h)Z;Zu)2zREH<>9X!yiTlh8+6LNfBVwyG+me%ynFsDl+?Q@oFD^Hi zx7EkxTMoTh_;_Sy04cDicsHdu#vTp-7&)ug<@LewO!7F*6A50#^Iw-Ve8*mFQ*L?< zp|5BNWhBNCObkSJWjaT`Xh`kGM>^RFW&YfC=D||yJ$NT5^hsa%WG<+BolR`?<4U7kO#eY--r}{LU~V>(P(uuu^Vam%&nPmUsSN&N3>#zDLnQGfSveOoSXzob-SwF8o{j6V5nsFC@n{&Z30%$bwY$}=7b4(>K5ZnEAQdiJ`-An7%P!HUPluX`YPtKU%>o(|zenp)U9&3#Nu zOF8MBUQqNF{5NX+LOr32u(@yt?Y#zm6A$f!TSM*9)#KNiOriU8G2G}{yy;5)?%G^G z6gPu3MeoloqN63+S+MFmqc4B$IM&tHWAbBGV8xz$Q%H~EMcwF&!=9)LXaaW69`9Rl z(U7oT5tM{mU27uvY$e?x;MNzR-a9_~yV$q28ySW^C{>@WH(eRCFQ<~1J4H)l>Wl0v z^iAR3TigV`$X{*T@TXh7A#~huUqXH@7HUEOq!wOEzBVe#r0lW zl^*qY+-`=Vx99dtZbjUFTg(b{zkd;IPVFPN2y2*iwD)Ewcm3Wk^e)adBLnWfyXOd= zcYd@@4~_dZuR}1e^Tm$Va4&ZPeGqE*wkLW+Ovm868<@HN;yTxmtP z6R`V8y?WfQn)SW3iiOdxV&C0jJ!GK|c9^?v7YX0lC43C1-5<@*SaviSz4)uwjnDo% zny|Qq9yU_k!-P~O3H$6c^~1MO1bh=1eQyqm|AL?VTIu+8vv+YhqPpC67(cj^(Y}*` zgBKC*?q{@@MD0P#QP80J1=HFhQzn}4`x&iz#a~%mJJ+E>H@){I93>b_^u6&f;rVW5 zb>)3{+?$?Wui=B?LuD_Bqr~3@GU5`2Hqp;hfgfZlMr4;MTu^5Hn z?!MVaHcYAN)<&C`t`|i)a|meLnwA=kowYI_eOO9(Q9E1o9TVSM>6T*H(QF=9Mhmkt zUc#<(*n4KU6j)iscitb4amgKr7>Kz>o4oKTWl2dX;Ad2rioSK*LNJR#`sDTO+t1iE z1V0rBd)&|Yn7uXnbYO8f`j_>VkcMEQRHfRAwtnVq(V2mmq34_mVhT}8HmbE%mXS6Y zx`rv{b@E?le9Q$M?4Evj-#?P@L4GFL!Z4{S=(da{bhp>bxv%1z{m2Ldx-GtzE2S-| z2|qWRXhwHoZ_d~G*9S*K;i-k}^Nf{J-Y0MVAxrb^X8pF2#)MzdV;*y6#g6jr*`wL? z(%oYt_=#WaU)Q_@9lol)Ha7Rv;W8<8G)#LnVtTE8#I9zxQ75zj2KARFEPp6^SV_23FEUD5g)nZfLub`u zOEzzHid|e;-cegmw;N~0j1h{uP@S+4B;bbdp3@9l z^xxuXdGvT#LSHsmgluLxgM{of^h5hLtR%Vv({ZM#xWul~SGez`i*Kiq)!jLJ zPD7gFDv^y;=M5J*UzFXIiT<*wYAxSR<9U0oEg3fVOy{(##XgleqfED|eJ=!=Y+CMk zX!m_kN=O(rp8R~hrmK3m5$nK`ow4)0gPqBfTSJJN^32y0^8$#U-}yRnW|V zlX9FH9|bYk7u`z;ce!T$6t}M%!y}@ja*A{P+w{ZdrCP?oFTM}y3=Yj?HJ%!+FnmhU z@ZiNeDd?4`a~--SvZ$-AB` zt7B0T_(LH7Ue$_FR0U_vDyN1}{uLf{$&0vEPH%OidH1^$@`HOHB@LjW{7HdNjp)uf z%iJ{=+n8eQ-_4Ayq*0aME4+RFbgiZL+n~ZiuY_j?@3i|n>CDAmF_f~XM#zOrhssfx zMxSp3WDS{Tveay};FqCcGA`Oz_VrqX$TR#D|prnvr#nYfFEk zDO!SZO{lf2>A&Eq$X!b!8i)bQ!+d}OY6US zAPZA7RK14xI#s9Y2FV>BCMCtlzSJ0sLl&)fUFvyBTt>YrXtz!|T z>ODwRKRn~;`)LJv`Hn|fg`iZ)kp4M^iK7BmywRs%v5+GsF~-&52}N-kt4&=fT~pa_|n5{d{IArD`+2~pXdldv}_h;ezlx5kHcHv|NGUu#{XZ%^Q1si*V&<9z@SB2Rp#e>4OevsQdQ$Cf;3 zHn?5(4Y+u{wKcil0_`9jy2$2Quftc{mAu1Nk+L)Uu*8ZW_G{?J!h&N#hiA=h=+pZz zYO3G`1y=nb{jNF%*YA>%Hd*^ynNgm1k+jRpa9^sV4SV{1KmAL(N@h}usl+qR;~AOc z0`JIGBXEsu%2pEvd~=WJHtl*#UC^5BowK{+ObN{4?h3PkFZz!P=+-`+u}7N+vy!OP z^*T@Y4|l$#FFK#oeP7``x*h-IS5d)s$h?NYcB);OL~%;Us}y6anT+bnAGW@pQV+iE z?VOo5%zgRU$vcKJ ztx7wx3A~{{%6?$cz9z$A$|Rd%i(NIsD@yv+XjNCLJCkmEyZ1-R%feV|F{ns?HX8GK z)$V$greKfox$bKPesfL2%Q(e7gdEGl*lg2TSBW~SQ4B9_Y1!0Rqk-}XT>VakIsqk%MCWWl%L9Eg z`u7Ua>8b{<@|*eIk-lCYjtqnO8j)R>rvoQ5nn(KsP~WMeH|-76kMD0>+>Dv4;z-<{ ztNF6L{thN$yN90n_{g%XJc+Zv>gftfaZw-BdG6=3&Kg&0Nk+oN+1G>1oxE+q0w1y1o~kLDt@nE&!N9&t}11%?}RjD3Er=-f1QtY zIoT4r;y?J)#Edco`AwhA_bgO%q~(@IQTEQV%1cwJBLt4&faDI(%I0@x1Vv;s*3Jml zSgij#BPj3rvJDsP-t@iV_4GN9DjPoWrf!Pxw#}uaicU@AraNY97mLkO0oKu}yiwcS_(*k3Rgxzl!2(WcpO@+mEG`zX5 ztN%jZ(dtBE@)xy>E6mlAr#$91vh=y!dkn`DclNzQ14L>wSnGH{khKLb!T{9!t3+)iys|>TzS(R0SdVVub$b`W(QH9 zkUplvZN-V;Es*(eYang>hM8($M34~q98DaJ16!8*2^uz~B3gYF*ol-I7`nk*&3=(* z+pi>ytZr!Nzlr3$yk-(r!2ixG&&c}Hx0Rp98*|RJoDwZIrS(q(v?IzIax+L=5EmS+ zV9vJsLQb}PG>8i;*jvsEg0WLQ(1i}?p(Xl+sh;9dSH$koBXY1kT?$wlzZ^{cBt%wa zoQdg^aO8DJ5F0(rtnZojJ>dx^_Yfy)c{NCo5Iu~?<(YQqg$X99XHL}mDh+LX4*dMej8v$c^4~b=R$o?xQb@!gdD??P!+4P zKZ1@|)q$#8FeHeUM=!X5_iV^*{;iND0rM-ZjFzv|j`?ZmldHdNJvwvJ{Z4`(%H8+Z znlKERK_UY~rjfcJzE#s8cGW{Tkfq1{QS;)llaDvgIb9M{a6!Pl_BfFE8#ki0>sww- zJ&qQ4y41!5Nwc6rr1Co1hBpWc-QAF6XQSxw>!7ew4<;88U<@4PnF{0-D19ar+o&}C zIi6?e!E`Lo1AMFwIqhfV0NMu<{!~)w_bGFfND#i&uuQoEN>@a5H90HF~nvz6~f)nG+H~K)gOiF(m_J7 zF-|UsfKMUAd)D4(>bm^sy0`S#8~5t86bsNEA#~eYoB}L?Lb2;tr$3AF3{Ag_<(Y1e z)tR1-4V%Wt)+*C}ev(Cdmx`4BT*ND?-p^`eHAuCFd5F(590#&yzmpMxai{$3ypUlt z2PuzahLnr4K+4~QO5E#6fXSjAfMfz0Fg8ul%z#Cw4DQ$#jj|+k;=h)w(s*(N2 zX-clk+T%TH>f?_GW!<7t<*5z1Iw=kFsoLX@cT#v;=Gj9Ua`zJ&=Gnm+QNTQKL8t0n z28glGF+|ZA1?cauy=yi-NS+2-nVly%~s@FFmmuHu=x*-Ga z$U8>c(R_@pW9*n<$M!L4(S&0SlUvr>h*JdIhGkh9&94AkNw z*;N?gKw{|&Z_MVu~+#K&&;h%vN-YVnW@rXKx=u4^Ot$KdAq)7eJ<<~o0 zUVAQdssrtgg+JDEK~z7VVq*T={Hd&*#W3y>A-$Y;Cn&NZS4DOFv3qy;12d+=8*f5& z`RQsK<`IWlns$_Mx`eb=Ip-Rt%df30@?01kXZF|DCWl?k%nIrLEOejpfw-id6#qTp z6xO8^Y}`viDFVv=w5NDg-_Ujo@`cdytcMiv-oGNq@ZgLW)$Q0da%mxEvRCNrPUnSM z4mnt1Dmkq4DFsZGvG4|PpczQ{IYvmi2#3EmUs%Ju(4iO)!mU-LfH?+-BmTc){0)E& zh86~4#vxI`%RKz+A!L-UJA4|=ChTOZr$UBo6FhW|3hCcUG4&L7^1KUtXk^(1VQ}IY zf=lPng^YeDvU8rSQITX;S7ymd1+N7pJP&L9UwX|RxMlmiA&(ZK=o)zJN#Ley@;BvR zVy@({euhIc0dr{SYyZi2>#Z)g<-$+9Mx#)u2;u+8s(+i?JYE6%>HU#W&Z`5ze!eA_ zTf+r_u0b5fsvN9KHJlcSj6i@i3p^+yz*^-OniF77O7hf3JdZH!P6j2w2B7Dv=Tkii z9LTrLS#8}}n&Y%tRpSNOJQHf!VG|hTsV2@St1!(_%80m#H<8`Z*Q41OI=M^FksXmf zuS8jTF@Q>1@e}PW0kZE<`EMYJxpjv>wtaltdBJoGBn1%Fk3Cz3TXLaC0N;=&xFY%r z!*%)LZ+P0~cZB0;4@4udAwjDBVi>JWxGw;XuPp&~Fb#1NXcInnH%gLSN3z2&kIPCO zMk*rU;Xlfw8t^~KXE({u=;}Tb)a4VQT1r0`it@zgg@FWSH~$cVeaPgB*i;AMK2=VJ ztOeR3Tov|5nW%_7hs$L(8%{Fsk$a6xPW!{h8OQNX-FJQN)yHEL>Rg~;pI zNu|%Y`s=^oX;k~teBa~q_I=eaqCC_O-qFiRS%zL3O@2| z^3_~=l6@}wXp}C9TzFhuLoUHqCmQ8Rl!2Ge|8OIK#~)RF7*<+DRMH`&WN{$f4&z9vmgSdaV_FwlVZw(-g78z{#NeS~8c<=-pY@e+K*o65cfb1kHSHw4Py9J{^BL98C zssHf!0{{Yso^}%;5~JLHkV7=y{xp-3qE04Fq3KAarP5Usq^!BtdQ@J`ic0fLV9@6{ z;cI1IKJ#4rjN{2a#u^(&&i2`ajPb$cMq0HXlAl}FfEN+(IIl{rg@^`eA`h+j&3VD& zxeHkRU` zE(1toWUwyNYug;iw#@?O+})3W?-#|=EV==$Mi6|Knpt&o_VKG4DJUEX= zG!duw`){}+0+dwfvg0%xXg?d>?|X3LotD)30Zu8!0Zpk}p=4~L%F(w0`M-XGO=*_y zzaiK4sxJX+2ec1E#9+&3hhV%@%uRCuPdezA97rowGNc{Lf3_1mMrph!L$kwqfyL^8 zot6vB0H+K8fTqj&P%=4g<>(QHe_DsolVrX>mZ}j& z2l9ayppA;Ak5qoydj_CpqoRdj3I@P|LMmJlp1RWz?Co}E+rhJk$W2rZ;W>um5CN>x zIFOxiLkUm;04D=r1ma&s;FLo2sVK6C^qmwBIgeB6v8&U1oMq?6Sj*gsRFb(DX(VGA z=`Q2a5o?W4);YM+86w4J$2=-1LD2D1^ z#7~y(=zV()vBz7C3d3H)MUy9qP}PGQ7Suk#Pa-Wrn&NLb58>Ea4gnPKw7;10`N`-- zM3flw;}Xc-?=Uyph|IJA9avTXKpI|?gLyF@nCkUxy?pFc*+r23f2Y{;G4K#Xy#Nu3 z$83FmJC+C;^3Aco!I8>zeh-X%aV?yPOj_&2%r=_uf~X+s?f{);&iZQ;l6l)~6o5+; z4B`Rf&Tq(tW+#I>asZG{5c$Gn$X6P&pRDgTf=;SuvaB3MLHr1Xdk6=Wd%O{C)|GEZ z<|Q zR0+^csVxHpOVm#rAZK_!1Q}Adiwx<`$>+2HWk{KN{P+*c$%3TZmYWiLpqgxe-*?}# zo5|$ePbSq-=txD7>8fGKYOY0-%d3e~YMz-3{2XVfRQAOT;9>$#{^u_|j9>P7yuw&x z*Ob}bGLW6Qd+I7<-r7~*n`%^$G;E9RYu83w#IAHW&_e@y>JdP z`rDsv7XkUkM=X&ci5s0nB(k*%PW7 zcV0}E?ZvpfhLqC)s!NRX1^g7ZFl=8+u+3(R+u)}2LcQf7rJDX-HvR?JRwgmGRIxUf zRRnbYrf?|AWGJHW#wf?i@62Bd1gxn8rXa#}y&%Z0U9x~zyMyinG1xr%C}4ZPOOwC5 z1R1IZ3TmnadEBDDAs0Q{ewgs!@oHX5tY~pY&2SP{Sc$vR=$66Ug!ev zMwG7}P_zY}PG@Rr9lprx%(T+4@RF$Od(4$!ogGt|@G^l~n@LNCaPJ~&n- z^?+GU?g4KV^nt|5BU0%?M|6}(p0)E@E6Kip-hjzvaI%&5J&AOM128&ZM(e*-LnQpB zFAtM1F~)Y!{SjZp>Ikdz8@m6l0`1a?L*IC>9SStG9@dci@_IB8mJSjGJiuVQ=Y%*J z(gKidqHJ5IIyjIPz=qH;D#Xx9&>o=$VS3QL`oAXD|Fu!{h!|BLQ#D{!Lt= z!G~&b8qRZs{LJ#iUIArflNR37<3nM zSAJK6#Juh!@t|1sP+tj0O%M@@SV0msWnq}b zY_l!#fY>|(HtQ;wKG--&CUZtEh-j1#;r~Ucrnw8isANp-cArD9{;|5a z%7OIxjpJK!pe-r@K*_KaFze4rQ#~<`fAp{avQL?G0tP!6#(^w6bgUn%2StAz1Fh|!&e*#F7FnxF$+&I_`D7}c9fwB^dH9#ne_f1#HBN62lz0->Bkj!4HK>ikgU zAm-SKF{e$i90Yu5CJ!{qR48DzY$9#BIS~!>dBD-3zgQr~zbVmD{UI97KZf#yjQ2OO z$H%9-!)0cP+#^oWALUHc2(eI04WdFs8<+7Omv{pp2$UgBFd{Jhwi;T434&Dyw2_p7 z*qJ@(H~w{Q6c(B_u|VaJ{*+~stb5mK2U3U3b4DC!>q5cxFJ0d%qvryvT%RvR&; z-~g;QIR?-DA<|zhV==$GL831XRd2JM_L>8!n@ooE0xB7k=5?z66Vp#=`i)*h>7Mc& zaH+o+t#fmFZb4-Ap7w>yfJAm!*%0xl0Qj#uAuWpuiK>^AIxNFLxiRgb0H#9V&xKp& zH%!?<^BQQ0nAbYBO-&B)=&!b}=ZUTB-IJHv=0(A<>h(7flaCXDyQ&k5G-6Hmz=8h| zjKKfS1HT`s3JT~yO6}<+kwdQb_qB_r0s;8N2SCy+LHRLF$FA6Ph(QvEE<${Gbw{VK z&O;u*eTIhP&);ZvIuHGZE5NVIh%EN6^J{w$H1Jnf$IH|YZkREdjFhLb>87!)xS#m zqk0c5WK%@!)2K>Q!1R81A-w$mkPT#gW55J5|8g7TvF>lJ%w8fxmIC;h55aOEedEAP zngf_=*pTH0%HsRVft>nH+AeB>LM{TFN2H6KHP$M?Qaw=hj=zO39ujI&q-!luPYkvI zn1)!N#efVYnho?am)bCYaP!JyR|HoGAaRW9(I_`hMc$@^-pB76|Ce3HK@;Sl3Hr~n z{r|k%=mQMkYI?|fWVS$S4d;QTfDt!l6@MFR7a1uBTV~~Vs{ZZSsdx@REs1;z&R}}r zLU2!Lo3PL`ZPq9!YUwkGPX$!ScUqr>mrj6b;dm!%%`*rv?fE~RVa!#>3m`nt&M+dx ziM{LjygwE0$fX+xSYv=Efrq1XfSL^Ou|S$j0IGfskIBInLG|%6c|& z{+VF^!#T7WA`lH35ywA+gc#=lszFH2EYNvZ$**p-5UW9kKmO-Fj<*St9u z#3s>~c8I>T2f~U-&vYLEgMPg;{`k?MVW%_nL2neuSEvbWTA5FSi2hCF{R}AooAG{@>TL zCN>{NEeVpVy!}(S8=W^VHp?c^y{V?X0DGd#UDO)`me8|KdaR&7Q5ww*eWu0K7P$lxr5%gSEqN>vjn`1eoQcj z^k&nKDGgVFNFJBSi5mg9#k4^;_lI{MPY+Eujd)EVOnMVi*03gCg>UPJhVBp+3HW;4 z#uj`B3CFHO_i-U;+?q!{0a?Gd564dtwmZ3f@hya%8YrRD5x%xa*q|e9tP{3d>KEV! z_%i%^+@fhBbcY4b3}5LYtgi1@`eI)~@wkZUgvF%cwXZ&VdoH+__PCe6I2SvD)o^0{ zuSF;hPuSUk?ysTon=J6Xhj4AeBuj0@58s-8(+U$f-tsg-()X#c1z|=g8%ywhWWkMP zfp72B6CA(l;4v2o+v^zw{1$wBc?JK)WH$r8wnA8~A(#`kO_QJ-N%echP{Is+4@sC7 z!L<~_*SjiO>k0dWyVAxUcoNntFUG;d?9m z(bOIM%>EoVj|2OAyv#pyg1``tA8*I6kU&6TUM~*xRA2C(LgVwrimHwK+6? z5((W4f#c_)1UwyK8|Yx87(Wc%$HYMQr=a-79nUS;K5jSzKLN)-URkHl8v{^H%)D2>+<$iy zCC(XMyQKN%M~r79^^)bDQR93>guFt9J@?$*N0r}5+Xvg7MfAkxs)Do(3fE!wgr#p+ z<)SYYyANKUSd3pEnvFKmTlQgW_Z{nJ)En-qGZbi{-dtzhOlr>asL5m29GUm+wN+uN zDK#zBd6G8Jz5hP^k@{V;`U2X1@pJu;NH=4$hU`W9;7T7}hVdX=qA9z)+Opmin>2h*&MTZjp{m!;K+P0;IDBiVM}oa=|Jr19pBo)A&0 zRSuEyD88VqSKj1>1oth(SY62S8txWfROw!OL+g6syuh0c-m^4Jsndxwb?rb~g&X#{M%LLuoOwgUp1l`$8(4GAby0gj8kKQT%k_Z0px**M)wVaP; zTbeuh`%WhKZE3gS;TRK}mNi_y{aFO2O%-7fBLKmw*&&9k1R>=-x16d?*d?O@v%ao0j(cdqH3lzhcVCH1|QT=3_U0JcbK((kjpjq@hb2#jpgf2^bs z7y+>NpH_92kkLw7I{z+>R*2bMPwIKT%G*xULjJX=Xs|R=QlHwRc>dcS29g=9r>l zZ_8~BaA+@G{dwWMb`itrOW!bFmrNgBRKBbtnS()zstb!zKUB*{-M@(bBvc`6@$$_h zHM{OJZ#XR2t1jwAy^)$3db9J=CDB^>G|ftS71>s-H0E)LVOp@(_Ud-|~F1+XeNyv$!FV8TUrfA6h zO%TsSTH-Rh8R)U(#Fu_rY>pngP$8=sq_umJG9p2wC4IP9JkL0M>zlx(X5En{TuFYo zoDRtm`I5UUoV~Su1ipr6oThou*ygm>x5+<__%%f?B91JzAG1DDQ}u(fWn}hpOYbAZ zundbyqZ!ou_O(f!;TWwsne%n1CfwS#XPTu)!G=Yuz{*dvt{bTPRn%5l{W6wCBW4=O z*T3~Dy04dchMkc6I6Ope?3Nq@`|NbqASQ$H_DJp6KBLvhT3eklqu*tLHwYEjDIu9C zC)w2d_ej+h3c&OO=1;RTd0=)X0nEZNp_e9EhaVzLW_{(fVtrD)-k6#Ct5djE35}tJt`XIqh8@84vc-XcF7~ z9`kz-DASdwTj<6PNLp z;edqKDmi+&ytDsj%Q5Z%+4ZNRpME}6Ik7touN-$YCK0@_xF&2A(tAqMIlgJRJn6@! zU)h-b52hcN-0JoHIft%m(yfeor68F zf%Eu@V~cMabNVKpv6!~8CDyQ$jEvi|y%Wg)(jZt=K|Wl^&cL*yGJAF5~>iT<=xGIbSr^h*chcfcWJ&Al4*vi~_bTCt0d!W<+cBP;j|VlJHTcwV8flt9fKd^_hj4btiu3b{#jTce5M-dmL;Dm^`< z62H}A_~|M;?8%zg#2GfAlSHT3+kzM39tl^ZMT%0Z^s(d!ltx;e@rv5yxfUJFM-y{O z02T8_@OCrn<;N3^=Yg~WAFp6<-he#mc^24Pu?&IZK0QY0PE$PVtf{?kS`tgfPeYt#{;!9TtGcr77k(lkd%@^3WnH&3Yy!vK1>ksS?}0J^b00w8Wv>lorhPio(iVYJc=8FZb%YbCq`+&&&r3p3}ogvzaCs z=GlkSmHmvJ-wS7x8lH&#i;IFdUAA8+MH70(pc#1H^Dc}34a4aaiIvMLTzh} zz1w?>do`~}=-4le9~2obLYo9Fme~`Yp(@JBk#G3HixE5z!%!7ia^&VYDwz6BCtErg z6>QjD5MsZ1B(*gc&VPHVhs%@!aY3ZG)kZ|D(MCi8EPRbNrAWCbl-2XrT!rJ{WP32w z@@=Of+zV<3ig|?W`>iVsx4-S@I)K#ulh zIS=jcm`wJdeS09wo4?qRMb?PA_%@-Rw)e%$$Rzmg7m(I9_fR(jHI`FYDuIQo{*eTr@Hb&kS4*6ea&`}e2Tg+w@s%Q=I>Em zO@sNiaN|REeuNpb?p#xQp+i`&3Fj&K2X2;2dA(ms81=T=Fvem2ob_6i$Z488D_>F_ z8}n@*%p9+YQ42Z7$dAE1@?$WM z{20t5Ujp;U+P}uqA-MEzI(Zt&HqKA5u`(drdO_fb2tpK!z%!4+15l-#AVLFg2cS?} z*Cu;>Mb(@U>5~f~>k%v}5Q<^R>qVn-;{k-<4cqP<&M&9!w{jzdjN8eIapswd|6pqElf}B%MwE zi>biT1^l+;2ZkfuXY|^~ug$TfkJ07fYo)?aNxy%1j==?H9p&w-s7 zbhQ{$FA;=^CD6}P>#$~`<%@qXB24fb{LZ$VspA{Jd}1NBxF$LVWD@+o(&R=SP@c{f zIa1G_3bt=y%MK3LXije6zhyMf_ZkLh6E64xJS)69)gygX24??`5wRQcQwCN%e42>} zd<)PL8!)=?!!Yd_xq~V!*B%sRf3sUn<>?_CU%px>JJ&1`aDo4Z4^pgQERd%kX06z?-tma zE37hA=FpZ4{x~7W*`U%D1un7vPnYzfE#o1DcrR)YVz4Np8uIIR&VqC8P(;}7VUE() z4sV?=yn(1okjNg-52{+F{uKM9*Ua1-Y3BV=-YoHBw%I$QAW6$2BUU**`Hl>Ee!oUz z=GX}st)%l7Wygo9BcNI#RZkba+h^09U&t6Kau3O+S9^(KY<@xfgM2>UM0AmOu+F_S zI+4n10bMV*drPss2_^ZuXWL*#quezf0+jAJH8#X^iOaQT?Vz$S^nrTFk=Jo}G1$J( zA{~I0E?L|_*c5{S8X-ibL=GKP-MN`2P24F;e0cU5WFh1T9q)QU4oKMM^El)9(JxW^o znv)_h=bWFg_DsX)+@bsU9v%|fg>!ziZoGbB)hzd~bJCH#7ACd+@xWgRbxbdtDwz5E zUq`2}I`u6!wF_qvLteOSjT>#1l4gP`%e^W6`+fYA1yG9orffA2&2!jjf z(3Ugq1oGF-^J5?#g7Go-cbuv#6UQHK{f2Q&;o1LZgkaUo!j0eiioP)|w=GC_M>BiH z)+TJ+?s<!6ytg%bgqx zvA>|tj6Res6!6^r^H>mhGYxdE z^LsAYhH%<1Oe2UwsNgJm!vFZ_u7x+glTYYVA9v{nqs1#H-{@(&Kv{~2T5MbVUY*S6 zK5o91y&reqc&8BdXQpWSHc7HqZmsXKB*U+?LYa>pcWh@#-mRkz6E>Axv}Y3?ZjvC` zte~B`z-2w8I6)F@9n*qKNE-WGf#lBO{@ZoxNAGXJ$6h@3=nnt^2v3=ed8+ z-S>IDo%7)^9p`Z#>pYJ4Cjf8d0n`#XInYHg-PVeFQg&sm>Rkla?Y<-ue=30r zQXcooR7Tt);I)~NpT1fQAEgF!1&#KiN z4vcX!YS=p7@SD#Stg0@Or^y+mW(zE{BKxHCN$uS?5Q0U5<4x4JXf!R9%!qUgj=jZ$ z*iGMl?z#0@>{GI}t0GTX&XLAc6;R4rq~AviDK^Lu+kcuPDJl;jz4+<;aRtqZC!ezK zi0AcaC5m=GOHAvoO+41Ko#_0Wyk+sm^WDg#)q%L5c0Vg>#bc**N>Zq&Z~K@bzf6(4 zjWpODNg(r^QL}FK4?w4)K|qC98LcgmG0GIheq+Wck~0cWEvAkU@xWcuMwIBc^p?J# z1eP80Iv`w@_HHm$N%Z4Ib;!~-;DyA<4%Y0$X=U1Dit<&)nc@M|Zz6^5Iu7H{RFH9X zzdsj|HKG(Tl$?&m0~)3`QM?VcVona~=@zey^chNYzwmX?=bsQw6p!f{@2g$Cg{U#T zE{rPMQ*fZOmK7OgDUrnTUdA2yuU>KG-pK#!i!x;QGCl{l(>^MI95GSxe#+b^h& z3p`Y#DD;h@5(xfGTNwTrn_4#X!5J0o$4~RF97FF$ZZAh|w#W|*x0leaV54^`&-%;D z+P8zvb*?OhjRnl9XE^8y#bZ%1eKCW}pTT^!HaR5I1X5~co(%d3{tXy$6LyS<)FLIN z*({(uDVBb}fl0B!i`4!T^YNncsuLGK=~F0ZdisCLJ|mIWQv(C{JrN2anxPP45(*(~ zpb$bF3Lz$>jG{KN&r_nS$-%${AwZMJgt{ayZQ0?E5zy$Iu}j4V%rpOHw5kq1u8 z!Gp__VDz;(W5g-Vdx#z*{rE4Uw~%=JUxv2#7zh%NZ$RSlURYbj!inXIi>JKo{Q7j1d$FHeWET=x8a?BLyT;n=GRk zgXF*?FPaRfqd5n4Gzw5h6a8CR7FmsEJQVZYgkru)DCV1lVm=!v<|~9^K58iDlcU25 zuw+D0n@L#+tb&l~Zo)z92!mJgo&`niEM+l`mNkY0X4DFI9goa*vnm)SaMlT&5Lp=u zfjN}JqqZ$kY!dX23D^O^(3gjd{L&V2st-F%>vYVJTB2vpXJ4F=%ubxqmOEhgA(^U3 z@AeC7K7oho{Dr>Jf)L{tE)4e}rfv%LIYWo_QJ0uUi*nZzJAh7nYi>E+6G99AN?0pu z7F1gA9oYInc=rKGXbv2UTD1eO#=8Pzna{pwM9o515r+~CgIP}AvJ|jJ;+mVwJD{BJ zfbRJ$V=l%5`Ck9`vp>wKfQRvcF2O z;-LiVCX`?$LkX4*lwjFF36=nqVD&=@Rsc&xS@KaVv*TcaOh{T3#=?8v>w2_pe})Ew zYtR7h(>B7QX2!5u13szn?lR1r1lT3CY-Lk!xtKA_D+wAztlgW2ltacmWm)?oos^;A z;q!0R>9?Ue{VY_ccR+PIAylVlKy|tdRHx5Db$azNJx!=i-&-6(+#S6#qbIVfNgzeK zT}o4EC()LwP3Ovt-owZ_b>N`aP6RW`eC+@OER6;Ax=YeRH4}zVL&8Iz-KT15`xU!S zo6`>=jiFo(C5-mJ93StU2IWPEp}eR=SJDEltDSXG*Ci`aH|*vCF6CsZ=Ulg6_)!Tw ztfwvXy^kF&8T4R|iQ{thv3kwh2k);?0UJJNE;E`n01t@}jO1B}?aadGoBaTzZ{SrB zDi58CgU&NQBx6!U66JI?w#vv1#85AIvU$`nVC?&@MQw&V?M-tuXGYaAs&j)WfeJbD z713{sD(12nDg)j;5hLr~NU)j-lQXmHMplm|&)R*PylVGjQiECCMo^wP3F@w&Lfy5I z%Ng@-*W1UVI(2>=N9(x}ohvtbes_@Mg6eqqhl=sg4KdE_cs;jwH%Q~_*F zCpW`Yvd&ETvYx%pC+Y0c5xWH9y6?AQ48L%d)UZ%~sAvD-Lw9EBh^Kber|%*0iQL-uHXK*(lrGrUHb&3Yk9Rbun71){+}hb^0?CR13Y!#KPB9T zapNj^ldQ;r{J8{w=4{;b4(MNQe30wGkC$t ztS$PM!j;}PtD%5YuE0z@{js(=cbFJY4PT<#Re3Y+9WkC^J_enuOZT|-#d*H-B^p%( znQ}LZ<9G5gSX6M_n(xLY6f3{3%@#2BNzQ{iO_TcNVGTI&xByPf=zQe$LN+Gn6(jTp)>x;hs~NY2+W#s0=`MZx2OTW+qs{ zm1G@42A|U=gRHCG`(_9ryA{ap+$Cgp-MT8v_YA*5a#{rIpU5^vtYO{P%@up|_9%Kb z>hgP9ODC$>TfuW{E4(s++}Fgon07bg>gO-%^G0sm3huRJPLWJvOp(lBL|tlRBuk-I z3h`|ZICo9V3JH@jrAWR_fHXaVOKMQetq8^3X;934@i*v=vjDv@RudWz&>PJFz0nNN z8O?Vq>amXONF~_Lp~BPzN5NEoE;7TO1HvaSri)2u67;-0)e>E<5Zcy%wy9-+@-@j~Sn#b!Jbd z!Rsu3$^DR*^m?B>+H_oA_>RgwkF^kR3h~vw{%dO&hvy^R>m|Q-HslO!pqi^CZv_h) z@Ia*W*YQ2X#QPJ$g+ktZFZ3n-bLbPYZER^Al9Bk0GJ=bIWmBhrbptuqiyT9je(txv z2F3ibO&LB|4Xg#YVnL=y9X{wGcq)p}nhsVJv}Wx&DpGK!zpf(r+F24A$Z=-|{1I54 z2)X_8h6-49=JLV4+Z2#-_I{5k8ia3xL+~SVu>A-4B0FFu*@}6{e|-rt&`b#K-Q|^; zy^Ha;5ewW7LhFBQCpb&r3XW7i13o)+9$|;Q?dACVph?u`MKwzFYBK2I=xb+hEv=1# zq1k`czs>F!6N6o4bn)r!U3N(#&JaI_e=aV?TLG$(qJKjlA*|z}Y}zHo z3WywJdI5zXK-cC3v^sm8~6!}KGfTYL* zpC1{xU;YTtIP}aB8IvD;WGT;9&ydxQ?(qS_3$Ud;+k5lRX^-?^dGDHCUSWe*7h(+E z$suE1(yV=4CzN?9NIw4tE^-^dMa}}aNC$w65CXVJ27rsOkm$w%xJWaAi;$D;(=-CU zJ+~+Be~SH||KDux%@;)Qe}Rx!9kAPP9YSVvzR@8hf{ln=M1+FKMT9Ge+&7>T3cyE0 z4#5+_$XX-|31QebTj3anJ#$PR%K2*P4L|aHfR8>Se>^H|yYDumv*voJuddZDFOJS< z0pA+$9aujfv`PCV?A}KHH9$X`F$45RPRMISU|ri|(q7lYOt9Xt%a3#R*^IvqWaF<# z&2dn5q*%w7i}vX2Q^1IJ>bFn_I3{X0tuZ}uH!`CaxFnhhk;&rYoTGs8@|O(4-C zMlz!{c0);sc3^r{_@WJ2?%UlT7(mH^KZ$USkNj;C~!NZ#jap&ZQlZKO0iJ z#~)}87F1q!A*|eiX0~%4l(K-Ig!oHc&n|L;<+5kefbD@yyD3G8H~f)(>B?2NLstlNQc2bBe0&fpN)Wc+VLfzUEG0=+G zFp0f0S-c=qGPGF+;LMB$a}|h;p??y+`pE;sHZvf4)oy_5hR?kI>?Qr|S~w$<3}Rz_ zt~?eM0MmLy7_+W0We5avf6Rt)@?n)tK=r3G_>+)FlT8bX8U(>8Z5edpr^*9*BQ!T! z8z?b~3IO@Yb!1OLB4VE%1m0cf3|KMHk6zGfyMyyDf{8_Ah)RTz2S!8E7hyDHHMbt3 zA^%}22~59Dk=*$dYcU<`2TS)bEqX7#RUXL&$+X)mDr*SO>;z=c6V zf{90;?#3A$CXoR;r)lk@kVDr2ud(;`&jhwoKET(FNIM84BIeY85(#oIkr`QbBfO3w z!o(?mc_QmpR2~bMO|uBY5@ofZ%zo3?$Sksh2d{Ep+g03ryS@9D^XPwQ!9^u@2H>D zb@nUn$V(bch`ZABTuF)e)?1YZ_tP0_r0?^Pa}X#yAfbM$+EiDpxZ+kS7dFc=;MT zT%3h%y|l25m$J<%)hl;l>T8;n6xsKh3|h!GSd#Z{%>2aGk+IF`J{`1m+JkmQr6@HY z4-yZOTpsuouE}VyiQ2fB5kV%4+8L`iH&xKYnfWpt8Jf{fYAe&E4(PO^!MXH+hZhSA z$rZJ1h0;Gyhbhl?Xl08kDph5uMpIq1v~`DH42E}ip@W|xDF{bo z*4A~+UwGHKR2W6GFzKRRFBRZuN!rqcpSqFWI70GpvBOc#gQHF$Q0ge|oe9fBA49(i zp1(})7+uYDa48cm#`Z(b1@*wWeK)q?T&Za+oWq(!&NaBwgvW)#6AF6;NiVhmzs#D`v|p_vU~Z}Tgxn)n8d8R{Z=1^XuI8;dp7Ngza;4E`C^U}68+a`9 zc|wan!FkQGGyo7~h{lK+-Zpu@rk8vYN7-bg?E+4r(uTxrfP5u^2DLW72K>w$oD&kzD;a{-a6l*WO8 ztaS(hO`ijiI2@ngoJbO~8-eVWrX#yp$Q>d%$kT2CnTL&SX?#y9 z-khL2qupUklTJUE+gPsLUS1_wDt0NZUi5CeXoKKs@k<9A%JtgI+XREeFIhB*nzoDf z3a*P^>TW2vYA+uY)RaJwI33$-8a!Rvv6{-=+naMp#IrRB8uZk$DO(GTd9qhzTGl)J zFXyP)lM>jy-WT~zm7nKZUa#SfiT5dv&WwFEH(B;YBs*j4w=ZIeM3UTRmj2_MVukS` zVeP%hIX+qsL(4wFGj!!sd_X|2+y$o0B zzWJwX`0;OK7&OeHHPy-lRtly&&Me3%*_ZXJP#?0lvE@wvIPIeRu7fSRH?3UTJ3Yfs zJx_q&Zg_eJmeDw28ST?xsLRmQB^6*wUjKBY_MjF*XXScAL%C{8FCXHdnU(z8tl;av zFpq=Tc%}$8>D^R~Lf91@fy)XWUf{UF4{LAlcw}ZRk)#h~A+85DSmzsytY%71YY-)F zKRix%#<*iilgt*q#Bn_D;u6-0yhNDI3XdFb`lWsrR1;Tlrjbk((52B%EHtKZNffZ8 z2}>-rr^#Bv*sDA5SpD1X1fyKf-4!oWI$}LU_KSdQ2CMGF2c>3yAo-zB6O&LMGSi1*`BeyE({ zl)YYK;e<>(I%08IJ&^+!qbFMwCV&HNhWUx=i2I!z_uJvXji&?2;kr=<-1R8$ACKeR z&jiXfca(w_(v-|K=HM)p=Md_p<^c7>p`5xef=hwWFDjK_T$XxYY25$HM7FIjcdq~k zYd6oWXcwMj*`Ak2P5#(lJUHOl1|+bcm>ILp^lSJoRXj8 zRVL%b!$fv+h{S76#>;Sg?C&J`0&gH0ugFn3z==ExZw7E8mu}MVS}mQT>XS#X@AEKW zNk{%Y$zL=(iAlRg4~5K@pxQWt3`VhFG=r!yLMd%TGx%j9%0wj}A!|3HMv^`wd|HFi zEAEII(aeQ&_irG(9C^sD3bKoZ+;LwYc29#okIUdA61#aW!uw^iLX)RLdo-e<=j!*X zwFfkc-n~sWewj1XYRQ5^VHS*?=^$Xn=~TxB|LF?g#py4IrDKFqD<;5;oS?CG7`%4= zFC;<#CMCI|@;3r$EmbG39iouI7=^bGqwrW7LP{6Jf~z)0$zh?sb zytc#|%A8;Q7eX0H|T z?g}Ahwigw64es*KNGv;(pEh{L4hIY+p|!%^x9aWO|0tatLR5>8O%6S(fMoJs2p8Dx zC9^{JwIP=kVwFDX|D1l~xUd|V4r?bb-|pu98u5RS7CU=qdp9_DJ0C(35SRQJctc$E zpYgb7ulE8Sodmnz8M58A>sTYsb?se_vMI!SC5nf#N`Ghwq?6g*fwL*5|H0`+yy3lQ za3S^X7daN@RHghlcSnGVT2M46LMUW2|k<-r0L@>=8lCvt&-FSZP1QaI{E=>>~g1oi^kk+;z zvf8jAsSWFt>@`SfJMs)7F$h&m_oxE#6oe}Gki-8z>M!WvB;DxSjdI72r(yyIuy>lp0In!$#iKJ=pMs_=)4PD^!VXz?B z;OmtB*9|d02x7h7^#h#L5Yp^-yDs+T2gsQGt<%>rX}rB^kR}CRi!7@mvAB}2PY0KU zR^^9na^Wl2pjLSDA4$+UUZM&aT?V`2;wR~l|G68%>Xc|ctwSw^myK#CH$p z{42nhu^U+X<64aCARQf`6a$eckTP$EunWnYA;_)njVvqpB5m(VLRf7exyWyaNV5ot zf)i)Fmzi5PYW(eHn9%v|DWCK>0w;QO8k=!Iz7sO1YtWzi94lG&bRgD@Qo z=x>XF$o|XMAk#3i29Y;=o6*o(Rxp#xVWQg@OQ}^P)uS!Nf!Y z>J4FoxuEF~EnT;#W)LRe{IW3fGY0MrmXk+q^ z?9rOP0!tW6cNYz#?!n7`3YFgPN*0*1m42`k5y~Rw-D{Y0J7f^|!IYi-X^kL^9b(kM zvk{|C6*`X?bu zHri*@LpS9J$t&hb5yoRSG$BVq5}7A?V3$1Pkid~z9O_599x_+BF5}Vl_y@-QLt{ca6&Kc(Da~s~Jc@k+Ex+Xp zYt%h*nt3j@g%Y?>z90KutoO;!7DUDcVzdI5^1>n`oDSqQp2zn2tE%~Wace|X@rOy_ z9=#wLMttWA^RX9_`J{a^;>RD+e|^##q9V>6^j>@`h)$wB_>shukSPiIW6aw4G=&R; z1#!yj$B_zi!=t@AawG=rZ!^>UcE&Z??}iPrd@Utd{iesoJQ%k8O;3t>kQt72by)kp z)P=A3XOpIev*++&OZC--zu$a!r5XRd3&ooWTahiecu0qppsOx?Dlj|OF!OFi){g+` z{;wyLtCXway4Xqmbts}VN(OlY^xB=aKlY=-hVaWG_f_+sx3wSb8DMt{tK`ytXP|O} z`Q8HS6Sm5*C_T9BblD1C<%x>&{`7L5UPMM2-ZmE@oc$n35>(AE^2K zwMa}_BrE$_eH36e6Ebsfe2%dVa%{zUP+dMG6&C z-*ootQu-7PBCC|MqFz)4631!St|!q-OGiBBbeR0;IkIo?y!b4p!d)5FJ-&8vHjt{v zz^J-@OcI6CkE!0mt@gumG_Q?Fp_`Yc;x-(8KdYnvwD<_GepGEyt`2it@e8f`=1}`B zzvnsM?iFw*s(fJ+(2uMuN~y-b?-*DX@tkwMjDlN#{F1Q_b6c@DHxA`Q0V#z@F-k?8 zH5_Oi1cmqy{aE>e)7kT*>$wh#tx~>X5)IHl1q7yR3-n^RarFs_aze@>G0r16nVby9 zUkXlg$BQ5G9v_U@;2Ewo5cF4`yMZRYfGRht2&*@x%=Y1q6c==>*QH!6BsQJ>~iajw=-D~jf z`>F4rPYDYM-}y+?*Tnp7WFu$xyT}hx-`DeJ*j|ja;hSd4Ze`I46I37H$vypIr>))3 z#{mDJ1}Exa5qVzt!oCg`t)an)?8~tzhx&qQz(MfyaTyroe9AO%$Eu#=(;71kcgeT+ zv(c_9HA9i`$#5rsm53_rmg}D7t1;^{X1J;mTTIU0p6qPhF{OCSBcZA6?h%S=}(X({H*?vr$;_ z>{oHfC3^10L#0Iz(DfwJ(x-h@PB~C>Z!G&4>EOnmjW;5s;anSzUBIdKlMW zJf%B?!tpGhC3B3gS?mLJ!cFe^+o5(<(Z2c-M(6}r{q7XQg6FnpRc*wheUoAiz0}6n zk4hnrk{Fb8K3`eBQH%;)%2DIHKY`oB6~m_W)R8aPY^ukO?+yB)(xVZUlQ#ata-kaQ|$A%R`bO_r4hx-5GKWZ>;ed~ zJ6FVyzmSB_Gia1uYxkiP_OO~#}KdX3dbO??p4PCuhZ><;-aB;F$r{$S~LlC zy#_Jyi}wnwY2a^*bxhpiy=iM2(?uFj>zI(me}T>N|4q(p1f2y~lAnlwqqHo)p?r!5 zZ#xk;#B;~isP^*7XY~ArSDsOs_6f$#E1ZrMsJ*-usBV>IwlrF*v{i75-|!(lRJ6%xZyOJ7{`%w#e!Q>)(er^qOiz0u;L@3UE6fe>Bv1f}#MVX=8uqF?$B}di$K{C&rVZuF0DMFZU78AYU zd7%Yop%*w1(%04O%GXe@CBpD`(&v6 zt`OrT=0d-4hc$DFEIB9xP~>dasr-_d39DF-;%(osuPoDdX5;Vv{#mrLY}8jK%w&zm9Re_%C6rO+Wpn)6%O@D|q$fi>nR#l@Z5*D7~ z@17GOEi1colM3g7#Oym#qKTzp!Nw-59;}#?x^)iu52eR@uI9fo=6KoN zOXP#u6(d@{QS?yv$Jpa~ZSIkgoQr}q{9fFs7BqFu$3)kf<;09?`+EtLzP%pcxfWP`2Jh0Hn^w(k?d?>{YblI)efcJY z`LB&&ga2lRg!!}0R6Lihv&_)rntkV?t4`4{tdX8O(wAz>w$8a2qeSB@1EhknE1o>n ztFWro9mcH<)0V2K(LPqYsohr#_Ka?(oGRC-A?}+6Lt3tFL)6Esxu+j6MMmBv%@JAX z%@nn6?o8z`2$Zt6phb@l3K2Gxx!S;sYBERT+#kwVHSgp=tM7Q6`>?E4vvAyL{(?2Q zb{>r(k+U!_-kO^s!c63UVlMs%of_xgV^6g_xe?__qr%QVSyKIsYG$RCYGx~mzk4kK zwp7^Et+VDa>~q<@{EAi9yeJ&Q*8rqYpJoHX?tey_={y*Qugz4ZU9c&2!PW*_2W%}= z%jWl`2=kX(sZ1wQ_`4f1Z!NO}(%ISl1T-==Xrr>ZY{l`fWs+N*`{7FAeS)vXXend# z2Wh!uR)=VB#CVO+>ct!%qjif(8mA49;XLI~Ie2tVyItesk=wBkA9>Q4E)(EwU(Jc~ zbR&rz9h?MGOsDXpHsfJS;Ws?eO0^swN|k%ggmBYHIK-3iQ0?WSH{)?7FEI=>vNQK$ zFz!f*=bsrD{u(@Z5@wN}iF2?iHk8T1%$YA79Xt)JblQ77jvx#Et6N)yCLxn6j^OQh z9Ip?Cb3hmd-1=XcTU1*c)Og!*Gf|#9*r#jDM%o>@m?s4(j@iWc9TJeO!ii>S`yP$I zd*Zwy;btIyh-dYyC0#YlR9h&piK%CkB=iy)p$ezp|M&z;-Q%&4+6saYa2A4sE15%9 zD)XPJh{xAxBI4s7X2O#0cj^5N zWof^T?;+g$Cw4u1rvGT%gyeO?81G--|K03jL)j7#0eQ3gNg3+}s@*|CD8XU~7mH5R z7Q`X!GsypQXcCWyCk*+WKwAckgJROtEJIMl(90*w|a9aw{vN_F?gGMM*YDCi|Itu@xo z@=04M%g@w5^hkA->)fJFBJab-JiSzBymuOTQ>0Cfnsl_Dqbol!@ESFX4QP4w(RJ7 z@KUQI*TV33W#=hDih|2-HXnddOzDMV?qc|6Pu!dbR+%mLDu!ze<@mOxCu&Q{(-%C- zR4nm8m)peLpg)DTwVhJlM8!HH8snF;H!|3tB0cr~aY`ZGvIIr-Yw!lQm*8Xm8Zs(B zDPp{lN*~W`wPDTArz|=0AEL-#NK*Nku@H*KKlYSU1tV;~B}cC}ikv`#%I`Q6INHa+ z%RZ>F>X|jJ*<%~y=k0Dn7^Xf^+r8w!J1FbNf8iqzJ5*`%$un~h~oa5ExT+?`~tvo!v(AIRhek&+(1+f^7ZW%k{v{|*@;!>Ey4 zx>$?Dx)gxL_#ChpUjY{58^B`x09cH5r%8KELc{R+BOkB|_IlqCrVQX9X1lKGlf+C~ z$$GSSI%P@MY4)GrH`i2qjc{{FFvRn1!)d}^h8(bcy5smK=OwNYcEY^h{9c(p823Y8 z+6u9{diSPNX<{cZ2Z$JJkRleIrW6PtFu!5r1v)&^{`wUN_0#MF859RjToK5iUkVAR zeGL@S$~r=p6YJK5PWOFAHP8L(-qhL4GR5pYzA&$4VN;MCgQpaRrV5?Xt16&X)8e2#r!hO&0gN9%mbH}BJ)Npvp_8qG z``a!NsarLFSGHdG{Lce~Nd9|Z9S|ynPzi#v2R<2mHzoM|4&qn-iNEv}OrIOVUjHRA zl4wEp{u~(K3Yxt`kaB{ByLWvCar^HCD?cR(g9!S~k3@72D^+pq10m~$RN8O4H+~Zs zzX|-QE+FKd2^IO;kWd4La(5n=nRJ0>#<(Sa5cCOWle1nZhA|MnX+jvYC(3PP*@LJA zW&cw3?=wfE&)$)%JZ90FFyJ+?({F|?!m1QI);_xy3YdN) z9vJ3Gp5C!$buOCfon>*l1Dz*U{7U1vFi7$kp9qxuE= zJ~B)Lyr1v~S;%nQ2H1a=mk~?lGIYM4?KGiBX<|MYAr6)_6NqquS%k<7m_@gNEg&A7 z3D$-oZhjX?Fhr&xkq34lRh8zW6Znn37aH6R?S4K z(2jou?QysB1WJL`%T2GuzHVp^t3~$4Zn)haQ>!WsWZHa?U_ue)B(zW%CTBf_H=RZHVwx9zBeNNvMFGsJ3FKR<*hqeDj}xa1jyrUS=2=2>OItPhZL&2EDEs-&TVy} zN*=QBkCS?~sYkIq{L1Y)K3mt$D$$*UldLOsHHK2xx8Df$R@^E9ub`K{MPz~6=vq-q3=n|fTZhskl8mmMQX$2g#UvhVg!Hhf`f8w z(-T$clA$u-8h48?ksQf2p$I0u#A~vtC(-*#xVk~TB zJf==T;+sJg;+qjg@+3no!Gpp|!37+M@s8l(B@rm$tXrQ|Jm-@&${JXDEAh}_9`UNl3gS~$yD zy0*N!OZRWdX739+O@<)%kx91=Z}3B1@5~lUl+&A+kg<+gA2%lAg2i8<-7JMdfL7}P z1R%W@^> zXK2iUTMVROy?GaUrVb!V!*W=lZ5Nx?y5(R(g>s#Z(f=t(!>^7mPvkwn!EY~20Bi?P2C09Kvh$ZW>BsE%XF_UW zi81sX;DzRtkl$kf_$)WTu-Sfhccfj`xeJiVYK)`uodB6{1+a{#pFySypakW2L8(1p z8|DMV)=CZ!)czl}@RtXCL!@%Amjq61U$^K5Y$}9d@AQ!%*>jr!3-_ma(N|HK$NWU@ zqG@RNDWXg9ygStYO&G%_hQF}+a-XfT$v7JUx{1_ZNX)AM&g673A2Z*a`2jb|iU~Dq z3y@GOtVU6*s?g927u3X8rO;AlTK0YgV5{=eJTjLa1K9BzU?QvmM(w2@X4EVKXD9)g zAUe{U-%p9z{64)b6d+<+&H;)Cf`Dud0A>>~wW0uJ=|vU}dITsMkcL5)o+<#Av~=I6IAo)?3*{D& zhJkYXKY@(hNj;MO7kO671xw1OI2&bAm#UrtEFAz)!3>}QT)1V_Zm}_qgtW!9yZFd5 z3gGfaVAKP30N(|qZ%VA`Ys=|a=Qcolfn+mb>kP~3%w$WaFHch~jNLDmO{stsGB5&s zJ;3;l(Sw`np#GZ`$R%qE=|KoUS?nB4(9CN99S9F7u}-mcaz6?1(0`gbAJ_cv!%`p# zs$m#D-i5^;nI0HkF5YB`Qk?)ix*r{wG$2Rd83b(@x(!J!IOr}=TPs9vCQ%x90N<~_ zBdY0y9hj5!2(BVPM*9$0ky5hs z5(6aZRC1Tu(y65Bw54&N^$WOQ7b*&YN&c-W4nen^!k}AjDt_?u$UHv&@!Uc9RTgib z#b~d^#kq$n-vYb*Wls42RqrQ#2R$_Q3-z7YS z<`(gcNmKY`Ai@h)VrXa^@%Jrx|7;Lpoi?kfhYU(K6~X5OMrz2Ytww!i_^rgMsoo`6 z2dK4NmVoEA2WEAl@?x%AO!p@N;Y`k2s$QVB=lMIhhs$PPs|h6XiW;1HAGL2j_bchk z@I7qjNr8h?V|yjdg^gF7{spAE89k%S6I$Ma@FM+kcX!L%6-Gu4_wrU~zgbe1P>*UtE=gPJo#uh6ke+)xEkPrG7xN6-T=~9dP4&yJUkvZB z;(V49JF$9N$0YBIz0+sfocTB^iA(4=TS^Tg{O%%43$j|P(r5Bdn90SYsaF=prIM{* z!+HHg;UeSf$HOv#U#S$YW?!5bFPTZxmT21AQkK3w^f{7U?RMk%>po#$8gY!Ql%oI*;(TQZdh5o&z19>AMhp>v~4fgUy#kd=*Ien;6D6r*Me*;O~Tv({(+Ry>sLvc?-ZMJg|4_5_Z~cB2$_bHK>dQ@P`)-pqwXA$mKsx$;q)cF5qP!~4)CSLi!vP{? z2NL;2KE9xQtYwG4&XI^4w%~!yDrgXgZ+HrM=)mM=NMxc*y+ZNBS%H z&xjSgMju}lb-$X)iA(=Q8COLc=W#t(>s7uFtkltb$K%_!zq>b2<*`dOB^j~R^5C1) zzEbK`Qn*d&^pS@6a;*lVUANyDkcWi`W)@@1SsL8SdDiau3d^iA>X0In<(I7wWai@p z-XVPD3ujcg(`KbwivwKG@^j-`Uwf{le7&mp+g1sEJ%=gN-K6-Jbv&V_8+_kO?+Z^~ zCj7C8XX;WwB(!lvC}>>%TU?y%@ct;FS)$IuL>dRXE}_SBWeY*~y_?EU(pV66I6M}b z8NIxHG!k7_{vZZbu9?C|qgTuC_PNy&zY9yVIPP*)1j+vAoIbcxI=uunUWxddUM2*r z5**~k0SlZWG}s15g|4)VxZ~utR7iSys|#9wylFMmw?Lm-g3n8wR00*uVQ3~Ip*xB@ z9!=-NWovUd$DgjxyxPbW?lYfDHmGh?-!lE?$RW7pK_k?pn1J^=XKRvf(^T2%rm%BV z3~p0?GD~C5)9I8|(+^Hogr2XK973^6*DXE$IMwz)U-W=(UB|DfVx(O5H@c8T6~N^{ zoxv+YQ>J-T=wmf>E2^d9pd3ROal5z}!wJ9Q7*|?!kwMy|nW4i%PtZ47_th+yf~NfN zlEEB#AeL#y2BXlHrO<5C@tBL#JuB8-LMI>5;5U6q@NUYXp2A+6(R6w&^dg3)y-6qq zU6zwK?Zb^920tPg7By1M7F}&YfK_#bQrGVcXAs3x!z`Yp{`;n=PjTi2ouyVdo@HMT z((WH6w!j@?xJs}rar30K9}mYjN*^7Y3%6$V3>~ug+PBi!h`y+2e2}djW*jNYqG>z9 z^`^hgp^PJ{?}V4>X}FCW#j*YAYx zW<@pHQfCm9zjGh56?kicQ=YH?HRWUwn%jKP)l2J3$x)$b$F&)9xZT4|gPE4DP!F$> zUHZnEd7EK#)9P^LZx0<`UM621lM<8HaO>twb^Q_2WuqSJS&~hAx3Es}B?G_F<9GcP z3rorTOm?(brGW;Ggf*oW6DIF)YX%QYn8f0-YF!`S+BfhmrefpMx!^wM-taRD6kTr< z!%XcqOV6nlRjlKlVjvGYQ>4&1zo3$Iup4ig*h0#yR_@^>m-^DF<2bhDLY}@sN3buo zoyz2>|9XLcsk1V!$V?^YgppB!bIkF;Lg(Jw!XIX~PUyN6Jm9~p{OyFAmFxm*(x^;g zVnoG8j!Ri9`cgQ7{8(~D!O5Whyrnm;be}!6YE5`9Ox{`ld_u8vjM_q_VUy|jkrs!6 zrf^@@IX;7e~Sxbqa8?Mh*~gRfUq*_+8^srfj<>!bhdo zd_nE2<_3;u`PXAYgf+6I{11icrsIC#M~geF zO@U!5E;!+D?eZOWp5QH=SE}`#>JGshq3skT4^>;zthmzPsgk4cO_Nc#!E>p1VX&A_ z5S2+lq<>^51g~70m#ERC+*2#rk%K+(nczXsq(7Sq`>Ks;>ia5~h-4(nJ=?x4XxjOn zbL3blxlVHfj{X&#g(rbjJc7z6AL5~x?g~a2 zysBG^;&iUusxpk|+IHSJ)u8;1Cgt7c`>dm31ZktD=DhCg{15f2KW;weohtmk)BPaN zLHUi>#>Bi!mP?b)moaB-238iu?^^sx^RN8<#7#*aj#*O)_g`*2uyt7LUDEtm(3Hk` zH65Jl+(zM7#S;(upO}v3WeBTFYGW~!29hpsX{fz>@?1jZ<=E2u87|jKo9gawe&!oz z#`?35Jq<&b$i5tvR8-BFEp;P`wdB%LaI?me#+24``Hm*|Yfm#t`@ByF0<|huLv()dr+a&b@1LO8+XmAAiNJ z^wY|Fs$t@9wT4?pD)Dk;_)b*9(%d{G3{_3@m1Kcm{C4K`P6V!pp4id|T4X&`*A^tg zedKtZxL^YpIYU-@buz)3g(R=@5!9lL^~v$%#hNvEO%$ph`@FIq9~ITR`izdBzNMMA z#l-Ky;|pPz!vvR?Kc#1B;yvq`4D*=T$qkYYY+BhcNZThk!M%MAt#xm5ncLQ8^SOY4 zG+le7jIg`}IyNP-t?6^il))UFvxjpR1-9#{qus&+WJcUi3T%UVM)rkccekab^rW_? zo|dVJnaO2qJDW&_Fy83#=(0$eoB?HNbZlB;+j_UzBoFqUVJbZ79RilG+Xyr6jer z@4TMkVKtFPYxS525Y(Zeg(+jo=ED!=79-`(NSX3CU2t_yQX4-9oZ~-`bX_1i=VkHB zwk%WL=*BLqb|A_iZ*a9AJM7W9S?nDeI!_M4 zRNPtzMndgxlhnH7#KH%gb994}434vg`8!1FafoZG1j?PfH7HG>&T-L8GD1$2)1fSg zD26-t;adVNVmhx+kI#x)T}_A=PUs@vPi)TW$?7;c^-M)8WolXPOwF9ElqhLby z!huL$GU4VYGdz%?H>wvAMc3Kz*+fd{A$N8(8!1y_u62B@)HcN>yZeMtlk_Q@=V-Xm z(RkA4`Gl9u{MHGk=r3%YyAL*kmqBL32euNCA=#6I!wls2M-ypIjhhSHZ!$2r|Eg&c zOL^@hmfqTDEUUFuEcd{m%j7f)p1Oi0c$|*Qgj`FHX>lf1xFp-SpM<@Rmv7Px&@`KD z5B4xGM;EKOY5>+xl?s@t9-%X#EyNT^lM>?y~KcX7JMQgu2k0&+>9!R76ykX^Dx4 z1r{?8YxTSU{d_b&3v2A1=*cbnPKtoKJE|pLpB49WMNx;1+52-#xcFfms@p6&A1Ti? zc_@{sum4B-tMT=QQ+r+$XBrC~7P+IT^!vt!}>8qEXwE zTBpdZ>*+`2vkMh>>RilT2Ws`{N+hXYO}9UA;7$9{}`aY_1E8t!9DyM52F zU7}jHQrC0IG?ev^Gd5diD`TB*>vKG0fyK|DtD=0SELrvVaU~8CowFibeqZ#o4&gBf zWhN7G>7+h9fI}WOyXK5yJ=HK)Fg{>z_%>={-u~?+wh!uVB^_os!7C*LYkboqQSMzh zt4R;`m3%$;BD(bTK%RGlp=QUtQ)O{b_f}37XA?CizwlT3rPamfZe6#I(^Xq;XOys} z21GoJ<$WPGOl-cC)0i_!0H4@Qzw?-OQ$Hg#PELBAE*I*l zPV>E4)N_2=AA7vjNYHp&iQ$qUi~0WVm(fNUQ#>W6CISs@CmzdO6j0MwIBO!f?Cv&y~!}kcsy9C+W2)w3_mI1%14g98DyWK-@v)aJD z%C)r-FoUzm;TJfBi)Z1``5z7o^{^gYA{f+Wz&h2FjIF(zgeCNX`u?ki(^#FwSU35a zuxlFnu-u;PO z=*@)x2Wa2v5mF#KuO%7WWB;fw=1baHwbeU5Ny!$6M8iZ%&Z({9r@=)8cNB&~yxyl; z#9ux?JtF6nM=P9BiAA0fMl^UJZxBm9f$4C>RW{?&ToVc$s#lMWS-cKYJw=|2+CP*y zMs*OyhIRR|aqU45)h9QCj;MycK~vc(43T7!hu%8*jbH%YuG|POA+|$aUYsZoJs*ll zJsi$qDi4==eO_=?f^SKFnQYP1#E>|u4sE)x>>o*y53@Xht8_z)UPVpD*Y&csxU zYvrm61v6{j3eQj+hN=D%DpmosZ*6GGd9ldZ4TCt7Dn#^eB$TyA*zJEablUU7km~+A zVtm|81{eGwiBgJ(fk%0pX)l}caJGun-3mgf)_B}@rqH;$68_*Sd3wyd4TDE@g4<=D z5;x3h5p#5*U~B$_Ai3B7W9==VstmqEO9sy~Q?(VvC4)EUpUF-eU`qq7~F6PX$_kQM?*|TTQZ|`|HB%)Q!_;v=2)i=+# ztoIx28gkAuyR&$1$Md_*=L-J}7)ydNek<=9x-0?)1`Sqj#=HnAb;=GMD#Cf~%R7OE zQ~^Y4nyoQ(QAW4~rz{)y;_PEupXnC*g&8WLOCHlLKlvL&i=8RMh;Etlc-}vH^pnFw z0<2$4GQIGAbch@LgyZ8TFH4FCqP+o<`n6x(SiXtI!h09_)%B~}cEU&^TAR||U#2VN zoxh(nsGh+kE$HAK8cP44gulo%%+wJ>)pTbiawdPnid7#Bw>_Ob?ab$PMkaD{VCaQ7$;U6J3@&lB%x7MOhzsx#% zEn-{}Bf5G;Wc=#E?!AwTMsa}ROqiK$T>FHm+z0JbZSfhCqvykcKmit$Bumjvv1Uqw zz>S6j*Nt}7;*(k$Pn%jJ&5^ZsO0O1{$@_hyF+E3v2hL5jZzHfiten2ZhX_1a@_I&? zl-0W3+2dKq`Cu%4*#lLS_|Op~&f1=0tQ9p`BN7F!V>mUwWXCuw+OZZ%2|U;f7n2T{ zU?B<-4ag>?r0MLWjCWeJM@2aHMUCy#XWh8lkRPull@y(rN zVQx`1;WQB@_7?VIlX#7PQU~a&y%@}yr`^IlE5t38zOEMiHuPr|bM)@r8A~l+yPmsm zcX`?nVChf1+A|~uA^Lq{8mwPv?!5t{hxzW?<9&%}CEsfE|F$HYgPM0S@UU-V`Q0|G zdILUTb6_og0DrEGZB&M$p|5JOMc6M9&5pXzZM$pl>?2yqc`m5dpMA&YcUy3mTgl@W zEO6WY$h;qoR$^7;&Z4WiXBa3Ak`k?eAlC8`4f+V~qg&!!n}2_&$u1@$PWqdQSsr;Hg%%Sd+R`hA z`l+w((3ds>gunOiy|I@;dBYuoB%ADl$jU2|9$8FK`i2F)fz=Boio_mi_JJ~@_noQ% z6zJc0J^hf1A`Yyk2QZxtZ2xuEtz-srL=Y@$bFvV=0Bjww0z;#4HJ zGC8^9;uNuBqO~q^c$MgCGn2Z0Ey0J1jd92Bdt3W{K)J;i68x2-hY8SfmWW;KvtPTF zUmr9YpT4`Qv<@3FJ~axfTz|0b*2~?W8deLOrOlVhcM&x+9^d&|3e(OV1r{@05xYqk2uT@v- zyr)CHZM&c#W{~2P_fux_Wik|!3!?}BD2)=uZuGRRe7R&NcAlEzdPnt<`XNdvGS1cK z27~*@ERlk_zjl#vN+3+#SOpCdQBRe)up1>B8HN5FctCZ{C+&|CQ%p`oI!43pD0qt; zEPUYKnmjDp*1czv+?Qpk5A?G1vj`8u^FTUo>1dTPBlV12Z zX_*RXX~e^f(%rhI|I-M~;$1n>EnqEQY3LQQPNb?jO@umn)yn?R8 z(CE;BcvThDsI-SHG>btP%cm+2S*Zchexxyw*Mdc`uRsKQn5Y1e`xy;;;7W`c2Te+D zPA(K6M-LsD|0gjmQ)0MRqA81Hx>mpPGZ6X{=yCIXluA|>f8inWDKb^HGP{Prh~&}7 zt}q612Z_u~p)3uO0GtxWHpY`2h^whQ7@Qs4=d(L`%H;CCN3*G)F6kN!{^;hHCD|Y*uy>>H61nMrH#%{yT~WL zy{VlnZ#-b?5}4rskkBnZ@y)z$vZ~iIxMH$ezFU2B!qjL(*LE`3gx=K_S{|oUV=>m% zI8nL2u(+W&uCqCvyP#@2le@rpWCwMKeOPN0a;3&sTaz={D&MWUIXfNafOk|K^R3oE zwkljlGj+?>d;HJdE>4&YE9Jo-tvxRCq_={6##eo2PSz)NM8BSAc-mSs*4MP_XG?bc zY1+>tw`JyR_eg zm=5&qI7|Lq)$wBdIJa^pT{LyBU*IyUZAYyyBB0^uZ!J`x=j>_`Rn5%=>1NI3a8WbP~*T zyMvA&0GF+TpGM51gW6(PmGFS$=@O!Y6^B#H)0ppo?%)4}RKJj{%>_3^($1Jdi8fzt>$qp@9@TbKCmBgFvHg$W>4i~*86jFbUL0v3Q2FmB4sR6o`Y zSutgnOiyYgtFk@Zm3OX)ugFSjOje#+&mnTEh);#~>U-#Ld6GN=qIpBT`VyJ8hpZkz z45uWiu?Kf5)x@+juJ}!sPGawL-%ydlx87-yZgq>UW3?l`_Wsev85JKw6rYk4Ezx87 zWwWtaS4djy$C+v$LM|VnGcBx>@J+M33$EYO)Z=GJeF-gn`p>l{PI~vvc$Qtq(g?C= ze0&L0d<-b=G#W)puB3RK*G~Uyw?CK`(pP$h%0i>9<0t*w5=HLY2=jMk?HzLK?0jFA&9;E!T zGKyrx?8Do1@(Sm*xom-j2!2Fr9p7W&9*`(0cv)!S^O5BZCM_3Sw>ey?>^v!g1~%cvrdxGcH(g-xkYl)gDiM2i}e zUqL1g8eM3g=BNA9qexwAh;jagc0 zLAh-iLF#8+Opa~a!XiA=YBum5b&?94EQyE{{tjqh#JDr1_3wLnexRgcz?+Fx2%4lGQ*S|&le z*7MW>u}R)ro5wq1vC^T76MMnJq^k?ak9n2}7A5!P^At5WBX>i)R&;^<){dLA_7~C+ zaKFA%p5n8L6-&^bMkRQN`!wM5G~)tM_e*w2?4B1VXhf%4ut-j??X;3rzmlg&t|wpd znVm45;xo2paEshFpfep`U5uZ6caIDwH4_7WrBS1NtVJuPm#(j*=RWry zYyWI=PeXVGM<{l3yO!GQgZ{@jOFo2++|Me5+fOo0?)FhLRT!38|9~oc5((ygAIBp`U^D)HInEjxEL2WjlYP4-Hb`zbc%v#Q%2TX z`=lJ6D*^$xYw@KxWK8h{ix_i#JOXp__vK(|Wl&e>{(VhIR*|m)DRw`QbT0)d zWD`pUfwrM*R?jC6r^Naukb0BibgKUhtW}1L> z90V%ouBs~}ak)c|T|OWi56elfGiv~dQ&tF1Qo~?L`~u=VPtxx{|8EZ=Sh-?TQ(MU1 znF>e>aKxrYJWo!^&);{#&mzjr9fA%2TdeQUO1-u3pao|ZIAGAL4pbO5xekzvy9Wm>R&jqjkth0APl`U6FH&WVH&9ZpJR zYIpB%#TcUIco>pl?|lT5Ju<(^28`_*bVMUfwRdkAaYf_^8>!er-LTV5?n*&fLkW8& z1m)Qho(z0l$|ImZ&i(j2nOvN@FE5k0F&zZw^x8ucA4|iq#Z4r@7n(d#9!wi73~LG2 zh%<^iAA<5#fV4cAB3R0TmshX($nP>6%GPj#mJ(Q(0WAe1f5~}0uWVa3aZVn^o}!Sk zq}p8QI)*-U#eEG!4bU`1A!a^U@lJ^tKdpEL_WqemLzb#JoIHMY|90~5SqxO@?|7f6 z&_6jzzp~%c_mn0jb&M$|8@jg#6yO66uGl69M^n4y%c2$f9l?}M37`PRfIkD*i zbGkXX0EZD^L-%V=Jz2}d;}|f1iGXpg!x4qzh-fC^tQ}< z)W)CCUzabVe$o07fWRaxoEWE7Y0kN8k$7!QMN%zX>Q1xkbdTRk5d2(56&LhS0Os`S zy~%qz2DSqHPLhz1mtp!Q4;w{Hkr)wzl}RIl$urbDQ936q-)CeZM2KJIK8P+GGR6KJD;m)A z^*t$yxydM%{IlU)@$PRY>`Zqhb%dThffWL$J5%R;#` zDx927DM_DBl4kfibz(R|Xo_07j+feL%L zBJz+uZKAK)ImMb^w)mcaGeRr93ec`O4U_Y*jP`1I>;yO&Z5a9RtOnQ@%*5f78l5uBD{@K$l5ri`!qDcDGeKo(I4^1-)RT29|d(SGlzz*Ha%Aq+`Z4b>mW7w116 z*N#gkDmJ-|G4Ea!tZC^>wO|Dm7Mr_2!WB}&|9PyO)zOZXQCMv0{)kbiwy(Z;;luoS zDc@RG9Fg%h^6pnF9bv^Stl^4cTY5&j@-yg=b7!yN2~o=PJxZGAHDDc@6o=|=o;hQr zn0kTZjw@}DJoF{y4X3kQX3xxnv90tL!!iREo_zcd>hEdb;weT3R?0_dm@V?H^lg*P zfiqt#h_C!@0tjpRLn=f~)PcjX%t!YMGoTB3RtA953>4>6$Apoxn4tthPOwD5VdMV` zKVJS@{6z^s^Ktcziy!d4pgGUOfP&1Nie-)UfuHJie%o^&#FUPD6nifnB>w3Vbud@0u?M zD)kEiuDpUirgI+V*OOj;DP{k_`i|$OVE@^n$+=wHd@rBf|!1)dj;8asFwy6b*s+@ZS<)V2Qv++%LUsEtNR5P z{ZX$B-k4Bd4rZEBC%YSdET?q0_e}162qA&(Kd9Pz$P=Y^bP?L(ImfvSVn4@}cNqHe z?&%NSXNVT>&o3oxEPAaQhE1^jS}lK>=!x=&R^mp6=f8qAL|Ah_eoQD^X}Ft~v2xx$ zB%PVD((pek!X><(hj1ny)lri&(uM>=zEG1&7)F~DS4n5i%FE+oR2WB_Cn$dw3m}ri z#Ykn7W_O#Q9>jhGMDtjs*~K!YGaq>XF&w*S^A23R7ow*5+awh`CKl9#yt2@dl31}h z$B)DGE#l1&(WOp{M6jV-ct~kJP;ABnzF>sH83h!Ri|NNvYh0u)2F+_y#HUPB(Suu( zxSxF-qkH!GVo~yDs;T8KC#4(PnzPnVmaRRM0|bnM#5y{k$%*{ zM>mBL?teB~MAGj&v}H17MhtL6%1u8n$2zBqHGIXOQGX-QiBio$7kz26@(O}Lq?3Xa zW$kSELd4^pHb6*B$Mlv8Yg0BDh$AM7^HZgnD%~X0Q+t z8j2OZ(A1~CG3_K(D<1@be zt0j+jG*>L%voGX43(+L8pI_|wC+CJN&7&wZFhN{!A2|#?Ri=Ri;D$J4(hWX?MB(l@ zIMC-mhNQ?D@;wqCYG#}oqyPT1PXSTN#RX`p0$_xc6ePxtbpR5~AP6RWe5g?qKxC#* zL4+8HM3+^lVt-Ca@$Xq*$44?sVS`g4%TC|G9f8Fj69EGt({~YUBU{A7y4q&*}DXo2AyNR>1CqQAGL@Hscc4tEM`yg;ibsb71EB!IW)`f zvn(hio11qD@D5JJd~?klraw9mn^E07{)BL#PbICog*%jagmuE+SkZyAEFc-7y(O_L ze;`O>Cb?zkAaO8F+nDYuu)@r7n>jP3m_Bq1ym4$ zOjPdF`iGykhyN^GD&k2Y9u@KRd7#iP0L5t`C=!c6!CL}~@lsHDl!Jn_5)}1SpwO-X z#c3@l66-<1+u%VIKi=rztFiAhH8i=t0p3OG>+RLIY*|m+W0tNIFe{1?o2cOa21+4~ zCn1D!61X-(5D8o+q|8rZ6ON7$!04|6)e7vU7_m?~Tk63>>ta2b%!PJmrjY8TTuu5A zLL*&(F&8CpHxh)xskIpiNu?{FEKtaRvN=c=R%U=wDjo=Q=s(S&9><})tfC(OghY}9 zq(g!9u|H@xV!@Jnuysf(lYC4gH%9Ef?30i<6~!uQZEZ{G%J_x+JSaq&N=8yxnVy{E zL*BkTy?#!GXv?z{<&SUjiRixOq-GJvdn6(0lRm<~7|P33N|1Q`^C!Cpy;LraA`V+G z?!7b%)4|NK4v57aN0h_^vZ}|ZGirk$Dzbuqkf?)j2>)c6M_krJ2PY0EGp{F4h1!go z;groI_66}y7i-V;do*#{xEt3wF$FN%YTqG?M}Oq)mFd-yqPt3Pf2ftmeuuD@pvcAs z(tIySW)#t)F~2*{pd-7jk*|Xr*fkgZMolIDM>cB%HCyZ^4PwD0v$QIW|If$et-I`> z9>?JXdzFQ0Q%5eyi_>bEN@mBJNQg&hHBjO9{p0!M9T)lZ)5kch=bz%^BH2G>$6@h( zDvOI0`P3ALCH1L0E(R~eM%plT!F1?b4&FL#ZJr?)qBQEayRRAk$p2MsvGvcn=YnUb zUivWI+J6ec0dM`zagreydK=0&5mwqi7_V7dY@@#1bqPR_FMXJ8;XhRg8c56apCWsA z&&Bb#KmKkm!=K(Un9z^+u(@6U#JeaG+ z_DzVi^^*#Ms^Y3b^Sm=hPOK@k(VfF+ErDvyYiwu+6-sQ%3lq0j>j#bj8DDypy;Y!g+)Qp5!_!qLjTXt{#K-_1U{G|KyEomEzWRiAUssOOfU8bjWkyWKL?#kFjtb-Ah816QJ zPdizNtBk!C7~3cxO>k$@y=^8izBK?jsy){+;Xkk&B~IwI>2xNB{|amPS>#zI}yR8z`Un zdmx(GwXc8^0>qYqm{`L~%41o%4E!%S1-URy4f4S@fJ>rA=syNroxc;0UTFWFXki6~ z5j!XlIYE)Z1qu-!P|Wgz;vGLI9tnb?MFB}USKiGT zEcPuuV7W?GAlU62`g^sqZc+1k8={DTyfc%P;>Q_}YQqh+>IC|$qp<}v;Gg>O#Y)z z{U%PI`!)D|pI-IszM-n`SIiop?!DvmDJ*otY~E704YERtU7qEAY2sabs6b!Z2l zshHvJJdUKze;jRjPQgjS=_T6Cm?HeJX;?lTW9Eowi_8iNfsFe9|0Zw{~LU%fbbvxh!C(5%uF0! zn>aA5h{&^wGre)JHn1_We{S!nuK57LsZ3bU5&Rq=;v@WQl&x5ja+s~W&#>*akib9H<|6BwU!&M}72MI@8WfDdc^!(m!*#qrseUVK=@fJ2i6n zwC}=BU&zN}CaUOy>7bcoxcSUr;>s#<#_QrYe%3S?=h~5hpwHR%<XF_E1w z@rSLthRgkxZZMLw^Ft_>kk94*!ofnCK~uwJTmM$`)#U<~-POgx*@Ud3&DHVY#oEM7 zbJKZuw;kxh@$SxntI+xJEOgXkf%rpAUNAF!6 zDX(^BrUkuuF4rgpy{&Va9G6d+&4R>vl0QXmHD6pFJQ1L}8YOM@b=PX`$h;DlZf^9x zr0%-fbznX{IofLW@;z&-0n;b?x%a+M@mAzjI|OS>=yG@>eyb>?+3Q^IDt`O<{*^@z z(Bs~klx6Bg8Zrs3+1^&k)p1I4`%hAax1Ja6Sk2A6jb3hNyR#QZL|t$8xt)Yu3XL+D z2iM}UiZIa5`h}9ug)VoN;`>Vsd@RE+cc4LA%*`8ma(MgIsYfS~z6V=Z}pFkfA6`Rt7r*`1Y3$uR%! z?hX?2xfu7TPPIEL8TnMeN-2LfcXfDLH(Yda6j~s4Ci%^N&Oqmd!Vl)F^NqcBJkF~# z#W%it6a8tITb%33_6nyO^FDJ93f0LHC5nybWH#fqY!azsS2gdOJufcX(|i+ZWSeKY zPG4RTAGKla_M9t?>Yh#QT&$fj&j{AMI55FtHkcXL+&gV+YJTQfv^tnINfXJkS(JNx z3bhleeC&N;q}BCJJ2Tf(OVqulAtu?%=1TWapmAcYn_0BK#C3^FVNHMJV9MtmY1wbd zkEe5#t4)D)i~=!iFXEVvhI(4IgT}yjhpR*-uDP(z$tdrpS!;A@m%d@!QDo98;`rgJ zzF($SnDYUn+1h5Wd+AP5cj8PDQ9;e3_Bmm!oM@XXeO+ze8@)r7nb=w)Z$2s6-_!mV z_n0@*CT44GcJzGc^W$9}!!+$l$=yx*l0rQvNS`6dpBb3zCg+n6YduaL%S6!1ByxI`K)64U8;8_| zBP%qaS3odoq%tE_bJ`z5xQ%B<1d(E6*1j#huDEA-$UZ9&_&YH_npI%bzLme# znTb|^0GTJ}IC_@m2e{sc$~U=q?3}q;>0Uj8w^94U?X#n&eV9nZ^)m{lQWj{JO3{p? zj0s?RRviu;+~Du{WEMK(k0Vib4?bD3CbC>SO9I43_=WJqJGW9p-(h0-&zoi0=u$$z zmsg@czBidzypKaS+8~5g^7X$T@&CuD_|;ifOcg!KfMoqhuDDBC6q(sK)#suHjE!t?_ zyIkco*g@`B)yy_*Aymz7y)`;jqK~_-TDtZG4Ct{uW_)Ud z@IE+~=*4R9+cUU4w5i$rQcawuG-$3y##9-5Ksz2@7rtX#*?rx*rPZLDAnM9|-2z!a z(jKa*;=}2~Z-~WusmmC4ssU6dJaqYn_DKy(6WM6>X*(D+ ziOGHX%9nfagm)d4UbSQ{_YCXfrjR0x^-E}>-p_;%>SNwsg=T=Od$(8jVOMuX4*-C`gZ-@N{f!nwHvM-6-+2N_L0c;2S>NU@y9fb$6#M$wW#U=fTK>vH~#W zEy>-UaW5vGp33h!h`aHQFAr3j)-95BM~CZwQoomC%gN1-jZmIMj!=GYc6K>k6uE`+ zgr|ja>7%{t{y@3i9LEe{=-q^uduxb3bPMjhGzDx7KD6Vsxf7Z-I`IzmiI%?M0@n)Ou4>Q={TF%D1)T^Df`V@zoM#`$1`(ag6__pxqU{{HOi)rB1#4Z#a`xb`3fC|SuBP9jbgErK@-W>O{5kS#Wd(SPRnQ)dZsY5cqZCo>KLHQ7~Nm8XDZ3* z;m-Q8)F-S48*fk}b4)Cm!iT=MOmoBKm9`QYTBzGjm4k$dQ#~hpoT)fwyiQU8kTfHIQ_7Qib9fi3`~11Z)!2N+&x~4;`{#=7%=znLcUj z<88IMfqPJ9$7Lt4a%yucpC-Ufs3yZ^nyNd0pe_tO zYko;QHh+-VoyTxZ_g85064QZ-_V-Fpoz+Ygy0fumHJhsNDG0VdSq<;fQ<6b++coWfx}p7NdjXH& zbMN_=wf@n;u4TB1&m8#DYYlOj!nReq6WW&=QsU0ns{7*0Yd8~xo}Y%ocNfj>-mt1g zj#IX4UkVIGfhX&Lt$BY1NI4e_yZ>X2yo&ZWLFIF@E>M>z8PO`%DRO- zpExodpEjL^;ZSG9Eo+H)8dJ-d7t6uf;H*Gl4V}<93J*R*7nsX4)`!e{Q2Hg8=6#L6 zYTU5CNcfz@^XliW+i|7Vrwde$@7MA${-S!yfDsD0Tl0>u|GD{?LQMySw#_LKy^WY# zUpzZ0fh2NK)nZbfkHN@ryKM2Yj^``(r-ggxOM41~tJH1MvEj@M+qFtkz4 z%<=7ejrR4{G)v1N;(6$)K8pAIm-y3Cy)yK{fuKPhvvU zQrszN_gwn*N~__f{U(bJS<3Hi(N_tj9$9L!v1FIf$4q#=8rc@zPm~viY1UmAxb$36 z4M9=zp}e!)#cpE%?9TMdk2{?%!M`#;!F~U9`-;m3?>y9sP)D=fihQEF9r92GHZnX6 zjtEB|?MIQeW>z#)?UE-Z`>l#Bg|Pi%^GIi=BV=jxP14ez_X>}QOFAj82g!}Kwu0;~ z_}2zyPG=SQ1p0&yzB+YuT`nx`@4JspEx&?eAw`1sAz+1uz)*(M-}*x~OQ)yJA2^$8 z*B;#q_Nh6O&PX)|{1V_gs`m9y?CTXYU}1tbgViHZG%E?`@d6>zdq2zFBN2xTlU&!aG?rj>eJj-dfhc=fIQ& z{xqOpfdzZHlRp(4qnbHYj!iHeK>z$=tI9TQ@978Ky)$n~Nzy1z8KWz9(LP zM(#vjtnj<&q#D8R5quVE%JNL`hxp?Y4}*%cYOF<>X=j%e0+Ovd=)vR z=Qug#jjwX@TDNfZf*XWefqlXwMYDu!M`EKrre?EQ6{yOM6L0d+?oGAyrDOavxH(qH zFn|0AsiR|nk4QS!VOjwX=TNS9-`&y|u9zN%i9Epi)tXXuxt`6!ds){A&{&raw*cgfmG>gHO@#os2)Gy^hTxcmQH za3C~sl1kf;ySC@wngKIP5dB0vO>m6x+;)_JU(!bs&9N-u9}V}D7#&s`sZ^cK7gvpP zKI2F=zTQ9!XxhJx=vNyaP<@3pP}_|1M`LazxzAqv*Zb`E2kxRvO0bQVEU6&!jX*N@ zo!W%>xY|R*e{Z9{kfL<rhp5@^*qo{ z<|3_3EqKP-$UZ5s=XF=n`@6Ez@^vVMf63Z)S4GedL?sjFtF$ANyZ_02H+zT}dg1Z{-xCPT5n^?N(?>sl!2<%%i$4b5hlgr5w zhc_$*bxOY9;e+HgoN)p!4%rA{Q;bpUEY=D_o&(fiC`;)kO%oVc!k+j`Xa5$Fzr!u{ z%+xIM`Ps`BaPW)08?4!OdY<_sW2n?^d^_<7=h>S0`q1mkx=}->*Vh|F-G}ftGw?@_ zHs7y8{%dzCV#6prdy$fdO%Z{axaW5CR+>I7cflEJkGz+AddtHf z4oU21I5&edM~YHy!y3bNy?tVL%LQkMGl5zY?KdRH^e_un@8eIuP={Hqb&Pr`qagW) z_}d|KrE|F$HEuTyNwek07!Tst%_2RRR;@LRruvvwHr9^0S#wH>xw7-v0RtsR4RTj2q}eb35iu6NQb$`%Uk5NtK@s=IF$A=NF`kIv6~AcA$SI{nKy9W!V8 zkPzvpc$hy%8}3Gr9#xnPrdF#$nrfcc%#i8mhDpc3H?U&&hZp8Tp(6FkF759JaOb$A zb}3B4k+8rtLVxSeGcie~7SUdu{5f4chi2L5;dl9Pjte0-ihZ@YtoLj_xL(=PImn6* z>}?A09?39}yG3V_xJ2I(0TGVM%6CWJaigaTAOG&}4dly|wwj(zlcl`N?f6o8`tLBc?{6l0g@LR(9TQUy=<}NCf;d%ltZoN9@4FSeBeZ_A z(>s3?zA4BtT(DvNvpDZ*%Rr1FXJXI2FjXkc_4ZuqyLXdDqG~Wx#}W$t>6jR6k_UxhH1inIo7;-lxGp$yzr@%er=b5D&e1OY-w+gVu7Q zIx!fO-d4+LjG$x=OjcbBNcF8ehIIuqc~#z;q>Vz7**Y*7zL6!UW77f{NBdEx?Vm;4 zg_-Iu4e^%H3synN7Xfdtv30?#Q-?&aQZu^QldNq~I4n-=LZ^8t1EHd|J#)jcSuwB^ zy7S@&6=uvtE<`*2dG#a)8}7JXOeMQTpCUGGhCIE&D=eBcicd97;OIy-_O-$qz5SE^ zk<_^fS-z$FU=QV2C+>)X;B^GUa5mVAi#PY_o7{3)1^+oaz;^4}Tra$pL#(_DDGfDJ zLPa!+$j4;9Db>yo*ba9_zt(?;r-g_%KyGPJAHMqfEwBS4Hil4zHs9RD2Lqo3HU>W3 zX!xb%VWp_#ZIXk$6MC1N2cDr)B)Ic-eg)+%OvCcE2X`EQ>NjGisT5prJUIK{c9WeU zw*3R8u!Ya#cHCo%>5;{YIaSfK?P^gFW3msD4LLx47pe%GuO1Jh!m_3e%l_PE-|oML z_vFRYIrS^c4Ee(|=9}j)`~QJ%`rnvE`u*36x1!lYl+QyTC$rJ;oS3P4Wc(_}QVyxk zgBz5+JFPfWKcC!QW(rHk!XOUIBM`g4q=oNYkbCi@9Z+3MY>f1E^Nj3wMuFrTMiOv% zbR_R}d@|h>%!NtA?%SlHhK?nPw!tie&tJ*4;6t6^`mY4`L@e8aU?H-oUHvmOfkP~` zU|2xE=VFr#8v4*UZRJX1`Id~SRmlQTbg>6t7U`^&ZmuLnLib1r*XZ}K@O(}ZraAuae( z0vq&HHxOG65hPxb{u@>*1NV;)0vn>bn$GWFDSn2dlBfS@mXeL#d}aaS2Z@i*_j1KR z{2U?%TeS8`ms9(x&J-@IPJZyUT5D_UkSRn1zT2;Tc{~l50Sy9i=>%{x%Diz2Nm6?<`8*aS(DC+^V@rwd_a7xh2YK-{{9`&6D}0ID?5Nn>-I7UC_mySBX=^V3N{qt3pONZZ5tE`x`Tv%Sb>cG>W1Y8SZ>#>Z+DQ< zb{&z>l;L>g7lAMBlO^~0^b0l;plyH;U+8Cr?`#%6v?;w!y&|2BwICJ3P%JMygPI{1 zpBi@TOGpxi3x@TbiljyoMtD-!1tXAle(62!*OzYGyvqZ^nyo%AnyuIQUX1tD>t;dJ zw=C|LJ__8eb&n^>1wgl{{_1Y{fkdUEBpP`mp~CPU|7QP}0(cAoyeSf~=lFd?xQ_>U z$J*}H!+*svyxDjs175jJ0Dyver*34p-(AcctKN10>p3TT>5zi{E$@LT`{d-iHVI ztxO%?&h15td%Gh4UUwnm9aY%HI!oBie38j@^pnVm!IP~S?}PzM>6Z22M@RqNpSO4Y z2aB8({l}kv%o|p5OAh(2W1P$X(S{s6C$u5iHjAGtuW~ku+D9z`3w`i5nosTTO8sIM z!)K;8x;6v}Uu#;Q#dg!6dw*BVv-FTD6U)*rGR88H;92+Y-&=eRzk>uIBr6;fm zWo?k^Qcw$-y#uRVQSv_1#VF5!v|**MktvLYCcO6P1PAeWevu=^G)wN^sTyvwI1&xPNAWahcafxcpt^yk|h= zru|0#4xdA$jCuGWLdKw8==`eF^RHmErx&>IEB=|?sf4$mc~PUxQpz~zs@9OFc8vx| z(W}9f)Bv9f9h3mi&;ifTx$R5<(+*o(5e)5A4rUCt5T1?A#@#-nC2rm_Q0KNchO*~9 z_OiF5wFaZLy%}v5yb&hYh-@l9AjT`+?@kiFQFQ)K&UVnNqy~T0{ zR!jr*0*tWgc-}?kA*4od^0H$emZp&(U$0yk>xAbW*6jlL50)sh5!Tt*f3QG!cx+#c z?kb4|W>A=0gAWB5ICN0VShpBaO3vaSiwN#XDy>)$9tl0YW=a4jgMC;biVgQ9U?C$I z3EkWWXYD$^#O@=Q-CWKPMMgwlvJ9fS9Ss6-4d}P70fh<}-G9e&>8;*aaH2*&v(X9Z zZ#M(^KS)-H?b|vY^k(ZlCB~=wz`|h%_x^u_1U9yVuEDI#2VoEsh}>k}jj+Wc6o{#> z^K7W^u)!e%@CN|3q%u4R?10`4y1|)LRIe5JsIMVuwtsrCz(#5C4LC-6vg`En$#wVv zAgHHI-(q_z{CpKSClx}^@ldTB=Y)+7;t1T20JO8uy10gAjUC+Qq8a=ozIdPOMt+6J z_6O8!cJapjqf3KbQsxE`QZbq+^08d+QQ7_jF#;QZ)owgatLm;3lG;rd{IO#ls{_<- z(Yh*VLhbfQQVle56IW#W+s6uQ_{G9Up-AA;IKSf52*>f5)+jWMEsi)V)nc6iJrDi7py7+1G*5W7y@D=wYv0%wHix!R__Kwu981%2W0&m|X zFamidqta^_6N?$}6Z#0=%m83$0k78!W z^5QAnp!5sqT@Xt-`NI|Szbg9*u&TDLZCY9Z0Rd_0?v@ge?(Xi|bc>XNfD)T->Fx%R zZjc56>D(Y7ARzEB!tb8r|J`%%_xNo0-okpEqk` z?KWuet8&+U$Yra$?=iQ1?@FEg-i807cl1SV&I}_~S@pYOGIN-&TaaAjT)5RO8Km1F z=U`RPZ%;K<-8GoB`+MqIu!4;ck~VF4Gk2ZoNNC?_fQX^2bNMsiJ}xlrhUM0mG2Ka& zBy{#=H1afrSoo=$u^?tAVf4L1Tkpf4ro5NEbq)nKoXzScoK5nbE#aXF zHf$c)+}FsDD#~-QE6Q)|IAS|*?;De8ccdXe6$q55HzD21$D5Zyy?jHd{|MB0Ut`n9 ze8{a-=(?|@%YaXFD5k$l?lPd{F8gg15j>z|{QaZ;U*S*#9=)V>rzbZnEGV%9yH~dY zyOZx$&%iISY}kZ!-@^c)sV5?M_ZdRxCD6?wy({}Rd>ZLTY%gX55Y7F8Xb5!V2=O_J zDv|_>LZvds1`$FK5L7@;2r5HZclj=WX2a?s(6o2wp0&_A@kJQM|FY%!a*j7=+ym&i zLV$P)EQ2Vy_?3`i`Zm{)Vz8aIUrXALG1cI{d2Xr+tR%}&%W}FiwQ}sKscs3+hE{iJ z-5ig;!Oa44Vz&jJ%R{bFZ@CpdGAfea z6LhbV`BPpc-#^5WkZdGFUM1h%8W{$3QUwe8ot}DtsL}H~J&^!&d?dfaw{}tPP^5sU zpB53H;7z~dX4dO{bS~EW->rV3Az($s-Dm(rq{Eg!s#dxmWr)21Y~Ow2R52KeaJ68h z4XQ{Bk3tr40y)2S8p6GqE&TZUd$Lmv?C<3}8nnjp*7@%^ZleMMWx}q7JnIccq65lR zcg!<}CH_yb>@D<++6)ICR<{|=|8#aGNXd#j+NpWvf0``caC(AbxY8>`28|&EW)_f0O{@sNcc5mO9{T%$Y8cr(AW%& z&;ELyf8AvH8Go|ck9u6b(2{U|jCic3YufJdW%sn8UBmE)E9WF~K_3>z{yN#&F&{0$ znAH;pyGWNsI7C9_%YUf@X@h3q`|gZUb4F5Yw19M+Pr!#f<`*0*K{}b zl#8a8AEIdbE&6vpeTr_v3Qr_2?yI{kxJ! zGDIkxNm|I0xyp2t+darpJaj(IT7qn{KaQhJCPtr9((GH6rPCjIZqG%)h_I?t5$r=( z?HLTNh$k{o#^$URk8f;kBcX3l)rir!tQM7u?6GA#%j!wD=q!E>UU!>XpdA+S_o|UT zY?}36iD4}Ayiow&3GHRL3kioFDxjABht5wwKxB08R&V^FZv2pzd6K1+S$6Ue8j@B`z50Gg}! zGWN}y(H9Upt%q6tq{5Ie61F{qB~Q1oN<#HK;zmz!0eY`3rA~-e(s<%t#pXbE#io){NVJAyDUEdE`|cp}Q6(RA!OvJo>KTNy^{x`i^wUJnAFupv;dp&8N?Z@7zj z(Yt3m1(itbkIj(=``}e@p-PsN4RpJdh}9EH*{N#ttT-}3YMn<+52{wRBwAtPoQQ|v z+`F#WBOahe#T}$sU`}y2A3JsTx-vn3FW0EZ9W8i|E%r;JjD7YfD!F^|sPm)E7(t(O zud7GxB(0*UwONH*jmt3r7ywju6uPhtoABm@MBKR{dDh3tA+)6GI zyFwA2OT3;kneUi;El%P|Z221OOHW;7PfcGqqEB6DN4Z3HP>%QkzMFGANVi|@6O!*! z?OuIk4xasZQfk>x(ci$tCawEP>j;&{#pRi%da7)CKDV@u25ou^;yLevK7?~))~qT^ zB!_|CFrvMnF9aXoqBKqzHN`eey4*^q#PI^>gatN)*H-!^8z=8XYb$DnUVA?{+5FQ- zEm!SH4&9X#a!p+hmeDDVia=VYgEId-)&`1?+wnT!q&#aBp2aFhLEQt)VcU#r#&!w= zo+y`z8900^GsN(|AmtnPw8!chBj;ZDm;Q+Amqm2;ZwE!Zd9rTOBzwZV@*YzzY-dhs z8`bPfNeN3V$%*f#CAO(GgJ65W42ja~L{`_ZxrPl-h{&7{SJ3FFFlc~IPQ12`;dnh~ z&v?Ow)$>^)?Ndstp|Wbr)9igLlndS;=*KGXcJPvFog(gGYpfj0FR%vs-8rh@m*>v5 zYcE`jh>Co0e00{U>@VunYcNJ6LDwf)51;0z%4Jyemg@v;GsKo(%w~Re$NwC;$`R|t znjm!H1a=?>K~KPM^mR0+GSqErCuIt7$U80Cosa0$UY={%)tB}yktaJf2Oeqf?LW%t zKl&nAQa|*90`HuR(?6B&l-RD`HCBhaj>k#=T@$5KXWK`5majjCE*YAWU_ceAv|(=} z`XXwA`;?(GtYd3}O$vTx!{;}gO(%)@zE|`$NRgJ)>*le);X>_MR&otdmjWSUvHAOh;UMXyG#zUq$;^VB}fM?lDbokzZb!w!S z%*a#%(b8v=3E98UC$VaCzx@9IpyL~IG!09URLb zzE{(BMZvCFgrBH5bfUGR`_V~k6B#bf3KPg@g1dvi;fIM>KCqSC z*}{6y?Q3D&y_!qNeyQ@5K)&EZq;0_%{1O_2%T~l7lS_taq0AzVL`B2fju(rdm<&4~ zn#2}0C5-tJC0m&sG+Ooy2z^f!S8KX^lPW^&2NPYFncy)riX_(tP1CS_Z|HCM&vh&p z;Ie2}(DCOZiFD$2uJH6^W(CJ0D*S?btNaiso8W6=s^)joW+IV3)%gW$j$Yy6ygM0Y zPBg0_`tm1w>J1p#nmsDs&am zR`&4B#2U>Nm=^@vO=bmPu@)!;4y7tI^%evIh~nIaMP!EsT|qWvTP3PB$<9->1S%U; z+MJcnOeEU+4a48USuLO?CoeIQg!Vf%MfAHn15!WG!Cq3U6GmHlA)S5y;M_|mHEK|rqAfp;kO|vcxQ){&r3OmgPr&e zLT_U{d;WU)Kpam+9EifESaMvCZKt=yV+Wg$;9pt)bjbF&mU&24;bpo=*`$b2W>eT^ zD{^6Otv^t|msU)gT7tLH8n?l$a#Ht=(-uv?gu|YEA(64TBgtwcvk5vExiiVdc%bRo zcGw_-gLPH?q^A=TX!KjoO0j@Uj#U;Cq+b}^1pfd&X0@rz91qjzj`FtHrJ^UPILY16A3&Rg%;`7Wmrt`-m({`;>(~xIiZPg)7&0Tys+CEpw zzosjaB7p}W`y?`MU3EI}0dW|lNnLd(4S)|DB&Qzazz)!!T@Q>s*! z>oaL~X;MBre|<2{1ww`->a_m=KQ$lE63-^rtiCb?(N2CKt%AjsSLLprc4!>BK6m?m z#(N!&_A-{#UQJ{P;GGz~GZ6XaWt8|QxD2!fbv|$uP3^SJy^Y7Pea?6(A-F>^(;_?N zk%Qm`QnS_tTholq64yD)5^29xpkI&*G?J|Fh3XN}XX};IH>7#`%t`fAASV)wsGM3# zry{>~HT!WVc!n)cZ6$@nB2@!aFI{qML)Kdbc>r;JR_LW9qe9|nl3zed@IIbD^77Ty zkGyPO-e;OzdGVX;arDQXQ8$IUM1sx z&tn_gbmEs9-)yy<}wu?MFTrCiA<5~i>AZbCK9%4cQOww&7OBzWav=Xk81ZU z-%R-&I&+M)=6k-LhCCJ3JxUVAUs$o1AG4E6Ksg4+NgP3VVkS9f+Th+)okL6Zw&6?D zB7$tkh3!as(_-*oY3WjX_=`Dx9r$U-#wz^6jEVJ#xh(vWp5opyF1K@>m`fI2y61VH z4wAJMHeW760#=lyS{BADVzWy9cOL{5Cu3Uf=M8qN%cyWYSQ$}jN`Zs)i3Rl4IQTYM zJ4o)uilfYCwWC@}$m2%To{8k;HY(PfeXdB;em(nB$CUQT*EI)r*{_8w&~39wUB>}Lq4tP|l=ej&ow8sU7R5);)N zYW3uFgqdzuG~o3C@RKnj{P^!?crkHNDTjwdT6xC5f&{8K3-Be(`W8@rR5d~11Q_g< zgyn%Flt#u55#osmOdC;Wg`(uM7_~R`A}ZR6J|Kr&QmMjUXchdV@UTI=*zy)0R73$lf>0E9I{WgA}~w6qd-$ly}! zx{2!R3kE(Rno&5*#RB!s$7=_S>e3~-`bKMn<Y~CqndyJ8*oOsVm* z<_s4wv)FTmF-lm<3tVLvaiqpE8bl)Pw>69PPigu{qa&$9abwuaRE)Ggvd0vL&vVe2 zZzg`=l`xoH{?a{xYIJgvhi?XMOl-wOHT(ZFlN4HR2%KZ>6#taX@8itw5T zHIogel9rt&7YMS_GpAz{v6m?=={_{(TC=czar|8QWd>D}LGUZhbUsJ@a=1EdeVC=p z*x!NC@5GXUMm-P}t?KL)YMBV}f>l){$$FmDGOdHjmJKIf>n!6OaZ zS1Oe<8Fe9se;E5}$`*E@^Ax9szWW5OSaY}|WOnqd5r4JA2ak&+u4d0;C}9rG<1#h- z(t}4`6I6P3_De$3sHEThlcQ>5Fo?I}LLtrSnf0vv?3RtD&hy#$?y7w8rC}kN_i~f) z?=fAhiBOgbIL@AMSqzf96>^+O!w(_1aJFHNP!TeC@DX!J^^atv4(G0#Zq6d73iW&D9sG#EmX>li?UrI&w&wQ@G_@Z}lSIxz>tP#5T^i(?~DmZD!Yz)#94G+GV+HNvgAU)LqvLinwvLaz z;wcZws?h~aRCUyUDin6%Sue{=O|aJ@JfvH8SRw51DR|zUSy^yd{-StR3S8;ZfB1z< zCxraWc@RAe;O1_6gATXN(}KQw?2og&AJp1M4T^$|=e}6g(LQ1D-l2V&SyJ+3vnwOp zZT@|QOlcBf$$UHZx~a)FlqpAYba+w#xGO_Cnq#ejA$QY3TYJeI61S1=NF)(lOTe(d z4C(4G8*%>O(@v3~=4)vYZK6dnsoP+pIo6OO%YEjp+bOaL8H0XHoV;zx=@g%5*^qoBFHrGQNnlo$HrNL9_sxo>OgK~kB%V2cB6;+I<9K_k|TEqa(6 z%R8N~>C#iTa@W*#)qsEI3&qu6(p>LUKmID6*)rH6;>I48QS$n+oO--Ek4r_-TPrLr z!3n%RsY1qu58egH1bI@#3Bp*8qeDKiRx1}fBw?i+J0#MjyjYr^#nJ-vQr)3NE>3-4 z=scFc?9{)j_+EfzIfISd*&nAsEvZ&}X_#3WYm!%5^cX#=p4NbMN}Wj)RDoX|0i)II zUsNVicz|4Jm0sPd;3r8>quWjA8%?Y&3&ivcjXdXwgVllKOS=PSGTn=F_XcC zRD~T8iy~}}N&W*Fnp7g@Y*x=tF$Ih0@r%CKlUkd(Q^daK=jRY$^A_OKL74vada3VE zKj@pCX#BFz*f_+YpiD}j|FRR!@*g|VJo$4c8fSY41_yg5Mq?+}o2_Wvfo*8q?zf@| zif)r@XGRU)=NBK&H>I*%eyZ60Y=p8vPShc>z&1U!jgsXVQQAlChXjm+qz7x2e%G$s zkU~!@*8;1_{lPgk)r-;%=jJ?5Kb0`o%I03FJ{9pdX$LgwJsKub(Lvuh$O@8s0*=K( zG0OP1>jYmYTt5tkiKiGsOg{S1t}JZAoXf5AL5(B~sx3%a48~e9PXjTTVlzuasGriiuJ+g5NA>&1dS0agWGU*{$kKV5^LO&RhA+tyDu!l||Sb;DT*9J=9=P6&0 z=DANrK^W0>rE8e5+|>sKEcFJs^YhG16LMc_KP=g#B2wjc1ofNDe{4x9{qc*6VQBe) zbSk-<;le^0_TW@pY$I~*)4?Kw@2!JITzI}oLqkDP!a@Cmg9!d~kdeK!v%T$KedO^M zAE8Lejv+}8j3T|18IqHcpFrwiih#oSz$<)4!P}NVldl8Z>H%VUtfCefupbdQ@cP#- zMe~1f1OLxkiWjKKJ29HuvfQx43%Il6Jv)3pDB346KMq@Cs*D<)xjh9oF` zm>+7Gs@LyxDfb0 zK3z)`-si}$mgQCSChq3#caDaOd#Q)HhrZ}aI{V@xfU=1s{hKj!9{f3;(h_Igdwgw~ zh=FyIKm_79pXHex2s4ktX0Ff=@gXlm#NqfJC{rlh=B#GmEb0_&W6-9WRQdmhr6B53ai`D zr;LdMNA&b6XM$;mxW5$_?_h+_k?#ujh9Ru2w7>cQa!$hj6GQ&LeXK>v^zF%@tDrc5b=E=>4(#z@qWg^FoPm$tju@S#FFI{4(h8=S>n3t^!KjL z)=!)-7x&XzJlrm~H)@IHubZw4o<~HEW&J$P`Zf_jZZz?K3VsZ7hJsDnm>+|*UQ?W=UnS)Dd-h6 zRci0+<<@Zdef{*h@oHsozH>!y{@2O+$>n@&i68f+mG*h}RuWT-_rduEl^+AdKHKML z?d)JN#cnaxu2j#vu8}r!?s(!fmrLzasrvQv`30SMZ+Aa8H%~X8<5kGMdh6HejJ=YU z^Na3NH+ACY-adX!PK|Q=B@0(O+g}$7OZ5O@9}Zt{AfI0xPw;sSOe_o(4i8p^>Rp|! zNf)m5@9PU(sCKBZsK3Jx73{%^YZ>v|e`l{ZtE^}(N#%UK{8XmCLG}s;#RXuXDON+&q%0G)%61Ox~ z7q==-jwPyj;zp5Eyy{Roy#iXm)-J87tcbO{Lg>73SF@QPKNp!Pa`dU6<;>joR%|oF z!Y`ZEJ4T$~YM$PDGkV>y89~^UcC}lP)!tU+9mWk22x+a z(Xc@|XoVj{(_ui^Dzb8cDN$Y-e5>x8)QT|5?w@vyK;`QVkmvx*%8FOV3JD7GJWn%H zLAZHTPG|VXtLzMl^3}-W(Z$u`0@c(CTw>|y$an~9~j&;+Cl(F-=>yXB?Y>mP_tHMhaYb&#a1l}(Oy zPD&?hp+;>oueSJvV>_pVV|!4J%6eS37kD9AkLzk?MfCC8>e#v>sxdbr7pA7X;Y*nh zn4 z({Nr|lP4PCG6>l3Su(6x*>+=~UhO?%A5nxs6Bl+k(SX}#Q1$l=(@nR-<%BuLsSs+8 zU{ok2M;b45aS!*LPZXMe?9WThZmSXg6bAc!VY(HFY&YgB%5Q04+K{5j(+y{f=nVu^ zD96K^pAn|(d1B|qF(8eH=^~XXGLfT<_glam8&UNDx+0DXleT}dGGWbo^$tljI>9g( z4$3(s-9G};f*JXBWfh6SuRWZ**MJJ`Z2<+l{uE~ps&^%dfT zu`|qdvo@?n2Aq^?0!esoys)q46EfN&hSHa)&A4~+$iS7>>KOeK#i1G{c7P5!Z-95r z+xHiJzHorNHe%5@lY@AL!TgJJ_*{0BON$&7fM zUcXthV!U$^hdE%U$P%5SqaROgP?p$MKaVH1hwxJt!{^QWX1^62CIuF;6AVqxM?)9BEefKD) z&KUg(fY$1hd0DIk2`C4wD-3G z1#u_Hb&kY{hcD%BxX;iv2(BKp3_FApw^ISsFuo>@Vo!B<`%ypA~v5(SOCA+)L#SkViidc&2zU`qvmjH5l!M zZ>_?33J?mQ&W+RmyO^U-O$Ju(3-sKPDA%zA7yxLZV<&nK2Dt8qhc`awlzCMCR86QW zu%LIKOc@5ML3Bh&0*U7%G4BTIR@8mWy`nciRcIoj!78nO+F`dv=Vtki%z z*R=NQq^AbIS*w@_A&*1ERR3=@E+^~+O+LWrzv)%o81@$-8q6{Gy^(J!mSF#h9uoy< zJgfprwsturs7-WXSVD>sgU4{@MSxv0?(DLr0B9q6?{3ntf4LiOJAI_ekOPFMB2@Ca zp!aQ=GKk4Jbf z$CeXMjhO)Je<^vcYSK8iEyI9IwSmk4Bv5G|U?7ydxVwIL{4U>Y^x?ax{97gm;mIX` zMP--!J+7{6_Mx}0u7)_ai|@s$0rd52)K6akqU`MuMTIT=(3=j!N~t1i7My|!HA46r z0XROmjT&)C4X}w7R=G}rY6s}5MA!d@D@SfY$m7~wTXAN!9P?yY!5N|XI#?XtPNZNa!8~5d(Hy< z&t>;-@WYwKcey6X;n=)+rBQtT1mGT>p}%JVAl`;Ps-7JBe@vke6u`ey2?~*C z)Zioe7M#3pps2WZf%f+VB1)JUy?;Xr3H*Pm-^X+)6&?cR01FUHfy7e(B=gPM@)?&D zI4|Tbvg39EVSvthTrnXx{NFqV-WTt;213pxs)5{97={F7U=;GZEP9i(8sPw~Z;%Yz z0bUux+YA7A__~D(stsh$&6_HtgT$zQ$~OC_m0wA%zoK>0=k zo$h}tzSwIK$CUx|aQi_zFptN9>wi^_Oy=d@83k7fcmnvY|5z*Q|5Sgu=6eM;Y~Wgt z8{?EGUjn5&DbC%%y3WYIdyf1EKF{ig2nhhh2|9WS@TDwbZMjTP8h9A)2Ibi7Xbi== z0OOn&%tt3ZPGy>SQZ>8!KL2&Es`5lMR&I*iUdo?^4-*g^XiU%hLKtj0`S+D)t-cS* z=${!B6EvTCd01Np?B^Fie7!6y!*;;iX}o<{rrspqt1jk>(w zNM+cbNvp=LLvl!s+0HxMqx9+d^jJ?dEt~HYXg=00&9TeaHn!zjOoMZEdFfv8r)rr6 zUIWidI_12+J5(?~3|^N(8`4AZ^_RlM3%NEoUS$c2T2q&tQiY}yuKpk4(-C|+ko)`&CPWE5ern`)DD z(~N!3ouF(Nf(IVK6W<~6QLu@+AwpTu31j^dhyjk3ZOx&_KpmvhAhkF>H4IOmFU;k5 zUE``x{zNO!8ZN-EeHv3(`4GQNGJz_jaBysa&LCu0wX*Oer2^}8ugvQ-0+XE?9tMrl zErXtfS0#su=0v`bSI|tu93NvEB6+Y|ZdkkGvncY=chW%{-4Eqgi*=)WSyC+sr;mr; z{ZX(V;=-AbOPH5^qb_X~P_Ey&L)8Y8UP4!1E+Es*s9tvgS{94>U zZ4jv4Y987+a6YXvsi9^+Xq)-!+M3|M54G^1^E1UWiO8WZ7z2y z8=K@6nv2b-j87M9QUs^%{aC*44rM08d+7$LlX-Q9U0w+_OL!k3UnM&Fc3JrNHq)6$ z?3QG;e^uxqkiiGz`+naF`Z?AnK@6?mNZd#0`~7n5$}ph4WU{T?OIrLT9)F7)$bD{! zUnP9`qCQB+pPVh>x{&@>$2i)nj5u?y(Y7$+U%P^|wJ)q=`M_Kn{6YuD4$@7;2UmDb zSVtQl!E@-J`SW~e@FBhqtnGS?GaH5hHgzH3GBM)BI=-Y?I}xae6JcG%xc5(y%s=Vz zN?bp@nd9DrDRzj&fr26qzM12OhQWqKzCEL1^^ZQt11QwrXEz8zgl|6HpWUFUfe7Uf z%yD}IuRDOd;~s7rDQT|RZ`~20pgMs*%cH*leDvq|7r^b|4~)R!4>w5801D`TK{6B^ zsO4*ef~re_g~I+D(glG3w__wkfbI-1`F;!cyEpTvU=2err$yyppbVN&{(yVi_XnK# zEu8Z|!YxLfM}jAzpi<}Ipq~6qI$}K7ze^{suWV^!t;}fdY=5KgzZ0-KO)k>k9t!Fp z0|pBBZ-^-0|3AdLc7rp}Za{?k-ER0(V<;nDR1QWcsGkp@{}6FWAMWoWiu@MQ=pRM= z>nR_9SK21x4@7Z&1w$uiQ$T5lf2Z`#=^%gY*8W}T6n6N(%lOx`Lhe{|qxfHyzG?FQ zwY&It5vjcX0Q_6a7x8~uzJDVAwP{H3H^20F|AF{tj}j5;caQR~pf|(huYD%`zk!PS t`~mt$_wnBo=&$4Y@3u7c`vdc@{i?qb2p-{Pn4{hN0lKr2V0hr${{xUQmz)3q literal 0 HcmV?d00001 diff --git a/RAM2E.kicad_pcb b/RAM2E.kicad_pcb new file mode 100644 index 0000000..6eb5226 --- /dev/null +++ b/RAM2E.kicad_pcb @@ -0,0 +1,4889 @@ +(kicad_pcb (version 20171130) (host pcbnew "(5.1.2-1)-1") + + (general + (thickness 1.6) + (drawings 22) + (tracks 1233) + (zones 0) + (modules 22) + (nets 85) + ) + + (page A4) + (layers + (0 F.Cu signal) + (31 B.Cu signal) + (32 B.Adhes user) + (33 F.Adhes user) + (34 B.Paste user) + (35 F.Paste user) + (36 B.SilkS user) + (37 F.SilkS user) + (38 B.Mask user) + (39 F.Mask user) + (40 Dwgs.User user) + (41 Cmts.User user) + (42 Eco1.User user) + (43 Eco2.User user) + (44 Edge.Cuts user) + (45 Margin user) + (46 B.CrtYd user) + (47 F.CrtYd user) + (48 B.Fab user) + (49 F.Fab user) + ) + + (setup + (last_trace_width 0.1524) + (user_trace_width 0.2) + (user_trace_width 0.254) + (user_trace_width 0.508) + (user_trace_width 0.762) + (user_trace_width 1.27) + (user_trace_width 1.524) + (trace_clearance 0.1524) + (zone_clearance 0.2032) + (zone_45_only no) + (trace_min 0.1524) + (via_size 0.6) + (via_drill 0.3) + (via_min_size 0.508) + (via_min_drill 0.2) + (user_via 0.6 0.3) + (user_via 0.8 0.4) + (user_via 1.524 0.762) + (uvia_size 0.3) + (uvia_drill 0.1) + (uvias_allowed no) + (uvia_min_size 0.2) + (uvia_min_drill 0.1) + (edge_width 0.15) + (segment_width 0.1524) + (pcb_text_width 0.3) + (pcb_text_size 1.5 1.5) + (mod_edge_width 0.15) + (mod_text_size 1 1) + (mod_text_width 0.15) + (pad_size 2 2) + (pad_drill 1.1) + (pad_to_mask_clearance 0.0762) + (solder_mask_min_width 0.127) + (pad_to_paste_clearance -0.0381) + (aux_axis_origin 0 0) + (visible_elements FFFFFF7F) + (pcbplotparams + (layerselection 0x010f8_ffffffff) + (usegerberextensions true) + (usegerberattributes false) + (usegerberadvancedattributes false) + (creategerberjobfile false) + (excludeedgelayer true) + (linewidth 0.100000) + (plotframeref false) + (viasonmask false) + (mode 1) + (useauxorigin false) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15.000000) + (psnegative false) + (psa4output false) + (plotreference true) + (plotvalue true) + (plotinvisibletext false) + (padsonsilk false) + (subtractmaskfromsilk true) + (outputformat 1) + (mirror false) + (drillshape 0) + (scaleselection 1) + (outputdirectory "gerber/")) + ) + + (net 0 "") + (net 1 +5V) + (net 2 GND) + (net 3 "Net-(U1-Pad23)") + (net 4 "Net-(U1-Pad14)") + (net 5 "Net-(U1-Pad71)") + (net 6 "Net-(U1-Pad62)") + (net 7 /Vid7M) + (net 8 /~SYNC~) + (net 9 /~PRAS~) + (net 10 /VC) + (net 11 /~C07X~) + (net 12 /~WNDW~) + (net 13 /SEGA) + (net 14 /ROMEN1) + (net 15 /ROMEN2) + (net 16 /MD7) + (net 17 /MD6) + (net 18 /MD5) + (net 19 /MD4) + (net 20 /PHI0) + (net 21 /~CLRGAT~) + (net 22 /~80VID~) + (net 23 /~PCAS~) + (net 24 /~LDPS~) + (net 25 /R~W~80) + (net 26 /PHI1) + (net 27 /~CASEN~) + (net 28 /MD3) + (net 29 /MD2) + (net 30 /MD1) + (net 31 /MD0) + (net 32 /H0) + (net 33 /AN3) + (net 34 /~EN80~) + (net 35 /~ALTVID~) + (net 36 /~SEROUT~) + (net 37 /~ENVID~) + (net 38 /R~W~) + (net 39 /Q3) + (net 40 /SEGB) + (net 41 /~RA9~) + (net 42 /~RA10~) + (net 43 /GR) + (net 44 /~ENTMG~) + (net 45 /RD7) + (net 46 /RD6) + (net 47 /RD5) + (net 48 /RD4) + (net 49 /RD3) + (net 50 /RD2) + (net 51 /RD1) + (net 52 /RD0) + (net 53 /~CAS~) + (net 54 /~RAS~) + (net 55 /R~WE~) + (net 56 /RA10) + (net 57 /RA9) + (net 58 /RA8) + (net 59 /RA11) + (net 60 "Net-(C9-Pad1)") + (net 61 "Net-(R1-Pad1)") + (net 62 "Net-(U1-Pad22)") + (net 63 "Net-(U1-Pad20)") + (net 64 "Net-(U1-Pad17)") + (net 65 "Net-(U1-Pad15)") + (net 66 /MA6) + (net 67 /MA5) + (net 68 /MA4) + (net 69 /MA3) + (net 70 /MA2) + (net 71 /MA1) + (net 72 /MA0) + (net 73 /MA7) + (net 74 /C7M) + (net 75 /C14M) + (net 76 /C3M58) + (net 77 /VD0) + (net 78 /VD1) + (net 79 /VD2) + (net 80 /VD3) + (net 81 /VD4) + (net 82 /VD5) + (net 83 /VD6) + (net 84 /VD7) + + (net_class Default "This is the default net class." + (clearance 0.1524) + (trace_width 0.1524) + (via_dia 0.6) + (via_drill 0.3) + (uvia_dia 0.3) + (uvia_drill 0.1) + (add_net +5V) + (add_net /AN3) + (add_net /C14M) + (add_net /C3M58) + (add_net /C7M) + (add_net /GR) + (add_net /H0) + (add_net /MA0) + (add_net /MA1) + (add_net /MA2) + (add_net /MA3) + (add_net /MA4) + (add_net /MA5) + (add_net /MA6) + (add_net /MA7) + (add_net /MD0) + (add_net /MD1) + (add_net /MD2) + (add_net /MD3) + (add_net /MD4) + (add_net /MD5) + (add_net /MD6) + (add_net /MD7) + (add_net /PHI0) + (add_net /PHI1) + (add_net /Q3) + (add_net /RA10) + (add_net /RA11) + (add_net /RA8) + (add_net /RA9) + (add_net /RD0) + (add_net /RD1) + (add_net /RD2) + (add_net /RD3) + (add_net /RD4) + (add_net /RD5) + (add_net /RD6) + (add_net /RD7) + (add_net /ROMEN1) + (add_net /ROMEN2) + (add_net /R~WE~) + (add_net /R~W~) + (add_net /R~W~80) + (add_net /SEGA) + (add_net /SEGB) + (add_net /VC) + (add_net /VD0) + (add_net /VD1) + (add_net /VD2) + (add_net /VD3) + (add_net /VD4) + (add_net /VD5) + (add_net /VD6) + (add_net /VD7) + (add_net /Vid7M) + (add_net /~80VID~) + (add_net /~ALTVID~) + (add_net /~C07X~) + (add_net /~CASEN~) + (add_net /~CAS~) + (add_net /~CLRGAT~) + (add_net /~EN80~) + (add_net /~ENTMG~) + (add_net /~ENVID~) + (add_net /~LDPS~) + (add_net /~PCAS~) + (add_net /~PRAS~) + (add_net /~RA10~) + (add_net /~RA9~) + (add_net /~RAS~) + (add_net /~SEROUT~) + (add_net /~SYNC~) + (add_net /~WNDW~) + (add_net GND) + (add_net "Net-(C9-Pad1)") + (add_net "Net-(R1-Pad1)") + (add_net "Net-(U1-Pad14)") + (add_net "Net-(U1-Pad15)") + (add_net "Net-(U1-Pad17)") + (add_net "Net-(U1-Pad20)") + (add_net "Net-(U1-Pad22)") + (add_net "Net-(U1-Pad23)") + (add_net "Net-(U1-Pad62)") + (add_net "Net-(U1-Pad71)") + ) + + (module stdpads:C_0805 (layer F.Cu) (tedit 5CC26793) (tstamp 5D40EBE2) + (at 204.382 113.411 180) + (tags capacitor) + (path /5D463FD5) + (attr smd) + (fp_text reference C10 (at 0 -1.5) (layer F.SilkS) hide + (effects (font (size 0.8128 0.8128) (thickness 0.1524))) + ) + (fp_text value 10u (at 0 0.9) (layer F.Fab) hide + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 1 smd roundrect (at -0.85 0 180) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (pad 2 smd roundrect (at 0.85 0 180) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0805 (layer F.Cu) (tedit 5CC26793) (tstamp 5D34427C) + (at 267.97 99.91 270) + (tags capacitor) + (path /5E597D43) + (attr smd) + (fp_text reference C9 (at 0 -1.5 90) (layer F.SilkS) hide + (effects (font (size 0.8128 0.8128) (thickness 0.1524))) + ) + (fp_text value 10p (at 0 0.9 90) (layer F.Fab) hide + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (pad 2 smd roundrect (at 0.85 0 270) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (pad 1 smd roundrect (at -0.85 0 270) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 60 "Net-(C9-Pad1)")) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:R_0805 (layer F.Cu) (tedit 5CC267AA) (tstamp 5D343953) + (at 267.97 96.332 270) + (tags resistor) + (path /5E545933) + (attr smd) + (fp_text reference R1 (at 0 -1.5 90) (layer F.SilkS) hide + (effects (font (size 0.8128 0.8128) (thickness 0.1524))) + ) + (fp_text value 1k2 (at 0 0.889 90) (layer F.Fab) hide + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.1)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.1)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 1 smd roundrect (at -0.95 0 270) (size 0.85 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 61 "Net-(R1-Pad1)")) + (pad 2 smd roundrect (at 0.95 0 270) (size 0.85 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 60 "Net-(C9-Pad1)")) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:PLCC-84 (layer F.Cu) (tedit 5D0DA861) (tstamp 5D0105A6) + (at 247.65 111.76 270) + (descr "PLCC, 84 Pin (http://www.microsemi.com/index.php?option=com_docman&task=doc_download&gid=131095), generated with kicad-footprint-generator ipc_plcc_jLead_generator.py") + (tags "PLCC LCC") + (path /5CFBB2C9) + (attr smd) + (fp_text reference U1 (at 0 0 90) (layer F.SilkS) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (fp_text value EPM7128SL84 (at 0 1.524 90) (layer F.Fab) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (fp_line (start -15.45 -13.25) (end -15.45 0) (layer F.CrtYd) (width 0.05)) + (fp_line (start -14.91 -13.25) (end -15.45 -13.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -14.91 -13.62) (end -14.91 -13.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -13.62 -14.91) (end -14.91 -13.62) (layer F.CrtYd) (width 0.05)) + (fp_line (start -13.25 -14.91) (end -13.62 -14.91) (layer F.CrtYd) (width 0.05)) + (fp_line (start -13.25 -15.45) (end -13.25 -14.91) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0 -15.45) (end -13.25 -15.45) (layer F.CrtYd) (width 0.05)) + (fp_line (start 15.45 13.25) (end 15.45 0) (layer F.CrtYd) (width 0.05)) + (fp_line (start 14.91 13.25) (end 15.45 13.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 14.91 14.91) (end 14.91 13.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 13.25 14.91) (end 14.91 14.91) (layer F.CrtYd) (width 0.05)) + (fp_line (start 13.25 15.45) (end 13.25 14.91) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0 15.45) (end 13.25 15.45) (layer F.CrtYd) (width 0.05)) + (fp_line (start -15.45 13.25) (end -15.45 0) (layer F.CrtYd) (width 0.05)) + (fp_line (start -14.91 13.25) (end -15.45 13.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -14.91 14.91) (end -14.91 13.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start -13.25 14.91) (end -14.91 14.91) (layer F.CrtYd) (width 0.05)) + (fp_line (start -13.25 15.45) (end -13.25 14.91) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0 15.45) (end -13.25 15.45) (layer F.CrtYd) (width 0.05)) + (fp_line (start 15.45 -13.25) (end 15.45 0) (layer F.CrtYd) (width 0.05)) + (fp_line (start 14.91 -13.25) (end 15.45 -13.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 14.91 -14.91) (end 14.91 -13.25) (layer F.CrtYd) (width 0.05)) + (fp_line (start 13.25 -14.91) (end 14.91 -14.91) (layer F.CrtYd) (width 0.05)) + (fp_line (start 13.25 -15.45) (end 13.25 -14.91) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0 -15.45) (end 13.25 -15.45) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.5 -14.6558) (end 0 -13.948693) (layer F.Fab) (width 0.1)) + (fp_line (start -13.5128 -14.6558) (end -0.5 -14.6558) (layer F.Fab) (width 0.1)) + (fp_line (start -14.6558 -13.5128) (end -13.5128 -14.6558) (layer F.Fab) (width 0.1)) + (fp_line (start -14.6558 14.6558) (end -14.6558 -13.5128) (layer F.Fab) (width 0.1)) + (fp_line (start 14.6558 14.6558) (end -14.6558 14.6558) (layer F.Fab) (width 0.1)) + (fp_line (start 14.6558 -14.6558) (end 14.6558 14.6558) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 -14.6558) (end 14.6558 -14.6558) (layer F.Fab) (width 0.1)) + (fp_line (start 0 -13.948693) (end 0.5 -14.6558) (layer F.Fab) (width 0.1)) + (fp_line (start -14.7658 -13.590582) (end -14.7658 -13.26) (layer F.SilkS) (width 0.1524)) + (fp_line (start -13.590582 -14.7658) (end -14.7658 -13.590582) (layer F.SilkS) (width 0.1524)) + (fp_line (start -13.26 -14.7658) (end -13.590582 -14.7658) (layer F.SilkS) (width 0.1524)) + (fp_line (start 14.7658 14.7658) (end 14.7658 13.26) (layer F.SilkS) (width 0.1524)) + (fp_line (start 13.26 14.7658) (end 14.7658 14.7658) (layer F.SilkS) (width 0.1524)) + (fp_line (start -14.7658 14.7658) (end -14.7658 13.26) (layer F.SilkS) (width 0.1524)) + (fp_line (start -13.26 14.7658) (end -14.7658 14.7658) (layer F.SilkS) (width 0.1524)) + (fp_line (start 14.7658 -14.7658) (end 14.7658 -13.26) (layer F.SilkS) (width 0.1524)) + (fp_line (start 13.26 -14.7658) (end 14.7658 -14.7658) (layer F.SilkS) (width 0.1524)) + (pad 84 smd roundrect (at 1.27 -14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 75 /C14M)) + (pad 83 smd roundrect (at 2.54 -14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 75 /C14M)) + (pad 82 smd roundrect (at 3.81 -14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (pad 81 smd roundrect (at 5.08 -14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 23 /~PCAS~)) + (pad 80 smd roundrect (at 6.35 -14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 25 /R~W~80)) + (pad 79 smd roundrect (at 7.62 -14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 26 /PHI1)) + (pad 78 smd roundrect (at 8.89 -14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (pad 77 smd roundrect (at 10.16 -14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 27 /~CASEN~)) + (pad 76 smd roundrect (at 11.43 -14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 34 /~EN80~)) + (pad 75 smd roundrect (at 12.7 -14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 20 /PHI0)) + (pad 74 smd roundrect (at 14.35 -12.7 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 80 /VD3)) + (pad 73 smd roundrect (at 14.35 -11.43 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 28 /MD3)) + (pad 72 smd roundrect (at 14.35 -10.16 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (pad 71 smd roundrect (at 14.35 -8.89 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 5 "Net-(U1-Pad71)")) + (pad 70 smd roundrect (at 14.35 -7.62 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 81 /VD4)) + (pad 69 smd roundrect (at 14.35 -6.35 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 19 /MD4)) + (pad 68 smd roundrect (at 14.35 -5.08 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 29 /MD2)) + (pad 67 smd roundrect (at 14.35 -3.81 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 79 /VD2)) + (pad 66 smd roundrect (at 14.35 -2.54 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (pad 65 smd roundrect (at 14.35 -1.27 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 18 /MD5)) + (pad 64 smd roundrect (at 14.35 0 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 82 /VD5)) + (pad 63 smd roundrect (at 14.35 1.27 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 78 /VD1)) + (pad 62 smd roundrect (at 14.35 2.54 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 6 "Net-(U1-Pad62)")) + (pad 61 smd roundrect (at 14.35 3.81 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 30 /MD1)) + (pad 60 smd roundrect (at 14.35 5.08 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 83 /VD6)) + (pad 59 smd roundrect (at 14.35 6.35 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (pad 58 smd roundrect (at 14.35 7.62 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 17 /MD6)) + (pad 57 smd roundrect (at 14.35 8.89 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 31 /MD0)) + (pad 56 smd roundrect (at 14.35 10.16 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 77 /VD0)) + (pad 55 smd roundrect (at 14.35 11.43 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 16 /MD7)) + (pad 54 smd roundrect (at 14.35 12.7 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 84 /VD7)) + (pad 53 smd roundrect (at 12.7 14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (pad 52 smd roundrect (at 11.43 14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 66 /MA6)) + (pad 51 smd roundrect (at 10.16 14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 67 /MA5)) + (pad 50 smd roundrect (at 8.89 14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 68 /MA4)) + (pad 49 smd roundrect (at 7.62 14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 69 /MA3)) + (pad 48 smd roundrect (at 6.35 14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 70 /MA2)) + (pad 47 smd roundrect (at 5.08 14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (pad 46 smd roundrect (at 3.81 14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 71 /MA1)) + (pad 45 smd roundrect (at 2.54 14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 72 /MA0)) + (pad 44 smd roundrect (at 1.27 14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 73 /MA7)) + (pad 43 smd roundrect (at 0 14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (pad 42 smd roundrect (at -1.27 14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (pad 41 smd roundrect (at -2.54 14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 58 /RA8)) + (pad 40 smd roundrect (at -3.81 14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 57 /RA9)) + (pad 39 smd roundrect (at -5.08 14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 54 /~RAS~)) + (pad 38 smd roundrect (at -6.35 14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (pad 37 smd roundrect (at -7.62 14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 55 /R~WE~)) + (pad 36 smd roundrect (at -8.89 14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 49 /RD3)) + (pad 35 smd roundrect (at -10.16 14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 50 /RD2)) + (pad 34 smd roundrect (at -11.43 14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 51 /RD1)) + (pad 33 smd roundrect (at -12.7 14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 52 /RD0)) + (pad 32 smd roundrect (at -14.35 12.7 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (pad 31 smd roundrect (at -14.35 11.43 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 45 /RD7)) + (pad 30 smd roundrect (at -14.35 10.16 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 46 /RD6)) + (pad 29 smd roundrect (at -14.35 8.89 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 47 /RD5)) + (pad 28 smd roundrect (at -14.35 7.62 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 48 /RD4)) + (pad 27 smd roundrect (at -14.35 6.35 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 53 /~CAS~)) + (pad 26 smd roundrect (at -14.35 5.08 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (pad 25 smd roundrect (at -14.35 3.81 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 59 /RA11)) + (pad 24 smd roundrect (at -14.35 2.54 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 56 /RA10)) + (pad 23 smd roundrect (at -14.35 1.27 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 "Net-(U1-Pad23)")) + (pad 22 smd roundrect (at -14.35 0 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 62 "Net-(U1-Pad22)")) + (pad 21 smd roundrect (at -14.35 -1.27 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 63 "Net-(U1-Pad20)")) + (pad 20 smd roundrect (at -14.35 -2.54 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 63 "Net-(U1-Pad20)")) + (pad 19 smd roundrect (at -14.35 -3.81 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (pad 18 smd roundrect (at -14.35 -5.08 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 64 "Net-(U1-Pad17)")) + (pad 17 smd roundrect (at -14.35 -6.35 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 64 "Net-(U1-Pad17)")) + (pad 16 smd roundrect (at -14.35 -7.62 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 65 "Net-(U1-Pad15)")) + (pad 15 smd roundrect (at -14.35 -8.89 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 65 "Net-(U1-Pad15)")) + (pad 14 smd roundrect (at -14.35 -10.16 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 4 "Net-(U1-Pad14)")) + (pad 13 smd roundrect (at -14.35 -11.43 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (pad 12 smd roundrect (at -14.35 -12.7 270) (size 1.7 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 61 "Net-(R1-Pad1)")) + (pad 11 smd roundrect (at -12.7 -14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 60 "Net-(C9-Pad1)")) + (pad 10 smd roundrect (at -11.43 -14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 76 /C3M58)) + (pad 9 smd roundrect (at -10.16 -14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 74 /C7M)) + (pad 8 smd roundrect (at -8.89 -14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 9 /~PRAS~)) + (pad 7 smd roundrect (at -7.62 -14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (pad 6 smd roundrect (at -6.35 -14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 11 /~C07X~)) + (pad 5 smd roundrect (at -5.08 -14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 38 /R~W~)) + (pad 4 smd roundrect (at -3.81 -14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 33 /AN3)) + (pad 3 smd roundrect (at -2.54 -14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (pad 2 smd roundrect (at -1.27 -14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 39 /Q3)) + (pad 1 smd roundrect (at 0 -14.35 270) (size 0.6 1.7) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 39 /Q3)) + (model ${KIPRJMOD}/../stdpads.3dshapes/PLCC-84.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 180)) + ) + ) + + (module stdpads:Fiducial (layer F.Cu) (tedit 5CFD71CD) (tstamp 5CFE8A63) + (at 274.066 89.281) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags marker) + (path /5CC4DBD8) + (attr smd) + (fp_text reference FID3 (at 0 -1.6) (layer F.SilkS) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_text value Fiducial (at 0 1.651) (layer F.Fab) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (fp_circle (center 0 0) (end 1.25 0) (layer F.CrtYd) (width 0.05)) + (pad ~ smd circle (at 0 0) (size 1 1) (layers F.Cu F.Mask) + (solder_mask_margin 0.5) (clearance 0.575)) + ) + + (module stdpads:PasteHole_1.1mm_PTH (layer F.Cu) (tedit 5CC28440) (tstamp 5D00C68D) + (at 270.002 93.091) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags marker) + (path /5CC7E0B9) + (zone_connect 2) + (attr virtual) + (fp_text reference H3 (at 0 -1.6) (layer F.SilkS) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_text value " " (at 0 2) (layer F.Fab) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (fp_circle (center 0 0) (end 1.25 0) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole circle (at 0 0) (size 2 2) (drill 1.1) (layers *.Cu *.Mask) + (net 2 GND) (zone_connect 2)) + ) + + (module stdpads:AppleIIeAux_Edge (layer F.Cu) (tedit 5CFDC86F) (tstamp 5D02A231) + (at 237.49 135.382) + (path /5CFB6FE3) + (attr virtual) + (fp_text reference J1 (at 0 -6.35) (layer F.SilkS) hide + (effects (font (size 1.016 1.016) (thickness 0.1524))) + ) + (fp_text value AppleIIeAux (at 0 -5.08) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user 60 (at -36.83 -5.207) (layer B.SilkS) hide + (effects (font (size 1.016 1.016) (thickness 0.1524)) (justify mirror)) + ) + (fp_text user 31 (at 36.83 -5.207) (layer B.SilkS) hide + (effects (font (size 1.016 1.016) (thickness 0.1524)) (justify mirror)) + ) + (fp_text user 30 (at 36.83 -5.207) (layer F.SilkS) hide + (effects (font (size 1.016 1.016) (thickness 0.1524))) + ) + (fp_text user 1 (at -36.83 -5.207) (layer F.SilkS) hide + (effects (font (size 1.016 1.016) (thickness 0.1524))) + ) + (fp_line (start -38.862 4.318) (end -38.862 -3.81) (layer B.Fab) (width 0.127)) + (fp_line (start 38.862 4.318) (end -38.862 4.318) (layer B.Fab) (width 0.127)) + (fp_line (start 38.862 -3.81) (end 38.862 4.318) (layer F.Fab) (width 0.127)) + (fp_line (start 38.862 4.318) (end -38.862 4.318) (layer F.Fab) (width 0.127)) + (fp_line (start -38.862 4.318) (end -38.862 -3.81) (layer F.Fab) (width 0.127)) + (fp_line (start 38.862 -3.81) (end 38.862 4.318) (layer B.Fab) (width 0.127)) + (pad 60 smd roundrect (at -36.83 0 180) (size 1.524 7.54) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 44 /~ENTMG~)) + (pad 59 smd roundrect (at -34.29 0 180) (size 1.524 7.54) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 74 /C7M)) + (pad 58 smd roundrect (at -31.75 0 180) (size 1.524 7.54) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 43 /GR)) + (pad 57 smd roundrect (at -29.21 0 180) (size 1.524 7.54) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 42 /~RA10~)) + (pad 56 smd roundrect (at -26.67 0 180) (size 1.524 7.54) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 41 /~RA9~)) + (pad 55 smd roundrect (at -24.13 0 180) (size 1.524 7.54) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 33 /AN3)) + (pad 54 smd roundrect (at -21.59 0 180) (size 1.524 7.54) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 40 /SEGB)) + (pad 53 smd roundrect (at -19.05 0 180) (size 1.524 7.54) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 39 /Q3)) + (pad 52 smd roundrect (at -16.51 0 180) (size 1.524 7.54) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 38 /R~W~)) + (pad 51 smd roundrect (at -13.97 0 180) (size 1.524 7.54) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 72 /MA0)) + (pad 30 smd roundrect (at 36.83 0 180) (size 1.524 7.54) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (pad 29 smd roundrect (at 34.29 0 180) (size 1.524 7.54) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 37 /~ENVID~)) + (pad 28 smd roundrect (at 31.75 0 180) (size 1.524 7.54) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 36 /~SEROUT~)) + (pad 27 smd roundrect (at 29.21 0 180) (size 1.524 7.54) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 35 /~ALTVID~)) + (pad 26 smd roundrect (at 26.67 0 180) (size 1.524 7.54) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 34 /~EN80~)) + (pad 50 smd roundrect (at -11.43 0 180) (size 1.524 7.54) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 33 /AN3)) + (pad 49 smd roundrect (at -8.89 0 180) (size 1.524 7.54) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 70 /MA2)) + (pad 48 smd roundrect (at -6.35 0 180) (size 1.524 7.54) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 69 /MA3)) + (pad 47 smd roundrect (at -3.81 0 180) (size 1.524 7.54) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 32 /H0)) + (pad 46 smd roundrect (at -1.27 0 180) (size 1.524 7.54) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 66 /MA6)) + (pad 45 smd roundrect (at 1.27 0 180) (size 1.524 7.54) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 77 /VD0)) + (pad 44 smd roundrect (at 3.81 0 180) (size 1.524 7.54) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 31 /MD0)) + (pad 43 smd roundrect (at 6.35 0 180) (size 1.524 7.54) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 30 /MD1)) + (pad 42 smd roundrect (at 8.89 0 180) (size 1.524 7.54) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 78 /VD1)) + (pad 41 smd roundrect (at 11.43 0 180) (size 1.524 7.54) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 79 /VD2)) + (pad 40 smd roundrect (at 13.97 0 180) (size 1.524 7.54) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 29 /MD2)) + (pad 39 smd roundrect (at 16.51 0 180) (size 1.524 7.54) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 28 /MD3)) + (pad 38 smd roundrect (at 19.05 0 180) (size 1.524 7.54) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 80 /VD3)) + (pad 37 smd roundrect (at 21.59 0 180) (size 1.524 7.54) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 27 /~CASEN~)) + (pad 36 smd roundrect (at 24.13 0 180) (size 1.524 7.54) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 26 /PHI1)) + (pad 35 smd roundrect (at 26.67 0 180) (size 1.524 7.54) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 25 /R~W~80)) + (pad 34 smd roundrect (at 29.21 0 180) (size 1.524 7.54) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 24 /~LDPS~)) + (pad 33 smd roundrect (at 31.75 0 180) (size 1.524 7.54) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 23 /~PCAS~)) + (pad 32 smd roundrect (at 34.29 0 180) (size 1.524 7.54) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 75 /C14M)) + (pad 31 smd roundrect (at 36.83 0 180) (size 1.524 7.54) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (pad 25 smd roundrect (at 24.13 0 180) (size 1.524 7.54) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 22 /~80VID~)) + (pad 24 smd roundrect (at 21.59 0 180) (size 1.524 7.54) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 21 /~CLRGAT~)) + (pad 23 smd roundrect (at 19.05 0 180) (size 1.524 7.54) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 20 /PHI0)) + (pad 22 smd roundrect (at 16.51 0 180) (size 1.524 7.54) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 81 /VD4)) + (pad 21 smd roundrect (at 13.97 0 180) (size 1.524 7.54) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 19 /MD4)) + (pad 20 smd roundrect (at 11.43 0 180) (size 1.524 7.54) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 18 /MD5)) + (pad 19 smd roundrect (at 8.89 0 180) (size 1.524 7.54) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 82 /VD5)) + (pad 18 smd roundrect (at 6.35 0 180) (size 1.524 7.54) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 83 /VD6)) + (pad 17 smd roundrect (at 3.81 0 180) (size 1.524 7.54) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 17 /MD6)) + (pad 16 smd roundrect (at 1.27 0 180) (size 1.524 7.54) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 16 /MD7)) + (pad 15 smd roundrect (at -1.27 0 180) (size 1.524 7.54) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 84 /VD7)) + (pad 14 smd roundrect (at -3.81 0 180) (size 1.524 7.54) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 67 /MA5)) + (pad 13 smd roundrect (at -6.35 0 180) (size 1.524 7.54) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 68 /MA4)) + (pad 12 smd roundrect (at -8.89 0 180) (size 1.524 7.54) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 15 /ROMEN2)) + (pad 11 smd roundrect (at -11.43 0 180) (size 1.524 7.54) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 14 /ROMEN1)) + (pad 10 smd roundrect (at -13.97 0 180) (size 1.524 7.54) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 71 /MA1)) + (pad 9 smd roundrect (at -16.51 0 180) (size 1.524 7.54) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 73 /MA7)) + (pad 8 smd roundrect (at -19.05 0 180) (size 1.524 7.54) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 13 /SEGA)) + (pad 7 smd roundrect (at -21.59 0 180) (size 1.524 7.54) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 12 /~WNDW~)) + (pad 6 smd roundrect (at -24.13 0 180) (size 1.524 7.54) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 11 /~C07X~)) + (pad 5 smd roundrect (at -26.67 0 180) (size 1.524 7.54) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 10 /VC)) + (pad 4 smd roundrect (at -29.21 0 180) (size 1.524 7.54) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 9 /~PRAS~)) + (pad 3 smd roundrect (at -31.75 0 180) (size 1.524 7.54) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 8 /~SYNC~)) + (pad 2 smd roundrect (at -34.29 0 180) (size 1.524 7.54) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 7 /Vid7M)) + (pad 1 smd roundrect (at -36.83 0 180) (size 1.524 7.54) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 76 /C3M58)) + ) + + (module stdpads:C_0805 (layer F.Cu) (tedit 5CC26793) (tstamp 5CFE9FA8) + (at 214.972 117.475) + (tags capacitor) + (path /5D136B08) + (attr smd) + (fp_text reference C1 (at 0 -1.5) (layer F.SilkS) hide + (effects (font (size 0.8128 0.8128) (thickness 0.1524))) + ) + (fp_text value 10u (at 0 0.9) (layer F.Fab) hide + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 1 smd roundrect (at -0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (pad 2 smd roundrect (at 0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0805 (layer F.Cu) (tedit 5CC26793) (tstamp 5CFE89C3) + (at 214.972 95.885) + (tags capacitor) + (path /5D14D1B0) + (attr smd) + (fp_text reference C2 (at 0 -1.5) (layer F.SilkS) hide + (effects (font (size 0.8128 0.8128) (thickness 0.1524))) + ) + (fp_text value 10u (at 0 0.9) (layer F.Fab) hide + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (pad 2 smd roundrect (at 0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (pad 1 smd roundrect (at -0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0805 (layer F.Cu) (tedit 5CC26793) (tstamp 5CFEB05C) + (at 241.72 91.44 180) + (tags capacitor) + (path /5CB37AA0) + (attr smd) + (fp_text reference C5 (at 0 -1.5) (layer F.SilkS) hide + (effects (font (size 0.8128 0.8128) (thickness 0.1524))) + ) + (fp_text value 10u (at 0 0.9) (layer F.Fab) hide + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 1 smd roundrect (at -0.85 0 180) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (pad 2 smd roundrect (at 0.85 0 180) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0805 (layer F.Cu) (tedit 5CC26793) (tstamp 5CFE89E5) + (at 267.97 108.37 90) + (tags capacitor) + (path /5D14D1AA) + (attr smd) + (fp_text reference C3 (at 0 -1.5 90) (layer F.SilkS) hide + (effects (font (size 0.8128 0.8128) (thickness 0.1524))) + ) + (fp_text value 10u (at 0 0.9 90) (layer F.Fab) hide + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 1 smd roundrect (at -0.85 0 90) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (pad 2 smd roundrect (at 0.85 0 90) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0805 (layer F.Cu) (tedit 5CC26793) (tstamp 5CFE89F6) + (at 267.97 119.8 90) + (tags capacitor) + (path /5CC13922) + (attr smd) + (fp_text reference C8 (at 0 -1.5 90) (layer F.SilkS) hide + (effects (font (size 0.8128 0.8128) (thickness 0.1524))) + ) + (fp_text value 10u (at 0 0.9 90) (layer F.Fab) hide + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (pad 2 smd roundrect (at 0.85 0 90) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (pad 1 smd roundrect (at -0.85 0 90) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0805 (layer F.Cu) (tedit 5CC26793) (tstamp 5CFE8A18) + (at 227.33 110.91 90) + (tags capacitor) + (path /5D140E8E) + (attr smd) + (fp_text reference C6 (at 0 -1.5 90) (layer F.SilkS) hide + (effects (font (size 0.8128 0.8128) (thickness 0.1524))) + ) + (fp_text value 10u (at 0 0.9 90) (layer F.Fab) hide + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 1 smd roundrect (at -0.85 0 90) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (pad 2 smd roundrect (at 0.85 0 90) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0805 (layer F.Cu) (tedit 5CC26793) (tstamp 5CFE8A3A) + (at 259.969 91.44) + (tags capacitor) + (path /5C4F04D1) + (attr smd) + (fp_text reference C4 (at 0 -1.5) (layer F.SilkS) hide + (effects (font (size 0.8128 0.8128) (thickness 0.1524))) + ) + (fp_text value 10u (at 0 0.9) (layer F.Fab) hide + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 1 smd roundrect (at -0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (pad 2 smd roundrect (at 0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0805 (layer F.Cu) (tedit 5CC26793) (tstamp 5CFEA7FF) + (at 227.33 123.61 90) + (tags capacitor) + (path /5CB37AAE) + (attr smd) + (fp_text reference C7 (at 0 -1.5 90) (layer F.SilkS) hide + (effects (font (size 0.8128 0.8128) (thickness 0.1524))) + ) + (fp_text value 10u (at 0 0.9 90) (layer F.Fab) hide + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (pad 2 smd roundrect (at 0.85 0 90) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (pad 1 smd roundrect (at -0.85 0 90) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:Fiducial (layer F.Cu) (tedit 5CFD71CD) (tstamp 5CFE8A53) + (at 203.2 129.54) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags marker) + (path /5CC47A28) + (attr smd) + (fp_text reference FID1 (at 0 -1.6) (layer F.SilkS) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_text value Fiducial (at 0 1.651) (layer F.Fab) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (fp_circle (center 0 0) (end 1.25 0) (layer F.CrtYd) (width 0.05)) + (pad ~ smd circle (at 0 0) (size 1 1) (layers F.Cu F.Mask) + (solder_mask_margin 0.5) (clearance 0.575)) + ) + + (module stdpads:Fiducial (layer F.Cu) (tedit 5CFD71CD) (tstamp 5D322278) + (at 201.168 89.281) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags marker) + (path /5CC4921D) + (attr smd) + (fp_text reference FID2 (at 0 -1.6) (layer F.SilkS) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_text value Fiducial (at 0 1.651) (layer F.Fab) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_circle (center 0 0) (end 1.25 0) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) + (pad ~ smd circle (at 0 0) (size 1 1) (layers F.Cu F.Mask) + (solder_mask_margin 0.5) (clearance 0.575)) + ) + + (module stdpads:Fiducial (layer F.Cu) (tedit 5CFD71CD) (tstamp 5CFE8A6B) + (at 271.78 129.54) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags marker) + (path /5CC4DBDF) + (attr smd) + (fp_text reference FID4 (at 0 -1.6) (layer F.SilkS) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_text value Fiducial (at 0 1.651) (layer F.Fab) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_circle (center 0 0) (end 1.25 0) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) + (pad ~ smd circle (at 0 0) (size 1 1) (layers F.Cu F.Mask) + (solder_mask_margin 0.5) (clearance 0.575)) + ) + + (module stdpads:PasteHole_1.1mm_PTH (layer F.Cu) (tedit 5CC28440) (tstamp 5CFE8A7B) + (at 207.01 129.54) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags marker) + (path /5CC53461) + (zone_connect 2) + (attr virtual) + (fp_text reference H1 (at 0 -1.6) (layer F.SilkS) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_text value " " (at 0 2) (layer F.Fab) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (fp_circle (center 0 0) (end 1.25 0) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole circle (at 0 0) (size 2 2) (drill 1.1) (layers *.Cu *.Mask) + (net 2 GND) (zone_connect 2)) + ) + + (module stdpads:PasteHole_1.1mm_PTH (layer F.Cu) (tedit 5CC28440) (tstamp 5CFE8A83) + (at 204.978 89.281) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags marker) + (path /5CC795A2) + (zone_connect 2) + (attr virtual) + (fp_text reference H2 (at 0 -1.6) (layer F.SilkS) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_text value " " (at 0 2) (layer F.Fab) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_circle (center 0 0) (end 1.25 0) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) + (pad 1 thru_hole circle (at 0 0) (size 2 2) (drill 1.1) (layers *.Cu *.Mask) + (net 2 GND) (zone_connect 2)) + ) + + (module stdpads:PasteHole_1.1mm_PTH (layer F.Cu) (tedit 5CC28440) (tstamp 5CFE8A93) + (at 267.97 129.54) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags marker) + (path /5CC7E0C0) + (zone_connect 2) + (attr virtual) + (fp_text reference H4 (at 0 -1.6) (layer F.SilkS) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_text value " " (at 0 2) (layer F.Fab) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_circle (center 0 0) (end 1.25 0) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) + (pad 1 thru_hole circle (at 0 0) (size 2 2) (drill 1.1) (layers *.Cu *.Mask) + (net 2 GND) (zone_connect 2)) + ) + + (module stdpads:SOJ-28-300mil (layer F.Cu) (tedit 5D17B858) (tstamp 5D3487D8) + (at 217.805 106.68) + (path /5DA22C4C) + (attr smd) + (fp_text reference U2 (at 0 -10.0838) (layer F.SilkS) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_text value DRAM_2Mx8 (at 0 10.1092) (layer F.Fab) + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_text user %R (at 0 -0.635 90) (layer F.SilkS) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (fp_line (start -2.75 -9.35) (end 3.75 -9.35) (layer F.Fab) (width 0.15)) + (fp_line (start 3.75 -9.35) (end 3.75 9.35) (layer F.Fab) (width 0.15)) + (fp_line (start 3.75 9.35) (end -3.75 9.35) (layer F.Fab) (width 0.15)) + (fp_line (start -3.75 9.35) (end -3.75 -8.35) (layer F.Fab) (width 0.15)) + (fp_line (start -3.75 -8.35) (end -2.75 -9.35) (layer F.Fab) (width 0.15)) + (fp_line (start -5.95 -9.7) (end -5.95 9.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.95 -9.7) (end 5.95 9.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -5.95 -9.7) (end 5.95 -9.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -5.95 9.7) (end 5.95 9.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.875 -9.525) (end -3.875 -8.875) (layer F.SilkS) (width 0.2)) + (fp_line (start 3.875 -9.525) (end 3.875 -8.78) (layer F.SilkS) (width 0.2)) + (fp_line (start 3.875 9.525) (end 3.875 8.78) (layer F.SilkS) (width 0.2)) + (fp_line (start -3.875 9.525) (end -3.875 8.78) (layer F.SilkS) (width 0.2)) + (fp_line (start -3.875 -9.525) (end 3.875 -9.525) (layer F.SilkS) (width 0.2)) + (fp_line (start -3.875 9.525) (end 3.875 9.525) (layer F.SilkS) (width 0.2)) + (fp_line (start -3.875 -8.875) (end -5.7 -8.875) (layer F.SilkS) (width 0.2)) + (pad 28 smd roundrect (at 3.7 -8.255) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (pad 27 smd roundrect (at 3.7 -6.985) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 45 /RD7)) + (pad 14 smd roundrect (at -3.7 8.255) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (pad 8 smd roundrect (at -3.7 0.635) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 59 /RA11)) + (pad 19 smd roundrect (at 3.7 3.175) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 73 /MA7)) + (pad 6 smd roundrect (at -3.7 -1.905) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 55 /R~WE~)) + (pad 21 smd roundrect (at 3.7 0.635) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 57 /RA9)) + (pad 1 smd roundrect (at -3.7 -8.255) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (pad 2 smd roundrect (at -3.7 -6.985) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 52 /RD0)) + (pad 3 smd roundrect (at -3.7 -5.715) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 51 /RD1)) + (pad 4 smd roundrect (at -3.7 -4.445) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 50 /RD2)) + (pad 5 smd roundrect (at -3.7 -3.175) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 49 /RD3)) + (pad 9 smd roundrect (at -3.7 1.905) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 56 /RA10)) + (pad 10 smd roundrect (at -3.7 3.175) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 72 /MA0)) + (pad 11 smd roundrect (at -3.7 4.445) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 70 /MA2)) + (pad 12 smd roundrect (at -3.7 5.715) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 68 /MA4)) + (pad 13 smd roundrect (at -3.7 6.985) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 66 /MA6)) + (pad 15 smd roundrect (at 3.7 8.255) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (pad 16 smd roundrect (at 3.7 6.985) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 67 /MA5)) + (pad 17 smd roundrect (at 3.7 5.715) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 69 /MA3)) + (pad 18 smd roundrect (at 3.7 4.445) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 71 /MA1)) + (pad 22 smd roundrect (at 3.7 -0.635) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (pad 23 smd roundrect (at 3.7 -1.905) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 53 /~CAS~)) + (pad 24 smd roundrect (at 3.7 -3.175) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 48 /RD4)) + (pad 25 smd roundrect (at 3.7 -4.445) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 47 /RD5)) + (pad 26 smd roundrect (at 3.7 -5.715) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 46 /RD6)) + (pad 7 smd roundrect (at -3.7 -0.635) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 54 /~RAS~)) + (pad 20 smd roundrect (at 3.7 1.905) (size 4 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 58 /RA8)) + (model ${KISYS3DMOD}/Package_SO.3dshapes/SOIC-28W_7.5x17.9mm_P1.27mm.step + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (gr_text JLCJLCJLC (at 222.631 127.889) (layer B.SilkS) (tstamp 5D02F109) + (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify mirror)) + ) + (gr_text "ZK, GF" (at 205.74 127.635) (layer B.SilkS) (tstamp 5D02B498) + (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify mirror)) + ) + (gr_line (start 259.207 123.571) (end 260.223 125.349) (layer B.SilkS) (width 0.2) (tstamp 5D02A972)) + (gr_line (start 258.191 125.349) (end 259.207 123.571) (layer B.SilkS) (width 0.2) (tstamp 5D02A975)) + (gr_line (start 260.223 125.349) (end 258.191 125.349) (layer B.SilkS) (width 0.2) (tstamp 5D02A96F)) + (gr_text "This Side Faces Power Supply" (at 242.697 124.46) (layer B.SilkS) (tstamp 5D02A753) + (effects (font (size 1.27 1.27) (thickness 0.2)) (justify mirror)) + ) + (gr_text ! (at 259.207 124.714) (layer B.SilkS) (tstamp 5D02A978) + (effects (font (size 0.762 0.762) (thickness 0.1905)) (justify mirror)) + ) + (gr_text "2 MB RAM" (at 250.825 91.567) (layer F.SilkS) (tstamp 5D028E53) + (effects (font (size 1.524 1.524) (thickness 0.3))) + ) + (gr_text "for Apple IIe" (at 250.825 93.853) (layer F.SilkS) (tstamp 5D028E58) + (effects (font (size 1.016 1.016) (thickness 0.2032))) + ) + (gr_text "Garrett’s Workshop" (at 227.584 91.313) (layer F.SilkS) (tstamp 5D02864B) + (effects (font (size 1.524 1.524) (thickness 0.225))) + ) + (gr_text 4203A (at 225.679 94.107) (layer F.SilkS) (tstamp 5D02864A) + (effects (font (size 1.5 1.5) (thickness 0.3)) (justify left)) + ) + (gr_text GW (at 225.679 94.107) (layer F.SilkS) (tstamp 5D028649) + (effects (font (size 1.5 1.5) (thickness 0.3)) (justify right)) + ) + (gr_arc (start 274.066 89.281) (end 276.606 89.281) (angle -90) (layer Edge.Cuts) (width 0.15) (tstamp 5CFEA0A1)) + (gr_arc (start 200.914 89.281) (end 200.914 86.741) (angle -90) (layer Edge.Cuts) (width 0.15) (tstamp 5CFEA0A7)) + (gr_poly (pts (xy 276.86 139.446) (xy 276.352 139.954) (xy 198.628 139.954) (xy 198.12 139.446) (xy 198.12 132.08) (xy 276.86 132.08)) (layer F.Mask) (width 0) (tstamp 5CFEBFE7)) + (gr_line (start 276.606 89.281) (end 276.606 139.192) (layer Edge.Cuts) (width 0.15)) + (gr_line (start 200.914 86.741) (end 274.066 86.741) (layer Edge.Cuts) (width 0.15) (tstamp 5CFEA0A4)) + (gr_line (start 198.374 89.281) (end 198.374 139.192) (layer Edge.Cuts) (width 0.15) (tstamp 5CFE9163)) + (gr_poly (pts (xy 276.606 139.446) (xy 276.098 139.954) (xy 198.882 139.954) (xy 198.374 139.446) (xy 198.374 132.08) (xy 276.606 132.08)) (layer B.Mask) (width 0) (tstamp 5CAFE83A)) + (gr_arc (start 276.098 139.192) (end 276.098 139.7) (angle -90) (layer Edge.Cuts) (width 0.15) (tstamp 5C630DB7)) + (gr_arc (start 198.882 139.192) (end 198.374 139.192) (angle -90) (layer Edge.Cuts) (width 0.15) (tstamp 5CFE9160)) + (gr_line (start 276.098 139.7) (end 198.882 139.7) (layer Edge.Cuts) (width 0.15) (tstamp 5C29F479)) + + (segment (start 214.105 98.425) (end 214.105 95.902) (width 0.508) (layer F.Cu) (net 1)) + (segment (start 214.105 114.935) (end 214.105 117.458) (width 0.508) (layer F.Cu) (net 1)) + (via (at 226.06 111.76) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 227.33 111.76) (end 226.06 111.76) (width 0.762) (layer F.Cu) (net 1)) + (segment (start 233.3 111.76) (end 232.029 111.76) (width 0.508) (layer F.Cu) (net 1)) + (segment (start 227.33 111.76) (end 232.029 111.76) (width 0.762) (layer F.Cu) (net 1)) + (segment (start 262 120.65) (end 263.271 120.65) (width 0.508) (layer F.Cu) (net 1)) + (segment (start 263.271 120.65) (end 267.97 120.65) (width 0.762) (layer F.Cu) (net 1)) + (segment (start 262 109.22) (end 263.271 109.22) (width 0.508) (layer F.Cu) (net 1)) + (segment (start 263.271 109.22) (end 267.97 109.22) (width 0.762) (layer F.Cu) (net 1)) + (segment (start 259.08 97.41) (end 259.08 96.139) (width 0.508) (layer F.Cu) (net 1)) + (segment (start 259.08 91.44) (end 259.08 96.139) (width 0.762) (layer F.Cu) (net 1)) + (segment (start 242.57 97.41) (end 242.57 96.139) (width 0.508) (layer F.Cu) (net 1)) + (segment (start 233.3 124.46) (end 232.029 124.46) (width 0.508) (layer F.Cu) (net 1)) + (segment (start 232.029 124.46) (end 227.33 124.46) (width 0.762) (layer F.Cu) (net 1)) + (segment (start 267.97 109.22) (end 274.32 109.22) (width 0.762) (layer F.Cu) (net 1)) + (segment (start 274.32 120.65) (end 274.32 109.22) (width 1.524) (layer F.Cu) (net 1)) + (segment (start 267.97 120.65) (end 274.32 120.65) (width 0.762) (layer F.Cu) (net 1)) + (segment (start 274.32 135.382) (end 274.32 120.904) (width 1.524) (layer F.Cu) (net 1)) + (via (at 205.232 111.76) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 1)) + (segment (start 226.06 111.76) (end 205.232 111.76) (width 1.524) (layer B.Cu) (net 1)) + (segment (start 262 120.65) (end 260.731 120.65) (width 0.508) (layer F.Cu) (net 1)) + (segment (start 250.19 126.11) (end 250.19 124.841) (width 0.508) (layer F.Cu) (net 1)) + (segment (start 233.3 124.46) (end 234.569 124.46) (width 0.508) (layer F.Cu) (net 1)) + (segment (start 238.379 120.65) (end 234.696 124.333) (width 0.762) (layer F.Cu) (net 1)) + (segment (start 234.696 124.333) (end 234.569 124.46) (width 0.508) (layer F.Cu) (net 1)) + (segment (start 233.3 105.41) (end 234.569 105.41) (width 0.508) (layer F.Cu) (net 1)) + (segment (start 242.57 98.679) (end 242.57 97.41) (width 0.508) (layer F.Cu) (net 1)) + (segment (start 249.555 120.65) (end 250.19 120.65) (width 0.762) (layer F.Cu) (net 1)) + (segment (start 250.19 120.65) (end 250.19 121.285) (width 0.762) (layer F.Cu) (net 1)) + (segment (start 250.19 121.285) (end 249.555 120.65) (width 0.762) (layer F.Cu) (net 1)) + (segment (start 238.379 120.65) (end 249.555 120.65) (width 0.762) (layer F.Cu) (net 1)) + (segment (start 250.19 121.285) (end 250.19 124.841) (width 0.762) (layer F.Cu) (net 1)) + (segment (start 250.19 121.285) (end 250.825 120.65) (width 0.762) (layer F.Cu) (net 1)) + (segment (start 250.825 120.65) (end 250.19 120.65) (width 0.762) (layer F.Cu) (net 1)) + (segment (start 260.731 120.65) (end 250.825 120.65) (width 0.762) (layer F.Cu) (net 1)) + (segment (start 205.232 94.234) (end 205.232 111.76) (width 1.524) (layer F.Cu) (net 1)) + (segment (start 210.693 88.773) (end 205.232 94.234) (width 1.524) (layer F.Cu) (net 1)) + (segment (start 274.32 94.234) (end 268.859 88.773) (width 1.524) (layer F.Cu) (net 1)) + (segment (start 274.32 109.22) (end 274.32 94.234) (width 1.524) (layer F.Cu) (net 1)) + (segment (start 214.122 95.885) (end 214.122 88.773) (width 0.762) (layer F.Cu) (net 1)) + (segment (start 214.122 88.773) (end 210.693 88.773) (width 1.524) (layer F.Cu) (net 1)) + (segment (start 242.57 88.773) (end 214.122 88.773) (width 1.524) (layer F.Cu) (net 1)) + (segment (start 259.08 91.44) (end 259.08 88.773) (width 0.762) (layer F.Cu) (net 1)) + (segment (start 259.08 88.773) (end 242.57 88.773) (width 1.524) (layer F.Cu) (net 1)) + (segment (start 268.859 88.773) (end 259.08 88.773) (width 1.524) (layer F.Cu) (net 1)) + (segment (start 242.57 100.584) (end 242.57 98.679) (width 0.762) (layer F.Cu) (net 1)) + (segment (start 237.744 105.41) (end 242.57 100.584) (width 0.762) (layer F.Cu) (net 1)) + (segment (start 234.569 105.41) (end 237.744 105.41) (width 0.762) (layer F.Cu) (net 1)) + (via (at 211.201 117.475) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (via (at 206.883 117.475) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 205.232 115.824) (end 206.883 117.475) (width 0.762) (layer F.Cu) (net 1)) + (segment (start 205.232 111.76) (end 205.232 115.824) (width 0.762) (layer F.Cu) (net 1)) + (segment (start 242.57 88.773) (end 242.57 91.44) (width 0.762) (layer F.Cu) (net 1)) + (segment (start 242.57 91.44) (end 242.57 96.139) (width 0.762) (layer F.Cu) (net 1)) + (segment (start 211.201 117.475) (end 214.122 117.475) (width 0.762) (layer F.Cu) (net 1)) + (segment (start 211.201 117.475) (end 206.883 117.475) (width 0.762) (layer B.Cu) (net 1)) + (via (at 224.155 106.045) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 221.505 106.045) (end 224.155 106.045) (width 0.1524) (layer F.Cu) (net 2)) + (via (at 224.155 98.425) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 221.505 98.425) (end 224.155 98.425) (width 0.508) (layer F.Cu) (net 2)) + (via (at 221.488 97.536) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 221.505 97.553) (end 221.488 97.536) (width 0.508) (layer F.Cu) (net 2)) + (segment (start 221.505 98.425) (end 221.505 97.553) (width 0.508) (layer F.Cu) (net 2)) + (via (at 221.488 115.824) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 221.505 115.807) (end 221.488 115.824) (width 0.508) (layer F.Cu) (net 2)) + (segment (start 221.505 114.935) (end 221.505 115.807) (width 0.508) (layer F.Cu) (net 2)) + (via (at 224.155 114.935) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 221.505 114.935) (end 224.155 114.935) (width 0.508) (layer F.Cu) (net 2)) + (via (at 216.916 117.475) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 215.822 117.475) (end 216.916 117.475) (width 0.762) (layer F.Cu) (net 2)) + (via (at 215.773 118.745) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 215.822 118.696) (end 215.773 118.745) (width 0.762) (layer F.Cu) (net 2)) + (segment (start 215.822 117.475) (end 215.822 118.696) (width 0.762) (layer F.Cu) (net 2)) + (via (at 215.773 94.615) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 215.822 94.664) (end 215.773 94.615) (width 0.762) (layer F.Cu) (net 2)) + (segment (start 215.822 95.885) (end 215.822 94.664) (width 0.762) (layer F.Cu) (net 2)) + (via (at 216.916 95.885) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 215.822 95.885) (end 216.916 95.885) (width 0.762) (layer F.Cu) (net 2)) + (via (at 228.6 110.109) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 228.551 110.06) (end 228.6 110.109) (width 0.762) (layer F.Cu) (net 2)) + (segment (start 227.33 110.06) (end 228.551 110.06) (width 0.762) (layer F.Cu) (net 2)) + (segment (start 226.109 110.06) (end 226.06 110.109) (width 0.762) (layer F.Cu) (net 2)) + (via (at 226.06 110.109) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 227.33 110.06) (end 226.109 110.06) (width 0.762) (layer F.Cu) (net 2)) + (via (at 232.029 116.84) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 233.3 116.84) (end 232.029 116.84) (width 0.508) (layer F.Cu) (net 2)) + (via (at 234.569 116.84) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 233.3 116.84) (end 234.569 116.84) (width 0.508) (layer F.Cu) (net 2)) + (via (at 232.029 110.49) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 233.3 110.49) (end 232.029 110.49) (width 0.508) (layer F.Cu) (net 2)) + (via (at 234.569 110.49) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 233.3 110.49) (end 234.569 110.49) (width 0.508) (layer F.Cu) (net 2)) + (via (at 251.46 96.139) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 251.46 97.41) (end 251.46 96.139) (width 0.508) (layer F.Cu) (net 2)) + (via (at 251.46 98.679) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 251.46 97.41) (end 251.46 98.679) (width 0.508) (layer F.Cu) (net 2)) + (via (at 234.95 98.679) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 234.95 97.41) (end 234.95 98.679) (width 0.508) (layer F.Cu) (net 2)) + (via (at 234.95 96.139) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 234.95 97.41) (end 234.95 96.139) (width 0.508) (layer F.Cu) (net 2)) + (via (at 260.731 115.57) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 262 115.57) (end 260.731 115.57) (width 0.508) (layer F.Cu) (net 2)) + (via (at 263.271 115.57) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (via (at 260.731 104.14) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 262 104.14) (end 260.731 104.14) (width 0.508) (layer F.Cu) (net 2)) + (via (at 263.271 104.14) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 262 104.14) (end 263.271 104.14) (width 0.508) (layer F.Cu) (net 2)) + (via (at 257.81 124.841) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02A97B)) + (segment (start 257.81 126.11) (end 257.81 124.841) (width 0.508) (layer F.Cu) (net 2)) + (via (at 257.81 127.381) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 257.81 126.11) (end 257.81 127.381) (width 0.508) (layer F.Cu) (net 2)) + (via (at 241.3 127.381) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 241.3 126.11) (end 241.3 127.381) (width 0.508) (layer F.Cu) (net 2)) + (via (at 241.3 124.841) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 241.3 126.11) (end 241.3 124.841) (width 0.508) (layer F.Cu) (net 2)) + (via (at 266.7 107.569) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 266.749 107.52) (end 266.7 107.569) (width 0.762) (layer F.Cu) (net 2)) + (segment (start 267.97 107.52) (end 266.749 107.52) (width 0.762) (layer F.Cu) (net 2)) + (segment (start 269.191 107.52) (end 269.24 107.569) (width 0.762) (layer F.Cu) (net 2)) + (via (at 269.24 107.569) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 267.97 107.52) (end 269.191 107.52) (width 0.762) (layer F.Cu) (net 2)) + (via (at 267.97 106.426) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 267.97 107.52) (end 267.97 106.426) (width 0.762) (layer F.Cu) (net 2)) + (via (at 226.06 122.809) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 226.109 122.76) (end 226.06 122.809) (width 0.762) (layer F.Cu) (net 2)) + (segment (start 227.33 122.76) (end 226.109 122.76) (width 0.762) (layer F.Cu) (net 2)) + (segment (start 228.551 122.76) (end 228.6 122.809) (width 0.762) (layer F.Cu) (net 2)) + (via (at 228.6 122.809) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 227.33 122.76) (end 228.551 122.76) (width 0.762) (layer F.Cu) (net 2)) + (via (at 227.33 121.666) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 227.33 122.76) (end 227.33 121.666) (width 0.762) (layer F.Cu) (net 2)) + (segment (start 262 115.57) (end 263.271 115.57) (width 0.508) (layer F.Cu) (net 2)) + (via (at 219.71 131.064) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (via (at 222.25 131.064) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0075CC)) + (via (at 224.79 131.064) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D007A52)) + (via (at 227.33 131.064) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D007A54)) + (via (at 229.87 131.064) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D007A56)) + (via (at 232.41 131.064) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D007A58)) + (via (at 224.028 129.667) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D00A692)) + (via (at 227.33 129.286) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D00A99A)) + (via (at 231.14 128.524) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D00A9A3)) + (via (at 229.489 128.905) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D00AB2B)) + (via (at 234.95 131.064) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D00ACC4)) + (via (at 234.95 107.315) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D010837)) + (via (at 217.17 131.064) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D01FB88)) + (via (at 214.63 131.064) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D01FB8A)) + (via (at 212.09 131.064) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D01FB8E)) + (via (at 209.55 131.064) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D01FB90)) + (via (at 204.47 131.064) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D01FB94)) + (via (at 201.93 131.064) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D01FB98)) + (via (at 199.136 131.064) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D3222E7)) + (via (at 275.844 131.064) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D01FBA1)) + (via (at 273.05 131.064) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D01FBA5)) + (via (at 270.51 131.064) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D01FBA7)) + (via (at 265.43 131.064) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D01FBAB)) + (via (at 262.89 131.064) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D01FBAD)) + (via (at 260.35 131.064) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D01FBAF)) + (via (at 257.81 131.064) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D01FBB1)) + (via (at 255.27 131.064) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D01FBB3)) + (via (at 252.73 131.064) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D01FBB5)) + (via (at 250.19 131.064) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D01FBB7)) + (via (at 247.65 131.064) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D01FBB9)) + (via (at 245.11 131.064) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D01FBBB)) + (via (at 242.57 131.064) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D01FBBD)) + (via (at 240.03 131.064) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D01FBBF)) + (via (at 237.49 131.064) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D01FBC1)) + (via (at 199.136 125.73) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D3222E4)) + (via (at 199.136 110.49) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D3222E1)) + (via (at 199.136 115.57) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D3222DE)) + (via (at 199.136 105.41) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D3222DB)) + (via (at 199.136 100.33) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D3222D8)) + (via (at 199.136 95.25) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D3222A2)) + (via (at 275.844 128.27) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D01FCA9)) + (via (at 275.844 125.73) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D01FCAB)) + (via (at 275.844 123.19) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D01FCAD)) + (via (at 275.844 120.65) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D01FCAF)) + (via (at 275.844 118.11) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D01FCB1)) + (via (at 275.844 115.57) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D01FCB3)) + (via (at 275.844 113.03) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D01FCB5)) + (via (at 275.844 110.49) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D01FCB7)) + (via (at 275.844 107.95) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D01FCB9)) + (via (at 275.844 105.41) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D01FCBC)) + (via (at 275.844 102.87) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D01FCBF)) + (via (at 275.844 100.33) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D01FCC1)) + (via (at 199.136 90.17) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D01FCCB)) + (via (at 275.844 90.17) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D01FCC9)) + (via (at 275.844 97.79) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D01FCC3)) + (via (at 275.844 92.71) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D01FCC7)) + (via (at 275.844 95.25) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D01FCC5)) + (via (at 207.264 116.586) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D007AE4)) + (via (at 207.264 118.364) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D007AE8)) + (via (at 210.693 118.364) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D007AEA)) + (via (at 210.693 116.586) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D068E05)) + (via (at 208.661 110.49) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D007AF0)) + (via (at 207.264 113.03) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D007AF4)) + (via (at 210.947 114.554) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D007AF6)) + (via (at 227.457 113.665) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0089E9)) + (via (at 228.219 114.935) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0089ED)) + (via (at 229.108 116.205) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0089EF)) + (via (at 227.203 117.729) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D05F214)) + (via (at 231.013 120.777) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D008B7B)) + (via (at 231.013 122.555) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (via (at 231.775 106.045) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D009486)) + (via (at 273.05 119.761) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D00ED80)) + (via (at 271.018 119.761) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D084A76)) + (via (at 271.018 121.539) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D00ED8C)) + (via (at 273.05 121.539) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D00ED8E)) + (via (at 266.7 121.539) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D00ED92)) + (via (at 265.43 121.539) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D00ED94)) + (via (at 265.43 119.761) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D00ED96)) + (via (at 264.16 121.539) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D00EDAF)) + (via (at 264.287 118.745) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D00F872)) + (via (at 264.287 117.475) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D00F86F)) + (via (at 273.05 108.331) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D00FB58)) + (via (at 273.05 105.41) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D00FB59)) + (via (at 273.05 102.87) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D00FB5A)) + (via (at 273.05 100.33) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D00FB5B)) + (via (at 273.05 97.79) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D00FB5C)) + (via (at 273.05 95.25) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D00FB5D)) + (via (at 273.05 110.109) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D00FB6E)) + (via (at 273.05 118.11) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D00FB70)) + (via (at 273.05 115.57) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D00FB71)) + (via (at 273.05 113.03) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D00FB72)) + (via (at 273.05 125.73) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D00FB79)) + (via (at 273.05 123.19) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D00FB7A)) + (via (at 273.05 128.27) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D00FB80)) + (via (at 206.502 110.49) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D00FB85)) + (via (at 267.97 117.856) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 267.97 118.95) (end 267.97 117.856) (width 0.762) (layer F.Cu) (net 2)) + (via (at 266.7 118.999) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 266.749 118.95) (end 266.7 118.999) (width 0.762) (layer F.Cu) (net 2)) + (segment (start 267.97 118.95) (end 266.749 118.95) (width 0.762) (layer F.Cu) (net 2)) + (via (at 269.24 118.999) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 269.191 118.95) (end 269.24 118.999) (width 0.762) (layer F.Cu) (net 2)) + (segment (start 267.97 118.95) (end 269.191 118.95) (width 0.762) (layer F.Cu) (net 2)) + (via (at 213.5505 127.3175) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02616D)) + (via (at 212.6615 126.4285) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0267DC)) + (via (at 211.7725 125.5395) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D028469)) + (via (at 210.8835 124.6505) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02922D)) + (via (at 209.9945 123.7615) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02922F)) + (via (at 209.1055 122.8725) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D029453)) + (via (at 216.0905 124.7775) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02A525)) + (via (at 214.3125 122.9995) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02A526)) + (via (at 211.6455 120.3325) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02A527)) + (via (at 213.4235 122.1105) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02A528)) + (via (at 212.5345 121.2215) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02A529)) + (via (at 215.2015 123.8885) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02A52A)) + (via (at 225.425 126.873) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D03AC01)) + (via (at 224.155 126.873) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D03AC03)) + (via (at 225.933 129.667) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D03AC05)) + (via (at 221.615 126.873) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D03FE73)) + (via (at 220.345 126.873) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D03FA22)) + (via (at 235.204 100.33) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D042751)) + (via (at 235.458 101.6) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0444A1)) + (via (at 235.712 102.87) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0444A3)) + (via (at 235.966 104.14) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D045865)) + (via (at 237.363 104.521) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D048D7D)) + (via (at 236.474 109.22) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D047EFB)) + (via (at 236.347 115.57) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0550DD)) + (via (at 236.347 114.3) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0550E1)) + (via (at 236.347 113.03) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0550E3)) + (via (at 236.347 116.84) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D055CB7)) + (via (at 226.314 116.84) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D05F1F9)) + (via (at 225.298 115.951) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D05F1FD)) + (via (at 235.077 118.11) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06408C)) + (via (at 231.14 118.745) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0666B7)) + (via (at 237.617 116.84) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D067455)) + (via (at 206.121 115.443) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D069DF1)) + (via (at 206.502 94.996) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02F06E)) + (via (at 211.201 90.043) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D069DF8)) + (via (at 213.233 90.043) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D072898)) + (via (at 215.011 90.043) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D069F14)) + (via (at 268.351 90.043) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D069F1E)) + (via (at 269.494 121.539) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D069F2A)) + (via (at 218.313 126.873) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D07ADB6)) + (via (at 272.923 87.503) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06BD8A)) + (via (at 199.771 88.011) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D322293)) + (via (at 270.51 87.503) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06BD90)) + (via (at 267.97 87.503) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06BD7F)) + (via (at 265.43 87.503) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06BD94)) + (via (at 262.89 87.503) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C0A2)) + (via (at 260.35 87.503) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C0A3)) + (via (at 257.81 87.503) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C0A4)) + (via (at 250.19 87.503) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C0A8)) + (via (at 252.73 87.503) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C0A9)) + (via (at 255.27 87.503) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C0AA)) + (via (at 242.57 87.503) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C0AE)) + (via (at 245.11 87.503) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C0AF)) + (via (at 247.65 87.503) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C0B0)) + (via (at 237.49 87.503) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C0B4)) + (via (at 240.03 87.503) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C0B5)) + (via (at 234.95 87.503) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C0B6)) + (via (at 227.33 87.503) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C0BA)) + (via (at 229.87 87.503) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C0BB)) + (via (at 232.41 87.503) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C0BC)) + (via (at 219.71 87.503) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C0C0)) + (via (at 224.79 87.503) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C0C1)) + (via (at 222.25 87.503) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C0C2)) + (via (at 212.09 87.503) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C0C6)) + (via (at 217.17 87.503) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C0C7)) + (via (at 214.63 87.503) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C0C8)) + (via (at 204.47 87.503) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C0CC)) + (via (at 209.55 87.503) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C0CD)) + (via (at 207.01 87.503) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C0CE)) + (via (at 202.057 87.503) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C0D7)) + (via (at 201.93 107.95) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C53F)) + (via (at 201.93 102.87) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C540)) + (via (at 201.93 97.79) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C541)) + (via (at 201.93 92.71) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C548)) + (via (at 201.93 118.11) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C549)) + (via (at 201.93 123.19) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C54B)) + (via (at 205.74 91.44) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C899)) + (via (at 207.01 90.17) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C89B)) + (via (at 203.2 88.9) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C89E)) + (via (at 275.209 88.011) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D322322)) + (via (at 271.653 88.773) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06E2D3)) + (via (at 274.32 91.44) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06E2D5)) + (via (at 204.47 92.71) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06E2DA)) + (via (at 208.28 88.9) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06E2DD)) + (via (at 204.47 120.65) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06E2E3)) + (via (at 207.264 123.19) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06E2ED)) + (via (at 204.343 115.57) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06E914)) + (via (at 265.43 90.043) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06E955)) + (via (at 262.89 90.043) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06E956)) + (via (at 238.76 91.313) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0710A1)) + (via (at 238.76 93.853) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0710B2)) + (via (at 226.06 96.393) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0710D4)) + (via (at 234.95 95.123) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0710D5)) + (via (at 218.44 96.393) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0710D6)) + (via (at 228.6 96.393) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0710D8)) + (via (at 233.68 96.393) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0710DA)) + (via (at 220.98 96.393) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0710DB)) + (via (at 231.14 96.393) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0710DC)) + (via (at 219.71 95.123) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0710DD)) + (via (at 223.52 96.393) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0710E2)) + (via (at 237.49 95.123) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0710E3)) + (via (at 216.027 91.313) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D07110A)) + (via (at 215.011 92.583) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D07110F)) + (via (at 227.33 97.663) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D071117)) + (via (at 229.87 97.663) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D071118)) + (via (at 240.03 95.123) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D071120)) + (via (at 264.16 91.313) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D071FCE)) + (via (at 266.954 91.313) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D071FD0)) + (via (at 262.89 92.583) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D071FD2)) + (via (at 265.43 92.583) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D071FD3)) + (via (at 266.954 93.853) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D071FD4)) + (via (at 264.16 96.393) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D071FDD)) + (via (at 261.493 96.393) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D071FE0)) + (via (at 271.78 96.52) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D072109)) + (via (at 271.78 101.6) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D07210A)) + (via (at 271.78 106.934) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D07210B)) + (via (at 271.78 99.06) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D07210C)) + (via (at 271.78 104.14) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D07210D)) + (via (at 269.367 104.14) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D072117)) + (via (at 270.51 95.25) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D07211A)) + (via (at 270.51 100.33) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D07211B)) + (via (at 270.51 105.41) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D07211C)) + (via (at 270.51 97.79) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D07211D)) + (via (at 270.51 102.87) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D07211E)) + (via (at 270.51 108.331) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D07212B)) + (via (at 266.954 104.14) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D072136)) + (via (at 268.224 92.583) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D072264)) + (via (at 271.78 93.98) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D072267)) + (via (at 269.494 91.313) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D07226E)) + (via (at 213.233 92.583) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D07289D)) + (via (at 212.217 91.313) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0728A4)) + (via (at 211.201 92.583) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0728A6)) + (via (at 212.217 93.599) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0728A9)) + (via (at 228.092 118.618) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D073F3C)) + (via (at 228.981 119.507) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0753BD)) + (via (at 214.4395 128.2065) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D075C2F)) + (via (at 216.9795 125.6665) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D075C34)) + (via (at 217.805 124.46) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0792B0)) + (via (at 219.583 124.46) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0792B4)) + (via (at 217.805 123.19) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0792BF)) + (via (at 219.583 123.19) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0792C0)) + (via (at 220.091 119.507) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0795FB)) + (via (at 224.536 120.269) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D079823)) + (via (at 221.742 124.587) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D07BA94)) + (via (at 222.758 125.603) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D07BA96)) + (via (at 225.171 121.412) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D07C103)) + (via (at 223.52 123.063) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D07C664)) + (via (at 223.647 124.714) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D07C667)) + (via (at 222.631 123.825) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D07C669)) + (via (at 232.41 97.663) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D07D5C9)) + (via (at 238.76 118.11) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D07E127)) + (via (at 236.347 111.76) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D07E12C)) + (via (at 244.475 103.505) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D07FE4F)) + (via (at 243.205 104.775) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D07F2BF)) + (via (at 238.125 109.855) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D07F2C2)) + (via (at 239.395 108.585) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D07F2C3)) + (via (at 241.935 106.045) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D07F2C4)) + (via (at 240.665 107.315) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D07F2C5)) + (via (at 265.43 97.79) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D082699)) + (via (at 265.43 102.87) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D08269A)) + (via (at 265.43 100.33) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D08269B)) + (via (at 264.16 101.6) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0826A0)) + (via (at 262.89 97.79) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0826AB)) + (via (at 265.43 105.41) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0826AF)) + (via (at 264.16 106.68) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0826B3)) + (via (at 265.43 107.95) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0826B4)) + (via (at 221.488 123.063) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D085714)) + (via (at 221.107 120.269) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D08397E)) + (via (at 238.76 121.92) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D08986A)) + (via (at 208.661 92.583) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D083A88)) + (via (at 209.931 91.313) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D083A8A)) + (via (at 209.931 93.599) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D083A8C)) + (via (at 208.661 95.123) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D083A8F)) + (via (at 211.201 95.123) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D083A9F)) + (via (at 207.518 93.726) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D083AA8)) + (via (at 212.217 96.393) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0843C4)) + (via (at 209.931 96.393) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0843C7)) + (via (at 207.645 96.393) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0843C1)) + (via (at 206.502 97.79) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D083AC0)) + (via (at 208.661 97.79) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D083AC1)) + (via (at 209.931 99.06) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D083AC6)) + (via (at 207.645 99.06) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D083AC7)) + (via (at 206.502 100.33) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D083AC8)) + (via (at 208.661 100.33) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D083AC9)) + (via (at 209.931 101.6) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D083CF5)) + (via (at 206.502 102.87) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D083CF6)) + (via (at 207.645 101.6) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D083CF7)) + (via (at 208.661 102.87) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D083CF8)) + (via (at 209.931 104.14) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D083CFD)) + (via (at 206.502 105.41) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D083CFE)) + (via (at 207.645 104.14) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D083CFF)) + (via (at 208.661 105.41) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D083D00)) + (via (at 208.661 107.95) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D083D01)) + (via (at 209.931 106.68) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D083D02)) + (via (at 207.645 106.68) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D083D03)) + (via (at 206.502 107.95) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D083D04)) + (via (at 207.645 109.22) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D083D0D)) + (via (at 209.931 109.22) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D083D10)) + (via (at 213.106 94.615) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D083A97)) + (via (at 211.201 107.95) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D084A18)) + (via (at 211.201 105.41) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D084A19)) + (via (at 211.201 100.33) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D084A1A)) + (via (at 211.201 102.87) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D084A1B)) + (via (at 271.78 111.76) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D084A35)) + (via (at 271.78 114.3) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D084A37)) + (via (at 271.78 116.84) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D084A3A)) + (via (at 270.51 110.49) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D084A3D)) + (via (at 270.51 115.57) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D084A3E)) + (via (at 270.51 113.03) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D084A3F)) + (via (at 269.24 111.76) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D084A43)) + (via (at 267.97 113.03) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D084A44)) + (via (at 269.24 114.3) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D084A45)) + (via (at 265.43 113.03) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D084A49)) + (via (at 266.7 111.76) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D084A4A)) + (via (at 267.97 110.49) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D084A50)) + (via (at 264.16 111.76) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D084A59)) + (via (at 265.43 110.49) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D084A5A)) + (via (at 271.018 123.19) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D084A68)) + (via (at 271.018 125.73) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D084A6B)) + (via (at 269.494 125.73) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D084A85)) + (via (at 269.494 123.19) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D084A86)) + (via (at 266.7 123.19) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D084A8B)) + (via (at 266.7 125.73) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D084A8C)) + (via (at 268.097 124.46) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D084A95)) + (via (at 268.097 127) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D084A9A)) + (via (at 269.494 128.27) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D084A9E)) + (via (at 266.7 128.27) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D084A9F)) + (via (at 265.43 124.46) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D084AA7)) + (via (at 265.43 127) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D084AA9)) + (via (at 268.097 122.428) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D084AB0)) + (via (at 268.351 116.205) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D084DC3)) + (via (at 211.201 97.79) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D083AC2)) + (via (at 227.33 126.873) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0853F5)) + (via (at 231.14 126.873) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0853F9)) + (via (at 235.585 129.54) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D085400)) + (via (at 233.807 127.762) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D08572A)) + (via (at 245.11 128.524) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D085737)) + (via (at 250.19 128.524) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D08573A)) + (via (at 261.62 129.667) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D085742)) + (via (at 262.89 128.27) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D08574E)) + (via (at 262.89 128.27) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D085744)) + (via (at 256.54 129.794) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D085758)) + (via (at 225.552 124.714) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D085761)) + (via (at 212.598 119.253) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D08576D)) + (via (at 209.296 124.968) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D085780)) + (via (at 210.058 126.238) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0859A9)) + (via (at 210.82 127.254) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0859AB)) + (via (at 212.09 127.762) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0859AD)) + (via (at 214.122 129.54) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0859AF)) + (via (at 208.661 126.238) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0859B4)) + (via (at 206.121 124.968) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0859B6)) + (via (at 209.55 128.651) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0859B8)) + (via (at 201.295 129.794) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0859CD)) + (via (at 203.2 127.889) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0859C7)) + (via (at 205.74 127.381) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0859D0)) + (via (at 230.505 105.41) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D088D59)) + (via (at 229.235 106.045) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D088D39)) + (via (at 227.965 105.41) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D088D56)) + (via (at 226.695 106.045) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D088D3D)) + (via (at 225.425 105.41) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D088D60)) + (via (at 224.663 109.855) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D088F79)) + (via (at 230.251 110.871) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D088F7F)) + (via (at 238.76 119.507) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0898F2)) + (via (at 229.489 126.873) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0853F7)) + (via (at 250.19 116.84) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D089C1A)) + (via (at 245.11 116.84) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D089C1B)) + (via (at 251.46 118.11) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D089C1C)) + (via (at 252.73 119.38) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D089C1D)) + (via (at 245.11 119.38) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D089C1E)) + (via (at 257.81 116.84) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D089C1F)) + (via (at 255.27 119.38) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D089C20)) + (via (at 254 118.11) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D089C21)) + (via (at 247.65 119.38) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D089C22)) + (via (at 255.27 116.84) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D089C23)) + (via (at 257.81 119.38) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D089C24)) + (via (at 247.65 116.84) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D089C25)) + (via (at 246.38 118.11) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D089C26)) + (via (at 256.54 118.11) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D089C27)) + (via (at 259.08 118.11) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D089C28)) + (via (at 248.92 118.11) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D089C29)) + (via (at 252.73 116.84) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D089C2A)) + (via (at 250.19 119.38) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D089C2B)) + (via (at 255.27 114.3) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D089D8F)) + (via (at 256.54 115.57) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D089D90)) + (via (at 254 115.57) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D089D91)) + (via (at 257.81 114.3) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D089D92)) + (via (at 245.11 114.3) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D089D93)) + (via (at 247.65 114.3) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D089D94)) + (via (at 250.19 114.3) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D089D95)) + (via (at 246.38 115.57) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D089D96)) + (via (at 251.46 115.57) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D089D97)) + (via (at 252.73 114.3) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D089D98)) + (via (at 248.92 115.57) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D089D99)) + (via (at 256.54 113.03) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D089DA5)) + (via (at 248.92 113.03) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D089DA6)) + (via (at 251.46 113.03) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D089DA9)) + (via (at 254 113.03) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D089DAC)) + (via (at 259.08 115.57) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D089FF4)) + (via (at 259.08 113.03) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D08A07D)) + (via (at 242.57 119.38) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D08A07F)) + (via (at 242.57 116.84) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D08A080)) + (via (at 243.84 118.11) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D08A081)) + (via (at 243.84 115.57) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D08A082)) + (via (at 240.03 119.38) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D08A087)) + (via (at 241.3 118.11) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D08A088)) + (via (at 246.38 113.03) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D08A216)) + (via (at 240.919 90.17) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 240.87 90.219) (end 240.919 90.17) (width 0.762) (layer F.Cu) (net 2)) + (segment (start 240.87 91.44) (end 240.87 90.219) (width 0.762) (layer F.Cu) (net 2)) + (via (at 240.919 92.71) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 240.87 91.44) (end 240.87 92.661) (width 0.762) (layer F.Cu) (net 2)) + (via (at 260.858 90.17) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 260.819 90.209) (end 260.858 90.17) (width 0.762) (layer F.Cu) (net 2)) + (segment (start 260.819 91.44) (end 260.819 90.209) (width 0.762) (layer F.Cu) (net 2)) + (via (at 260.858 92.71) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 260.819 92.671) (end 260.858 92.71) (width 0.762) (layer F.Cu) (net 2)) + (segment (start 260.819 91.44) (end 260.819 92.671) (width 0.762) (layer F.Cu) (net 2)) + (via (at 261.874 91.44) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 260.819 91.44) (end 261.874 91.44) (width 0.762) (layer F.Cu) (net 2)) + (via (at 239.776 91.44) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 240.87 91.44) (end 239.776 91.44) (width 0.762) (layer F.Cu) (net 2)) + (via (at 236.22 93.853) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0294B8)) + (via (at 233.68 93.853) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0294BA)) + (via (at 218.44 93.853) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0294BC)) + (via (at 220.98 93.853) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0294BE)) + (via (at 234.95 92.583) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0294C6)) + (via (at 219.71 92.583) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0294C7)) + (via (at 237.49 92.583) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0294C8)) + (via (at 229.87 92.583) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0294CE)) + (via (at 232.41 92.583) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0294CF)) + (via (at 227.33 92.583) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0294D2)) + (via (at 224.79 92.583) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0294D3)) + (via (at 222.25 92.583) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0294DB)) + (via (at 217.17 92.583) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0294DF)) + (via (at 245.11 90.043) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0296FC)) + (via (at 247.65 90.043) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D0296FE)) + (via (at 250.19 90.043) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D029700)) + (via (at 252.73 90.043) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D029702)) + (via (at 257.81 90.043) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D029703)) + (via (at 255.27 90.043) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D029704)) + (via (at 255.27 94.996) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02970C)) + (via (at 252.73 94.996) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02970D)) + (via (at 257.81 94.996) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02970E)) + (via (at 245.11 94.996) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02970F)) + (via (at 250.317 94.996) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D029710)) + (via (at 247.65 94.996) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D029711)) + (via (at 248.92 92.837) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D029749)) + (via (at 251.46 92.837) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D029743)) + (via (at 256.54 92.837) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D029740)) + (via (at 254 92.837) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02974C)) + (via (at 246.38 92.837) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D029746)) + (via (at 243.84 92.837) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02974F)) + (via (at 237.49 90.043) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D029772)) + (via (at 234.95 90.043) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D029773)) + (via (at 227.33 90.043) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D029774)) + (via (at 229.87 90.043) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D029775)) + (via (at 232.41 90.043) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D029776)) + (via (at 219.71 90.043) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D029777)) + (via (at 224.79 90.043) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D029778)) + (via (at 222.25 90.043) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D029779)) + (via (at 217.17 90.043) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02977A)) + (via (at 265.43 115.57) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02B8CF)) + (segment (start 274.32 129.54) (end 273.05 128.27) (width 1.524) (layer B.Cu) (net 2)) + (segment (start 274.32 135.382) (end 274.32 129.54) (width 1.524) (layer B.Cu) (net 2)) + (via (at 245.11 123.19) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02E03E)) + (via (at 247.65 123.19) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02E03F)) + (via (at 246.38 121.92) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02E040)) + (via (at 248.92 121.92) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02E041)) + (via (at 242.57 123.19) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02E042)) + (via (at 243.84 121.92) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02E043)) + (via (at 240.03 123.19) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02E044)) + (via (at 241.3 121.92) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02E045)) + (via (at 251.46 121.92) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02E154)) + (via (at 252.73 123.19) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02E155)) + (via (at 255.27 123.19) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02E156)) + (via (at 254 121.92) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02E157)) + (via (at 257.81 123.19) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02E158)) + (via (at 256.54 121.92) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02E159)) + (via (at 259.08 121.92) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02E15A)) + (via (at 237.49 123.19) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02EFD1)) + (via (at 247.015 100.965) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D07F5EF)) + (via (at 245.745 102.235) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D07EC99)) + (via (at 246.38 110.49) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02E7C4)) + (via (at 247.904 107.315) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D05E62E)) + (via (at 247.904 106.045) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D05E62C)) + (via (at 247.904 104.775) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D05E62A)) + (via (at 247.65 109.22) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02E6BA)) + (via (at 259.08 110.49) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02E52F)) + (via (at 257.81 109.22) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02E3A4)) + (via (at 252.73 109.22) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02E29A)) + (via (at 250.19 109.22) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02E299)) + (via (at 255.27 109.22) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02E298)) + (via (at 254 110.49) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02E294)) + (via (at 251.46 110.49) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02E293)) + (via (at 248.92 110.49) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02E292)) + (via (at 256.54 110.49) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02E290)) + (via (at 249.174 103.505) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D05BB52)) + (via (at 249.174 102.235) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D05BB50)) + (via (at 249.174 100.965) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D05BB4E)) + (via (at 259.08 106.045) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D07E13A)) + (via (at 259.08 107.315) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D07E138)) + (via (at 259.08 102.235) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D07E133)) + (via (at 259.08 100.965) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D07E132)) + (via (at 251.46 107.315) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02F546)) + (via (at 251.46 106.045) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02F545)) + (via (at 254 107.315) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02F542)) + (via (at 254 106.045) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02F541)) + (via (at 256.54 106.045) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02F53E)) + (via (at 256.54 107.315) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02F53D)) + (via (at 251.46 103.505) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02ED38)) + (via (at 250.19 104.775) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02ED37)) + (via (at 256.54 103.505) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02EA15)) + (via (at 254 103.505) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02EA14)) + (via (at 252.73 104.775) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02EA13)) + (via (at 257.81 104.775) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02EA12)) + (via (at 255.27 104.775) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02EA11)) + (via (at 259.08 103.505) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D02EA10)) + (via (at 230.251 102.743) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D11AC65)) + (via (at 225.679 102.743) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D11AC6D)) + (via (at 231.14 98.933) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D11AC7A)) + (via (at 228.6 98.933) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D11AC7C)) + (via (at 226.06 98.933) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D11AC7E)) + (via (at 224.79 97.663) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D07D2B5)) + (via (at 230.886 104.14) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D11ADFF)) + (via (at 224.917 104.14) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D11B03C)) + (via (at 230.886 109.474) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D11C872)) + (via (at 230.505 107.315) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D11CC0A)) + (via (at 225.425 107.315) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D11CC0B)) + (via (at 227.965 107.315) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D11CC0C)) + (via (at 227.965 104.14) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D11D252)) + (via (at 227.965 102.489) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D11D254)) + (via (at 199.136 120.65) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D3222EA)) + (via (at 201.93 113.03) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C544)) + (via (at 205.867 121.92) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06E2E6)) + (via (at 207.264 120.65) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06E2F3)) + (via (at 205.867 119.38) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06E2E7)) + (via (at 204.47 123.19) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06E2E5)) + (via (at 204.47 118.11) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06E2E4)) + (via (at 203.2 91.44) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C56B)) + (via (at 203.2 124.46) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C569)) + (via (at 203.2 116.84) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C568)) + (via (at 203.2 119.38) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C567)) + (via (at 203.2 93.98) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C566)) + (via (at 203.2 121.92) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C565)) + (via (at 203.2 111.76) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C564)) + (via (at 203.2 96.52) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C563)) + (via (at 203.2 104.14) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C561)) + (via (at 203.2 99.06) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C560)) + (via (at 203.2 109.22) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C55F)) + (via (at 203.2 101.6) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C55E)) + (via (at 203.2 106.68) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C55D)) + (via (at 201.93 95.25) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C545)) + (via (at 201.93 100.33) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C543)) + (via (at 201.93 105.41) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C542)) + (via (at 201.93 110.49) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C546)) + (via (at 201.93 115.57) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C54A)) + (via (at 201.93 125.73) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C54C)) + (via (at 201.93 120.65) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C547)) + (via (at 200.533 116.84) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C52F)) + (via (at 200.533 111.76) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C52E)) + (via (at 200.533 119.38) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C52D)) + (via (at 200.533 121.92) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C52C)) + (via (at 200.533 124.46) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C52B)) + (via (at 200.533 127) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C52A)) + (via (at 200.533 91.44) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C529)) + (via (at 200.533 93.98) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C528)) + (via (at 200.533 96.52) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C527)) + (via (at 200.533 99.06) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C526)) + (via (at 200.533 101.6) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C525)) + (via (at 200.533 104.14) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C524)) + (via (at 200.533 106.68) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C523)) + (via (at 200.533 109.22) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C522)) + (via (at 200.533 114.3) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D06C521)) + (via (at 199.136 92.71) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D01FBE7)) + (via (at 199.136 97.79) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D3222D5)) + (via (at 199.136 102.87) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D3222D2)) + (via (at 199.136 107.95) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D3222CF)) + (via (at 199.136 113.03) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D3222CC)) + (via (at 199.136 118.11) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D3222C9)) + (via (at 199.136 123.19) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D3222C6)) + (via (at 199.136 128.27) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D3222C3)) + (via (at 235.585 121.285) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D342AB5)) + (via (at 268.224 102.87) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D3462AB)) + (via (at 262.89 94.742) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D3480FA)) + (via (at 265.43 94.742) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D348103)) + (via (at 264.16 93.599) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D348106)) + (via (at 260.35 94.996) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D34A38C)) + (via (at 217.805 114.935) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D321F0F)) + (via (at 217.805 105.41) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D32205E)) + (via (at 218.059 108.585) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D321F15)) + (via (at 218.059 107.315) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D321F4E)) + (via (at 218.059 103.505) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D321F83)) + (via (at 218.059 102.235) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D321F85)) + (via (at 218.059 100.965) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D321F87)) + (via (at 218.059 99.695) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D321FF5)) + (via (at 217.805 98.425) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D322028)) + (via (at 229.362 121.158) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D40DD7B)) + (via (at 203.581 114.554) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 203.532 114.505) (end 203.581 114.554) (width 0.508) (layer F.Cu) (net 2)) + (segment (start 203.532 113.411) (end 203.532 114.505) (width 0.508) (layer F.Cu) (net 2)) + (segment (start 202.311 113.411) (end 201.93 113.03) (width 0.508) (layer F.Cu) (net 2)) + (segment (start 203.532 113.411) (end 202.311 113.411) (width 0.508) (layer F.Cu) (net 2)) + (segment (start 203.532 112.092) (end 203.2 111.76) (width 0.508) (layer F.Cu) (net 2)) + (segment (start 203.532 113.411) (end 203.532 112.092) (width 0.508) (layer F.Cu) (net 2)) + (via (at 210.947 125.476) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 9)) + (via (at 214.249 122.174) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 9)) + (segment (start 210.947 125.476) (end 214.249 122.174) (width 0.1524) (layer B.Cu) (net 9)) + (via (at 235.712 114.935) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 9)) + (segment (start 235.712 114.935) (end 229.108 114.935) (width 0.1524) (layer B.Cu) (net 9)) + (via (at 226.441 117.602) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 9)) + (segment (start 229.108 114.935) (end 226.441 117.602) (width 0.1524) (layer B.Cu) (net 9)) + (segment (start 248.92 102.87) (end 262 102.87) (width 0.1524) (layer F.Cu) (net 9)) + (segment (start 235.712 114.935) (end 236.855 114.935) (width 0.1524) (layer F.Cu) (net 9)) + (segment (start 236.855 114.935) (end 248.92 102.87) (width 0.1524) (layer F.Cu) (net 9)) + (segment (start 210.185 128.905) (end 208.28 130.81) (width 0.1524) (layer F.Cu) (net 9)) + (segment (start 210.185 127) (end 210.185 128.905) (width 0.1524) (layer F.Cu) (net 9)) + (segment (start 208.28 130.81) (end 208.28 135.382) (width 0.1524) (layer F.Cu) (net 9)) + (segment (start 210.947 126.238) (end 210.185 127) (width 0.1524) (layer F.Cu) (net 9)) + (segment (start 210.947 125.476) (end 210.947 126.238) (width 0.1524) (layer F.Cu) (net 9)) + (segment (start 215.392 121.031) (end 214.249 122.174) (width 0.1524) (layer F.Cu) (net 9)) + (segment (start 219.583 118.11) (end 216.662 121.031) (width 0.1524) (layer F.Cu) (net 9)) + (segment (start 216.662 121.031) (end 215.392 121.031) (width 0.1524) (layer F.Cu) (net 9)) + (segment (start 225.933 118.11) (end 219.583 118.11) (width 0.1524) (layer F.Cu) (net 9)) + (segment (start 226.441 117.602) (end 225.933 118.11) (width 0.1524) (layer F.Cu) (net 9)) + (via (at 211.836 126.365) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 11)) + (via (at 215.138 123.063) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 11)) + (segment (start 211.836 126.365) (end 215.138 123.063) (width 0.1524) (layer B.Cu) (net 11)) + (via (at 235.712 116.205) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 11)) + (segment (start 235.712 116.205) (end 229.997 116.205) (width 0.1524) (layer B.Cu) (net 11)) + (via (at 227.33 118.491) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 11)) + (segment (start 229.997 116.205) (end 229.362 116.84) (width 0.1524) (layer B.Cu) (net 11)) + (segment (start 228.981 116.84) (end 227.33 118.491) (width 0.1524) (layer B.Cu) (net 11)) + (segment (start 229.362 116.84) (end 228.981 116.84) (width 0.1524) (layer B.Cu) (net 11)) + (segment (start 236.855 116.205) (end 247.65 105.41) (width 0.1524) (layer F.Cu) (net 11)) + (segment (start 247.65 105.41) (end 262 105.41) (width 0.1524) (layer F.Cu) (net 11)) + (segment (start 235.712 116.205) (end 236.855 116.205) (width 0.1524) (layer F.Cu) (net 11)) + (segment (start 213.36 130.81) (end 213.36 135.382) (width 0.1524) (layer F.Cu) (net 11)) + (segment (start 211.455 128.905) (end 213.36 130.81) (width 0.1524) (layer F.Cu) (net 11)) + (segment (start 211.455 126.746) (end 211.455 128.905) (width 0.1524) (layer F.Cu) (net 11)) + (segment (start 211.836 126.365) (end 211.455 126.746) (width 0.1524) (layer F.Cu) (net 11)) + (segment (start 215.646 123.063) (end 215.138 123.063) (width 0.1524) (layer F.Cu) (net 11)) + (segment (start 226.949 118.872) (end 219.837 118.872) (width 0.1524) (layer F.Cu) (net 11)) + (segment (start 219.837 118.872) (end 215.646 123.063) (width 0.1524) (layer F.Cu) (net 11)) + (segment (start 227.33 118.491) (end 226.949 118.872) (width 0.1524) (layer F.Cu) (net 11)) + (segment (start 236.22 135.382) (end 236.22 128.905) (width 0.1524) (layer F.Cu) (net 84)) + (segment (start 234.95 127.635) (end 234.95 126.11) (width 0.1524) (layer F.Cu) (net 84)) + (segment (start 236.22 128.905) (end 234.95 127.635) (width 0.1524) (layer F.Cu) (net 84)) + (segment (start 236.22 126.11) (end 236.22 127.635) (width 0.1524) (layer F.Cu) (net 16)) + (segment (start 238.76 130.175) (end 238.76 135.382) (width 0.1524) (layer F.Cu) (net 16)) + (segment (start 236.22 127.635) (end 238.76 130.175) (width 0.1524) (layer F.Cu) (net 16)) + (segment (start 240.03 128.27) (end 241.3 129.54) (width 0.1524) (layer F.Cu) (net 17)) + (segment (start 240.03 126.11) (end 240.03 128.27) (width 0.1524) (layer F.Cu) (net 17)) + (segment (start 241.3 135.382) (end 241.3 129.54) (width 0.1524) (layer F.Cu) (net 17)) + (segment (start 243.84 130.81) (end 243.84 135.382) (width 0.1524) (layer F.Cu) (net 83)) + (segment (start 242.57 129.54) (end 243.84 130.81) (width 0.1524) (layer F.Cu) (net 83)) + (segment (start 242.57 126.364) (end 242.57 129.54) (width 0.1524) (layer F.Cu) (net 83)) + (segment (start 246.38 130.81) (end 246.38 135.382) (width 0.1524) (layer F.Cu) (net 82)) + (segment (start 247.65 129.54) (end 246.38 130.81) (width 0.1524) (layer F.Cu) (net 82)) + (segment (start 247.65 126.11) (end 247.65 129.54) (width 0.1524) (layer F.Cu) (net 82)) + (segment (start 248.92 126.11) (end 248.92 135.382) (width 0.1524) (layer F.Cu) (net 18)) + (segment (start 254 128.27) (end 251.46 130.81) (width 0.1524) (layer F.Cu) (net 19)) + (segment (start 254 126.364) (end 254 128.27) (width 0.1524) (layer F.Cu) (net 19)) + (segment (start 251.46 130.81) (end 251.46 135.382) (width 0.1524) (layer F.Cu) (net 19)) + (segment (start 254 129.54) (end 254 135.382) (width 0.1524) (layer F.Cu) (net 81)) + (segment (start 255.27 128.27) (end 254 129.54) (width 0.1524) (layer F.Cu) (net 81)) + (segment (start 255.27 126.364) (end 255.27 128.27) (width 0.1524) (layer F.Cu) (net 81)) + (segment (start 256.54 135.382) (end 256.54 130.81) (width 0.1524) (layer F.Cu) (net 20)) + (segment (start 256.54 130.81) (end 258.445 128.905) (width 0.1524) (layer F.Cu) (net 20)) + (segment (start 262 127.255) (end 260.35 128.905) (width 0.1524) (layer F.Cu) (net 20)) + (segment (start 262 124.46) (end 262 127.255) (width 0.1524) (layer F.Cu) (net 20)) + (segment (start 260.35 128.905) (end 258.445 128.905) (width 0.1524) (layer F.Cu) (net 20)) + (via (at 263.271 116.84) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 23)) + (segment (start 262 116.84) (end 263.271 116.84) (width 0.1524) (layer F.Cu) (net 23)) + (segment (start 269.24 130.81) (end 269.24 135.382) (width 0.1524) (layer B.Cu) (net 23)) + (segment (start 270.129 129.921) (end 269.24 130.81) (width 0.1524) (layer B.Cu) (net 23)) + (segment (start 270.129 118.491) (end 270.129 129.921) (width 0.1524) (layer B.Cu) (net 23)) + (segment (start 268.478 116.84) (end 270.129 118.491) (width 0.1524) (layer B.Cu) (net 23)) + (segment (start 263.271 116.84) (end 268.478 116.84) (width 0.1524) (layer B.Cu) (net 23)) + (via (at 263.271 118.11) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 25)) + (segment (start 262 118.11) (end 263.271 118.11) (width 0.1524) (layer F.Cu) (net 25)) + (segment (start 264.16 130.81) (end 264.16 135.382) (width 0.1524) (layer B.Cu) (net 25)) + (segment (start 266.065 128.905) (end 264.16 130.81) (width 0.1524) (layer B.Cu) (net 25)) + (segment (start 266.065 119.38) (end 266.065 128.905) (width 0.1524) (layer B.Cu) (net 25)) + (segment (start 264.795 118.11) (end 266.065 119.38) (width 0.1524) (layer B.Cu) (net 25)) + (segment (start 263.271 118.11) (end 264.795 118.11) (width 0.1524) (layer B.Cu) (net 25)) + (via (at 263.271 119.38) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 26)) + (segment (start 262 119.38) (end 263.271 119.38) (width 0.1524) (layer F.Cu) (net 26)) + (segment (start 264.795 120.015) (end 264.16 119.38) (width 0.1524) (layer B.Cu) (net 26)) + (segment (start 264.16 119.38) (end 263.271 119.38) (width 0.1524) (layer B.Cu) (net 26)) + (segment (start 264.795 127.635) (end 264.795 120.015) (width 0.1524) (layer B.Cu) (net 26)) + (segment (start 261.62 130.81) (end 264.795 127.635) (width 0.1524) (layer B.Cu) (net 26)) + (segment (start 261.62 135.382) (end 261.62 130.81) (width 0.1524) (layer B.Cu) (net 26)) + (segment (start 259.08 135.382) (end 259.08 130.81) (width 0.1524) (layer B.Cu) (net 27)) + (via (at 263.271 121.92) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 27)) + (segment (start 262 121.92) (end 263.271 121.92) (width 0.1524) (layer F.Cu) (net 27)) + (segment (start 263.271 121.92) (end 263.271 126.619) (width 0.1524) (layer B.Cu) (net 27)) + (segment (start 259.08 130.81) (end 263.271 126.619) (width 0.1524) (layer B.Cu) (net 27)) + (via (at 260.35 127.381) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 80)) + (segment (start 260.35 126.11) (end 260.35 127.381) (width 0.1524) (layer F.Cu) (net 80)) + (segment (start 256.54 130.81) (end 256.54 135.382) (width 0.1524) (layer B.Cu) (net 80)) + (segment (start 257.175 130.175) (end 256.54 130.81) (width 0.1524) (layer B.Cu) (net 80)) + (segment (start 258.445 130.175) (end 257.175 130.175) (width 0.1524) (layer B.Cu) (net 80)) + (segment (start 260.35 128.27) (end 258.445 130.175) (width 0.1524) (layer B.Cu) (net 80)) + (segment (start 260.35 127.381) (end 260.35 128.27) (width 0.1524) (layer B.Cu) (net 80)) + (via (at 259.08 127.381) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 28)) + (segment (start 259.08 126.11) (end 259.08 127.381) (width 0.1524) (layer F.Cu) (net 28)) + (segment (start 254 130.81) (end 254 135.382) (width 0.1524) (layer B.Cu) (net 28)) + (segment (start 255.905 128.905) (end 254 130.81) (width 0.1524) (layer B.Cu) (net 28)) + (segment (start 258.445 128.905) (end 255.905 128.905) (width 0.1524) (layer B.Cu) (net 28)) + (segment (start 259.08 128.27) (end 258.445 128.905) (width 0.1524) (layer B.Cu) (net 28)) + (segment (start 259.08 127.381) (end 259.08 128.27) (width 0.1524) (layer B.Cu) (net 28)) + (via (at 252.73 127.381) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 29)) + (segment (start 252.73 126.11) (end 252.73 127.381) (width 0.1524) (layer F.Cu) (net 29)) + (segment (start 252.73 128.27) (end 252.73 127.381) (width 0.1524) (layer B.Cu) (net 29)) + (segment (start 252.73 128.27) (end 251.46 129.54) (width 0.1524) (layer B.Cu) (net 29)) + (segment (start 251.46 129.54) (end 251.46 135.382) (width 0.1524) (layer B.Cu) (net 29)) + (via (at 251.46 127.381) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 79)) + (segment (start 251.46 126.11) (end 251.46 127.381) (width 0.1524) (layer F.Cu) (net 79)) + (segment (start 248.92 130.81) (end 248.92 135.382) (width 0.1524) (layer B.Cu) (net 79)) + (segment (start 251.46 128.27) (end 248.92 130.81) (width 0.1524) (layer B.Cu) (net 79)) + (segment (start 251.46 127.381) (end 251.46 128.27) (width 0.1524) (layer B.Cu) (net 79)) + (via (at 246.38 127.381) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 78)) + (segment (start 246.38 126.11) (end 246.38 127.381) (width 0.1524) (layer F.Cu) (net 78)) + (segment (start 246.38 135.382) (end 246.38 127.381) (width 0.1524) (layer B.Cu) (net 78)) + (via (at 243.84 127.381) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 30)) + (segment (start 243.84 126.11) (end 243.84 127.381) (width 0.1524) (layer F.Cu) (net 30)) + (segment (start 243.84 127.381) (end 243.84 135.382) (width 0.1524) (layer B.Cu) (net 30)) + (via (at 238.76 127.381) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 31)) + (segment (start 238.76 126.11) (end 238.76 127.381) (width 0.1524) (layer F.Cu) (net 31)) + (segment (start 241.3 130.81) (end 241.3 135.382) (width 0.1524) (layer B.Cu) (net 31)) + (segment (start 238.76 128.27) (end 241.3 130.81) (width 0.1524) (layer B.Cu) (net 31)) + (segment (start 238.76 127.381) (end 238.76 128.27) (width 0.1524) (layer B.Cu) (net 31)) + (via (at 237.49 127.381) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 77)) + (segment (start 237.49 126.11) (end 237.49 127.381) (width 0.1524) (layer F.Cu) (net 77)) + (segment (start 238.76 129.54) (end 238.76 135.382) (width 0.1524) (layer B.Cu) (net 77)) + (segment (start 237.49 128.27) (end 238.76 129.54) (width 0.1524) (layer B.Cu) (net 77)) + (segment (start 237.49 127.381) (end 237.49 128.27) (width 0.1524) (layer B.Cu) (net 77)) + (via (at 216.027 123.952) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 33)) + (segment (start 212.725 127.254) (end 216.027 123.952) (width 0.1524) (layer B.Cu) (net 33)) + (via (at 212.725 127.254) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 33)) + (via (at 224.79 126.365) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 33)) + (segment (start 226.06 130.81) (end 226.06 135.382) (width 0.1524) (layer B.Cu) (net 33)) + (segment (start 224.79 129.54) (end 226.06 130.81) (width 0.1524) (layer B.Cu) (net 33)) + (segment (start 224.79 126.365) (end 224.79 129.54) (width 0.1524) (layer B.Cu) (net 33)) + (segment (start 213.36 129.286) (end 213.36 135.382) (width 0.1524) (layer B.Cu) (net 33)) + (via (at 213.36 129.286) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 33)) + (via (at 236.982 117.475) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 33)) + (via (at 228.981 120.396) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 33)) + (segment (start 212.598 127.381) (end 212.725 127.254) (width 0.1524) (layer F.Cu) (net 33)) + (segment (start 212.725 128.651) (end 212.725 127.508) (width 0.1524) (layer F.Cu) (net 33)) + (segment (start 213.36 129.286) (end 212.725 128.651) (width 0.1524) (layer F.Cu) (net 33)) + (segment (start 216.408 123.825) (end 216.154 124.079) (width 0.1524) (layer F.Cu) (net 33)) + (segment (start 221.869 123.825) (end 216.408 123.825) (width 0.1524) (layer F.Cu) (net 33)) + (segment (start 224.409 126.365) (end 224.79 126.365) (width 0.1524) (layer F.Cu) (net 33)) + (segment (start 221.869 123.825) (end 224.409 126.365) (width 0.1524) (layer F.Cu) (net 33)) + (via (at 221.869 123.825) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 33)) + (segment (start 225.298 120.396) (end 228.981 120.396) (width 0.1524) (layer F.Cu) (net 33)) + (segment (start 221.869 123.825) (end 225.298 120.396) (width 0.1524) (layer F.Cu) (net 33)) + (segment (start 229.362 120.015) (end 228.981 120.396) (width 0.1524) (layer B.Cu) (net 33)) + (segment (start 234.569 120.015) (end 229.362 120.015) (width 0.1524) (layer B.Cu) (net 33)) + (segment (start 236.982 117.602) (end 234.569 120.015) (width 0.1524) (layer B.Cu) (net 33)) + (segment (start 236.982 117.475) (end 236.982 117.602) (width 0.1524) (layer B.Cu) (net 33)) + (segment (start 248.031 107.95) (end 262 107.95) (width 0.1524) (layer F.Cu) (net 33)) + (segment (start 238.506 117.475) (end 248.031 107.95) (width 0.1524) (layer F.Cu) (net 33)) + (segment (start 236.982 117.475) (end 238.506 117.475) (width 0.1524) (layer F.Cu) (net 33)) + (segment (start 262 123.19) (end 263.398 123.19) (width 0.1524) (layer F.Cu) (net 34)) + (segment (start 264.16 123.952) (end 263.398 123.19) (width 0.1524) (layer F.Cu) (net 34)) + (segment (start 264.16 135.382) (end 264.16 123.952) (width 0.1524) (layer F.Cu) (net 34)) + (segment (start 220.98 126.365) (end 220.98 135.382) (width 0.1524) (layer B.Cu) (net 38)) + (via (at 220.98 126.365) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 38)) + (via (at 238.252 116.205) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 38)) + (segment (start 220.98 126.365) (end 221.996 125.349) (width 0.1524) (layer F.Cu) (net 38)) + (via (at 221.996 125.349) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 38)) + (via (at 223.393 123.952) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 38)) + (segment (start 221.996 125.349) (end 223.393 123.952) (width 0.1524) (layer B.Cu) (net 38)) + (via (at 225.933 121.539) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 38)) + (segment (start 223.52 123.952) (end 225.933 121.539) (width 0.1524) (layer F.Cu) (net 38)) + (segment (start 223.393 123.952) (end 223.52 123.952) (width 0.1524) (layer F.Cu) (net 38)) + (segment (start 238.252 116.078) (end 247.65 106.68) (width 0.1524) (layer F.Cu) (net 38)) + (segment (start 238.252 116.205) (end 238.252 116.078) (width 0.1524) (layer F.Cu) (net 38)) + (segment (start 247.65 106.68) (end 262 106.68) (width 0.1524) (layer F.Cu) (net 38)) + (segment (start 226.568 120.904) (end 225.933 121.539) (width 0.1524) (layer B.Cu) (net 38)) + (segment (start 228.092 120.904) (end 226.568 120.904) (width 0.1524) (layer B.Cu) (net 38)) + (segment (start 228.981 121.793) (end 228.092 120.904) (width 0.1524) (layer B.Cu) (net 38)) + (segment (start 234.569 121.285) (end 231.521 121.285) (width 0.1524) (layer B.Cu) (net 38)) + (segment (start 231.013 121.793) (end 228.981 121.793) (width 0.1524) (layer B.Cu) (net 38)) + (segment (start 231.521 121.285) (end 231.013 121.793) (width 0.1524) (layer B.Cu) (net 38)) + (segment (start 238.252 117.602) (end 234.569 121.285) (width 0.1524) (layer B.Cu) (net 38)) + (segment (start 238.252 116.205) (end 238.252 117.602) (width 0.1524) (layer B.Cu) (net 38)) + (segment (start 262 111.76) (end 262 110.49) (width 0.1524) (layer F.Cu) (net 39)) + (via (at 213.614 128.143) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 39)) + (via (at 216.916 124.841) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 39)) + (segment (start 213.614 128.143) (end 216.916 124.841) (width 0.1524) (layer B.Cu) (net 39)) + (via (at 235.712 117.475) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 39)) + (via (at 215.9 130.683) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 39)) + (via (at 228.219 119.38) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 39)) + (segment (start 230.124 117.475) (end 228.219 119.38) (width 0.1524) (layer B.Cu) (net 39)) + (segment (start 235.712 117.475) (end 230.124 117.475) (width 0.1524) (layer B.Cu) (net 39)) + (via (at 218.694 122.682) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 39)) + (segment (start 218.694 125.222) (end 218.694 122.682) (width 0.1524) (layer B.Cu) (net 39)) + (segment (start 217.9955 125.9205) (end 216.916 124.841) (width 0.1524) (layer F.Cu) (net 39)) + (segment (start 217.9955 125.9205) (end 218.694 125.222) (width 0.1524) (layer B.Cu) (net 39)) + (via (at 217.9955 125.9205) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 39)) + (segment (start 227.965 119.634) (end 228.219 119.38) (width 0.1524) (layer F.Cu) (net 39)) + (segment (start 220.853 119.634) (end 227.965 119.634) (width 0.1524) (layer F.Cu) (net 39)) + (segment (start 218.694 121.793) (end 220.853 119.634) (width 0.1524) (layer F.Cu) (net 39)) + (segment (start 218.694 122.682) (end 218.694 121.793) (width 0.1524) (layer F.Cu) (net 39)) + (segment (start 215.9 130.429) (end 213.614 128.143) (width 0.1524) (layer F.Cu) (net 39)) + (segment (start 215.9 130.683) (end 215.9 130.429) (width 0.1524) (layer F.Cu) (net 39)) + (segment (start 218.44 131.064) (end 218.44 135.382) (width 0.1524) (layer B.Cu) (net 39)) + (segment (start 217.805 130.429) (end 218.44 131.064) (width 0.1524) (layer B.Cu) (net 39)) + (segment (start 216.154 130.429) (end 217.805 130.429) (width 0.1524) (layer B.Cu) (net 39)) + (segment (start 215.9 130.683) (end 216.154 130.429) (width 0.1524) (layer B.Cu) (net 39)) + (segment (start 245.999 111.76) (end 262 111.76) (width 0.1524) (layer F.Cu) (net 39)) + (segment (start 239.014 118.745) (end 245.999 111.76) (width 0.1524) (layer F.Cu) (net 39)) + (segment (start 235.712 117.9195) (end 236.5375 118.745) (width 0.1524) (layer F.Cu) (net 39)) + (segment (start 236.5375 118.745) (end 239.014 118.745) (width 0.1524) (layer F.Cu) (net 39)) + (segment (start 235.712 117.475) (end 235.712 117.9195) (width 0.1524) (layer F.Cu) (net 39)) + (segment (start 236.22 98.679) (end 236.22 97.41) (width 0.1524) (layer F.Cu) (net 45)) + (segment (start 232.156 99.695) (end 235.204 99.695) (width 0.1524) (layer F.Cu) (net 45)) + (segment (start 223.774 99.695) (end 224.10419 100.02519) (width 0.1524) (layer F.Cu) (net 45)) + (segment (start 231.82581 100.02519) (end 232.156 99.695) (width 0.1524) (layer F.Cu) (net 45)) + (segment (start 235.204 99.695) (end 236.22 98.679) (width 0.1524) (layer F.Cu) (net 45)) + (segment (start 224.10419 100.02519) (end 231.82581 100.02519) (width 0.1524) (layer F.Cu) (net 45)) + (segment (start 221.505 99.695) (end 223.774 99.695) (width 0.1524) (layer F.Cu) (net 45)) + (segment (start 224.10419 100.63481) (end 231.82581 100.63481) (width 0.1524) (layer F.Cu) (net 46)) + (segment (start 237.49 98.933) (end 237.49 97.41) (width 0.1524) (layer F.Cu) (net 46)) + (segment (start 232.156 100.965) (end 235.458 100.965) (width 0.1524) (layer F.Cu) (net 46)) + (segment (start 221.505 100.965) (end 223.774 100.965) (width 0.1524) (layer F.Cu) (net 46)) + (segment (start 231.82581 100.63481) (end 232.156 100.965) (width 0.1524) (layer F.Cu) (net 46)) + (segment (start 235.458 100.965) (end 237.49 98.933) (width 0.1524) (layer F.Cu) (net 46)) + (segment (start 223.774 100.965) (end 224.10419 100.63481) (width 0.1524) (layer F.Cu) (net 46)) + (segment (start 224.76457 101.24443) (end 223.774 102.235) (width 0.1524) (layer F.Cu) (net 47)) + (segment (start 232.156 102.235) (end 231.16543 101.24443) (width 0.1524) (layer F.Cu) (net 47)) + (segment (start 238.76 99.187) (end 235.712 102.235) (width 0.1524) (layer F.Cu) (net 47)) + (segment (start 235.712 102.235) (end 232.156 102.235) (width 0.1524) (layer F.Cu) (net 47)) + (segment (start 223.774 102.235) (end 221.505 102.235) (width 0.1524) (layer F.Cu) (net 47)) + (segment (start 231.16543 101.24443) (end 224.76457 101.24443) (width 0.1524) (layer F.Cu) (net 47)) + (segment (start 238.76 97.41) (end 238.76 99.187) (width 0.1524) (layer F.Cu) (net 47)) + (segment (start 240.03 99.441) (end 240.03 97.41) (width 0.1524) (layer F.Cu) (net 48)) + (segment (start 235.966 103.505) (end 240.03 99.441) (width 0.1524) (layer F.Cu) (net 48)) + (segment (start 221.505 103.505) (end 223.774 103.505) (width 0.1524) (layer F.Cu) (net 48)) + (segment (start 223.774 103.505) (end 225.42495 101.85405) (width 0.1524) (layer F.Cu) (net 48)) + (segment (start 225.42495 101.85405) (end 230.50505 101.85405) (width 0.1524) (layer F.Cu) (net 48)) + (segment (start 232.156 103.505) (end 235.966 103.505) (width 0.1524) (layer F.Cu) (net 48)) + (segment (start 230.50505 101.85405) (end 232.156 103.505) (width 0.1524) (layer F.Cu) (net 48)) + (segment (start 217.17 103.505) (end 214.105 103.505) (width 0.1524) (layer F.Cu) (net 49)) + (segment (start 217.805 102.87) (end 217.17 103.505) (width 0.1524) (layer F.Cu) (net 49)) + (segment (start 223.774 102.87) (end 217.805 102.87) (width 0.1524) (layer F.Cu) (net 49)) + (segment (start 233.3 102.87) (end 232.156 102.87) (width 0.1524) (layer F.Cu) (net 49)) + (segment (start 230.83524 101.54924) (end 225.09476 101.54924) (width 0.1524) (layer F.Cu) (net 49)) + (segment (start 232.156 102.87) (end 230.83524 101.54924) (width 0.1524) (layer F.Cu) (net 49)) + (segment (start 225.09476 101.54924) (end 223.774 102.87) (width 0.1524) (layer F.Cu) (net 49)) + (segment (start 217.17 102.235) (end 214.105 102.235) (width 0.1524) (layer F.Cu) (net 50)) + (segment (start 217.805 101.6) (end 217.17 102.235) (width 0.1524) (layer F.Cu) (net 50)) + (segment (start 217.805 101.6) (end 223.774 101.6) (width 0.1524) (layer F.Cu) (net 50)) + (segment (start 223.774 101.6) (end 224.43438 100.93962) (width 0.1524) (layer F.Cu) (net 50)) + (segment (start 224.43438 100.93962) (end 231.49562 100.93962) (width 0.1524) (layer F.Cu) (net 50)) + (segment (start 232.156 101.6) (end 233.3 101.6) (width 0.1524) (layer F.Cu) (net 50)) + (segment (start 231.49562 100.93962) (end 232.156 101.6) (width 0.1524) (layer F.Cu) (net 50)) + (segment (start 214.105 100.965) (end 217.17 100.965) (width 0.1524) (layer F.Cu) (net 51)) + (segment (start 217.17 100.965) (end 217.805 100.33) (width 0.1524) (layer F.Cu) (net 51)) + (segment (start 217.805 100.33) (end 224.155 100.33) (width 0.1524) (layer F.Cu) (net 51)) + (segment (start 224.155 100.33) (end 233.3 100.33) (width 0.1524) (layer F.Cu) (net 51)) + (segment (start 214.105 99.695) (end 217.17 99.695) (width 0.1524) (layer F.Cu) (net 52)) + (segment (start 217.17 99.695) (end 217.805 99.06) (width 0.1524) (layer F.Cu) (net 52)) + (segment (start 217.805 99.06) (end 223.774 99.06) (width 0.1524) (layer F.Cu) (net 52)) + (segment (start 223.774 99.06) (end 224.43438 99.72038) (width 0.1524) (layer F.Cu) (net 52)) + (segment (start 224.43438 99.72038) (end 231.49562 99.72038) (width 0.1524) (layer F.Cu) (net 52)) + (segment (start 232.156 99.06) (end 233.3 99.06) (width 0.1524) (layer F.Cu) (net 52)) + (segment (start 231.49562 99.72038) (end 232.156 99.06) (width 0.1524) (layer F.Cu) (net 52)) + (segment (start 236.22 104.775) (end 241.3 99.695) (width 0.1524) (layer F.Cu) (net 53)) + (segment (start 241.3 99.695) (end 241.3 97.41) (width 0.1524) (layer F.Cu) (net 53)) + (segment (start 221.505 104.775) (end 236.22 104.775) (width 0.1524) (layer F.Cu) (net 53)) + (segment (start 228.6 106.68) (end 233.3 106.68) (width 0.1524) (layer F.Cu) (net 54)) + (segment (start 217.805 106.68) (end 228.6 106.68) (width 0.1524) (layer F.Cu) (net 54)) + (segment (start 217.17 106.045) (end 217.805 106.68) (width 0.1524) (layer F.Cu) (net 54)) + (segment (start 214.105 106.045) (end 217.17 106.045) (width 0.1524) (layer F.Cu) (net 54)) + (segment (start 232.156 104.14) (end 233.3 104.14) (width 0.1524) (layer F.Cu) (net 55)) + (segment (start 231.14 103.505) (end 231.775 104.14) (width 0.1524) (layer F.Cu) (net 55)) + (segment (start 224.663 103.505) (end 231.14 103.505) (width 0.1524) (layer F.Cu) (net 55)) + (segment (start 217.805 104.14) (end 224.028 104.14) (width 0.1524) (layer F.Cu) (net 55)) + (segment (start 224.028 104.14) (end 224.663 103.505) (width 0.1524) (layer F.Cu) (net 55)) + (segment (start 231.775 104.14) (end 232.156 104.14) (width 0.1524) (layer F.Cu) (net 55)) + (segment (start 217.17 104.775) (end 217.805 104.14) (width 0.1524) (layer F.Cu) (net 55)) + (segment (start 214.105 104.775) (end 217.17 104.775) (width 0.1524) (layer F.Cu) (net 55)) + (segment (start 245.11 101.6) (end 245.11 97.41) (width 0.1524) (layer F.Cu) (net 56)) + (segment (start 236.855 109.855) (end 245.11 101.6) (width 0.1524) (layer F.Cu) (net 56)) + (segment (start 232.156 109.855) (end 236.855 109.855) (width 0.1524) (layer F.Cu) (net 56)) + (segment (start 231.19081 108.88981) (end 232.156 109.855) (width 0.1524) (layer F.Cu) (net 56)) + (segment (start 224.10419 108.88981) (end 231.19081 108.88981) (width 0.1524) (layer F.Cu) (net 56)) + (segment (start 223.774 109.22) (end 224.10419 108.88981) (width 0.1524) (layer F.Cu) (net 56)) + (segment (start 217.805 109.22) (end 223.774 109.22) (width 0.1524) (layer F.Cu) (net 56)) + (segment (start 217.17 108.585) (end 217.805 109.22) (width 0.1524) (layer F.Cu) (net 56)) + (segment (start 214.105 108.585) (end 217.17 108.585) (width 0.1524) (layer F.Cu) (net 56)) + (segment (start 223.774 107.315) (end 221.505 107.315) (width 0.1524) (layer F.Cu) (net 57)) + (segment (start 224.409 107.95) (end 223.774 107.315) (width 0.1524) (layer F.Cu) (net 57)) + (segment (start 233.3 107.95) (end 224.409 107.95) (width 0.1524) (layer F.Cu) (net 57)) + (segment (start 232.156 109.22) (end 233.3 109.22) (width 0.1524) (layer F.Cu) (net 58)) + (segment (start 231.521 108.585) (end 232.156 109.22) (width 0.1524) (layer F.Cu) (net 58)) + (segment (start 221.505 108.585) (end 231.521 108.585) (width 0.1524) (layer F.Cu) (net 58)) + (segment (start 217.17 107.315) (end 214.105 107.315) (width 0.1524) (layer F.Cu) (net 59)) + (segment (start 223.774 107.95) (end 217.805 107.95) (width 0.1524) (layer F.Cu) (net 59)) + (segment (start 224.07881 108.25481) (end 223.774 107.95) (width 0.1524) (layer F.Cu) (net 59)) + (segment (start 217.805 107.95) (end 217.17 107.315) (width 0.1524) (layer F.Cu) (net 59)) + (segment (start 231.82581 108.25481) (end 224.07881 108.25481) (width 0.1524) (layer F.Cu) (net 59)) + (segment (start 232.156 108.585) (end 231.82581 108.25481) (width 0.1524) (layer F.Cu) (net 59)) + (segment (start 236.601 108.585) (end 232.156 108.585) (width 0.1524) (layer F.Cu) (net 59)) + (segment (start 243.84 101.346) (end 236.601 108.585) (width 0.1524) (layer F.Cu) (net 59)) + (segment (start 243.84 97.41) (end 243.84 101.346) (width 0.1524) (layer F.Cu) (net 59)) + (segment (start 262 99.06) (end 267.97 99.06) (width 0.1524) (layer F.Cu) (net 60)) + (segment (start 267.97 99.06) (end 267.97 97.282) (width 0.1524) (layer F.Cu) (net 60)) + (segment (start 267.97 95.382) (end 260.853 95.382) (width 0.1524) (layer F.Cu) (net 61)) + (segment (start 260.35 95.885) (end 260.35 97.41) (width 0.1524) (layer F.Cu) (net 61)) + (segment (start 260.853 95.382) (end 260.35 95.885) (width 0.1524) (layer F.Cu) (net 61)) + (segment (start 248.92 97.41) (end 248.92 96.266) (width 0.1524) (layer F.Cu) (net 63) (tstamp 5D346057)) + (segment (start 250.19 96.266) (end 250.19 97.41) (width 0.1524) (layer F.Cu) (net 63) (tstamp 5D346058)) + (segment (start 249.174 96.012) (end 249.936 96.012) (width 0.1524) (layer F.Cu) (net 63) (tstamp 5D346059)) + (segment (start 249.936 96.012) (end 250.19 96.266) (width 0.1524) (layer F.Cu) (net 63) (tstamp 5D34605A)) + (segment (start 248.92 96.266) (end 249.174 96.012) (width 0.1524) (layer F.Cu) (net 63) (tstamp 5D34605B)) + (segment (start 253.746 96.012) (end 254 96.266) (width 0.1524) (layer F.Cu) (net 64) (tstamp 5D34604D)) + (segment (start 252.984 96.012) (end 253.746 96.012) (width 0.1524) (layer F.Cu) (net 64) (tstamp 5D34604E)) + (segment (start 254 96.266) (end 254 97.41) (width 0.1524) (layer F.Cu) (net 64) (tstamp 5D34604F)) + (segment (start 252.73 96.266) (end 252.984 96.012) (width 0.1524) (layer F.Cu) (net 64) (tstamp 5D346050)) + (segment (start 252.73 97.41) (end 252.73 96.266) (width 0.1524) (layer F.Cu) (net 64) (tstamp 5D346051)) + (segment (start 256.54 96.266) (end 256.54 97.41) (width 0.1524) (layer F.Cu) (net 65)) + (segment (start 256.286 96.012) (end 256.54 96.266) (width 0.1524) (layer F.Cu) (net 65)) + (segment (start 255.524 96.012) (end 256.286 96.012) (width 0.1524) (layer F.Cu) (net 65)) + (segment (start 255.27 96.266) (end 255.524 96.012) (width 0.1524) (layer F.Cu) (net 65)) + (segment (start 255.27 97.41) (end 255.27 96.266) (width 0.1524) (layer F.Cu) (net 65)) + (segment (start 236.22 135.382) (end 236.22 130.81) (width 0.1524) (layer B.Cu) (net 66)) + (segment (start 236.22 130.81) (end 235.585 130.175) (width 0.1524) (layer B.Cu) (net 66)) + (via (at 234.95 130.175) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 66)) + (segment (start 235.585 130.175) (end 234.95 130.175) (width 0.1524) (layer B.Cu) (net 66)) + (segment (start 229.997 122.428) (end 230.759 123.19) (width 0.1524) (layer F.Cu) (net 66)) + (segment (start 229.997 119.734132) (end 229.997 122.428) (width 0.1524) (layer F.Cu) (net 66)) + (segment (start 217.805 114.3) (end 224.562868 114.3) (width 0.1524) (layer F.Cu) (net 66)) + (segment (start 224.562868 114.3) (end 229.997 119.734132) (width 0.1524) (layer F.Cu) (net 66)) + (segment (start 217.17 113.665) (end 217.805 114.3) (width 0.1524) (layer F.Cu) (net 66)) + (segment (start 214.105 113.665) (end 217.17 113.665) (width 0.1524) (layer F.Cu) (net 66)) + (segment (start 210.058 119.507) (end 218.059 127.508) (width 0.1524) (layer F.Cu) (net 66)) + (segment (start 210.058 114.554) (end 210.058 119.507) (width 0.1524) (layer F.Cu) (net 66)) + (segment (start 232.283 127.508) (end 234.95 130.175) (width 0.1524) (layer F.Cu) (net 66)) + (segment (start 218.059 127.508) (end 232.283 127.508) (width 0.1524) (layer F.Cu) (net 66)) + (segment (start 210.947 113.665) (end 210.058 114.554) (width 0.1524) (layer F.Cu) (net 66)) + (segment (start 214.105 113.665) (end 210.947 113.665) (width 0.1524) (layer F.Cu) (net 66)) + (segment (start 230.759 123.19) (end 233.3 123.19) (width 0.1524) (layer F.Cu) (net 66)) + (segment (start 230.378 121.031) (end 231.267 121.92) (width 0.1524) (layer F.Cu) (net 67)) + (segment (start 230.378 119.684066) (end 230.378 121.031) (width 0.1524) (layer F.Cu) (net 67)) + (segment (start 224.358934 113.665) (end 230.378 119.684066) (width 0.1524) (layer F.Cu) (net 67)) + (segment (start 221.505 113.665) (end 224.358934 113.665) (width 0.1524) (layer F.Cu) (net 67)) + (segment (start 233.68 129.413) (end 233.68 135.382) (width 0.1524) (layer F.Cu) (net 67)) + (segment (start 232.156 127.889) (end 233.68 129.413) (width 0.1524) (layer F.Cu) (net 67)) + (segment (start 209.753191 119.710191) (end 217.932 127.889) (width 0.1524) (layer F.Cu) (net 67)) + (segment (start 209.753191 114.350809) (end 209.753191 119.710191) (width 0.1524) (layer F.Cu) (net 67)) + (segment (start 217.932 127.889) (end 232.156 127.889) (width 0.1524) (layer F.Cu) (net 67)) + (segment (start 211.074 113.03) (end 209.753191 114.350809) (width 0.1524) (layer F.Cu) (net 67)) + (segment (start 221.505 113.665) (end 217.805 113.665) (width 0.1524) (layer F.Cu) (net 67)) + (segment (start 217.805 113.665) (end 217.17 113.03) (width 0.1524) (layer F.Cu) (net 67)) + (segment (start 217.17 113.03) (end 211.074 113.03) (width 0.1524) (layer F.Cu) (net 67)) + (segment (start 233.3 121.92) (end 231.267 121.92) (width 0.1524) (layer F.Cu) (net 67)) + (segment (start 214.105 112.395) (end 217.17 112.395) (width 0.1524) (layer F.Cu) (net 68)) + (segment (start 217.17 112.395) (end 217.805 113.03) (width 0.1524) (layer F.Cu) (net 68)) + (segment (start 217.805 113.03) (end 224.155 113.03) (width 0.1524) (layer F.Cu) (net 68)) + (segment (start 224.155 113.03) (end 231.775 120.65) (width 0.1524) (layer F.Cu) (net 68)) + (segment (start 229.87 128.27) (end 231.14 129.54) (width 0.1524) (layer F.Cu) (net 68)) + (segment (start 231.14 129.54) (end 231.14 135.382) (width 0.1524) (layer F.Cu) (net 68)) + (segment (start 217.791566 128.27) (end 229.87 128.27) (width 0.1524) (layer F.Cu) (net 68)) + (segment (start 209.448382 119.926816) (end 217.791566 128.27) (width 0.1524) (layer F.Cu) (net 68)) + (segment (start 209.448382 114.147618) (end 209.448382 119.672816) (width 0.1524) (layer F.Cu) (net 68)) + (segment (start 211.201 112.395) (end 209.448382 114.147618) (width 0.1524) (layer F.Cu) (net 68)) + (segment (start 214.105 112.395) (end 211.201 112.395) (width 0.1524) (layer F.Cu) (net 68)) + (segment (start 209.448382 119.672816) (end 209.448382 119.887999) (width 0.1524) (layer F.Cu) (net 68)) + (segment (start 231.775 120.65) (end 233.3 120.65) (width 0.1524) (layer F.Cu) (net 68)) + (segment (start 221.505 112.395) (end 223.954736 112.395) (width 0.1524) (layer F.Cu) (net 69)) + (segment (start 223.954736 112.395) (end 230.939736 119.38) (width 0.1524) (layer F.Cu) (net 69)) + (segment (start 231.14 135.382) (end 231.14 130.81) (width 0.1524) (layer B.Cu) (net 69)) + (segment (start 230.505 130.175) (end 229.87 130.175) (width 0.1524) (layer B.Cu) (net 69)) + (via (at 229.87 130.175) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 69)) + (segment (start 231.14 130.81) (end 230.505 130.175) (width 0.1524) (layer B.Cu) (net 69)) + (segment (start 228.346 128.651) (end 229.87 130.175) (width 0.1524) (layer F.Cu) (net 69)) + (segment (start 217.678 128.651) (end 228.346 128.651) (width 0.1524) (layer F.Cu) (net 69)) + (segment (start 209.143573 120.116573) (end 217.678 128.651) (width 0.1524) (layer F.Cu) (net 69)) + (segment (start 211.316401 111.76) (end 209.143573 113.932828) (width 0.1524) (layer F.Cu) (net 69)) + (segment (start 217.805 112.395) (end 217.17 111.76) (width 0.1524) (layer F.Cu) (net 69)) + (segment (start 209.143573 113.932828) (end 209.143573 120.116573) (width 0.1524) (layer F.Cu) (net 69)) + (segment (start 217.17 111.76) (end 211.316401 111.76) (width 0.1524) (layer F.Cu) (net 69)) + (segment (start 221.505 112.395) (end 217.805 112.395) (width 0.1524) (layer F.Cu) (net 69)) + (segment (start 233.3 119.38) (end 230.939736 119.38) (width 0.1524) (layer F.Cu) (net 69)) + (segment (start 228.6 130.81) (end 227.965 130.175) (width 0.1524) (layer B.Cu) (net 70)) + (segment (start 228.6 135.382) (end 228.6 130.81) (width 0.1524) (layer B.Cu) (net 70)) + (via (at 227.33 130.175) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 70)) + (segment (start 227.965 130.175) (end 227.33 130.175) (width 0.1524) (layer B.Cu) (net 70)) + (segment (start 217.805 111.76) (end 223.750802 111.76) (width 0.1524) (layer F.Cu) (net 70)) + (segment (start 223.750802 111.76) (end 230.100802 118.11) (width 0.1524) (layer F.Cu) (net 70)) + (segment (start 217.17 111.125) (end 217.805 111.76) (width 0.1524) (layer F.Cu) (net 70)) + (segment (start 214.105 111.125) (end 217.17 111.125) (width 0.1524) (layer F.Cu) (net 70)) + (segment (start 208.838763 120.319763) (end 217.551 129.032) (width 0.1524) (layer F.Cu) (net 70)) + (segment (start 208.838763 113.741237) (end 208.838763 120.319763) (width 0.1524) (layer F.Cu) (net 70)) + (segment (start 217.551 129.032) (end 226.187 129.032) (width 0.1524) (layer F.Cu) (net 70)) + (segment (start 226.187 129.032) (end 227.33 130.175) (width 0.1524) (layer F.Cu) (net 70)) + (segment (start 211.455 111.125) (end 208.838763 113.741237) (width 0.1524) (layer F.Cu) (net 70)) + (segment (start 214.105 111.125) (end 211.455 111.125) (width 0.1524) (layer F.Cu) (net 70)) + (segment (start 230.100802 118.11) (end 233.3 118.11) (width 0.1524) (layer F.Cu) (net 70)) + (segment (start 224.81538 112.16638) (end 224.81538 112.393512) (width 0.1524) (layer F.Cu) (net 71)) + (segment (start 224.81538 112.393512) (end 227.991868 115.57) (width 0.1524) (layer F.Cu) (net 71)) + (segment (start 223.774 111.125) (end 224.81538 112.16638) (width 0.1524) (layer F.Cu) (net 71)) + (segment (start 221.505 111.125) (end 223.774 111.125) (width 0.1524) (layer F.Cu) (net 71)) + (segment (start 222.758 129.413) (end 223.52 130.175) (width 0.1524) (layer F.Cu) (net 71)) + (segment (start 217.449033 129.413) (end 222.758 129.413) (width 0.1524) (layer F.Cu) (net 71)) + (segment (start 208.533953 120.49792) (end 217.449033 129.413) (width 0.1524) (layer F.Cu) (net 71)) + (segment (start 208.533953 113.538047) (end 208.533953 120.49792) (width 0.1524) (layer F.Cu) (net 71)) + (segment (start 217.17 110.49) (end 211.582 110.49) (width 0.1524) (layer F.Cu) (net 71)) + (segment (start 211.582 110.49) (end 208.533953 113.538047) (width 0.1524) (layer F.Cu) (net 71)) + (segment (start 223.52 130.175) (end 223.52 135.382) (width 0.1524) (layer F.Cu) (net 71)) + (segment (start 217.805 111.125) (end 217.17 110.49) (width 0.1524) (layer F.Cu) (net 71)) + (segment (start 221.505 111.125) (end 217.805 111.125) (width 0.1524) (layer F.Cu) (net 71)) + (segment (start 233.3 115.57) (end 227.991868 115.57) (width 0.1524) (layer F.Cu) (net 71)) + (segment (start 223.52 135.382) (end 223.52 130.81) (width 0.1524) (layer B.Cu) (net 72)) + (segment (start 222.885 130.175) (end 222.25 130.175) (width 0.1524) (layer B.Cu) (net 72)) + (via (at 222.25 130.175) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 72)) + (segment (start 223.52 130.81) (end 222.885 130.175) (width 0.1524) (layer B.Cu) (net 72)) + (segment (start 225.12019 112.267256) (end 227.152934 114.3) (width 0.1524) (layer F.Cu) (net 72)) + (segment (start 225.12019 111.83619) (end 225.12019 112.267256) (width 0.1524) (layer F.Cu) (net 72)) + (segment (start 223.774 110.49) (end 225.12019 111.83619) (width 0.1524) (layer F.Cu) (net 72)) + (segment (start 217.805 110.49) (end 223.774 110.49) (width 0.1524) (layer F.Cu) (net 72)) + (segment (start 217.17 109.855) (end 217.805 110.49) (width 0.1524) (layer F.Cu) (net 72)) + (segment (start 214.105 109.855) (end 217.17 109.855) (width 0.1524) (layer F.Cu) (net 72)) + (segment (start 211.709 109.855) (end 214.105 109.855) (width 0.1524) (layer F.Cu) (net 72)) + (segment (start 217.297 129.794) (end 208.229143 120.726143) (width 0.1524) (layer F.Cu) (net 72)) + (segment (start 208.229143 113.334857) (end 211.709 109.855) (width 0.1524) (layer F.Cu) (net 72)) + (segment (start 221.869 129.794) (end 217.297 129.794) (width 0.1524) (layer F.Cu) (net 72)) + (segment (start 222.25 130.175) (end 221.869 129.794) (width 0.1524) (layer F.Cu) (net 72)) + (segment (start 208.229143 120.726143) (end 208.229143 113.334857) (width 0.1524) (layer F.Cu) (net 72)) + (segment (start 227.152934 114.3) (end 233.3 114.3) (width 0.1524) (layer F.Cu) (net 72)) + (segment (start 225.425 112.141) (end 226.314 113.03) (width 0.1524) (layer F.Cu) (net 73)) + (segment (start 225.425 111.506) (end 225.425 112.141) (width 0.1524) (layer F.Cu) (net 73)) + (segment (start 223.774 109.855) (end 225.425 111.506) (width 0.1524) (layer F.Cu) (net 73)) + (segment (start 221.505 109.855) (end 223.774 109.855) (width 0.1524) (layer F.Cu) (net 73)) + (segment (start 220.345 130.175) (end 220.98 130.81) (width 0.1524) (layer F.Cu) (net 73)) + (segment (start 207.924333 120.929333) (end 217.17 130.175) (width 0.1524) (layer F.Cu) (net 73)) + (segment (start 220.98 130.81) (end 220.98 135.382) (width 0.1524) (layer F.Cu) (net 73)) + (segment (start 207.924333 113.131667) (end 207.924333 120.929333) (width 0.1524) (layer F.Cu) (net 73)) + (segment (start 217.17 130.175) (end 220.345 130.175) (width 0.1524) (layer F.Cu) (net 73)) + (segment (start 211.836 109.22) (end 207.924333 113.131667) (width 0.1524) (layer F.Cu) (net 73)) + (segment (start 217.17 109.22) (end 211.836 109.22) (width 0.1524) (layer F.Cu) (net 73)) + (segment (start 217.805 109.855) (end 217.17 109.22) (width 0.1524) (layer F.Cu) (net 73)) + (segment (start 221.505 109.855) (end 217.805 109.855) (width 0.1524) (layer F.Cu) (net 73)) + (segment (start 233.3 113.03) (end 226.314 113.03) (width 0.1524) (layer F.Cu) (net 73)) + (via (at 210.058 124.587) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 74)) + (via (at 213.36 121.285) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 74)) + (segment (start 210.058 124.587) (end 213.36 121.285) (width 0.1524) (layer B.Cu) (net 74)) + (segment (start 203.2 130.81) (end 203.2 135.382) (width 0.1524) (layer B.Cu) (net 74)) + (segment (start 205.105 128.905) (end 203.2 130.81) (width 0.1524) (layer B.Cu) (net 74)) + (via (at 205.105 128.905) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 74)) + (via (at 235.712 113.665) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 74)) + (via (at 225.552 116.713) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 74)) + (segment (start 228.6 113.665) (end 225.552 116.713) (width 0.1524) (layer B.Cu) (net 74)) + (segment (start 235.712 113.665) (end 228.6 113.665) (width 0.1524) (layer B.Cu) (net 74)) + (segment (start 236.855 113.665) (end 248.92 101.6) (width 0.1524) (layer F.Cu) (net 74)) + (segment (start 235.712 113.665) (end 236.855 113.665) (width 0.1524) (layer F.Cu) (net 74)) + (segment (start 248.92 101.6) (end 262 101.6) (width 0.1524) (layer F.Cu) (net 74)) + (segment (start 205.105 128.905) (end 208.407 125.603) (width 0.1524) (layer F.Cu) (net 74)) + (segment (start 208.407 125.603) (end 209.55 125.603) (width 0.1524) (layer F.Cu) (net 74)) + (segment (start 214.376 120.269) (end 213.36 121.285) (width 0.1524) (layer F.Cu) (net 74)) + (segment (start 216.408 120.269) (end 214.376 120.269) (width 0.1524) (layer F.Cu) (net 74)) + (segment (start 219.329 117.348) (end 216.408 120.269) (width 0.1524) (layer F.Cu) (net 74)) + (segment (start 224.917 117.348) (end 219.329 117.348) (width 0.1524) (layer F.Cu) (net 74)) + (segment (start 225.552 116.713) (end 224.917 117.348) (width 0.1524) (layer F.Cu) (net 74)) + (segment (start 210.058 125.095) (end 209.55 125.603) (width 0.1524) (layer F.Cu) (net 74)) + (segment (start 210.058 124.587) (end 210.058 125.095) (width 0.1524) (layer F.Cu) (net 74)) + (via (at 263.271 114.3) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 75)) + (segment (start 262 114.3) (end 263.271 114.3) (width 0.1524) (layer F.Cu) (net 75)) + (segment (start 262 113.03) (end 262 114.3) (width 0.1524) (layer F.Cu) (net 75)) + (segment (start 271.78 130.81) (end 271.78 135.382) (width 0.1524) (layer B.Cu) (net 75)) + (segment (start 267.335 114.3) (end 271.78 118.745) (width 0.1524) (layer B.Cu) (net 75)) + (segment (start 271.78 118.745) (end 271.78 130.81) (width 0.1524) (layer B.Cu) (net 75)) + (segment (start 263.271 114.3) (end 267.335 114.3) (width 0.1524) (layer B.Cu) (net 75)) + (via (at 209.169 123.698) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 76)) + (via (at 212.471 120.396) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 76)) + (segment (start 209.169 123.698) (end 212.471 120.396) (width 0.1524) (layer B.Cu) (net 76)) + (via (at 235.712 112.395) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 76)) + (segment (start 235.712 112.395) (end 227.838 112.395) (width 0.1524) (layer B.Cu) (net 76)) + (via (at 224.409 115.824) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 76)) + (segment (start 227.838 112.395) (end 224.409 115.824) (width 0.1524) (layer B.Cu) (net 76)) + (segment (start 248.8565 100.33) (end 262 100.33) (width 0.1524) (layer F.Cu) (net 76)) + (segment (start 236.7915 112.395) (end 248.8565 100.33) (width 0.1524) (layer F.Cu) (net 76)) + (segment (start 235.712 112.395) (end 236.7915 112.395) (width 0.1524) (layer F.Cu) (net 76)) + (segment (start 200.66 129.54) (end 200.66 135.382) (width 0.1524) (layer F.Cu) (net 76)) + (segment (start 208.534 124.333) (end 205.867 124.333) (width 0.1524) (layer F.Cu) (net 76)) + (segment (start 205.867 124.333) (end 200.66 129.54) (width 0.1524) (layer F.Cu) (net 76)) + (segment (start 209.169 123.698) (end 208.534 124.333) (width 0.1524) (layer F.Cu) (net 76)) + (segment (start 216.0905 119.507) (end 219.0115 116.586) (width 0.1524) (layer F.Cu) (net 76)) + (segment (start 213.36 119.507) (end 216.0905 119.507) (width 0.1524) (layer F.Cu) (net 76)) + (segment (start 223.647 116.586) (end 224.409 115.824) (width 0.1524) (layer F.Cu) (net 76)) + (segment (start 219.0115 116.586) (end 223.647 116.586) (width 0.1524) (layer F.Cu) (net 76)) + (segment (start 212.471 120.396) (end 213.36 119.507) (width 0.1524) (layer F.Cu) (net 76)) + + (zone (net 2) (net_name GND) (layer F.Cu) (tstamp 5D313DFC) (hatch edge 0.508) + (connect_pads (clearance 0.2032)) + (min_thickness 0.1524) + (fill yes (arc_segments 32) (thermal_gap 0.2032) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 276.606 86.741) (xy 276.606 132.08) (xy 198.374 132.08) (xy 198.374 86.741) + ) + ) + (filled_polygon + (pts + (xy 274.49019 87.138692) (xy 274.898217 87.261882) (xy 275.274553 87.461982) (xy 275.604852 87.731369) (xy 275.876537 88.05978) + (xy 276.079256 88.434703) (xy 276.205294 88.841861) (xy 276.2516 89.282436) (xy 276.251601 132.0038) (xy 275.362751 132.0038) + (xy 275.362751 131.993) (xy 275.3614 131.979283) (xy 275.3614 120.852848) (xy 275.35393 120.777) (xy 275.3614 120.701152) + (xy 275.3614 94.285141) (xy 275.366437 94.233999) (xy 275.3614 94.182858) (xy 275.3614 94.182848) (xy 275.346331 94.02985) + (xy 275.286783 93.833546) (xy 275.190081 93.65263) (xy 275.09255 93.533788) (xy 275.092547 93.533785) (xy 275.059943 93.494057) + (xy 275.020215 93.461453) (xy 270.726379 89.167617) (xy 272.9148 89.167617) (xy 272.9148 89.394383) (xy 272.95904 89.616793) + (xy 273.04582 89.826298) (xy 273.171805 90.014847) (xy 273.332153 90.175195) (xy 273.520702 90.30118) (xy 273.730207 90.38796) + (xy 273.952617 90.4322) (xy 274.179383 90.4322) (xy 274.401793 90.38796) (xy 274.611298 90.30118) (xy 274.799847 90.175195) + (xy 274.960195 90.014847) (xy 275.08618 89.826298) (xy 275.17296 89.616793) (xy 275.2172 89.394383) (xy 275.2172 89.167617) + (xy 275.17296 88.945207) (xy 275.08618 88.735702) (xy 274.960195 88.547153) (xy 274.799847 88.386805) (xy 274.611298 88.26082) + (xy 274.401793 88.17404) (xy 274.179383 88.1298) (xy 273.952617 88.1298) (xy 273.730207 88.17404) (xy 273.520702 88.26082) + (xy 273.332153 88.386805) (xy 273.171805 88.547153) (xy 273.04582 88.735702) (xy 272.95904 88.945207) (xy 272.9148 89.167617) + (xy 270.726379 89.167617) (xy 269.631552 88.072791) (xy 269.598943 88.033057) (xy 269.44037 87.902919) (xy 269.259454 87.806217) + (xy 269.06315 87.746669) (xy 268.910152 87.7316) (xy 268.910141 87.7316) (xy 268.859 87.726563) (xy 268.807859 87.7316) + (xy 210.744141 87.7316) (xy 210.692999 87.726563) (xy 210.641858 87.7316) (xy 210.641848 87.7316) (xy 210.48885 87.746669) + (xy 210.292546 87.806217) (xy 210.292544 87.806218) (xy 210.11163 87.902919) (xy 209.992788 88.00045) (xy 209.992785 88.000453) + (xy 209.953057 88.033057) (xy 209.920453 88.072785) (xy 204.531791 93.461448) (xy 204.492057 93.494057) (xy 204.361919 93.65263) + (xy 204.265217 93.833547) (xy 204.205669 94.029851) (xy 204.1906 94.182849) (xy 204.1906 94.182859) (xy 204.185563 94.234) + (xy 204.1906 94.285141) (xy 204.190601 111.657426) (xy 204.1906 111.657431) (xy 204.1906 111.862569) (xy 204.200633 111.913009) + (xy 204.20567 111.96415) (xy 204.220587 112.013324) (xy 204.23062 112.063765) (xy 204.250301 112.111279) (xy 204.265218 112.160454) + (xy 204.289442 112.205773) (xy 204.309123 112.253288) (xy 204.337696 112.296051) (xy 204.36192 112.34137) (xy 204.39452 112.381093) + (xy 204.423092 112.423854) (xy 204.459458 112.46022) (xy 204.492058 112.499943) (xy 204.531781 112.532543) (xy 204.568146 112.568908) + (xy 204.5716 112.571216) (xy 204.5716 112.606135) (xy 204.517803 112.671686) (xy 204.467602 112.765607) (xy 204.436687 112.867517) + (xy 204.426249 112.9735) (xy 204.426249 113.8485) (xy 204.436687 113.954483) (xy 204.467602 114.056393) (xy 204.517803 114.150314) + (xy 204.571601 114.215866) (xy 204.571601 115.791551) (xy 204.568405 115.824) (xy 204.581156 115.95346) (xy 204.618918 116.077946) + (xy 204.646411 116.129381) (xy 204.680242 116.192674) (xy 204.762768 116.293233) (xy 204.787968 116.313914) (xy 206.301171 117.827118) + (xy 206.355276 117.908092) (xy 206.449908 118.002724) (xy 206.561184 118.077076) (xy 206.684826 118.128291) (xy 206.816085 118.1544) + (xy 206.949915 118.1544) (xy 207.081174 118.128291) (xy 207.204816 118.077076) (xy 207.316092 118.002724) (xy 207.410724 117.908092) + (xy 207.485076 117.796816) (xy 207.536291 117.673174) (xy 207.5624 117.541915) (xy 207.5624 117.408085) (xy 207.536291 117.276826) + (xy 207.485076 117.153184) (xy 207.410724 117.041908) (xy 207.316092 116.947276) (xy 207.235118 116.893171) (xy 205.8924 115.550454) + (xy 205.8924 114.215865) (xy 205.946197 114.150314) (xy 205.996398 114.056393) (xy 206.027313 113.954483) (xy 206.037751 113.8485) + (xy 206.037751 112.9735) (xy 206.027313 112.867517) (xy 205.996398 112.765607) (xy 205.946197 112.671686) (xy 205.8924 112.606135) + (xy 205.8924 112.571216) (xy 205.895854 112.568908) (xy 205.932215 112.532547) (xy 205.971943 112.499943) (xy 206.004547 112.460215) + (xy 206.040908 112.423854) (xy 206.069476 112.381099) (xy 206.102081 112.34137) (xy 206.126309 112.296043) (xy 206.154877 112.253288) + (xy 206.174555 112.205782) (xy 206.198783 112.160454) (xy 206.213703 112.11127) (xy 206.23338 112.063765) (xy 206.243411 112.013334) + (xy 206.258331 111.96415) (xy 206.263369 111.912999) (xy 206.2734 111.862569) (xy 206.2734 94.665361) (xy 211.124362 89.8144) + (xy 213.461601 89.8144) (xy 213.4616 95.080135) (xy 213.407803 95.145686) (xy 213.357602 95.239607) (xy 213.326687 95.341517) + (xy 213.316249 95.4475) (xy 213.316249 96.3225) (xy 213.326687 96.428483) (xy 213.357602 96.530393) (xy 213.407803 96.624314) + (xy 213.475364 96.706636) (xy 213.557686 96.774197) (xy 213.571601 96.781634) (xy 213.5716 97.844249) (xy 212.255 97.844249) + (xy 212.170965 97.852526) (xy 212.090159 97.877038) (xy 212.015688 97.916844) (xy 211.950413 97.970413) (xy 211.896844 98.035688) + (xy 211.857038 98.110159) (xy 211.832526 98.190965) (xy 211.824249 98.275) (xy 211.824249 98.575) (xy 211.832526 98.659035) + (xy 211.857038 98.739841) (xy 211.896844 98.814312) (xy 211.950413 98.879587) (xy 212.015688 98.933156) (xy 212.090159 98.972962) + (xy 212.170965 98.997474) (xy 212.255 99.005751) (xy 215.955 99.005751) (xy 216.039035 98.997474) (xy 216.119841 98.972962) + (xy 216.194312 98.933156) (xy 216.259587 98.879587) (xy 216.313156 98.814312) (xy 216.352962 98.739841) (xy 216.377474 98.659035) + (xy 216.385751 98.575) (xy 216.385751 98.275) (xy 216.377474 98.190965) (xy 216.357464 98.125) (xy 219.224248 98.125) + (xy 219.2256 98.28135) (xy 219.29545 98.3512) (xy 221.4312 98.3512) (xy 221.4312 97.91545) (xy 221.5788 97.91545) + (xy 221.5788 98.3512) (xy 223.71455 98.3512) (xy 223.7844 98.28135) (xy 223.784584 98.26) (xy 234.369248 98.26) + (xy 234.374643 98.314772) (xy 234.390619 98.367439) (xy 234.416563 98.415977) (xy 234.451478 98.458522) (xy 234.494023 98.493437) + (xy 234.542561 98.519381) (xy 234.595228 98.535357) (xy 234.65 98.540752) (xy 234.80635 98.5394) (xy 234.8762 98.46955) + (xy 234.8762 97.4838) (xy 235.0238 97.4838) (xy 235.0238 98.46955) (xy 235.09365 98.5394) (xy 235.25 98.540752) + (xy 235.304772 98.535357) (xy 235.357439 98.519381) (xy 235.405977 98.493437) (xy 235.448522 98.458522) (xy 235.483437 98.415977) + (xy 235.509381 98.367439) (xy 235.525357 98.314772) (xy 235.530752 98.26) (xy 235.5294 97.55365) (xy 235.45955 97.4838) + (xy 235.0238 97.4838) (xy 234.8762 97.4838) (xy 234.44045 97.4838) (xy 234.3706 97.55365) (xy 234.369248 98.26) + (xy 223.784584 98.26) (xy 223.785752 98.125) (xy 223.780357 98.070228) (xy 223.764381 98.017561) (xy 223.738437 97.969023) + (xy 223.703522 97.926478) (xy 223.660977 97.891563) (xy 223.612439 97.865619) (xy 223.559772 97.849643) (xy 223.505 97.844248) + (xy 221.64865 97.8456) (xy 221.5788 97.91545) (xy 221.4312 97.91545) (xy 221.36135 97.8456) (xy 219.505 97.844248) + (xy 219.450228 97.849643) (xy 219.397561 97.865619) (xy 219.349023 97.891563) (xy 219.306478 97.926478) (xy 219.271563 97.969023) + (xy 219.245619 98.017561) (xy 219.229643 98.070228) (xy 219.224248 98.125) (xy 216.357464 98.125) (xy 216.352962 98.110159) + (xy 216.313156 98.035688) (xy 216.259587 97.970413) (xy 216.194312 97.916844) (xy 216.119841 97.877038) (xy 216.039035 97.852526) + (xy 215.955 97.844249) (xy 214.6384 97.844249) (xy 214.6384 96.799807) (xy 214.686314 96.774197) (xy 214.768636 96.706636) + (xy 214.836197 96.624314) (xy 214.85721 96.585) (xy 215.016248 96.585) (xy 215.021643 96.639772) (xy 215.037619 96.692439) + (xy 215.063563 96.740977) (xy 215.098478 96.783522) (xy 215.141023 96.818437) (xy 215.189561 96.844381) (xy 215.242228 96.860357) + (xy 215.297 96.865752) (xy 215.67835 96.8644) (xy 215.7482 96.79455) (xy 215.7482 95.9588) (xy 215.8958 95.9588) + (xy 215.8958 96.79455) (xy 215.96565 96.8644) (xy 216.347 96.865752) (xy 216.401772 96.860357) (xy 216.454439 96.844381) + (xy 216.502977 96.818437) (xy 216.545522 96.783522) (xy 216.580437 96.740977) (xy 216.606381 96.692439) (xy 216.622357 96.639772) + (xy 216.627752 96.585) (xy 216.627692 96.56) (xy 234.369248 96.56) (xy 234.3706 97.26635) (xy 234.44045 97.3362) + (xy 234.8762 97.3362) (xy 234.8762 96.35045) (xy 235.0238 96.35045) (xy 235.0238 97.3362) (xy 235.45955 97.3362) + (xy 235.5294 97.26635) (xy 235.530752 96.56) (xy 235.525357 96.505228) (xy 235.509381 96.452561) (xy 235.483437 96.404023) + (xy 235.448522 96.361478) (xy 235.405977 96.326563) (xy 235.357439 96.300619) (xy 235.304772 96.284643) (xy 235.25 96.279248) + (xy 235.09365 96.2806) (xy 235.0238 96.35045) (xy 234.8762 96.35045) (xy 234.80635 96.2806) (xy 234.65 96.279248) + (xy 234.595228 96.284643) (xy 234.542561 96.300619) (xy 234.494023 96.326563) (xy 234.451478 96.361478) (xy 234.416563 96.404023) + (xy 234.390619 96.452561) (xy 234.374643 96.505228) (xy 234.369248 96.56) (xy 216.627692 96.56) (xy 216.6264 96.02865) + (xy 216.55655 95.9588) (xy 215.8958 95.9588) (xy 215.7482 95.9588) (xy 215.08745 95.9588) (xy 215.0176 96.02865) + (xy 215.016248 96.585) (xy 214.85721 96.585) (xy 214.886398 96.530393) (xy 214.917313 96.428483) (xy 214.927751 96.3225) + (xy 214.927751 95.4475) (xy 214.917313 95.341517) (xy 214.886398 95.239607) (xy 214.857211 95.185) (xy 215.016248 95.185) + (xy 215.0176 95.74135) (xy 215.08745 95.8112) (xy 215.7482 95.8112) (xy 215.7482 94.97545) (xy 215.8958 94.97545) + (xy 215.8958 95.8112) (xy 216.55655 95.8112) (xy 216.6264 95.74135) (xy 216.627752 95.185) (xy 216.622357 95.130228) + (xy 216.606381 95.077561) (xy 216.580437 95.029023) (xy 216.545522 94.986478) (xy 216.502977 94.951563) (xy 216.454439 94.925619) + (xy 216.401772 94.909643) (xy 216.347 94.904248) (xy 215.96565 94.9056) (xy 215.8958 94.97545) (xy 215.7482 94.97545) + (xy 215.67835 94.9056) (xy 215.297 94.904248) (xy 215.242228 94.909643) (xy 215.189561 94.925619) (xy 215.141023 94.951563) + (xy 215.098478 94.986478) (xy 215.063563 95.029023) (xy 215.037619 95.077561) (xy 215.021643 95.130228) (xy 215.016248 95.185) + (xy 214.857211 95.185) (xy 214.836197 95.145686) (xy 214.7824 95.080135) (xy 214.7824 92.14) (xy 240.064248 92.14) + (xy 240.069643 92.194772) (xy 240.085619 92.247439) (xy 240.111563 92.295977) (xy 240.146478 92.338522) (xy 240.189023 92.373437) + (xy 240.237561 92.399381) (xy 240.290228 92.415357) (xy 240.345 92.420752) (xy 240.72635 92.4194) (xy 240.7962 92.34955) + (xy 240.7962 91.5138) (xy 240.9438 91.5138) (xy 240.9438 92.34955) (xy 241.01365 92.4194) (xy 241.395 92.420752) + (xy 241.449772 92.415357) (xy 241.502439 92.399381) (xy 241.550977 92.373437) (xy 241.593522 92.338522) (xy 241.628437 92.295977) + (xy 241.654381 92.247439) (xy 241.670357 92.194772) (xy 241.675752 92.14) (xy 241.6744 91.58365) (xy 241.60455 91.5138) + (xy 240.9438 91.5138) (xy 240.7962 91.5138) (xy 240.13545 91.5138) (xy 240.0656 91.58365) (xy 240.064248 92.14) + (xy 214.7824 92.14) (xy 214.7824 90.74) (xy 240.064248 90.74) (xy 240.0656 91.29635) (xy 240.13545 91.3662) + (xy 240.7962 91.3662) (xy 240.7962 90.53045) (xy 240.9438 90.53045) (xy 240.9438 91.3662) (xy 241.60455 91.3662) + (xy 241.6744 91.29635) (xy 241.675752 90.74) (xy 241.670357 90.685228) (xy 241.654381 90.632561) (xy 241.628437 90.584023) + (xy 241.593522 90.541478) (xy 241.550977 90.506563) (xy 241.502439 90.480619) (xy 241.449772 90.464643) (xy 241.395 90.459248) + (xy 241.01365 90.4606) (xy 240.9438 90.53045) (xy 240.7962 90.53045) (xy 240.72635 90.4606) (xy 240.345 90.459248) + (xy 240.290228 90.464643) (xy 240.237561 90.480619) (xy 240.189023 90.506563) (xy 240.146478 90.541478) (xy 240.111563 90.584023) + (xy 240.085619 90.632561) (xy 240.069643 90.685228) (xy 240.064248 90.74) (xy 214.7824 90.74) (xy 214.7824 89.8144) + (xy 241.9096 89.8144) (xy 241.909601 90.635134) (xy 241.855803 90.700686) (xy 241.805602 90.794607) (xy 241.774687 90.896517) + (xy 241.764249 91.0025) (xy 241.764249 91.8775) (xy 241.774687 91.983483) (xy 241.805602 92.085393) (xy 241.855803 92.179314) + (xy 241.9096 92.244865) (xy 241.909601 96.171439) (xy 241.919157 96.268461) (xy 241.956919 96.392947) (xy 242.018242 96.507674) + (xy 242.032674 96.52526) (xy 242.022038 96.545159) (xy 241.997526 96.625965) (xy 241.989249 96.71) (xy 241.989249 98.11) + (xy 241.997526 98.194035) (xy 242.022038 98.274841) (xy 242.032027 98.293529) (xy 242.018242 98.310326) (xy 241.956919 98.425053) + (xy 241.919157 98.549539) (xy 241.909601 98.646561) (xy 241.9096 100.310453) (xy 237.470454 104.7496) (xy 236.748293 104.7496) + (xy 241.539104 99.95879) (xy 241.552662 99.947663) (xy 241.56379 99.934104) (xy 241.563795 99.934099) (xy 241.579438 99.915038) + (xy 241.597101 99.893516) (xy 241.630121 99.83174) (xy 241.650454 99.76471) (xy 241.6556 99.712463) (xy 241.6556 99.712456) + (xy 241.657319 99.695) (xy 241.6556 99.677545) (xy 241.6556 98.486176) (xy 241.689312 98.468156) (xy 241.754587 98.414587) + (xy 241.808156 98.349312) (xy 241.847962 98.274841) (xy 241.872474 98.194035) (xy 241.880751 98.11) (xy 241.880751 96.71) + (xy 241.872474 96.625965) (xy 241.847962 96.545159) (xy 241.808156 96.470688) (xy 241.754587 96.405413) (xy 241.689312 96.351844) + (xy 241.614841 96.312038) (xy 241.534035 96.287526) (xy 241.45 96.279249) (xy 241.15 96.279249) (xy 241.065965 96.287526) + (xy 240.985159 96.312038) (xy 240.910688 96.351844) (xy 240.845413 96.405413) (xy 240.791844 96.470688) (xy 240.752038 96.545159) + (xy 240.727526 96.625965) (xy 240.719249 96.71) (xy 240.719249 98.11) (xy 240.727526 98.194035) (xy 240.752038 98.274841) + (xy 240.791844 98.349312) (xy 240.845413 98.414587) (xy 240.910688 98.468156) (xy 240.944401 98.486176) (xy 240.9444 99.547706) + (xy 236.072707 104.4194) (xy 234.408713 104.4194) (xy 234.422474 104.374035) (xy 234.430751 104.29) (xy 234.430751 103.99) + (xy 234.422474 103.905965) (xy 234.408713 103.8606) (xy 235.948545 103.8606) (xy 235.966 103.862319) (xy 235.983455 103.8606) + (xy 235.983463 103.8606) (xy 236.03571 103.855454) (xy 236.10274 103.835121) (xy 236.164516 103.802101) (xy 236.218663 103.757663) + (xy 236.229799 103.744094) (xy 240.269104 99.70479) (xy 240.282662 99.693663) (xy 240.29379 99.680104) (xy 240.293795 99.680099) + (xy 240.309438 99.661038) (xy 240.327101 99.639516) (xy 240.360121 99.57774) (xy 240.380454 99.51071) (xy 240.3856 99.458463) + (xy 240.3856 99.458456) (xy 240.387319 99.441) (xy 240.3856 99.423545) (xy 240.3856 98.486176) (xy 240.419312 98.468156) + (xy 240.484587 98.414587) (xy 240.538156 98.349312) (xy 240.577962 98.274841) (xy 240.602474 98.194035) (xy 240.610751 98.11) + (xy 240.610751 96.71) (xy 240.602474 96.625965) (xy 240.577962 96.545159) (xy 240.538156 96.470688) (xy 240.484587 96.405413) + (xy 240.419312 96.351844) (xy 240.344841 96.312038) (xy 240.264035 96.287526) (xy 240.18 96.279249) (xy 239.88 96.279249) + (xy 239.795965 96.287526) (xy 239.715159 96.312038) (xy 239.640688 96.351844) (xy 239.575413 96.405413) (xy 239.521844 96.470688) + (xy 239.482038 96.545159) (xy 239.457526 96.625965) (xy 239.449249 96.71) (xy 239.449249 98.11) (xy 239.457526 98.194035) + (xy 239.482038 98.274841) (xy 239.521844 98.349312) (xy 239.575413 98.414587) (xy 239.640688 98.468156) (xy 239.6744 98.486176) + (xy 239.6744 99.293706) (xy 235.818707 103.1494) (xy 234.408713 103.1494) (xy 234.422474 103.104035) (xy 234.430751 103.02) + (xy 234.430751 102.72) (xy 234.422474 102.635965) (xy 234.408713 102.5906) (xy 235.694545 102.5906) (xy 235.712 102.592319) + (xy 235.729455 102.5906) (xy 235.729463 102.5906) (xy 235.78171 102.585454) (xy 235.84874 102.565121) (xy 235.910516 102.532101) + (xy 235.964663 102.487663) (xy 235.975799 102.474094) (xy 238.9991 99.450794) (xy 239.012663 99.439663) (xy 239.057101 99.385516) + (xy 239.090121 99.32374) (xy 239.109906 99.258516) (xy 239.110454 99.256711) (xy 239.112059 99.240413) (xy 239.1156 99.204463) + (xy 239.1156 99.204456) (xy 239.117319 99.187) (xy 239.1156 99.169545) (xy 239.1156 98.486176) (xy 239.149312 98.468156) + (xy 239.214587 98.414587) (xy 239.268156 98.349312) (xy 239.307962 98.274841) (xy 239.332474 98.194035) (xy 239.340751 98.11) + (xy 239.340751 96.71) (xy 239.332474 96.625965) (xy 239.307962 96.545159) (xy 239.268156 96.470688) (xy 239.214587 96.405413) + (xy 239.149312 96.351844) (xy 239.074841 96.312038) (xy 238.994035 96.287526) (xy 238.91 96.279249) (xy 238.61 96.279249) + (xy 238.525965 96.287526) (xy 238.445159 96.312038) (xy 238.370688 96.351844) (xy 238.305413 96.405413) (xy 238.251844 96.470688) + (xy 238.212038 96.545159) (xy 238.187526 96.625965) (xy 238.179249 96.71) (xy 238.179249 98.11) (xy 238.187526 98.194035) + (xy 238.212038 98.274841) (xy 238.251844 98.349312) (xy 238.305413 98.414587) (xy 238.370688 98.468156) (xy 238.404401 98.486176) + (xy 238.404401 99.039705) (xy 235.564707 101.8794) (xy 234.408713 101.8794) (xy 234.422474 101.834035) (xy 234.430751 101.75) + (xy 234.430751 101.45) (xy 234.422474 101.365965) (xy 234.408713 101.3206) (xy 235.440545 101.3206) (xy 235.458 101.322319) + (xy 235.475455 101.3206) (xy 235.475463 101.3206) (xy 235.52771 101.315454) (xy 235.59474 101.295121) (xy 235.656516 101.262101) + (xy 235.710663 101.217663) (xy 235.721799 101.204094) (xy 237.729104 99.19679) (xy 237.742662 99.185663) (xy 237.75379 99.172104) + (xy 237.753795 99.172099) (xy 237.776937 99.1439) (xy 237.787101 99.131516) (xy 237.820121 99.06974) (xy 237.839532 99.005751) + (xy 237.840454 99.002711) (xy 237.843008 98.976775) (xy 237.8456 98.950463) (xy 237.8456 98.950456) (xy 237.847319 98.933) + (xy 237.8456 98.915545) (xy 237.8456 98.486176) (xy 237.879312 98.468156) (xy 237.944587 98.414587) (xy 237.998156 98.349312) + (xy 238.037962 98.274841) (xy 238.062474 98.194035) (xy 238.070751 98.11) (xy 238.070751 96.71) (xy 238.062474 96.625965) + (xy 238.037962 96.545159) (xy 237.998156 96.470688) (xy 237.944587 96.405413) (xy 237.879312 96.351844) (xy 237.804841 96.312038) + (xy 237.724035 96.287526) (xy 237.64 96.279249) (xy 237.34 96.279249) (xy 237.255965 96.287526) (xy 237.175159 96.312038) + (xy 237.100688 96.351844) (xy 237.035413 96.405413) (xy 236.981844 96.470688) (xy 236.942038 96.545159) (xy 236.917526 96.625965) + (xy 236.909249 96.71) (xy 236.909249 98.11) (xy 236.917526 98.194035) (xy 236.942038 98.274841) (xy 236.981844 98.349312) + (xy 237.035413 98.414587) (xy 237.100688 98.468156) (xy 237.1344 98.486176) (xy 237.1344 98.785706) (xy 235.310707 100.6094) + (xy 234.408713 100.6094) (xy 234.422474 100.564035) (xy 234.430751 100.48) (xy 234.430751 100.18) (xy 234.422474 100.095965) + (xy 234.408713 100.0506) (xy 235.186545 100.0506) (xy 235.204 100.052319) (xy 235.221455 100.0506) (xy 235.221463 100.0506) + (xy 235.27371 100.045454) (xy 235.34074 100.025121) (xy 235.402516 99.992101) (xy 235.456663 99.947663) (xy 235.467799 99.934094) + (xy 236.459104 98.94279) (xy 236.472662 98.931663) (xy 236.48379 98.918104) (xy 236.483795 98.918099) (xy 236.511689 98.88411) + (xy 236.517101 98.877516) (xy 236.550121 98.81574) (xy 236.570454 98.74871) (xy 236.5756 98.696463) (xy 236.5756 98.696456) + (xy 236.577319 98.679) (xy 236.5756 98.661545) (xy 236.5756 98.486176) (xy 236.609312 98.468156) (xy 236.674587 98.414587) + (xy 236.728156 98.349312) (xy 236.767962 98.274841) (xy 236.792474 98.194035) (xy 236.800751 98.11) (xy 236.800751 96.71) + (xy 236.792474 96.625965) (xy 236.767962 96.545159) (xy 236.728156 96.470688) (xy 236.674587 96.405413) (xy 236.609312 96.351844) + (xy 236.534841 96.312038) (xy 236.454035 96.287526) (xy 236.37 96.279249) (xy 236.07 96.279249) (xy 235.985965 96.287526) + (xy 235.905159 96.312038) (xy 235.830688 96.351844) (xy 235.765413 96.405413) (xy 235.711844 96.470688) (xy 235.672038 96.545159) + (xy 235.647526 96.625965) (xy 235.639249 96.71) (xy 235.639249 98.11) (xy 235.647526 98.194035) (xy 235.672038 98.274841) + (xy 235.711844 98.349312) (xy 235.765413 98.414587) (xy 235.830688 98.468156) (xy 235.8644 98.486176) (xy 235.8644 98.531706) + (xy 235.056707 99.3394) (xy 234.408713 99.3394) (xy 234.422474 99.294035) (xy 234.430751 99.21) (xy 234.430751 98.91) + (xy 234.422474 98.825965) (xy 234.397962 98.745159) (xy 234.358156 98.670688) (xy 234.304587 98.605413) (xy 234.239312 98.551844) + (xy 234.164841 98.512038) (xy 234.084035 98.487526) (xy 234 98.479249) (xy 232.6 98.479249) (xy 232.515965 98.487526) + (xy 232.435159 98.512038) (xy 232.360688 98.551844) (xy 232.295413 98.605413) (xy 232.241844 98.670688) (xy 232.223824 98.7044) + (xy 232.173452 98.7044) (xy 232.155999 98.702681) (xy 232.138546 98.7044) (xy 232.138537 98.7044) (xy 232.08629 98.709546) + (xy 232.01926 98.729879) (xy 231.957484 98.762899) (xy 231.957482 98.7629) (xy 231.957483 98.7629) (xy 231.9169 98.796205) + (xy 231.916895 98.79621) (xy 231.903337 98.807337) (xy 231.89221 98.820895) (xy 231.348327 99.36478) (xy 224.581675 99.36478) + (xy 224.037798 98.820905) (xy 224.026663 98.807337) (xy 223.972516 98.762899) (xy 223.91074 98.729879) (xy 223.84371 98.709546) + (xy 223.791463 98.7044) (xy 223.791455 98.7044) (xy 223.785569 98.70382) (xy 223.7844 98.56865) (xy 223.71455 98.4988) + (xy 221.5788 98.4988) (xy 221.5788 98.5188) (xy 221.4312 98.5188) (xy 221.4312 98.4988) (xy 219.29545 98.4988) + (xy 219.2256 98.56865) (xy 219.224426 98.7044) (xy 217.822455 98.7044) (xy 217.805 98.702681) (xy 217.787545 98.7044) + (xy 217.787537 98.7044) (xy 217.73529 98.709546) (xy 217.66826 98.729879) (xy 217.633032 98.748709) (xy 217.606484 98.762899) + (xy 217.585925 98.779772) (xy 217.552337 98.807337) (xy 217.541206 98.8209) (xy 217.022707 99.3394) (xy 216.331176 99.3394) + (xy 216.313156 99.305688) (xy 216.259587 99.240413) (xy 216.194312 99.186844) (xy 216.119841 99.147038) (xy 216.039035 99.122526) + (xy 215.955 99.114249) (xy 212.255 99.114249) (xy 212.170965 99.122526) (xy 212.090159 99.147038) (xy 212.015688 99.186844) + (xy 211.950413 99.240413) (xy 211.896844 99.305688) (xy 211.857038 99.380159) (xy 211.832526 99.460965) (xy 211.824249 99.545) + (xy 211.824249 99.845) (xy 211.832526 99.929035) (xy 211.857038 100.009841) (xy 211.896844 100.084312) (xy 211.950413 100.149587) + (xy 212.015688 100.203156) (xy 212.090159 100.242962) (xy 212.170965 100.267474) (xy 212.255 100.275751) (xy 215.955 100.275751) + (xy 216.039035 100.267474) (xy 216.119841 100.242962) (xy 216.194312 100.203156) (xy 216.259587 100.149587) (xy 216.313156 100.084312) + (xy 216.331176 100.0506) (xy 217.152545 100.0506) (xy 217.17 100.052319) (xy 217.187455 100.0506) (xy 217.187463 100.0506) + (xy 217.23971 100.045454) (xy 217.30674 100.025121) (xy 217.368516 99.992101) (xy 217.422663 99.947663) (xy 217.433799 99.934094) + (xy 217.952294 99.4156) (xy 219.246287 99.4156) (xy 219.232526 99.460965) (xy 219.224249 99.545) (xy 219.224249 99.845) + (xy 219.232526 99.929035) (xy 219.246287 99.9744) (xy 217.822455 99.9744) (xy 217.805 99.972681) (xy 217.787545 99.9744) + (xy 217.787537 99.9744) (xy 217.73529 99.979546) (xy 217.66826 99.999879) (xy 217.606484 100.032899) (xy 217.552337 100.077337) + (xy 217.541206 100.0909) (xy 217.022707 100.6094) (xy 216.331176 100.6094) (xy 216.313156 100.575688) (xy 216.259587 100.510413) + (xy 216.194312 100.456844) (xy 216.119841 100.417038) (xy 216.039035 100.392526) (xy 215.955 100.384249) (xy 212.255 100.384249) + (xy 212.170965 100.392526) (xy 212.090159 100.417038) (xy 212.015688 100.456844) (xy 211.950413 100.510413) (xy 211.896844 100.575688) + (xy 211.857038 100.650159) (xy 211.832526 100.730965) (xy 211.824249 100.815) (xy 211.824249 101.115) (xy 211.832526 101.199035) + (xy 211.857038 101.279841) (xy 211.896844 101.354312) (xy 211.950413 101.419587) (xy 212.015688 101.473156) (xy 212.090159 101.512962) + (xy 212.170965 101.537474) (xy 212.255 101.545751) (xy 215.955 101.545751) (xy 216.039035 101.537474) (xy 216.119841 101.512962) + (xy 216.194312 101.473156) (xy 216.259587 101.419587) (xy 216.313156 101.354312) (xy 216.331176 101.3206) (xy 217.152545 101.3206) + (xy 217.17 101.322319) (xy 217.187455 101.3206) (xy 217.187463 101.3206) (xy 217.23971 101.315454) (xy 217.30674 101.295121) + (xy 217.368516 101.262101) (xy 217.422663 101.217663) (xy 217.433799 101.204094) (xy 217.952294 100.6856) (xy 219.246287 100.6856) + (xy 219.232526 100.730965) (xy 219.224249 100.815) (xy 219.224249 101.115) (xy 219.232526 101.199035) (xy 219.246287 101.2444) + (xy 217.822455 101.2444) (xy 217.805 101.242681) (xy 217.787545 101.2444) (xy 217.787537 101.2444) (xy 217.73529 101.249546) + (xy 217.66826 101.269879) (xy 217.606484 101.302899) (xy 217.552337 101.347337) (xy 217.541206 101.3609) (xy 217.022707 101.8794) + (xy 216.331176 101.8794) (xy 216.313156 101.845688) (xy 216.259587 101.780413) (xy 216.194312 101.726844) (xy 216.119841 101.687038) + (xy 216.039035 101.662526) (xy 215.955 101.654249) (xy 212.255 101.654249) (xy 212.170965 101.662526) (xy 212.090159 101.687038) + (xy 212.015688 101.726844) (xy 211.950413 101.780413) (xy 211.896844 101.845688) (xy 211.857038 101.920159) (xy 211.832526 102.000965) + (xy 211.824249 102.085) (xy 211.824249 102.385) (xy 211.832526 102.469035) (xy 211.857038 102.549841) (xy 211.896844 102.624312) + (xy 211.950413 102.689587) (xy 212.015688 102.743156) (xy 212.090159 102.782962) (xy 212.170965 102.807474) (xy 212.255 102.815751) + (xy 215.955 102.815751) (xy 216.039035 102.807474) (xy 216.119841 102.782962) (xy 216.194312 102.743156) (xy 216.259587 102.689587) + (xy 216.313156 102.624312) (xy 216.331176 102.5906) (xy 217.152545 102.5906) (xy 217.17 102.592319) (xy 217.187455 102.5906) + (xy 217.187463 102.5906) (xy 217.23971 102.585454) (xy 217.30674 102.565121) (xy 217.368516 102.532101) (xy 217.422663 102.487663) + (xy 217.433799 102.474094) (xy 217.952294 101.9556) (xy 219.246287 101.9556) (xy 219.232526 102.000965) (xy 219.224249 102.085) + (xy 219.224249 102.385) (xy 219.232526 102.469035) (xy 219.246287 102.5144) (xy 217.822455 102.5144) (xy 217.805 102.512681) + (xy 217.787545 102.5144) (xy 217.787537 102.5144) (xy 217.73529 102.519546) (xy 217.66826 102.539879) (xy 217.606484 102.572899) + (xy 217.552337 102.617337) (xy 217.541206 102.6309) (xy 217.022707 103.1494) (xy 216.331176 103.1494) (xy 216.313156 103.115688) + (xy 216.259587 103.050413) (xy 216.194312 102.996844) (xy 216.119841 102.957038) (xy 216.039035 102.932526) (xy 215.955 102.924249) + (xy 212.255 102.924249) (xy 212.170965 102.932526) (xy 212.090159 102.957038) (xy 212.015688 102.996844) (xy 211.950413 103.050413) + (xy 211.896844 103.115688) (xy 211.857038 103.190159) (xy 211.832526 103.270965) (xy 211.824249 103.355) (xy 211.824249 103.655) + (xy 211.832526 103.739035) (xy 211.857038 103.819841) (xy 211.896844 103.894312) (xy 211.950413 103.959587) (xy 212.015688 104.013156) + (xy 212.090159 104.052962) (xy 212.170965 104.077474) (xy 212.255 104.085751) (xy 215.955 104.085751) (xy 216.039035 104.077474) + (xy 216.119841 104.052962) (xy 216.194312 104.013156) (xy 216.259587 103.959587) (xy 216.313156 103.894312) (xy 216.331176 103.8606) + (xy 217.152545 103.8606) (xy 217.17 103.862319) (xy 217.187455 103.8606) (xy 217.187463 103.8606) (xy 217.23971 103.855454) + (xy 217.30674 103.835121) (xy 217.368516 103.802101) (xy 217.422663 103.757663) (xy 217.433799 103.744094) (xy 217.952294 103.2256) + (xy 219.246287 103.2256) (xy 219.232526 103.270965) (xy 219.224249 103.355) (xy 219.224249 103.655) (xy 219.232526 103.739035) + (xy 219.246287 103.7844) (xy 217.822455 103.7844) (xy 217.805 103.782681) (xy 217.787545 103.7844) (xy 217.787537 103.7844) + (xy 217.73529 103.789546) (xy 217.66826 103.809879) (xy 217.606484 103.842899) (xy 217.552337 103.887337) (xy 217.541206 103.9009) + (xy 217.022707 104.4194) (xy 216.331176 104.4194) (xy 216.313156 104.385688) (xy 216.259587 104.320413) (xy 216.194312 104.266844) + (xy 216.119841 104.227038) (xy 216.039035 104.202526) (xy 215.955 104.194249) (xy 212.255 104.194249) (xy 212.170965 104.202526) + (xy 212.090159 104.227038) (xy 212.015688 104.266844) (xy 211.950413 104.320413) (xy 211.896844 104.385688) (xy 211.857038 104.460159) + (xy 211.832526 104.540965) (xy 211.824249 104.625) (xy 211.824249 104.925) (xy 211.832526 105.009035) (xy 211.857038 105.089841) + (xy 211.896844 105.164312) (xy 211.950413 105.229587) (xy 212.015688 105.283156) (xy 212.090159 105.322962) (xy 212.170965 105.347474) + (xy 212.255 105.355751) (xy 215.955 105.355751) (xy 216.039035 105.347474) (xy 216.119841 105.322962) (xy 216.194312 105.283156) + (xy 216.259587 105.229587) (xy 216.313156 105.164312) (xy 216.331176 105.1306) (xy 217.152545 105.1306) (xy 217.17 105.132319) + (xy 217.187455 105.1306) (xy 217.187463 105.1306) (xy 217.23971 105.125454) (xy 217.30674 105.105121) (xy 217.368516 105.072101) + (xy 217.422663 105.027663) (xy 217.433799 105.014094) (xy 217.952294 104.4956) (xy 219.246287 104.4956) (xy 219.232526 104.540965) + (xy 219.224249 104.625) (xy 219.224249 104.925) (xy 219.232526 105.009035) (xy 219.257038 105.089841) (xy 219.296844 105.164312) + (xy 219.350413 105.229587) (xy 219.415688 105.283156) (xy 219.490159 105.322962) (xy 219.570965 105.347474) (xy 219.655 105.355751) + (xy 223.355 105.355751) (xy 223.439035 105.347474) (xy 223.519841 105.322962) (xy 223.594312 105.283156) (xy 223.659587 105.229587) + (xy 223.713156 105.164312) (xy 223.731176 105.1306) (xy 232.191287 105.1306) (xy 232.177526 105.175965) (xy 232.169249 105.26) + (xy 232.169249 105.56) (xy 232.177526 105.644035) (xy 232.202038 105.724841) (xy 232.241844 105.799312) (xy 232.295413 105.864587) + (xy 232.360688 105.918156) (xy 232.435159 105.957962) (xy 232.515965 105.982474) (xy 232.6 105.990751) (xy 234 105.990751) + (xy 234.084035 105.982474) (xy 234.164841 105.957962) (xy 234.183528 105.947973) (xy 234.200326 105.961759) (xy 234.315053 106.023082) + (xy 234.439539 106.060844) (xy 234.536561 106.0704) (xy 237.711561 106.0704) (xy 237.744 106.073595) (xy 237.776439 106.0704) + (xy 237.873461 106.060844) (xy 237.997947 106.023082) (xy 238.112674 105.961759) (xy 238.213233 105.879233) (xy 238.233918 105.854028) + (xy 243.014034 101.073913) (xy 243.039233 101.053233) (xy 243.121759 100.952674) (xy 243.183082 100.837947) (xy 243.220844 100.713461) + (xy 243.2304 100.616439) (xy 243.2304 100.616438) (xy 243.233595 100.584) (xy 243.2304 100.551561) (xy 243.2304 98.646561) + (xy 243.220844 98.549539) (xy 243.183082 98.425053) (xy 243.121759 98.310326) (xy 243.107973 98.293528) (xy 243.117962 98.274841) + (xy 243.142474 98.194035) (xy 243.150751 98.11) (xy 243.150751 96.71) (xy 243.142474 96.625965) (xy 243.117962 96.545159) + (xy 243.107326 96.525261) (xy 243.121759 96.507674) (xy 243.183082 96.392947) (xy 243.220844 96.268461) (xy 243.2304 96.171439) + (xy 243.2304 92.244865) (xy 243.284197 92.179314) (xy 243.334398 92.085393) (xy 243.365313 91.983483) (xy 243.375751 91.8775) + (xy 243.375751 91.0025) (xy 243.365313 90.896517) (xy 243.334398 90.794607) (xy 243.284197 90.700686) (xy 243.2304 90.635135) + (xy 243.2304 89.8144) (xy 258.419601 89.8144) (xy 258.4196 90.682656) (xy 258.404803 90.700686) (xy 258.354602 90.794607) + (xy 258.323687 90.896517) (xy 258.313249 91.0025) (xy 258.313249 91.8775) (xy 258.323687 91.983483) (xy 258.354602 92.085393) + (xy 258.404803 92.179314) (xy 258.4196 92.197344) (xy 258.419601 96.171439) (xy 258.429157 96.268461) (xy 258.466919 96.392947) + (xy 258.528242 96.507674) (xy 258.542674 96.52526) (xy 258.532038 96.545159) (xy 258.507526 96.625965) (xy 258.499249 96.71) + (xy 258.499249 98.11) (xy 258.507526 98.194035) (xy 258.532038 98.274841) (xy 258.571844 98.349312) (xy 258.625413 98.414587) + (xy 258.690688 98.468156) (xy 258.765159 98.507962) (xy 258.845965 98.532474) (xy 258.93 98.540751) (xy 259.23 98.540751) + (xy 259.314035 98.532474) (xy 259.394841 98.507962) (xy 259.469312 98.468156) (xy 259.534587 98.414587) (xy 259.588156 98.349312) + (xy 259.627962 98.274841) (xy 259.652474 98.194035) (xy 259.660751 98.11) (xy 259.660751 96.71) (xy 259.769249 96.71) + (xy 259.769249 98.11) (xy 259.777526 98.194035) (xy 259.802038 98.274841) (xy 259.841844 98.349312) (xy 259.895413 98.414587) + (xy 259.960688 98.468156) (xy 260.035159 98.507962) (xy 260.115965 98.532474) (xy 260.2 98.540751) (xy 260.5 98.540751) + (xy 260.584035 98.532474) (xy 260.664841 98.507962) (xy 260.739312 98.468156) (xy 260.804587 98.414587) (xy 260.858156 98.349312) + (xy 260.897962 98.274841) (xy 260.922474 98.194035) (xy 260.930751 98.11) (xy 260.930751 96.71) (xy 260.922474 96.625965) + (xy 260.897962 96.545159) (xy 260.858156 96.470688) (xy 260.804587 96.405413) (xy 260.739312 96.351844) (xy 260.7056 96.333824) + (xy 260.7056 96.032293) (xy 261.000295 95.7376) (xy 267.012945 95.7376) (xy 267.026795 95.783259) (xy 267.072377 95.868536) + (xy 267.133719 95.943281) (xy 267.208464 96.004623) (xy 267.293741 96.050205) (xy 267.386272 96.078273) (xy 267.4825 96.087751) + (xy 268.4575 96.087751) (xy 268.553728 96.078273) (xy 268.646259 96.050205) (xy 268.731536 96.004623) (xy 268.806281 95.943281) + (xy 268.867623 95.868536) (xy 268.913205 95.783259) (xy 268.941273 95.690728) (xy 268.950751 95.5945) (xy 268.950751 95.1695) + (xy 268.941273 95.073272) (xy 268.913205 94.980741) (xy 268.867623 94.895464) (xy 268.806281 94.820719) (xy 268.731536 94.759377) + (xy 268.646259 94.713795) (xy 268.553728 94.685727) (xy 268.4575 94.676249) (xy 267.4825 94.676249) (xy 267.386272 94.685727) + (xy 267.293741 94.713795) (xy 267.208464 94.759377) (xy 267.133719 94.820719) (xy 267.072377 94.895464) (xy 267.026795 94.980741) + (xy 267.012945 95.0264) (xy 260.870452 95.0264) (xy 260.852999 95.024681) (xy 260.835546 95.0264) (xy 260.835537 95.0264) + (xy 260.78329 95.031546) (xy 260.71626 95.051879) (xy 260.654484 95.084899) (xy 260.654482 95.0849) (xy 260.654483 95.0849) + (xy 260.6139 95.118205) (xy 260.613895 95.11821) (xy 260.600337 95.129337) (xy 260.58921 95.142895) (xy 260.110901 95.621206) + (xy 260.097337 95.632338) (xy 260.052899 95.686485) (xy 260.019879 95.748261) (xy 259.999546 95.815291) (xy 259.9944 95.867538) + (xy 259.9944 95.867545) (xy 259.992681 95.885) (xy 259.9944 95.902456) (xy 259.9944 96.333824) (xy 259.960688 96.351844) + (xy 259.895413 96.405413) (xy 259.841844 96.470688) (xy 259.802038 96.545159) (xy 259.777526 96.625965) (xy 259.769249 96.71) + (xy 259.660751 96.71) (xy 259.652474 96.625965) (xy 259.627962 96.545159) (xy 259.617326 96.525261) (xy 259.631759 96.507674) + (xy 259.693082 96.392947) (xy 259.730844 96.268461) (xy 259.7404 96.171439) (xy 259.7404 92.282347) (xy 259.765636 92.261636) + (xy 259.833197 92.179314) (xy 259.85421 92.14) (xy 260.013248 92.14) (xy 260.018643 92.194772) (xy 260.034619 92.247439) + (xy 260.060563 92.295977) (xy 260.095478 92.338522) (xy 260.138023 92.373437) (xy 260.186561 92.399381) (xy 260.239228 92.415357) + (xy 260.294 92.420752) (xy 260.67535 92.4194) (xy 260.7452 92.34955) (xy 260.7452 91.5138) (xy 260.8928 91.5138) + (xy 260.8928 92.34955) (xy 260.96265 92.4194) (xy 261.344 92.420752) (xy 261.398772 92.415357) (xy 261.451439 92.399381) + (xy 261.499977 92.373437) (xy 261.542522 92.338522) (xy 261.577437 92.295977) (xy 261.603381 92.247439) (xy 261.619357 92.194772) + (xy 261.624752 92.14) (xy 261.6234 91.58365) (xy 261.55355 91.5138) (xy 260.8928 91.5138) (xy 260.7452 91.5138) + (xy 260.08445 91.5138) (xy 260.0146 91.58365) (xy 260.013248 92.14) (xy 259.85421 92.14) (xy 259.883398 92.085393) + (xy 259.914313 91.983483) (xy 259.924751 91.8775) (xy 259.924751 91.0025) (xy 259.914313 90.896517) (xy 259.883398 90.794607) + (xy 259.854211 90.74) (xy 260.013248 90.74) (xy 260.0146 91.29635) (xy 260.08445 91.3662) (xy 260.7452 91.3662) + (xy 260.7452 90.53045) (xy 260.8928 90.53045) (xy 260.8928 91.3662) (xy 261.55355 91.3662) (xy 261.6234 91.29635) + (xy 261.624752 90.74) (xy 261.619357 90.685228) (xy 261.603381 90.632561) (xy 261.577437 90.584023) (xy 261.542522 90.541478) + (xy 261.499977 90.506563) (xy 261.451439 90.480619) (xy 261.398772 90.464643) (xy 261.344 90.459248) (xy 260.96265 90.4606) + (xy 260.8928 90.53045) (xy 260.7452 90.53045) (xy 260.67535 90.4606) (xy 260.294 90.459248) (xy 260.239228 90.464643) + (xy 260.186561 90.480619) (xy 260.138023 90.506563) (xy 260.095478 90.541478) (xy 260.060563 90.584023) (xy 260.034619 90.632561) + (xy 260.018643 90.685228) (xy 260.013248 90.74) (xy 259.854211 90.74) (xy 259.833197 90.700686) (xy 259.765636 90.618364) + (xy 259.7404 90.597653) (xy 259.7404 89.8144) (xy 268.427639 89.8144) (xy 273.278601 94.665363) (xy 273.2786 108.5596) + (xy 268.774865 108.5596) (xy 268.709314 108.505803) (xy 268.615393 108.455602) (xy 268.513483 108.424687) (xy 268.4075 108.414249) + (xy 267.5325 108.414249) (xy 267.426517 108.424687) (xy 267.324607 108.455602) (xy 267.230686 108.505803) (xy 267.165135 108.5596) + (xy 263.238561 108.5596) (xy 263.141539 108.569156) (xy 263.017053 108.606918) (xy 262.902326 108.668241) (xy 262.884739 108.682674) + (xy 262.864841 108.672038) (xy 262.784035 108.647526) (xy 262.7 108.639249) (xy 261.3 108.639249) (xy 261.215965 108.647526) + (xy 261.135159 108.672038) (xy 261.060688 108.711844) (xy 260.995413 108.765413) (xy 260.941844 108.830688) (xy 260.902038 108.905159) + (xy 260.877526 108.985965) (xy 260.869249 109.07) (xy 260.869249 109.37) (xy 260.877526 109.454035) (xy 260.902038 109.534841) + (xy 260.941844 109.609312) (xy 260.995413 109.674587) (xy 261.060688 109.728156) (xy 261.135159 109.767962) (xy 261.215965 109.792474) + (xy 261.3 109.800751) (xy 262.7 109.800751) (xy 262.784035 109.792474) (xy 262.864841 109.767962) (xy 262.884739 109.757326) + (xy 262.902326 109.771759) (xy 263.017053 109.833082) (xy 263.141539 109.870844) (xy 263.238561 109.8804) (xy 267.165135 109.8804) + (xy 267.230686 109.934197) (xy 267.324607 109.984398) (xy 267.426517 110.015313) (xy 267.5325 110.025751) (xy 268.4075 110.025751) + (xy 268.513483 110.015313) (xy 268.615393 109.984398) (xy 268.709314 109.934197) (xy 268.774865 109.8804) (xy 273.278601 109.8804) + (xy 273.2786 119.9896) (xy 268.774865 119.9896) (xy 268.709314 119.935803) (xy 268.615393 119.885602) (xy 268.513483 119.854687) + (xy 268.4075 119.844249) (xy 267.5325 119.844249) (xy 267.426517 119.854687) (xy 267.324607 119.885602) (xy 267.230686 119.935803) + (xy 267.165135 119.9896) (xy 263.238561 119.9896) (xy 263.141539 119.999156) (xy 263.017053 120.036918) (xy 262.902326 120.098241) + (xy 262.884739 120.112674) (xy 262.864841 120.102038) (xy 262.784035 120.077526) (xy 262.7 120.069249) (xy 261.3 120.069249) + (xy 261.215965 120.077526) (xy 261.135159 120.102038) (xy 261.116472 120.112027) (xy 261.099674 120.098241) (xy 260.984947 120.036918) + (xy 260.860461 119.999156) (xy 260.763439 119.9896) (xy 250.857439 119.9896) (xy 250.825 119.986405) (xy 250.792561 119.9896) + (xy 250.222439 119.9896) (xy 250.19 119.986405) (xy 250.157561 119.9896) (xy 249.587439 119.9896) (xy 249.555 119.986405) + (xy 249.522561 119.9896) (xy 238.411439 119.9896) (xy 238.379 119.986405) (xy 238.346561 119.9896) (xy 238.249539 119.999156) + (xy 238.125053 120.036918) (xy 238.010326 120.098241) (xy 237.909767 120.180767) (xy 237.889086 120.205967) (xy 234.206089 123.888965) + (xy 234.18035 123.920328) (xy 234.164841 123.912038) (xy 234.084035 123.887526) (xy 234 123.879249) (xy 232.6 123.879249) + (xy 232.515965 123.887526) (xy 232.435159 123.912038) (xy 232.415261 123.922674) (xy 232.397674 123.908241) (xy 232.282947 123.846918) + (xy 232.158461 123.809156) (xy 232.061439 123.7996) (xy 228.134865 123.7996) (xy 228.069314 123.745803) (xy 227.975393 123.695602) + (xy 227.873483 123.664687) (xy 227.7675 123.654249) (xy 226.8925 123.654249) (xy 226.786517 123.664687) (xy 226.684607 123.695602) + (xy 226.590686 123.745803) (xy 226.508364 123.813364) (xy 226.440803 123.895686) (xy 226.390602 123.989607) (xy 226.359687 124.091517) + (xy 226.349249 124.1975) (xy 226.349249 124.7225) (xy 226.359687 124.828483) (xy 226.390602 124.930393) (xy 226.440803 125.024314) + (xy 226.508364 125.106636) (xy 226.590686 125.174197) (xy 226.684607 125.224398) (xy 226.786517 125.255313) (xy 226.8925 125.265751) + (xy 227.7675 125.265751) (xy 227.873483 125.255313) (xy 227.975393 125.224398) (xy 228.069314 125.174197) (xy 228.134865 125.1204) + (xy 232.061439 125.1204) (xy 232.158461 125.110844) (xy 232.282947 125.073082) (xy 232.397674 125.011759) (xy 232.415261 124.997326) + (xy 232.435159 125.007962) (xy 232.515965 125.032474) (xy 232.6 125.040751) (xy 234 125.040751) (xy 234.084035 125.032474) + (xy 234.164841 125.007962) (xy 234.192084 124.9934) (xy 234.542813 124.9934) (xy 234.569 124.995979) (xy 234.595187 124.9934) + (xy 234.595195 124.9934) (xy 234.629378 124.990033) (xy 234.688503 124.995857) (xy 234.635159 125.012038) (xy 234.560688 125.051844) + (xy 234.495413 125.105413) (xy 234.441844 125.170688) (xy 234.402038 125.245159) (xy 234.377526 125.325965) (xy 234.369249 125.41) + (xy 234.369249 126.81) (xy 234.377526 126.894035) (xy 234.402038 126.974841) (xy 234.441844 127.049312) (xy 234.495413 127.114587) + (xy 234.560688 127.168156) (xy 234.5944 127.186176) (xy 234.5944 127.617544) (xy 234.592681 127.635) (xy 234.5944 127.652455) + (xy 234.5944 127.652462) (xy 234.598145 127.690484) (xy 234.599546 127.70471) (xy 234.601491 127.711121) (xy 234.619879 127.771739) + (xy 234.652899 127.833515) (xy 234.697337 127.887662) (xy 234.710901 127.898794) (xy 235.864401 129.052296) (xy 235.864401 131.331249) + (xy 235.839 131.331249) (xy 235.709899 131.343964) (xy 235.585759 131.381622) (xy 235.471351 131.442774) (xy 235.371071 131.525071) + (xy 235.288774 131.625351) (xy 235.227622 131.739759) (xy 235.189964 131.863899) (xy 235.177249 131.993) (xy 235.177249 132.0038) + (xy 234.722751 132.0038) (xy 234.722751 131.993) (xy 234.710036 131.863899) (xy 234.672378 131.739759) (xy 234.611226 131.625351) + (xy 234.528929 131.525071) (xy 234.428649 131.442774) (xy 234.314241 131.381622) (xy 234.190101 131.343964) (xy 234.061 131.331249) + (xy 234.0356 131.331249) (xy 234.0356 129.763494) (xy 234.373825 130.101719) (xy 234.3706 130.117934) (xy 234.3706 130.232066) + (xy 234.392866 130.344005) (xy 234.436543 130.449449) (xy 234.499951 130.544346) (xy 234.580654 130.625049) (xy 234.675551 130.688457) + (xy 234.780995 130.732134) (xy 234.892934 130.7544) (xy 235.007066 130.7544) (xy 235.119005 130.732134) (xy 235.224449 130.688457) + (xy 235.319346 130.625049) (xy 235.400049 130.544346) (xy 235.463457 130.449449) (xy 235.507134 130.344005) (xy 235.5294 130.232066) + (xy 235.5294 130.117934) (xy 235.507134 130.005995) (xy 235.463457 129.900551) (xy 235.400049 129.805654) (xy 235.319346 129.724951) + (xy 235.224449 129.661543) (xy 235.119005 129.617866) (xy 235.007066 129.5956) (xy 234.892934 129.5956) (xy 234.876719 129.598825) + (xy 232.546799 127.268906) (xy 232.535663 127.255337) (xy 232.481516 127.210899) (xy 232.41974 127.177879) (xy 232.35271 127.157546) + (xy 232.300463 127.1524) (xy 232.300455 127.1524) (xy 232.283 127.150681) (xy 232.265545 127.1524) (xy 218.206294 127.1524) + (xy 215.837828 124.783934) (xy 216.3366 124.783934) (xy 216.3366 124.898066) (xy 216.358866 125.010005) (xy 216.402543 125.115449) + (xy 216.465951 125.210346) (xy 216.546654 125.291049) (xy 216.641551 125.354457) (xy 216.746995 125.398134) (xy 216.858934 125.4204) + (xy 216.973066 125.4204) (xy 216.989281 125.417175) (xy 217.419325 125.847219) (xy 217.4161 125.863434) (xy 217.4161 125.977566) + (xy 217.438366 126.089505) (xy 217.482043 126.194949) (xy 217.545451 126.289846) (xy 217.626154 126.370549) (xy 217.721051 126.433957) + (xy 217.826495 126.477634) (xy 217.938434 126.4999) (xy 218.052566 126.4999) (xy 218.164505 126.477634) (xy 218.269949 126.433957) + (xy 218.364846 126.370549) (xy 218.427461 126.307934) (xy 220.4006 126.307934) (xy 220.4006 126.422066) (xy 220.422866 126.534005) + (xy 220.466543 126.639449) (xy 220.529951 126.734346) (xy 220.610654 126.815049) (xy 220.705551 126.878457) (xy 220.810995 126.922134) + (xy 220.922934 126.9444) (xy 221.037066 126.9444) (xy 221.149005 126.922134) (xy 221.254449 126.878457) (xy 221.349346 126.815049) + (xy 221.430049 126.734346) (xy 221.493457 126.639449) (xy 221.537134 126.534005) (xy 221.5594 126.422066) (xy 221.5594 126.307934) + (xy 221.556175 126.291719) (xy 221.922719 125.925175) (xy 221.938934 125.9284) (xy 222.053066 125.9284) (xy 222.165005 125.906134) + (xy 222.270449 125.862457) (xy 222.365346 125.799049) (xy 222.446049 125.718346) (xy 222.509457 125.623449) (xy 222.553134 125.518005) + (xy 222.5754 125.406066) (xy 222.5754 125.291934) (xy 222.553134 125.179995) (xy 222.509457 125.074551) (xy 222.446049 124.979654) + (xy 222.365346 124.898951) (xy 222.270449 124.835543) (xy 222.165005 124.791866) (xy 222.053066 124.7696) (xy 221.938934 124.7696) + (xy 221.826995 124.791866) (xy 221.721551 124.835543) (xy 221.626654 124.898951) (xy 221.545951 124.979654) (xy 221.482543 125.074551) + (xy 221.438866 125.179995) (xy 221.4166 125.291934) (xy 221.4166 125.406066) (xy 221.419825 125.422281) (xy 221.053281 125.788825) + (xy 221.037066 125.7856) (xy 220.922934 125.7856) (xy 220.810995 125.807866) (xy 220.705551 125.851543) (xy 220.610654 125.914951) + (xy 220.529951 125.995654) (xy 220.466543 126.090551) (xy 220.422866 126.195995) (xy 220.4006 126.307934) (xy 218.427461 126.307934) + (xy 218.445549 126.289846) (xy 218.508957 126.194949) (xy 218.552634 126.089505) (xy 218.5749 125.977566) (xy 218.5749 125.863434) + (xy 218.552634 125.751495) (xy 218.508957 125.646051) (xy 218.445549 125.551154) (xy 218.364846 125.470451) (xy 218.269949 125.407043) + (xy 218.164505 125.363366) (xy 218.052566 125.3411) (xy 217.938434 125.3411) (xy 217.922219 125.344325) (xy 217.492175 124.914281) + (xy 217.4954 124.898066) (xy 217.4954 124.783934) (xy 217.473134 124.671995) (xy 217.429457 124.566551) (xy 217.366049 124.471654) + (xy 217.285346 124.390951) (xy 217.190449 124.327543) (xy 217.085005 124.283866) (xy 216.973066 124.2616) (xy 216.858934 124.2616) + (xy 216.746995 124.283866) (xy 216.641551 124.327543) (xy 216.546654 124.390951) (xy 216.465951 124.471654) (xy 216.402543 124.566551) + (xy 216.358866 124.671995) (xy 216.3366 124.783934) (xy 215.837828 124.783934) (xy 214.948828 123.894934) (xy 215.4476 123.894934) + (xy 215.4476 124.009066) (xy 215.469866 124.121005) (xy 215.513543 124.226449) (xy 215.576951 124.321346) (xy 215.657654 124.402049) + (xy 215.752551 124.465457) (xy 215.857995 124.509134) (xy 215.969934 124.5314) (xy 216.084066 124.5314) (xy 216.196005 124.509134) + (xy 216.301449 124.465457) (xy 216.396346 124.402049) (xy 216.477049 124.321346) (xy 216.540457 124.226449) (xy 216.559449 124.1806) + (xy 221.409766 124.1806) (xy 221.418951 124.194346) (xy 221.499654 124.275049) (xy 221.594551 124.338457) (xy 221.699995 124.382134) + (xy 221.811934 124.4044) (xy 221.926066 124.4044) (xy 221.942281 124.401175) (xy 224.14521 126.604105) (xy 224.156337 126.617663) + (xy 224.169895 126.62879) (xy 224.1699 126.628795) (xy 224.195186 126.649546) (xy 224.210484 126.662101) (xy 224.27226 126.695121) + (xy 224.324287 126.710903) (xy 224.339951 126.734346) (xy 224.420654 126.815049) (xy 224.515551 126.878457) (xy 224.620995 126.922134) + (xy 224.732934 126.9444) (xy 224.847066 126.9444) (xy 224.959005 126.922134) (xy 225.064449 126.878457) (xy 225.159346 126.815049) + (xy 225.240049 126.734346) (xy 225.303457 126.639449) (xy 225.347134 126.534005) (xy 225.3694 126.422066) (xy 225.3694 126.307934) + (xy 225.347134 126.195995) (xy 225.303457 126.090551) (xy 225.240049 125.995654) (xy 225.159346 125.914951) (xy 225.064449 125.851543) + (xy 224.959005 125.807866) (xy 224.847066 125.7856) (xy 224.732934 125.7856) (xy 224.620995 125.807866) (xy 224.515551 125.851543) + (xy 224.445347 125.898452) (xy 222.445175 123.898281) (xy 222.44584 123.894934) (xy 222.8136 123.894934) (xy 222.8136 124.009066) + (xy 222.835866 124.121005) (xy 222.879543 124.226449) (xy 222.942951 124.321346) (xy 223.023654 124.402049) (xy 223.118551 124.465457) + (xy 223.223995 124.509134) (xy 223.335934 124.5314) (xy 223.450066 124.5314) (xy 223.562005 124.509134) (xy 223.667449 124.465457) + (xy 223.762346 124.402049) (xy 223.843049 124.321346) (xy 223.906457 124.226449) (xy 223.950134 124.121005) (xy 223.9724 124.009066) + (xy 223.9724 124.002493) (xy 224.689893 123.285) (xy 226.349248 123.285) (xy 226.354643 123.339772) (xy 226.370619 123.392439) + (xy 226.396563 123.440977) (xy 226.431478 123.483522) (xy 226.474023 123.518437) (xy 226.522561 123.544381) (xy 226.575228 123.560357) + (xy 226.63 123.565752) (xy 227.18635 123.5644) (xy 227.2562 123.49455) (xy 227.2562 122.8338) (xy 227.4038 122.8338) + (xy 227.4038 123.49455) (xy 227.47365 123.5644) (xy 228.03 123.565752) (xy 228.084772 123.560357) (xy 228.137439 123.544381) + (xy 228.185977 123.518437) (xy 228.228522 123.483522) (xy 228.263437 123.440977) (xy 228.289381 123.392439) (xy 228.305357 123.339772) + (xy 228.310752 123.285) (xy 228.3094 122.90365) (xy 228.23955 122.8338) (xy 227.4038 122.8338) (xy 227.2562 122.8338) + (xy 226.42045 122.8338) (xy 226.3506 122.90365) (xy 226.349248 123.285) (xy 224.689893 123.285) (xy 225.739894 122.235) + (xy 226.349248 122.235) (xy 226.3506 122.61635) (xy 226.42045 122.6862) (xy 227.2562 122.6862) (xy 227.2562 122.02545) + (xy 227.4038 122.02545) (xy 227.4038 122.6862) (xy 228.23955 122.6862) (xy 228.3094 122.61635) (xy 228.310752 122.235) + (xy 228.305357 122.180228) (xy 228.289381 122.127561) (xy 228.263437 122.079023) (xy 228.228522 122.036478) (xy 228.185977 122.001563) + (xy 228.137439 121.975619) (xy 228.084772 121.959643) (xy 228.03 121.954248) (xy 227.47365 121.9556) (xy 227.4038 122.02545) + (xy 227.2562 122.02545) (xy 227.18635 121.9556) (xy 226.63 121.954248) (xy 226.575228 121.959643) (xy 226.522561 121.975619) + (xy 226.474023 122.001563) (xy 226.431478 122.036478) (xy 226.396563 122.079023) (xy 226.370619 122.127561) (xy 226.354643 122.180228) + (xy 226.349248 122.235) (xy 225.739894 122.235) (xy 225.85972 122.115175) (xy 225.875934 122.1184) (xy 225.990066 122.1184) + (xy 226.102005 122.096134) (xy 226.207449 122.052457) (xy 226.302346 121.989049) (xy 226.383049 121.908346) (xy 226.446457 121.813449) + (xy 226.490134 121.708005) (xy 226.5124 121.596066) (xy 226.5124 121.481934) (xy 226.490134 121.369995) (xy 226.446457 121.264551) + (xy 226.383049 121.169654) (xy 226.302346 121.088951) (xy 226.207449 121.025543) (xy 226.102005 120.981866) (xy 225.990066 120.9596) + (xy 225.875934 120.9596) (xy 225.763995 120.981866) (xy 225.658551 121.025543) (xy 225.563654 121.088951) (xy 225.482951 121.169654) + (xy 225.419543 121.264551) (xy 225.375866 121.369995) (xy 225.3536 121.481934) (xy 225.3536 121.596066) (xy 225.356825 121.61228) + (xy 223.570657 123.39845) (xy 223.562005 123.394866) (xy 223.450066 123.3726) (xy 223.335934 123.3726) (xy 223.223995 123.394866) + (xy 223.118551 123.438543) (xy 223.023654 123.501951) (xy 222.942951 123.582654) (xy 222.879543 123.677551) (xy 222.835866 123.782995) + (xy 222.8136 123.894934) (xy 222.44584 123.894934) (xy 222.4484 123.882066) (xy 222.4484 123.767934) (xy 222.445175 123.751719) + (xy 225.445295 120.7516) (xy 228.521766 120.7516) (xy 228.530951 120.765346) (xy 228.611654 120.846049) (xy 228.706551 120.909457) + (xy 228.811995 120.953134) (xy 228.923934 120.9754) (xy 229.038066 120.9754) (xy 229.150005 120.953134) (xy 229.255449 120.909457) + (xy 229.350346 120.846049) (xy 229.431049 120.765346) (xy 229.494457 120.670449) (xy 229.538134 120.565005) (xy 229.5604 120.453066) + (xy 229.5604 120.338934) (xy 229.538134 120.226995) (xy 229.494457 120.121551) (xy 229.431049 120.026654) (xy 229.350346 119.945951) + (xy 229.255449 119.882543) (xy 229.150005 119.838866) (xy 229.038066 119.8166) (xy 228.923934 119.8166) (xy 228.811995 119.838866) + (xy 228.706551 119.882543) (xy 228.611654 119.945951) (xy 228.530951 120.026654) (xy 228.521766 120.0404) (xy 225.315452 120.0404) + (xy 225.297999 120.038681) (xy 225.280546 120.0404) (xy 225.280537 120.0404) (xy 225.22829 120.045546) (xy 225.16126 120.065879) + (xy 225.099484 120.098899) (xy 225.099482 120.0989) (xy 225.099483 120.0989) (xy 225.0589 120.132205) (xy 225.058895 120.13221) + (xy 225.045337 120.143337) (xy 225.03421 120.156895) (xy 221.942281 123.248825) (xy 221.926066 123.2456) (xy 221.811934 123.2456) + (xy 221.699995 123.267866) (xy 221.594551 123.311543) (xy 221.499654 123.374951) (xy 221.418951 123.455654) (xy 221.409766 123.4694) + (xy 216.425452 123.4694) (xy 216.407999 123.467681) (xy 216.390546 123.4694) (xy 216.390537 123.4694) (xy 216.353142 123.473083) + (xy 216.301449 123.438543) (xy 216.196005 123.394866) (xy 216.084066 123.3726) (xy 215.969934 123.3726) (xy 215.857995 123.394866) + (xy 215.752551 123.438543) (xy 215.657654 123.501951) (xy 215.576951 123.582654) (xy 215.513543 123.677551) (xy 215.469866 123.782995) + (xy 215.4476 123.894934) (xy 214.948828 123.894934) (xy 214.059828 123.005934) (xy 214.5586 123.005934) (xy 214.5586 123.120066) + (xy 214.580866 123.232005) (xy 214.624543 123.337449) (xy 214.687951 123.432346) (xy 214.768654 123.513049) (xy 214.863551 123.576457) + (xy 214.968995 123.620134) (xy 215.080934 123.6424) (xy 215.195066 123.6424) (xy 215.307005 123.620134) (xy 215.412449 123.576457) + (xy 215.507346 123.513049) (xy 215.588049 123.432346) (xy 215.597234 123.4186) (xy 215.628545 123.4186) (xy 215.646 123.420319) + (xy 215.663455 123.4186) (xy 215.663463 123.4186) (xy 215.71571 123.413454) (xy 215.78274 123.393121) (xy 215.844516 123.360101) + (xy 215.898663 123.315663) (xy 215.909799 123.302094) (xy 216.586959 122.624934) (xy 218.1146 122.624934) (xy 218.1146 122.739066) + (xy 218.136866 122.851005) (xy 218.180543 122.956449) (xy 218.243951 123.051346) (xy 218.324654 123.132049) (xy 218.419551 123.195457) + (xy 218.524995 123.239134) (xy 218.636934 123.2614) (xy 218.751066 123.2614) (xy 218.863005 123.239134) (xy 218.968449 123.195457) + (xy 219.063346 123.132049) (xy 219.144049 123.051346) (xy 219.207457 122.956449) (xy 219.251134 122.851005) (xy 219.2734 122.739066) + (xy 219.2734 122.624934) (xy 219.251134 122.512995) (xy 219.207457 122.407551) (xy 219.144049 122.312654) (xy 219.063346 122.231951) + (xy 219.0496 122.222766) (xy 219.0496 121.940293) (xy 221.000295 119.9896) (xy 227.947545 119.9896) (xy 227.965 119.991319) + (xy 227.982455 119.9896) (xy 227.982463 119.9896) (xy 228.03471 119.984454) (xy 228.10174 119.964121) (xy 228.124502 119.951954) + (xy 228.161934 119.9594) (xy 228.276066 119.9594) (xy 228.388005 119.937134) (xy 228.493449 119.893457) (xy 228.588346 119.830049) + (xy 228.669049 119.749346) (xy 228.732457 119.654449) (xy 228.776134 119.549005) (xy 228.7984 119.437066) (xy 228.7984 119.322934) + (xy 228.776134 119.210995) (xy 228.732457 119.105551) (xy 228.669049 119.010654) (xy 228.588346 118.929951) (xy 228.493449 118.866543) + (xy 228.388005 118.822866) (xy 228.276066 118.8006) (xy 228.161934 118.8006) (xy 228.049995 118.822866) (xy 227.944551 118.866543) + (xy 227.849654 118.929951) (xy 227.768951 119.010654) (xy 227.705543 119.105551) (xy 227.661866 119.210995) (xy 227.648458 119.2784) + (xy 220.870455 119.2784) (xy 220.852999 119.276681) (xy 220.835544 119.2784) (xy 220.835537 119.2784) (xy 220.790187 119.282867) + (xy 220.783289 119.283546) (xy 220.754102 119.2924) (xy 220.71626 119.303879) (xy 220.654484 119.336899) (xy 220.654482 119.3369) + (xy 220.654483 119.3369) (xy 220.6139 119.370205) (xy 220.613895 119.37021) (xy 220.600337 119.381337) (xy 220.58921 119.394895) + (xy 218.454901 121.529206) (xy 218.441338 121.540337) (xy 218.3969 121.594484) (xy 218.391194 121.605159) (xy 218.36388 121.656259) + (xy 218.343546 121.72329) (xy 218.336681 121.793) (xy 218.338401 121.810465) (xy 218.338401 122.222766) (xy 218.324654 122.231951) + (xy 218.243951 122.312654) (xy 218.180543 122.407551) (xy 218.136866 122.512995) (xy 218.1146 122.624934) (xy 216.586959 122.624934) + (xy 219.984294 119.2276) (xy 226.931545 119.2276) (xy 226.949 119.229319) (xy 226.966455 119.2276) (xy 226.966463 119.2276) + (xy 227.01871 119.222454) (xy 227.08574 119.202121) (xy 227.147516 119.169101) (xy 227.201663 119.124663) (xy 227.212798 119.111095) + (xy 227.256719 119.067175) (xy 227.272934 119.0704) (xy 227.387066 119.0704) (xy 227.499005 119.048134) (xy 227.604449 119.004457) + (xy 227.699346 118.941049) (xy 227.780049 118.860346) (xy 227.843457 118.765449) (xy 227.887134 118.660005) (xy 227.9094 118.548066) + (xy 227.9094 118.433934) (xy 227.887134 118.321995) (xy 227.843457 118.216551) (xy 227.780049 118.121654) (xy 227.699346 118.040951) + (xy 227.604449 117.977543) (xy 227.499005 117.933866) (xy 227.387066 117.9116) (xy 227.272934 117.9116) (xy 227.160995 117.933866) + (xy 227.055551 117.977543) (xy 226.960654 118.040951) (xy 226.879951 118.121654) (xy 226.816543 118.216551) (xy 226.772866 118.321995) + (xy 226.7506 118.433934) (xy 226.7506 118.5164) (xy 219.854455 118.5164) (xy 219.836999 118.514681) (xy 219.819544 118.5164) + (xy 219.819537 118.5164) (xy 219.774187 118.520867) (xy 219.767289 118.521546) (xy 219.738102 118.5304) (xy 219.70026 118.541879) + (xy 219.638484 118.574899) (xy 219.584337 118.619337) (xy 219.573206 118.6329) (xy 215.550251 122.655856) (xy 215.507346 122.612951) + (xy 215.412449 122.549543) (xy 215.307005 122.505866) (xy 215.195066 122.4836) (xy 215.080934 122.4836) (xy 214.968995 122.505866) + (xy 214.863551 122.549543) (xy 214.768654 122.612951) (xy 214.687951 122.693654) (xy 214.624543 122.788551) (xy 214.580866 122.893995) + (xy 214.5586 123.005934) (xy 214.059828 123.005934) (xy 213.170828 122.116934) (xy 213.6696 122.116934) (xy 213.6696 122.231066) + (xy 213.691866 122.343005) (xy 213.735543 122.448449) (xy 213.798951 122.543346) (xy 213.879654 122.624049) (xy 213.974551 122.687457) + (xy 214.079995 122.731134) (xy 214.191934 122.7534) (xy 214.306066 122.7534) (xy 214.418005 122.731134) (xy 214.523449 122.687457) + (xy 214.618346 122.624049) (xy 214.699049 122.543346) (xy 214.762457 122.448449) (xy 214.806134 122.343005) (xy 214.8284 122.231066) + (xy 214.8284 122.116934) (xy 214.825175 122.100719) (xy 215.539295 121.3866) (xy 216.644545 121.3866) (xy 216.662 121.388319) + (xy 216.679455 121.3866) (xy 216.679463 121.3866) (xy 216.73171 121.381454) (xy 216.79874 121.361121) (xy 216.860516 121.328101) + (xy 216.914663 121.283663) (xy 216.925799 121.270094) (xy 219.730294 118.4656) (xy 225.915545 118.4656) (xy 225.933 118.467319) + (xy 225.950455 118.4656) (xy 225.950463 118.4656) (xy 226.00271 118.460454) (xy 226.06974 118.440121) (xy 226.131516 118.407101) + (xy 226.185663 118.362663) (xy 226.196798 118.349095) (xy 226.367719 118.178175) (xy 226.383934 118.1814) (xy 226.498066 118.1814) + (xy 226.610005 118.159134) (xy 226.715449 118.115457) (xy 226.810346 118.052049) (xy 226.891049 117.971346) (xy 226.954457 117.876449) + (xy 226.998134 117.771005) (xy 227.0204 117.659066) (xy 227.0204 117.544934) (xy 226.998134 117.432995) (xy 226.954457 117.327551) + (xy 226.891049 117.232654) (xy 226.810346 117.151951) (xy 226.715449 117.088543) (xy 226.610005 117.044866) (xy 226.498066 117.0226) + (xy 226.383934 117.0226) (xy 226.271995 117.044866) (xy 226.166551 117.088543) (xy 226.071654 117.151951) (xy 225.990951 117.232654) + (xy 225.927543 117.327551) (xy 225.883866 117.432995) (xy 225.8616 117.544934) (xy 225.8616 117.659066) (xy 225.864825 117.675281) + (xy 225.785707 117.7544) (xy 219.600455 117.7544) (xy 219.583 117.752681) (xy 219.565545 117.7544) (xy 219.565537 117.7544) + (xy 219.51329 117.759546) (xy 219.44626 117.779879) (xy 219.384484 117.812899) (xy 219.330337 117.857337) (xy 219.319206 117.8709) + (xy 216.514707 120.6754) (xy 215.409455 120.6754) (xy 215.391999 120.673681) (xy 215.374544 120.6754) (xy 215.374537 120.6754) + (xy 215.329187 120.679867) (xy 215.322289 120.680546) (xy 215.293102 120.6894) (xy 215.25526 120.700879) (xy 215.193484 120.733899) + (xy 215.193482 120.7339) (xy 215.193483 120.7339) (xy 215.1529 120.767205) (xy 215.152895 120.76721) (xy 215.139337 120.778337) + (xy 215.12821 120.791895) (xy 214.322281 121.597825) (xy 214.306066 121.5946) (xy 214.191934 121.5946) (xy 214.079995 121.616866) + (xy 213.974551 121.660543) (xy 213.879654 121.723951) (xy 213.798951 121.804654) (xy 213.735543 121.899551) (xy 213.691866 122.004995) + (xy 213.6696 122.116934) (xy 213.170828 122.116934) (xy 212.281828 121.227934) (xy 212.7806 121.227934) (xy 212.7806 121.342066) + (xy 212.802866 121.454005) (xy 212.846543 121.559449) (xy 212.909951 121.654346) (xy 212.990654 121.735049) (xy 213.085551 121.798457) + (xy 213.190995 121.842134) (xy 213.302934 121.8644) (xy 213.417066 121.8644) (xy 213.529005 121.842134) (xy 213.634449 121.798457) + (xy 213.729346 121.735049) (xy 213.810049 121.654346) (xy 213.873457 121.559449) (xy 213.917134 121.454005) (xy 213.9394 121.342066) + (xy 213.9394 121.227934) (xy 213.936175 121.211719) (xy 214.523294 120.6246) (xy 216.390545 120.6246) (xy 216.408 120.626319) + (xy 216.425455 120.6246) (xy 216.425463 120.6246) (xy 216.47771 120.619454) (xy 216.54474 120.599121) (xy 216.606516 120.566101) + (xy 216.660663 120.521663) (xy 216.671799 120.508094) (xy 219.476294 117.7036) (xy 224.899545 117.7036) (xy 224.917 117.705319) + (xy 224.934455 117.7036) (xy 224.934463 117.7036) (xy 224.98671 117.698454) (xy 225.05374 117.678121) (xy 225.115516 117.645101) + (xy 225.169663 117.600663) (xy 225.180799 117.587094) (xy 225.478719 117.289175) (xy 225.494934 117.2924) (xy 225.609066 117.2924) + (xy 225.721005 117.270134) (xy 225.826449 117.226457) (xy 225.921346 117.163049) (xy 226.002049 117.082346) (xy 226.065457 116.987449) + (xy 226.109134 116.882005) (xy 226.1314 116.770066) (xy 226.1314 116.655934) (xy 226.109134 116.543995) (xy 226.065457 116.438551) + (xy 226.002049 116.343654) (xy 225.921346 116.262951) (xy 225.826449 116.199543) (xy 225.721005 116.155866) (xy 225.609066 116.1336) + (xy 225.494934 116.1336) (xy 225.382995 116.155866) (xy 225.277551 116.199543) (xy 225.182654 116.262951) (xy 225.101951 116.343654) + (xy 225.038543 116.438551) (xy 224.994866 116.543995) (xy 224.9726 116.655934) (xy 224.9726 116.770066) (xy 224.975825 116.786281) + (xy 224.769707 116.9924) (xy 219.346455 116.9924) (xy 219.329 116.990681) (xy 219.311545 116.9924) (xy 219.311537 116.9924) + (xy 219.25929 116.997546) (xy 219.19226 117.017879) (xy 219.130484 117.050899) (xy 219.076337 117.095337) (xy 219.065206 117.1089) + (xy 216.260707 119.9134) (xy 214.393455 119.9134) (xy 214.376 119.911681) (xy 214.358544 119.9134) (xy 214.358537 119.9134) + (xy 214.312566 119.917928) (xy 214.306289 119.918546) (xy 214.279027 119.926816) (xy 214.23926 119.938879) (xy 214.177484 119.971899) + (xy 214.123337 120.016337) (xy 214.112206 120.0299) (xy 213.433281 120.708825) (xy 213.417066 120.7056) (xy 213.302934 120.7056) + (xy 213.190995 120.727866) (xy 213.085551 120.771543) (xy 212.990654 120.834951) (xy 212.909951 120.915654) (xy 212.846543 121.010551) + (xy 212.802866 121.115995) (xy 212.7806 121.227934) (xy 212.281828 121.227934) (xy 211.392828 120.338934) (xy 211.8916 120.338934) + (xy 211.8916 120.453066) (xy 211.913866 120.565005) (xy 211.957543 120.670449) (xy 212.020951 120.765346) (xy 212.101654 120.846049) + (xy 212.196551 120.909457) (xy 212.301995 120.953134) (xy 212.413934 120.9754) (xy 212.528066 120.9754) (xy 212.640005 120.953134) + (xy 212.745449 120.909457) (xy 212.840346 120.846049) (xy 212.921049 120.765346) (xy 212.984457 120.670449) (xy 213.028134 120.565005) + (xy 213.0504 120.453066) (xy 213.0504 120.338934) (xy 213.047175 120.322719) (xy 213.507294 119.8626) (xy 216.073045 119.8626) + (xy 216.0905 119.864319) (xy 216.107955 119.8626) (xy 216.107963 119.8626) (xy 216.16021 119.857454) (xy 216.22724 119.837121) + (xy 216.289016 119.804101) (xy 216.343163 119.759663) (xy 216.354299 119.746094) (xy 219.158794 116.9416) (xy 223.629545 116.9416) + (xy 223.647 116.943319) (xy 223.664455 116.9416) (xy 223.664463 116.9416) (xy 223.71671 116.936454) (xy 223.78374 116.916121) + (xy 223.845516 116.883101) (xy 223.899663 116.838663) (xy 223.910799 116.825094) (xy 224.335719 116.400175) (xy 224.351934 116.4034) + (xy 224.466066 116.4034) (xy 224.578005 116.381134) (xy 224.683449 116.337457) (xy 224.778346 116.274049) (xy 224.859049 116.193346) + (xy 224.922457 116.098449) (xy 224.966134 115.993005) (xy 224.9884 115.881066) (xy 224.9884 115.766934) (xy 224.966134 115.654995) + (xy 224.922457 115.549551) (xy 224.859049 115.454654) (xy 224.778346 115.373951) (xy 224.683449 115.310543) (xy 224.578005 115.266866) + (xy 224.466066 115.2446) (xy 224.351934 115.2446) (xy 224.239995 115.266866) (xy 224.134551 115.310543) (xy 224.039654 115.373951) + (xy 223.958951 115.454654) (xy 223.895543 115.549551) (xy 223.851866 115.654995) (xy 223.8296 115.766934) (xy 223.8296 115.881066) + (xy 223.832825 115.897281) (xy 223.499707 116.2304) (xy 219.028955 116.2304) (xy 219.0115 116.228681) (xy 218.994045 116.2304) + (xy 218.994037 116.2304) (xy 218.94179 116.235546) (xy 218.87476 116.255879) (xy 218.812984 116.288899) (xy 218.758837 116.333337) + (xy 218.747706 116.3469) (xy 215.943207 119.1514) (xy 213.377455 119.1514) (xy 213.36 119.149681) (xy 213.342544 119.1514) + (xy 213.342537 119.1514) (xy 213.296566 119.155928) (xy 213.290289 119.156546) (xy 213.269956 119.162714) (xy 213.22326 119.176879) + (xy 213.161484 119.209899) (xy 213.107337 119.254337) (xy 213.096206 119.2679) (xy 212.544281 119.819825) (xy 212.528066 119.8166) + (xy 212.413934 119.8166) (xy 212.301995 119.838866) (xy 212.196551 119.882543) (xy 212.101654 119.945951) (xy 212.020951 120.026654) + (xy 211.957543 120.121551) (xy 211.913866 120.226995) (xy 211.8916 120.338934) (xy 211.392828 120.338934) (xy 210.4136 119.359707) + (xy 210.4136 117.408085) (xy 210.5216 117.408085) (xy 210.5216 117.541915) (xy 210.547709 117.673174) (xy 210.598924 117.796816) + (xy 210.673276 117.908092) (xy 210.767908 118.002724) (xy 210.879184 118.077076) (xy 211.002826 118.128291) (xy 211.134085 118.1544) + (xy 211.267915 118.1544) (xy 211.363435 118.1354) (xy 213.365623 118.1354) (xy 213.407803 118.214314) (xy 213.475364 118.296636) + (xy 213.557686 118.364197) (xy 213.651607 118.414398) (xy 213.753517 118.445313) (xy 213.8595 118.455751) (xy 214.3845 118.455751) + (xy 214.490483 118.445313) (xy 214.592393 118.414398) (xy 214.686314 118.364197) (xy 214.768636 118.296636) (xy 214.836197 118.214314) + (xy 214.85721 118.175) (xy 215.016248 118.175) (xy 215.021643 118.229772) (xy 215.037619 118.282439) (xy 215.063563 118.330977) + (xy 215.098478 118.373522) (xy 215.141023 118.408437) (xy 215.189561 118.434381) (xy 215.242228 118.450357) (xy 215.297 118.455752) + (xy 215.67835 118.4544) (xy 215.7482 118.38455) (xy 215.7482 117.5488) (xy 215.8958 117.5488) (xy 215.8958 118.38455) + (xy 215.96565 118.4544) (xy 216.347 118.455752) (xy 216.401772 118.450357) (xy 216.454439 118.434381) (xy 216.502977 118.408437) + (xy 216.545522 118.373522) (xy 216.580437 118.330977) (xy 216.606381 118.282439) (xy 216.622357 118.229772) (xy 216.627752 118.175) + (xy 216.6264 117.61865) (xy 216.55655 117.5488) (xy 215.8958 117.5488) (xy 215.7482 117.5488) (xy 215.08745 117.5488) + (xy 215.0176 117.61865) (xy 215.016248 118.175) (xy 214.85721 118.175) (xy 214.886398 118.120393) (xy 214.917313 118.018483) + (xy 214.927751 117.9125) (xy 214.927751 117.0375) (xy 214.917313 116.931517) (xy 214.886398 116.829607) (xy 214.857211 116.775) + (xy 215.016248 116.775) (xy 215.0176 117.33135) (xy 215.08745 117.4012) (xy 215.7482 117.4012) (xy 215.7482 116.56545) + (xy 215.8958 116.56545) (xy 215.8958 117.4012) (xy 216.55655 117.4012) (xy 216.6264 117.33135) (xy 216.627752 116.775) + (xy 216.622357 116.720228) (xy 216.606381 116.667561) (xy 216.580437 116.619023) (xy 216.545522 116.576478) (xy 216.502977 116.541563) + (xy 216.454439 116.515619) (xy 216.401772 116.499643) (xy 216.347 116.494248) (xy 215.96565 116.4956) (xy 215.8958 116.56545) + (xy 215.7482 116.56545) (xy 215.67835 116.4956) (xy 215.297 116.494248) (xy 215.242228 116.499643) (xy 215.189561 116.515619) + (xy 215.141023 116.541563) (xy 215.098478 116.576478) (xy 215.063563 116.619023) (xy 215.037619 116.667561) (xy 215.021643 116.720228) + (xy 215.016248 116.775) (xy 214.857211 116.775) (xy 214.836197 116.735686) (xy 214.768636 116.653364) (xy 214.686314 116.585803) + (xy 214.6384 116.560193) (xy 214.6384 115.515751) (xy 215.955 115.515751) (xy 216.039035 115.507474) (xy 216.119841 115.482962) + (xy 216.194312 115.443156) (xy 216.259587 115.389587) (xy 216.313156 115.324312) (xy 216.352962 115.249841) (xy 216.357463 115.235) + (xy 219.224248 115.235) (xy 219.229643 115.289772) (xy 219.245619 115.342439) (xy 219.271563 115.390977) (xy 219.306478 115.433522) + (xy 219.349023 115.468437) (xy 219.397561 115.494381) (xy 219.450228 115.510357) (xy 219.505 115.515752) (xy 221.36135 115.5144) + (xy 221.4312 115.44455) (xy 221.4312 115.0088) (xy 221.5788 115.0088) (xy 221.5788 115.44455) (xy 221.64865 115.5144) + (xy 223.505 115.515752) (xy 223.559772 115.510357) (xy 223.612439 115.494381) (xy 223.660977 115.468437) (xy 223.703522 115.433522) + (xy 223.738437 115.390977) (xy 223.764381 115.342439) (xy 223.780357 115.289772) (xy 223.785752 115.235) (xy 223.7844 115.07865) + (xy 223.71455 115.0088) (xy 221.5788 115.0088) (xy 221.4312 115.0088) (xy 219.29545 115.0088) (xy 219.2256 115.07865) + (xy 219.224248 115.235) (xy 216.357463 115.235) (xy 216.377474 115.169035) (xy 216.385751 115.085) (xy 216.385751 114.785) + (xy 216.377474 114.700965) (xy 216.352962 114.620159) (xy 216.313156 114.545688) (xy 216.259587 114.480413) (xy 216.194312 114.426844) + (xy 216.119841 114.387038) (xy 216.039035 114.362526) (xy 215.955 114.354249) (xy 212.255 114.354249) (xy 212.170965 114.362526) + (xy 212.090159 114.387038) (xy 212.015688 114.426844) (xy 211.950413 114.480413) (xy 211.896844 114.545688) (xy 211.857038 114.620159) + (xy 211.832526 114.700965) (xy 211.824249 114.785) (xy 211.824249 115.085) (xy 211.832526 115.169035) (xy 211.857038 115.249841) + (xy 211.896844 115.324312) (xy 211.950413 115.389587) (xy 212.015688 115.443156) (xy 212.090159 115.482962) (xy 212.170965 115.507474) + (xy 212.255 115.515751) (xy 213.5716 115.515751) (xy 213.571601 116.578366) (xy 213.557686 116.585803) (xy 213.475364 116.653364) + (xy 213.407803 116.735686) (xy 213.365623 116.8146) (xy 211.363435 116.8146) (xy 211.267915 116.7956) (xy 211.134085 116.7956) + (xy 211.002826 116.821709) (xy 210.879184 116.872924) (xy 210.767908 116.947276) (xy 210.673276 117.041908) (xy 210.598924 117.153184) + (xy 210.547709 117.276826) (xy 210.5216 117.408085) (xy 210.4136 117.408085) (xy 210.4136 114.701293) (xy 211.094294 114.0206) + (xy 211.878824 114.0206) (xy 211.896844 114.054312) (xy 211.950413 114.119587) (xy 212.015688 114.173156) (xy 212.090159 114.212962) + (xy 212.170965 114.237474) (xy 212.255 114.245751) (xy 215.955 114.245751) (xy 216.039035 114.237474) (xy 216.119841 114.212962) + (xy 216.194312 114.173156) (xy 216.259587 114.119587) (xy 216.313156 114.054312) (xy 216.331176 114.0206) (xy 217.022707 114.0206) + (xy 217.541204 114.539098) (xy 217.552337 114.552663) (xy 217.5659 114.563794) (xy 217.565901 114.563795) (xy 217.591186 114.584546) + (xy 217.606484 114.597101) (xy 217.66826 114.630121) (xy 217.73529 114.650454) (xy 217.787537 114.6556) (xy 217.787545 114.6556) + (xy 217.805 114.657319) (xy 217.822455 114.6556) (xy 219.224426 114.6556) (xy 219.2256 114.79135) (xy 219.29545 114.8612) + (xy 221.4312 114.8612) (xy 221.4312 114.8412) (xy 221.5788 114.8412) (xy 221.5788 114.8612) (xy 223.71455 114.8612) + (xy 223.7844 114.79135) (xy 223.785574 114.6556) (xy 224.415575 114.6556) (xy 229.6414 119.881427) (xy 229.641401 122.410535) + (xy 229.639681 122.428) (xy 229.646546 122.49771) (xy 229.66688 122.564741) (xy 229.68339 122.595628) (xy 229.6999 122.626516) + (xy 229.744338 122.680663) (xy 229.757901 122.691794) (xy 230.495204 123.429098) (xy 230.506337 123.442663) (xy 230.560484 123.487101) + (xy 230.62226 123.520121) (xy 230.68929 123.540454) (xy 230.741537 123.5456) (xy 230.741545 123.5456) (xy 230.759 123.547319) + (xy 230.776455 123.5456) (xy 232.223824 123.5456) (xy 232.241844 123.579312) (xy 232.295413 123.644587) (xy 232.360688 123.698156) + (xy 232.435159 123.737962) (xy 232.515965 123.762474) (xy 232.6 123.770751) (xy 234 123.770751) (xy 234.084035 123.762474) + (xy 234.164841 123.737962) (xy 234.239312 123.698156) (xy 234.304587 123.644587) (xy 234.358156 123.579312) (xy 234.397962 123.504841) + (xy 234.422474 123.424035) (xy 234.430751 123.34) (xy 234.430751 123.04) (xy 234.422474 122.955965) (xy 234.397962 122.875159) + (xy 234.358156 122.800688) (xy 234.304587 122.735413) (xy 234.239312 122.681844) (xy 234.164841 122.642038) (xy 234.084035 122.617526) + (xy 234 122.609249) (xy 232.6 122.609249) (xy 232.515965 122.617526) (xy 232.435159 122.642038) (xy 232.360688 122.681844) + (xy 232.295413 122.735413) (xy 232.241844 122.800688) (xy 232.223824 122.8344) (xy 230.906294 122.8344) (xy 230.3526 122.280707) + (xy 230.3526 121.508493) (xy 231.003206 122.1591) (xy 231.014337 122.172663) (xy 231.068484 122.217101) (xy 231.13026 122.250121) + (xy 231.176956 122.264286) (xy 231.197289 122.270454) (xy 231.203566 122.271072) (xy 231.249537 122.2756) (xy 231.249544 122.2756) + (xy 231.267 122.277319) (xy 231.284455 122.2756) (xy 232.223824 122.2756) (xy 232.241844 122.309312) (xy 232.295413 122.374587) + (xy 232.360688 122.428156) (xy 232.435159 122.467962) (xy 232.515965 122.492474) (xy 232.6 122.500751) (xy 234 122.500751) + (xy 234.084035 122.492474) (xy 234.164841 122.467962) (xy 234.239312 122.428156) (xy 234.304587 122.374587) (xy 234.358156 122.309312) + (xy 234.397962 122.234841) (xy 234.422474 122.154035) (xy 234.430751 122.07) (xy 234.430751 121.77) (xy 234.422474 121.685965) + (xy 234.397962 121.605159) (xy 234.358156 121.530688) (xy 234.304587 121.465413) (xy 234.239312 121.411844) (xy 234.164841 121.372038) + (xy 234.084035 121.347526) (xy 234 121.339249) (xy 232.6 121.339249) (xy 232.515965 121.347526) (xy 232.435159 121.372038) + (xy 232.360688 121.411844) (xy 232.295413 121.465413) (xy 232.241844 121.530688) (xy 232.223824 121.5644) (xy 231.414294 121.5644) + (xy 230.7336 120.883707) (xy 230.7336 120.111495) (xy 231.51121 120.889105) (xy 231.522337 120.902663) (xy 231.535895 120.91379) + (xy 231.5359 120.913795) (xy 231.570078 120.941844) (xy 231.576484 120.947101) (xy 231.63826 120.980121) (xy 231.70529 121.000454) + (xy 231.757537 121.0056) (xy 231.757546 121.0056) (xy 231.774999 121.007319) (xy 231.792452 121.0056) (xy 232.223824 121.0056) + (xy 232.241844 121.039312) (xy 232.295413 121.104587) (xy 232.360688 121.158156) (xy 232.435159 121.197962) (xy 232.515965 121.222474) + (xy 232.6 121.230751) (xy 234 121.230751) (xy 234.084035 121.222474) (xy 234.164841 121.197962) (xy 234.239312 121.158156) + (xy 234.304587 121.104587) (xy 234.358156 121.039312) (xy 234.397962 120.964841) (xy 234.422474 120.884035) (xy 234.430751 120.8) + (xy 234.430751 120.5) (xy 234.422474 120.415965) (xy 234.397962 120.335159) (xy 234.358156 120.260688) (xy 234.304587 120.195413) + (xy 234.239312 120.141844) (xy 234.164841 120.102038) (xy 234.084035 120.077526) (xy 234 120.069249) (xy 232.6 120.069249) + (xy 232.515965 120.077526) (xy 232.435159 120.102038) (xy 232.360688 120.141844) (xy 232.295413 120.195413) (xy 232.241844 120.260688) + (xy 232.223824 120.2944) (xy 231.922295 120.2944) (xy 231.363495 119.7356) (xy 232.223824 119.7356) (xy 232.241844 119.769312) + (xy 232.295413 119.834587) (xy 232.360688 119.888156) (xy 232.435159 119.927962) (xy 232.515965 119.952474) (xy 232.6 119.960751) + (xy 234 119.960751) (xy 234.084035 119.952474) (xy 234.164841 119.927962) (xy 234.239312 119.888156) (xy 234.304587 119.834587) + (xy 234.358156 119.769312) (xy 234.397962 119.694841) (xy 234.422474 119.614035) (xy 234.430751 119.53) (xy 234.430751 119.23) + (xy 260.869249 119.23) (xy 260.869249 119.53) (xy 260.877526 119.614035) (xy 260.902038 119.694841) (xy 260.941844 119.769312) + (xy 260.995413 119.834587) (xy 261.060688 119.888156) (xy 261.135159 119.927962) (xy 261.215965 119.952474) (xy 261.3 119.960751) + (xy 262.7 119.960751) (xy 262.784035 119.952474) (xy 262.864841 119.927962) (xy 262.939312 119.888156) (xy 262.96144 119.869996) + (xy 262.996551 119.893457) (xy 263.101995 119.937134) (xy 263.213934 119.9594) (xy 263.328066 119.9594) (xy 263.440005 119.937134) + (xy 263.545449 119.893457) (xy 263.640346 119.830049) (xy 263.721049 119.749346) (xy 263.784457 119.654449) (xy 263.828134 119.549005) + (xy 263.842854 119.475) (xy 266.989248 119.475) (xy 266.994643 119.529772) (xy 267.010619 119.582439) (xy 267.036563 119.630977) + (xy 267.071478 119.673522) (xy 267.114023 119.708437) (xy 267.162561 119.734381) (xy 267.215228 119.750357) (xy 267.27 119.755752) + (xy 267.82635 119.7544) (xy 267.8962 119.68455) (xy 267.8962 119.0238) (xy 268.0438 119.0238) (xy 268.0438 119.68455) + (xy 268.11365 119.7544) (xy 268.67 119.755752) (xy 268.724772 119.750357) (xy 268.777439 119.734381) (xy 268.825977 119.708437) + (xy 268.868522 119.673522) (xy 268.903437 119.630977) (xy 268.929381 119.582439) (xy 268.945357 119.529772) (xy 268.950752 119.475) + (xy 268.9494 119.09365) (xy 268.87955 119.0238) (xy 268.0438 119.0238) (xy 267.8962 119.0238) (xy 267.06045 119.0238) + (xy 266.9906 119.09365) (xy 266.989248 119.475) (xy 263.842854 119.475) (xy 263.8504 119.437066) (xy 263.8504 119.322934) + (xy 263.828134 119.210995) (xy 263.784457 119.105551) (xy 263.721049 119.010654) (xy 263.640346 118.929951) (xy 263.545449 118.866543) + (xy 263.440005 118.822866) (xy 263.328066 118.8006) (xy 263.213934 118.8006) (xy 263.101995 118.822866) (xy 262.996551 118.866543) + (xy 262.96144 118.890004) (xy 262.939312 118.871844) (xy 262.864841 118.832038) (xy 262.784035 118.807526) (xy 262.7 118.799249) + (xy 261.3 118.799249) (xy 261.215965 118.807526) (xy 261.135159 118.832038) (xy 261.060688 118.871844) (xy 260.995413 118.925413) + (xy 260.941844 118.990688) (xy 260.902038 119.065159) (xy 260.877526 119.145965) (xy 260.869249 119.23) (xy 234.430751 119.23) + (xy 234.422474 119.145965) (xy 234.397962 119.065159) (xy 234.358156 118.990688) (xy 234.304587 118.925413) (xy 234.239312 118.871844) + (xy 234.164841 118.832038) (xy 234.084035 118.807526) (xy 234 118.799249) (xy 232.6 118.799249) (xy 232.515965 118.807526) + (xy 232.435159 118.832038) (xy 232.360688 118.871844) (xy 232.295413 118.925413) (xy 232.241844 118.990688) (xy 232.223824 119.0244) + (xy 231.08703 119.0244) (xy 230.52823 118.4656) (xy 232.223824 118.4656) (xy 232.241844 118.499312) (xy 232.295413 118.564587) + (xy 232.360688 118.618156) (xy 232.435159 118.657962) (xy 232.515965 118.682474) (xy 232.6 118.690751) (xy 234 118.690751) + (xy 234.084035 118.682474) (xy 234.164841 118.657962) (xy 234.239312 118.618156) (xy 234.304587 118.564587) (xy 234.358156 118.499312) + (xy 234.397962 118.424841) (xy 234.422474 118.344035) (xy 234.430751 118.26) (xy 234.430751 117.96) (xy 234.422474 117.875965) + (xy 234.397962 117.795159) (xy 234.358156 117.720688) (xy 234.304587 117.655413) (xy 234.239312 117.601844) (xy 234.164841 117.562038) + (xy 234.084035 117.537526) (xy 234 117.529249) (xy 232.6 117.529249) (xy 232.515965 117.537526) (xy 232.435159 117.562038) + (xy 232.360688 117.601844) (xy 232.295413 117.655413) (xy 232.241844 117.720688) (xy 232.223824 117.7544) (xy 230.248097 117.7544) + (xy 229.633697 117.14) (xy 232.169248 117.14) (xy 232.174643 117.194772) (xy 232.190619 117.247439) (xy 232.216563 117.295977) + (xy 232.251478 117.338522) (xy 232.294023 117.373437) (xy 232.342561 117.399381) (xy 232.395228 117.415357) (xy 232.45 117.420752) + (xy 233.15635 117.4194) (xy 233.2262 117.34955) (xy 233.2262 116.9138) (xy 233.3738 116.9138) (xy 233.3738 117.34955) + (xy 233.44365 117.4194) (xy 234.15 117.420752) (xy 234.178609 117.417934) (xy 235.1326 117.417934) (xy 235.1326 117.532066) + (xy 235.154866 117.644005) (xy 235.198543 117.749449) (xy 235.261951 117.844346) (xy 235.342654 117.925049) (xy 235.356113 117.934042) + (xy 235.3564 117.936955) (xy 235.3564 117.936963) (xy 235.357998 117.953181) (xy 235.361546 117.98921) (xy 235.38188 118.056241) + (xy 235.393017 118.077076) (xy 235.4149 118.118016) (xy 235.459338 118.172163) (xy 235.472901 118.183294) (xy 236.27371 118.984105) + (xy 236.284837 118.997663) (xy 236.298395 119.00879) (xy 236.2984 119.008795) (xy 236.323686 119.029546) (xy 236.338984 119.042101) + (xy 236.40076 119.075121) (xy 236.46779 119.095454) (xy 236.520037 119.1006) (xy 236.520046 119.1006) (xy 236.537499 119.102319) + (xy 236.554952 119.1006) (xy 238.996545 119.1006) (xy 239.014 119.102319) (xy 239.031455 119.1006) (xy 239.031463 119.1006) + (xy 239.08371 119.095454) (xy 239.15074 119.075121) (xy 239.212516 119.042101) (xy 239.266663 118.997663) (xy 239.277799 118.984094) + (xy 240.301893 117.96) (xy 260.869249 117.96) (xy 260.869249 118.26) (xy 260.877526 118.344035) (xy 260.902038 118.424841) + (xy 260.941844 118.499312) (xy 260.995413 118.564587) (xy 261.060688 118.618156) (xy 261.135159 118.657962) (xy 261.215965 118.682474) + (xy 261.3 118.690751) (xy 262.7 118.690751) (xy 262.784035 118.682474) (xy 262.864841 118.657962) (xy 262.939312 118.618156) + (xy 262.96144 118.599996) (xy 262.996551 118.623457) (xy 263.101995 118.667134) (xy 263.213934 118.6894) (xy 263.328066 118.6894) + (xy 263.440005 118.667134) (xy 263.545449 118.623457) (xy 263.640346 118.560049) (xy 263.721049 118.479346) (xy 263.757361 118.425) + (xy 266.989248 118.425) (xy 266.9906 118.80635) (xy 267.06045 118.8762) (xy 267.8962 118.8762) (xy 267.8962 118.21545) + (xy 268.0438 118.21545) (xy 268.0438 118.8762) (xy 268.87955 118.8762) (xy 268.9494 118.80635) (xy 268.950752 118.425) + (xy 268.945357 118.370228) (xy 268.929381 118.317561) (xy 268.903437 118.269023) (xy 268.868522 118.226478) (xy 268.825977 118.191563) + (xy 268.777439 118.165619) (xy 268.724772 118.149643) (xy 268.67 118.144248) (xy 268.11365 118.1456) (xy 268.0438 118.21545) + (xy 267.8962 118.21545) (xy 267.82635 118.1456) (xy 267.27 118.144248) (xy 267.215228 118.149643) (xy 267.162561 118.165619) + (xy 267.114023 118.191563) (xy 267.071478 118.226478) (xy 267.036563 118.269023) (xy 267.010619 118.317561) (xy 266.994643 118.370228) + (xy 266.989248 118.425) (xy 263.757361 118.425) (xy 263.784457 118.384449) (xy 263.828134 118.279005) (xy 263.8504 118.167066) + (xy 263.8504 118.052934) (xy 263.828134 117.940995) (xy 263.784457 117.835551) (xy 263.721049 117.740654) (xy 263.640346 117.659951) + (xy 263.545449 117.596543) (xy 263.440005 117.552866) (xy 263.328066 117.5306) (xy 263.213934 117.5306) (xy 263.101995 117.552866) + (xy 262.996551 117.596543) (xy 262.96144 117.620004) (xy 262.939312 117.601844) (xy 262.864841 117.562038) (xy 262.784035 117.537526) + (xy 262.7 117.529249) (xy 261.3 117.529249) (xy 261.215965 117.537526) (xy 261.135159 117.562038) (xy 261.060688 117.601844) + (xy 260.995413 117.655413) (xy 260.941844 117.720688) (xy 260.902038 117.795159) (xy 260.877526 117.875965) (xy 260.869249 117.96) + (xy 240.301893 117.96) (xy 241.571893 116.69) (xy 260.869249 116.69) (xy 260.869249 116.99) (xy 260.877526 117.074035) + (xy 260.902038 117.154841) (xy 260.941844 117.229312) (xy 260.995413 117.294587) (xy 261.060688 117.348156) (xy 261.135159 117.387962) + (xy 261.215965 117.412474) (xy 261.3 117.420751) (xy 262.7 117.420751) (xy 262.784035 117.412474) (xy 262.864841 117.387962) + (xy 262.939312 117.348156) (xy 262.96144 117.329996) (xy 262.996551 117.353457) (xy 263.101995 117.397134) (xy 263.213934 117.4194) + (xy 263.328066 117.4194) (xy 263.440005 117.397134) (xy 263.545449 117.353457) (xy 263.640346 117.290049) (xy 263.721049 117.209346) + (xy 263.784457 117.114449) (xy 263.828134 117.009005) (xy 263.8504 116.897066) (xy 263.8504 116.782934) (xy 263.828134 116.670995) + (xy 263.784457 116.565551) (xy 263.721049 116.470654) (xy 263.640346 116.389951) (xy 263.545449 116.326543) (xy 263.440005 116.282866) + (xy 263.328066 116.2606) (xy 263.213934 116.2606) (xy 263.101995 116.282866) (xy 262.996551 116.326543) (xy 262.96144 116.350004) + (xy 262.939312 116.331844) (xy 262.864841 116.292038) (xy 262.784035 116.267526) (xy 262.7 116.259249) (xy 261.3 116.259249) + (xy 261.215965 116.267526) (xy 261.135159 116.292038) (xy 261.060688 116.331844) (xy 260.995413 116.385413) (xy 260.941844 116.450688) + (xy 260.902038 116.525159) (xy 260.877526 116.605965) (xy 260.869249 116.69) (xy 241.571893 116.69) (xy 242.391893 115.87) + (xy 260.869248 115.87) (xy 260.874643 115.924772) (xy 260.890619 115.977439) (xy 260.916563 116.025977) (xy 260.951478 116.068522) + (xy 260.994023 116.103437) (xy 261.042561 116.129381) (xy 261.095228 116.145357) (xy 261.15 116.150752) (xy 261.85635 116.1494) + (xy 261.9262 116.07955) (xy 261.9262 115.6438) (xy 262.0738 115.6438) (xy 262.0738 116.07955) (xy 262.14365 116.1494) + (xy 262.85 116.150752) (xy 262.904772 116.145357) (xy 262.957439 116.129381) (xy 263.005977 116.103437) (xy 263.048522 116.068522) + (xy 263.083437 116.025977) (xy 263.109381 115.977439) (xy 263.125357 115.924772) (xy 263.130752 115.87) (xy 263.1294 115.71365) + (xy 263.05955 115.6438) (xy 262.0738 115.6438) (xy 261.9262 115.6438) (xy 260.94045 115.6438) (xy 260.8706 115.71365) + (xy 260.869248 115.87) (xy 242.391893 115.87) (xy 242.991893 115.27) (xy 260.869248 115.27) (xy 260.8706 115.42635) + (xy 260.94045 115.4962) (xy 261.9262 115.4962) (xy 261.9262 115.06045) (xy 262.0738 115.06045) (xy 262.0738 115.4962) + (xy 263.05955 115.4962) (xy 263.1294 115.42635) (xy 263.130752 115.27) (xy 263.125357 115.215228) (xy 263.109381 115.162561) + (xy 263.083437 115.114023) (xy 263.048522 115.071478) (xy 263.005977 115.036563) (xy 262.957439 115.010619) (xy 262.904772 114.994643) + (xy 262.85 114.989248) (xy 262.14365 114.9906) (xy 262.0738 115.06045) (xy 261.9262 115.06045) (xy 261.85635 114.9906) + (xy 261.15 114.989248) (xy 261.095228 114.994643) (xy 261.042561 115.010619) (xy 260.994023 115.036563) (xy 260.951478 115.071478) + (xy 260.916563 115.114023) (xy 260.890619 115.162561) (xy 260.874643 115.215228) (xy 260.869248 115.27) (xy 242.991893 115.27) + (xy 245.381893 112.88) (xy 260.869249 112.88) (xy 260.869249 113.18) (xy 260.877526 113.264035) (xy 260.902038 113.344841) + (xy 260.941844 113.419312) (xy 260.995413 113.484587) (xy 261.060688 113.538156) (xy 261.135159 113.577962) (xy 261.215965 113.602474) + (xy 261.3 113.610751) (xy 261.6444 113.610751) (xy 261.644401 113.719249) (xy 261.3 113.719249) (xy 261.215965 113.727526) + (xy 261.135159 113.752038) (xy 261.060688 113.791844) (xy 260.995413 113.845413) (xy 260.941844 113.910688) (xy 260.902038 113.985159) + (xy 260.877526 114.065965) (xy 260.869249 114.15) (xy 260.869249 114.45) (xy 260.877526 114.534035) (xy 260.902038 114.614841) + (xy 260.941844 114.689312) (xy 260.995413 114.754587) (xy 261.060688 114.808156) (xy 261.135159 114.847962) (xy 261.215965 114.872474) + (xy 261.3 114.880751) (xy 262.7 114.880751) (xy 262.784035 114.872474) (xy 262.864841 114.847962) (xy 262.939312 114.808156) + (xy 262.96144 114.789996) (xy 262.996551 114.813457) (xy 263.101995 114.857134) (xy 263.213934 114.8794) (xy 263.328066 114.8794) + (xy 263.440005 114.857134) (xy 263.545449 114.813457) (xy 263.640346 114.750049) (xy 263.721049 114.669346) (xy 263.784457 114.574449) + (xy 263.828134 114.469005) (xy 263.8504 114.357066) (xy 263.8504 114.242934) (xy 263.828134 114.130995) (xy 263.784457 114.025551) + (xy 263.721049 113.930654) (xy 263.640346 113.849951) (xy 263.545449 113.786543) (xy 263.440005 113.742866) (xy 263.328066 113.7206) + (xy 263.213934 113.7206) (xy 263.101995 113.742866) (xy 262.996551 113.786543) (xy 262.96144 113.810004) (xy 262.939312 113.791844) + (xy 262.864841 113.752038) (xy 262.784035 113.727526) (xy 262.7 113.719249) (xy 262.3556 113.719249) (xy 262.3556 113.610751) + (xy 262.7 113.610751) (xy 262.784035 113.602474) (xy 262.864841 113.577962) (xy 262.939312 113.538156) (xy 263.004587 113.484587) + (xy 263.058156 113.419312) (xy 263.097962 113.344841) (xy 263.122474 113.264035) (xy 263.130751 113.18) (xy 263.130751 112.88) + (xy 263.122474 112.795965) (xy 263.097962 112.715159) (xy 263.058156 112.640688) (xy 263.004587 112.575413) (xy 262.939312 112.521844) + (xy 262.864841 112.482038) (xy 262.784035 112.457526) (xy 262.7 112.449249) (xy 261.3 112.449249) (xy 261.215965 112.457526) + (xy 261.135159 112.482038) (xy 261.060688 112.521844) (xy 260.995413 112.575413) (xy 260.941844 112.640688) (xy 260.902038 112.715159) + (xy 260.877526 112.795965) (xy 260.869249 112.88) (xy 245.381893 112.88) (xy 246.146294 112.1156) (xy 260.923824 112.1156) + (xy 260.941844 112.149312) (xy 260.995413 112.214587) (xy 261.060688 112.268156) (xy 261.135159 112.307962) (xy 261.215965 112.332474) + (xy 261.3 112.340751) (xy 262.7 112.340751) (xy 262.784035 112.332474) (xy 262.864841 112.307962) (xy 262.939312 112.268156) + (xy 263.004587 112.214587) (xy 263.058156 112.149312) (xy 263.097962 112.074841) (xy 263.122474 111.994035) (xy 263.130751 111.91) + (xy 263.130751 111.61) (xy 263.122474 111.525965) (xy 263.097962 111.445159) (xy 263.058156 111.370688) (xy 263.004587 111.305413) + (xy 262.939312 111.251844) (xy 262.864841 111.212038) (xy 262.784035 111.187526) (xy 262.7 111.179249) (xy 262.3556 111.179249) + (xy 262.3556 111.070751) (xy 262.7 111.070751) (xy 262.784035 111.062474) (xy 262.864841 111.037962) (xy 262.939312 110.998156) + (xy 263.004587 110.944587) (xy 263.058156 110.879312) (xy 263.097962 110.804841) (xy 263.122474 110.724035) (xy 263.130751 110.64) + (xy 263.130751 110.34) (xy 263.122474 110.255965) (xy 263.097962 110.175159) (xy 263.058156 110.100688) (xy 263.004587 110.035413) + (xy 262.939312 109.981844) (xy 262.864841 109.942038) (xy 262.784035 109.917526) (xy 262.7 109.909249) (xy 261.3 109.909249) + (xy 261.215965 109.917526) (xy 261.135159 109.942038) (xy 261.060688 109.981844) (xy 260.995413 110.035413) (xy 260.941844 110.100688) + (xy 260.902038 110.175159) (xy 260.877526 110.255965) (xy 260.869249 110.34) (xy 260.869249 110.64) (xy 260.877526 110.724035) + (xy 260.902038 110.804841) (xy 260.941844 110.879312) (xy 260.995413 110.944587) (xy 261.060688 110.998156) (xy 261.135159 111.037962) + (xy 261.215965 111.062474) (xy 261.3 111.070751) (xy 261.644401 111.070751) (xy 261.6444 111.179249) (xy 261.3 111.179249) + (xy 261.215965 111.187526) (xy 261.135159 111.212038) (xy 261.060688 111.251844) (xy 260.995413 111.305413) (xy 260.941844 111.370688) + (xy 260.923824 111.4044) (xy 246.016455 111.4044) (xy 245.999 111.402681) (xy 245.981545 111.4044) (xy 245.981537 111.4044) + (xy 245.92929 111.409546) (xy 245.86226 111.429879) (xy 245.801745 111.462225) (xy 245.800484 111.462899) (xy 245.769245 111.488537) + (xy 245.746337 111.507337) (xy 245.735206 111.5209) (xy 238.866707 118.3894) (xy 236.684795 118.3894) (xy 236.150894 117.855501) + (xy 236.162049 117.844346) (xy 236.225457 117.749449) (xy 236.269134 117.644005) (xy 236.2914 117.532066) (xy 236.2914 117.417934) + (xy 236.4026 117.417934) (xy 236.4026 117.532066) (xy 236.424866 117.644005) (xy 236.468543 117.749449) (xy 236.531951 117.844346) + (xy 236.612654 117.925049) (xy 236.707551 117.988457) (xy 236.812995 118.032134) (xy 236.924934 118.0544) (xy 237.039066 118.0544) + (xy 237.151005 118.032134) (xy 237.256449 117.988457) (xy 237.351346 117.925049) (xy 237.432049 117.844346) (xy 237.441234 117.8306) + (xy 238.488545 117.8306) (xy 238.506 117.832319) (xy 238.523455 117.8306) (xy 238.523463 117.8306) (xy 238.57571 117.825454) + (xy 238.64274 117.805121) (xy 238.704516 117.772101) (xy 238.758663 117.727663) (xy 238.769799 117.714094) (xy 248.178295 108.3056) + (xy 260.923824 108.3056) (xy 260.941844 108.339312) (xy 260.995413 108.404587) (xy 261.060688 108.458156) (xy 261.135159 108.497962) + (xy 261.215965 108.522474) (xy 261.3 108.530751) (xy 262.7 108.530751) (xy 262.784035 108.522474) (xy 262.864841 108.497962) + (xy 262.939312 108.458156) (xy 263.004587 108.404587) (xy 263.058156 108.339312) (xy 263.097962 108.264841) (xy 263.122474 108.184035) + (xy 263.130751 108.1) (xy 263.130751 108.045) (xy 266.989248 108.045) (xy 266.994643 108.099772) (xy 267.010619 108.152439) + (xy 267.036563 108.200977) (xy 267.071478 108.243522) (xy 267.114023 108.278437) (xy 267.162561 108.304381) (xy 267.215228 108.320357) + (xy 267.27 108.325752) (xy 267.82635 108.3244) (xy 267.8962 108.25455) (xy 267.8962 107.5938) (xy 268.0438 107.5938) + (xy 268.0438 108.25455) (xy 268.11365 108.3244) (xy 268.67 108.325752) (xy 268.724772 108.320357) (xy 268.777439 108.304381) + (xy 268.825977 108.278437) (xy 268.868522 108.243522) (xy 268.903437 108.200977) (xy 268.929381 108.152439) (xy 268.945357 108.099772) + (xy 268.950752 108.045) (xy 268.9494 107.66365) (xy 268.87955 107.5938) (xy 268.0438 107.5938) (xy 267.8962 107.5938) + (xy 267.06045 107.5938) (xy 266.9906 107.66365) (xy 266.989248 108.045) (xy 263.130751 108.045) (xy 263.130751 107.8) + (xy 263.122474 107.715965) (xy 263.097962 107.635159) (xy 263.058156 107.560688) (xy 263.004587 107.495413) (xy 262.939312 107.441844) + (xy 262.864841 107.402038) (xy 262.784035 107.377526) (xy 262.7 107.369249) (xy 261.3 107.369249) (xy 261.215965 107.377526) + (xy 261.135159 107.402038) (xy 261.060688 107.441844) (xy 260.995413 107.495413) (xy 260.941844 107.560688) (xy 260.923824 107.5944) + (xy 248.048454 107.5944) (xy 248.030999 107.592681) (xy 248.013544 107.5944) (xy 248.013537 107.5944) (xy 247.968187 107.598867) + (xy 247.961289 107.599546) (xy 247.932102 107.6084) (xy 247.89426 107.619879) (xy 247.832484 107.652899) (xy 247.832482 107.6529) + (xy 247.832483 107.6529) (xy 247.7919 107.686205) (xy 247.791895 107.68621) (xy 247.778337 107.697337) (xy 247.76721 107.710895) + (xy 238.358707 117.1194) (xy 237.441234 117.1194) (xy 237.432049 117.105654) (xy 237.351346 117.024951) (xy 237.256449 116.961543) + (xy 237.151005 116.917866) (xy 237.039066 116.8956) (xy 236.924934 116.8956) (xy 236.812995 116.917866) (xy 236.707551 116.961543) + (xy 236.612654 117.024951) (xy 236.531951 117.105654) (xy 236.468543 117.200551) (xy 236.424866 117.305995) (xy 236.4026 117.417934) + (xy 236.2914 117.417934) (xy 236.269134 117.305995) (xy 236.225457 117.200551) (xy 236.162049 117.105654) (xy 236.081346 117.024951) + (xy 235.986449 116.961543) (xy 235.881005 116.917866) (xy 235.769066 116.8956) (xy 235.654934 116.8956) (xy 235.542995 116.917866) + (xy 235.437551 116.961543) (xy 235.342654 117.024951) (xy 235.261951 117.105654) (xy 235.198543 117.200551) (xy 235.154866 117.305995) + (xy 235.1326 117.417934) (xy 234.178609 117.417934) (xy 234.204772 117.415357) (xy 234.257439 117.399381) (xy 234.305977 117.373437) + (xy 234.348522 117.338522) (xy 234.383437 117.295977) (xy 234.409381 117.247439) (xy 234.425357 117.194772) (xy 234.430752 117.14) + (xy 234.4294 116.98365) (xy 234.35955 116.9138) (xy 233.3738 116.9138) (xy 233.2262 116.9138) (xy 232.24045 116.9138) + (xy 232.1706 116.98365) (xy 232.169248 117.14) (xy 229.633697 117.14) (xy 229.033697 116.54) (xy 232.169248 116.54) + (xy 232.1706 116.69635) (xy 232.24045 116.7662) (xy 233.2262 116.7662) (xy 233.2262 116.33045) (xy 233.3738 116.33045) + (xy 233.3738 116.7662) (xy 234.35955 116.7662) (xy 234.4294 116.69635) (xy 234.430752 116.54) (xy 234.425357 116.485228) + (xy 234.409381 116.432561) (xy 234.383437 116.384023) (xy 234.348522 116.341478) (xy 234.305977 116.306563) (xy 234.257439 116.280619) + (xy 234.204772 116.264643) (xy 234.15 116.259248) (xy 233.44365 116.2606) (xy 233.3738 116.33045) (xy 233.2262 116.33045) + (xy 233.15635 116.2606) (xy 232.45 116.259248) (xy 232.395228 116.264643) (xy 232.342561 116.280619) (xy 232.294023 116.306563) + (xy 232.251478 116.341478) (xy 232.216563 116.384023) (xy 232.190619 116.432561) (xy 232.174643 116.485228) (xy 232.169248 116.54) + (xy 229.033697 116.54) (xy 228.419296 115.9256) (xy 232.223824 115.9256) (xy 232.241844 115.959312) (xy 232.295413 116.024587) + (xy 232.360688 116.078156) (xy 232.435159 116.117962) (xy 232.515965 116.142474) (xy 232.6 116.150751) (xy 234 116.150751) + (xy 234.0286 116.147934) (xy 235.1326 116.147934) (xy 235.1326 116.262066) (xy 235.154866 116.374005) (xy 235.198543 116.479449) + (xy 235.261951 116.574346) (xy 235.342654 116.655049) (xy 235.437551 116.718457) (xy 235.542995 116.762134) (xy 235.654934 116.7844) + (xy 235.769066 116.7844) (xy 235.881005 116.762134) (xy 235.986449 116.718457) (xy 236.081346 116.655049) (xy 236.162049 116.574346) + (xy 236.171234 116.5606) (xy 236.837545 116.5606) (xy 236.855 116.562319) (xy 236.872455 116.5606) (xy 236.872463 116.5606) + (xy 236.92471 116.555454) (xy 236.99174 116.535121) (xy 237.053516 116.502101) (xy 237.107663 116.457663) (xy 237.118799 116.444094) + (xy 237.414959 116.147934) (xy 237.6726 116.147934) (xy 237.6726 116.262066) (xy 237.694866 116.374005) (xy 237.738543 116.479449) + (xy 237.801951 116.574346) (xy 237.882654 116.655049) (xy 237.977551 116.718457) (xy 238.082995 116.762134) (xy 238.194934 116.7844) + (xy 238.309066 116.7844) (xy 238.421005 116.762134) (xy 238.526449 116.718457) (xy 238.621346 116.655049) (xy 238.702049 116.574346) + (xy 238.765457 116.479449) (xy 238.809134 116.374005) (xy 238.8314 116.262066) (xy 238.8314 116.147934) (xy 238.809134 116.035995) + (xy 238.80555 116.027343) (xy 247.797295 107.0356) (xy 260.923824 107.0356) (xy 260.941844 107.069312) (xy 260.995413 107.134587) + (xy 261.060688 107.188156) (xy 261.135159 107.227962) (xy 261.215965 107.252474) (xy 261.3 107.260751) (xy 262.7 107.260751) + (xy 262.784035 107.252474) (xy 262.864841 107.227962) (xy 262.939312 107.188156) (xy 263.004587 107.134587) (xy 263.058156 107.069312) + (xy 263.097877 106.995) (xy 266.989248 106.995) (xy 266.9906 107.37635) (xy 267.06045 107.4462) (xy 267.8962 107.4462) + (xy 267.8962 106.78545) (xy 268.0438 106.78545) (xy 268.0438 107.4462) (xy 268.87955 107.4462) (xy 268.9494 107.37635) + (xy 268.950752 106.995) (xy 268.945357 106.940228) (xy 268.929381 106.887561) (xy 268.903437 106.839023) (xy 268.868522 106.796478) + (xy 268.825977 106.761563) (xy 268.777439 106.735619) (xy 268.724772 106.719643) (xy 268.67 106.714248) (xy 268.11365 106.7156) + (xy 268.0438 106.78545) (xy 267.8962 106.78545) (xy 267.82635 106.7156) (xy 267.27 106.714248) (xy 267.215228 106.719643) + (xy 267.162561 106.735619) (xy 267.114023 106.761563) (xy 267.071478 106.796478) (xy 267.036563 106.839023) (xy 267.010619 106.887561) + (xy 266.994643 106.940228) (xy 266.989248 106.995) (xy 263.097877 106.995) (xy 263.097962 106.994841) (xy 263.122474 106.914035) + (xy 263.130751 106.83) (xy 263.130751 106.53) (xy 263.122474 106.445965) (xy 263.097962 106.365159) (xy 263.058156 106.290688) + (xy 263.004587 106.225413) (xy 262.939312 106.171844) (xy 262.864841 106.132038) (xy 262.784035 106.107526) (xy 262.7 106.099249) + (xy 261.3 106.099249) (xy 261.215965 106.107526) (xy 261.135159 106.132038) (xy 261.060688 106.171844) (xy 260.995413 106.225413) + (xy 260.941844 106.290688) (xy 260.923824 106.3244) (xy 247.667455 106.3244) (xy 247.649999 106.322681) (xy 247.632544 106.3244) + (xy 247.632537 106.3244) (xy 247.587187 106.328867) (xy 247.580289 106.329546) (xy 247.551102 106.3384) (xy 247.51326 106.349879) + (xy 247.451484 106.382899) (xy 247.451482 106.3829) (xy 247.451483 106.3829) (xy 247.4109 106.416205) (xy 247.410895 106.41621) + (xy 247.397337 106.427337) (xy 247.38621 106.440895) (xy 238.201507 115.6256) (xy 238.194934 115.6256) (xy 238.082995 115.647866) + (xy 237.977551 115.691543) (xy 237.882654 115.754951) (xy 237.801951 115.835654) (xy 237.738543 115.930551) (xy 237.694866 116.035995) + (xy 237.6726 116.147934) (xy 237.414959 116.147934) (xy 247.797295 105.7656) (xy 260.923824 105.7656) (xy 260.941844 105.799312) + (xy 260.995413 105.864587) (xy 261.060688 105.918156) (xy 261.135159 105.957962) (xy 261.215965 105.982474) (xy 261.3 105.990751) + (xy 262.7 105.990751) (xy 262.784035 105.982474) (xy 262.864841 105.957962) (xy 262.939312 105.918156) (xy 263.004587 105.864587) + (xy 263.058156 105.799312) (xy 263.097962 105.724841) (xy 263.122474 105.644035) (xy 263.130751 105.56) (xy 263.130751 105.26) + (xy 263.122474 105.175965) (xy 263.097962 105.095159) (xy 263.058156 105.020688) (xy 263.004587 104.955413) (xy 262.939312 104.901844) + (xy 262.864841 104.862038) (xy 262.784035 104.837526) (xy 262.7 104.829249) (xy 261.3 104.829249) (xy 261.215965 104.837526) + (xy 261.135159 104.862038) (xy 261.060688 104.901844) (xy 260.995413 104.955413) (xy 260.941844 105.020688) (xy 260.923824 105.0544) + (xy 247.667452 105.0544) (xy 247.649999 105.052681) (xy 247.632546 105.0544) (xy 247.632537 105.0544) (xy 247.58029 105.059546) + (xy 247.51326 105.079879) (xy 247.451484 105.112899) (xy 247.451482 105.1129) (xy 247.451483 105.1129) (xy 247.4109 105.146205) + (xy 247.410895 105.14621) (xy 247.397337 105.157337) (xy 247.38621 105.170895) (xy 236.707707 115.8494) (xy 236.171234 115.8494) + (xy 236.162049 115.835654) (xy 236.081346 115.754951) (xy 235.986449 115.691543) (xy 235.881005 115.647866) (xy 235.769066 115.6256) + (xy 235.654934 115.6256) (xy 235.542995 115.647866) (xy 235.437551 115.691543) (xy 235.342654 115.754951) (xy 235.261951 115.835654) + (xy 235.198543 115.930551) (xy 235.154866 116.035995) (xy 235.1326 116.147934) (xy 234.0286 116.147934) (xy 234.084035 116.142474) + (xy 234.164841 116.117962) (xy 234.239312 116.078156) (xy 234.304587 116.024587) (xy 234.358156 115.959312) (xy 234.397962 115.884841) + (xy 234.422474 115.804035) (xy 234.430751 115.72) (xy 234.430751 115.42) (xy 234.422474 115.335965) (xy 234.397962 115.255159) + (xy 234.358156 115.180688) (xy 234.304587 115.115413) (xy 234.239312 115.061844) (xy 234.164841 115.022038) (xy 234.084035 114.997526) + (xy 234 114.989249) (xy 232.6 114.989249) (xy 232.515965 114.997526) (xy 232.435159 115.022038) (xy 232.360688 115.061844) + (xy 232.295413 115.115413) (xy 232.241844 115.180688) (xy 232.223824 115.2144) (xy 228.139163 115.2144) (xy 227.580363 114.6556) + (xy 232.223824 114.6556) (xy 232.241844 114.689312) (xy 232.295413 114.754587) (xy 232.360688 114.808156) (xy 232.435159 114.847962) + (xy 232.515965 114.872474) (xy 232.6 114.880751) (xy 234 114.880751) (xy 234.0286 114.877934) (xy 235.1326 114.877934) + (xy 235.1326 114.992066) (xy 235.154866 115.104005) (xy 235.198543 115.209449) (xy 235.261951 115.304346) (xy 235.342654 115.385049) + (xy 235.437551 115.448457) (xy 235.542995 115.492134) (xy 235.654934 115.5144) (xy 235.769066 115.5144) (xy 235.881005 115.492134) + (xy 235.986449 115.448457) (xy 236.081346 115.385049) (xy 236.162049 115.304346) (xy 236.171234 115.2906) (xy 236.837545 115.2906) + (xy 236.855 115.292319) (xy 236.872455 115.2906) (xy 236.872463 115.2906) (xy 236.92471 115.285454) (xy 236.99174 115.265121) + (xy 237.053516 115.232101) (xy 237.107663 115.187663) (xy 237.118799 115.174094) (xy 247.852893 104.44) (xy 260.869248 104.44) + (xy 260.874643 104.494772) (xy 260.890619 104.547439) (xy 260.916563 104.595977) (xy 260.951478 104.638522) (xy 260.994023 104.673437) + (xy 261.042561 104.699381) (xy 261.095228 104.715357) (xy 261.15 104.720752) (xy 261.85635 104.7194) (xy 261.9262 104.64955) + (xy 261.9262 104.2138) (xy 262.0738 104.2138) (xy 262.0738 104.64955) (xy 262.14365 104.7194) (xy 262.85 104.720752) + (xy 262.904772 104.715357) (xy 262.957439 104.699381) (xy 263.005977 104.673437) (xy 263.048522 104.638522) (xy 263.083437 104.595977) + (xy 263.109381 104.547439) (xy 263.125357 104.494772) (xy 263.130752 104.44) (xy 263.1294 104.28365) (xy 263.05955 104.2138) + (xy 262.0738 104.2138) (xy 261.9262 104.2138) (xy 260.94045 104.2138) (xy 260.8706 104.28365) (xy 260.869248 104.44) + (xy 247.852893 104.44) (xy 248.452893 103.84) (xy 260.869248 103.84) (xy 260.8706 103.99635) (xy 260.94045 104.0662) + (xy 261.9262 104.0662) (xy 261.9262 103.63045) (xy 262.0738 103.63045) (xy 262.0738 104.0662) (xy 263.05955 104.0662) + (xy 263.1294 103.99635) (xy 263.130752 103.84) (xy 263.125357 103.785228) (xy 263.109381 103.732561) (xy 263.083437 103.684023) + (xy 263.048522 103.641478) (xy 263.005977 103.606563) (xy 262.957439 103.580619) (xy 262.904772 103.564643) (xy 262.85 103.559248) + (xy 262.14365 103.5606) (xy 262.0738 103.63045) (xy 261.9262 103.63045) (xy 261.85635 103.5606) (xy 261.15 103.559248) + (xy 261.095228 103.564643) (xy 261.042561 103.580619) (xy 260.994023 103.606563) (xy 260.951478 103.641478) (xy 260.916563 103.684023) + (xy 260.890619 103.732561) (xy 260.874643 103.785228) (xy 260.869248 103.84) (xy 248.452893 103.84) (xy 249.067294 103.2256) + (xy 260.923824 103.2256) (xy 260.941844 103.259312) (xy 260.995413 103.324587) (xy 261.060688 103.378156) (xy 261.135159 103.417962) + (xy 261.215965 103.442474) (xy 261.3 103.450751) (xy 262.7 103.450751) (xy 262.784035 103.442474) (xy 262.864841 103.417962) + (xy 262.939312 103.378156) (xy 263.004587 103.324587) (xy 263.058156 103.259312) (xy 263.097962 103.184841) (xy 263.122474 103.104035) + (xy 263.130751 103.02) (xy 263.130751 102.72) (xy 263.122474 102.635965) (xy 263.097962 102.555159) (xy 263.058156 102.480688) + (xy 263.004587 102.415413) (xy 262.939312 102.361844) (xy 262.864841 102.322038) (xy 262.784035 102.297526) (xy 262.7 102.289249) + (xy 261.3 102.289249) (xy 261.215965 102.297526) (xy 261.135159 102.322038) (xy 261.060688 102.361844) (xy 260.995413 102.415413) + (xy 260.941844 102.480688) (xy 260.923824 102.5144) (xy 248.937455 102.5144) (xy 248.92 102.512681) (xy 248.902545 102.5144) + (xy 248.902537 102.5144) (xy 248.85029 102.519546) (xy 248.78326 102.539879) (xy 248.721484 102.572899) (xy 248.667337 102.617337) + (xy 248.656206 102.6309) (xy 236.707707 114.5794) (xy 236.171234 114.5794) (xy 236.162049 114.565654) (xy 236.081346 114.484951) + (xy 235.986449 114.421543) (xy 235.881005 114.377866) (xy 235.769066 114.3556) (xy 235.654934 114.3556) (xy 235.542995 114.377866) + (xy 235.437551 114.421543) (xy 235.342654 114.484951) (xy 235.261951 114.565654) (xy 235.198543 114.660551) (xy 235.154866 114.765995) + (xy 235.1326 114.877934) (xy 234.0286 114.877934) (xy 234.084035 114.872474) (xy 234.164841 114.847962) (xy 234.239312 114.808156) + (xy 234.304587 114.754587) (xy 234.358156 114.689312) (xy 234.397962 114.614841) (xy 234.422474 114.534035) (xy 234.430751 114.45) + (xy 234.430751 114.15) (xy 234.422474 114.065965) (xy 234.397962 113.985159) (xy 234.358156 113.910688) (xy 234.304587 113.845413) + (xy 234.239312 113.791844) (xy 234.164841 113.752038) (xy 234.084035 113.727526) (xy 234 113.719249) (xy 232.6 113.719249) + (xy 232.515965 113.727526) (xy 232.435159 113.752038) (xy 232.360688 113.791844) (xy 232.295413 113.845413) (xy 232.241844 113.910688) + (xy 232.223824 113.9444) (xy 227.300229 113.9444) (xy 226.741428 113.3856) (xy 232.223824 113.3856) (xy 232.241844 113.419312) + (xy 232.295413 113.484587) (xy 232.360688 113.538156) (xy 232.435159 113.577962) (xy 232.515965 113.602474) (xy 232.6 113.610751) + (xy 234 113.610751) (xy 234.0286 113.607934) (xy 235.1326 113.607934) (xy 235.1326 113.722066) (xy 235.154866 113.834005) + (xy 235.198543 113.939449) (xy 235.261951 114.034346) (xy 235.342654 114.115049) (xy 235.437551 114.178457) (xy 235.542995 114.222134) + (xy 235.654934 114.2444) (xy 235.769066 114.2444) (xy 235.881005 114.222134) (xy 235.986449 114.178457) (xy 236.081346 114.115049) + (xy 236.162049 114.034346) (xy 236.171234 114.0206) (xy 236.837545 114.0206) (xy 236.855 114.022319) (xy 236.872455 114.0206) + (xy 236.872463 114.0206) (xy 236.92471 114.015454) (xy 236.99174 113.995121) (xy 237.053516 113.962101) (xy 237.107663 113.917663) + (xy 237.118799 113.904094) (xy 249.067294 101.9556) (xy 260.923824 101.9556) (xy 260.941844 101.989312) (xy 260.995413 102.054587) + (xy 261.060688 102.108156) (xy 261.135159 102.147962) (xy 261.215965 102.172474) (xy 261.3 102.180751) (xy 262.7 102.180751) + (xy 262.784035 102.172474) (xy 262.864841 102.147962) (xy 262.939312 102.108156) (xy 263.004587 102.054587) (xy 263.058156 101.989312) + (xy 263.097962 101.914841) (xy 263.122474 101.834035) (xy 263.130751 101.75) (xy 263.130751 101.45) (xy 263.122474 101.365965) + (xy 263.097962 101.285159) (xy 263.097878 101.285) (xy 266.989248 101.285) (xy 266.994643 101.339772) (xy 267.010619 101.392439) + (xy 267.036563 101.440977) (xy 267.071478 101.483522) (xy 267.114023 101.518437) (xy 267.162561 101.544381) (xy 267.215228 101.560357) + (xy 267.27 101.565752) (xy 267.82635 101.5644) (xy 267.8962 101.49455) (xy 267.8962 100.8338) (xy 268.0438 100.8338) + (xy 268.0438 101.49455) (xy 268.11365 101.5644) (xy 268.67 101.565752) (xy 268.724772 101.560357) (xy 268.777439 101.544381) + (xy 268.825977 101.518437) (xy 268.868522 101.483522) (xy 268.903437 101.440977) (xy 268.929381 101.392439) (xy 268.945357 101.339772) + (xy 268.950752 101.285) (xy 268.9494 100.90365) (xy 268.87955 100.8338) (xy 268.0438 100.8338) (xy 267.8962 100.8338) + (xy 267.06045 100.8338) (xy 266.9906 100.90365) (xy 266.989248 101.285) (xy 263.097878 101.285) (xy 263.058156 101.210688) + (xy 263.004587 101.145413) (xy 262.939312 101.091844) (xy 262.864841 101.052038) (xy 262.784035 101.027526) (xy 262.7 101.019249) + (xy 261.3 101.019249) (xy 261.215965 101.027526) (xy 261.135159 101.052038) (xy 261.060688 101.091844) (xy 260.995413 101.145413) + (xy 260.941844 101.210688) (xy 260.923824 101.2444) (xy 248.937455 101.2444) (xy 248.92 101.242681) (xy 248.902545 101.2444) + (xy 248.902537 101.2444) (xy 248.85029 101.249546) (xy 248.78326 101.269879) (xy 248.721484 101.302899) (xy 248.667337 101.347337) + (xy 248.656206 101.3609) (xy 236.707707 113.3094) (xy 236.171234 113.3094) (xy 236.162049 113.295654) (xy 236.081346 113.214951) + (xy 235.986449 113.151543) (xy 235.881005 113.107866) (xy 235.769066 113.0856) (xy 235.654934 113.0856) (xy 235.542995 113.107866) + (xy 235.437551 113.151543) (xy 235.342654 113.214951) (xy 235.261951 113.295654) (xy 235.198543 113.390551) (xy 235.154866 113.495995) + (xy 235.1326 113.607934) (xy 234.0286 113.607934) (xy 234.084035 113.602474) (xy 234.164841 113.577962) (xy 234.239312 113.538156) + (xy 234.304587 113.484587) (xy 234.358156 113.419312) (xy 234.397962 113.344841) (xy 234.422474 113.264035) (xy 234.430751 113.18) + (xy 234.430751 112.88) (xy 234.422474 112.795965) (xy 234.397962 112.715159) (xy 234.358156 112.640688) (xy 234.304587 112.575413) + (xy 234.239312 112.521844) (xy 234.164841 112.482038) (xy 234.084035 112.457526) (xy 234 112.449249) (xy 232.6 112.449249) + (xy 232.515965 112.457526) (xy 232.435159 112.482038) (xy 232.360688 112.521844) (xy 232.295413 112.575413) (xy 232.241844 112.640688) + (xy 232.223824 112.6744) (xy 226.461294 112.6744) (xy 226.209806 112.422912) (xy 226.222435 112.4204) (xy 226.525135 112.4204) + (xy 226.590686 112.474197) (xy 226.684607 112.524398) (xy 226.786517 112.555313) (xy 226.8925 112.565751) (xy 227.7675 112.565751) + (xy 227.873483 112.555313) (xy 227.975393 112.524398) (xy 228.069314 112.474197) (xy 228.134865 112.4204) (xy 232.061439 112.4204) + (xy 232.158461 112.410844) (xy 232.282947 112.373082) (xy 232.397674 112.311759) (xy 232.415261 112.297326) (xy 232.435159 112.307962) + (xy 232.515965 112.332474) (xy 232.6 112.340751) (xy 234 112.340751) (xy 234.0286 112.337934) (xy 235.1326 112.337934) + (xy 235.1326 112.452066) (xy 235.154866 112.564005) (xy 235.198543 112.669449) (xy 235.261951 112.764346) (xy 235.342654 112.845049) + (xy 235.437551 112.908457) (xy 235.542995 112.952134) (xy 235.654934 112.9744) (xy 235.769066 112.9744) (xy 235.881005 112.952134) + (xy 235.986449 112.908457) (xy 236.081346 112.845049) (xy 236.162049 112.764346) (xy 236.171234 112.7506) (xy 236.774045 112.7506) + (xy 236.7915 112.752319) (xy 236.808955 112.7506) (xy 236.808963 112.7506) (xy 236.86121 112.745454) (xy 236.92824 112.725121) + (xy 236.990016 112.692101) (xy 237.044163 112.647663) (xy 237.055299 112.634094) (xy 249.003794 100.6856) (xy 260.923824 100.6856) + (xy 260.941844 100.719312) (xy 260.995413 100.784587) (xy 261.060688 100.838156) (xy 261.135159 100.877962) (xy 261.215965 100.902474) + (xy 261.3 100.910751) (xy 262.7 100.910751) (xy 262.784035 100.902474) (xy 262.864841 100.877962) (xy 262.939312 100.838156) + (xy 263.004587 100.784587) (xy 263.058156 100.719312) (xy 263.097962 100.644841) (xy 263.122474 100.564035) (xy 263.130751 100.48) + (xy 263.130751 100.235) (xy 266.989248 100.235) (xy 266.9906 100.61635) (xy 267.06045 100.6862) (xy 267.8962 100.6862) + (xy 267.8962 100.02545) (xy 268.0438 100.02545) (xy 268.0438 100.6862) (xy 268.87955 100.6862) (xy 268.9494 100.61635) + (xy 268.950752 100.235) (xy 268.945357 100.180228) (xy 268.929381 100.127561) (xy 268.903437 100.079023) (xy 268.868522 100.036478) + (xy 268.825977 100.001563) (xy 268.777439 99.975619) (xy 268.724772 99.959643) (xy 268.67 99.954248) (xy 268.11365 99.9556) + (xy 268.0438 100.02545) (xy 267.8962 100.02545) (xy 267.82635 99.9556) (xy 267.27 99.954248) (xy 267.215228 99.959643) + (xy 267.162561 99.975619) (xy 267.114023 100.001563) (xy 267.071478 100.036478) (xy 267.036563 100.079023) (xy 267.010619 100.127561) + (xy 266.994643 100.180228) (xy 266.989248 100.235) (xy 263.130751 100.235) (xy 263.130751 100.18) (xy 263.122474 100.095965) + (xy 263.097962 100.015159) (xy 263.058156 99.940688) (xy 263.004587 99.875413) (xy 262.939312 99.821844) (xy 262.864841 99.782038) + (xy 262.784035 99.757526) (xy 262.7 99.749249) (xy 261.3 99.749249) (xy 261.215965 99.757526) (xy 261.135159 99.782038) + (xy 261.060688 99.821844) (xy 260.995413 99.875413) (xy 260.941844 99.940688) (xy 260.923824 99.9744) (xy 248.873955 99.9744) + (xy 248.8565 99.972681) (xy 248.839045 99.9744) (xy 248.839037 99.9744) (xy 248.78679 99.979546) (xy 248.71976 99.999879) + (xy 248.657984 100.032899) (xy 248.603837 100.077337) (xy 248.592706 100.0909) (xy 236.644207 112.0394) (xy 236.171234 112.0394) + (xy 236.162049 112.025654) (xy 236.081346 111.944951) (xy 235.986449 111.881543) (xy 235.881005 111.837866) (xy 235.769066 111.8156) + (xy 235.654934 111.8156) (xy 235.542995 111.837866) (xy 235.437551 111.881543) (xy 235.342654 111.944951) (xy 235.261951 112.025654) + (xy 235.198543 112.120551) (xy 235.154866 112.225995) (xy 235.1326 112.337934) (xy 234.0286 112.337934) (xy 234.084035 112.332474) + (xy 234.164841 112.307962) (xy 234.239312 112.268156) (xy 234.304587 112.214587) (xy 234.358156 112.149312) (xy 234.397962 112.074841) + (xy 234.422474 111.994035) (xy 234.430751 111.91) (xy 234.430751 111.61) (xy 234.422474 111.525965) (xy 234.397962 111.445159) + (xy 234.358156 111.370688) (xy 234.304587 111.305413) (xy 234.239312 111.251844) (xy 234.164841 111.212038) (xy 234.084035 111.187526) + (xy 234 111.179249) (xy 232.6 111.179249) (xy 232.515965 111.187526) (xy 232.435159 111.212038) (xy 232.415261 111.222674) + (xy 232.397674 111.208241) (xy 232.282947 111.146918) (xy 232.158461 111.109156) (xy 232.061439 111.0996) (xy 228.134865 111.0996) + (xy 228.069314 111.045803) (xy 227.975393 110.995602) (xy 227.873483 110.964687) (xy 227.7675 110.954249) (xy 226.8925 110.954249) + (xy 226.786517 110.964687) (xy 226.684607 110.995602) (xy 226.590686 111.045803) (xy 226.525135 111.0996) (xy 226.222435 111.0996) + (xy 226.126915 111.0806) (xy 225.993085 111.0806) (xy 225.861826 111.106709) (xy 225.738184 111.157924) (xy 225.64325 111.221356) + (xy 225.006894 110.585) (xy 226.349248 110.585) (xy 226.354643 110.639772) (xy 226.370619 110.692439) (xy 226.396563 110.740977) + (xy 226.431478 110.783522) (xy 226.474023 110.818437) (xy 226.522561 110.844381) (xy 226.575228 110.860357) (xy 226.63 110.865752) + (xy 227.18635 110.8644) (xy 227.2562 110.79455) (xy 227.2562 110.1338) (xy 227.4038 110.1338) (xy 227.4038 110.79455) + (xy 227.47365 110.8644) (xy 228.03 110.865752) (xy 228.084772 110.860357) (xy 228.137439 110.844381) (xy 228.185977 110.818437) + (xy 228.220628 110.79) (xy 232.169248 110.79) (xy 232.174643 110.844772) (xy 232.190619 110.897439) (xy 232.216563 110.945977) + (xy 232.251478 110.988522) (xy 232.294023 111.023437) (xy 232.342561 111.049381) (xy 232.395228 111.065357) (xy 232.45 111.070752) + (xy 233.15635 111.0694) (xy 233.2262 110.99955) (xy 233.2262 110.5638) (xy 233.3738 110.5638) (xy 233.3738 110.99955) + (xy 233.44365 111.0694) (xy 234.15 111.070752) (xy 234.204772 111.065357) (xy 234.257439 111.049381) (xy 234.305977 111.023437) + (xy 234.348522 110.988522) (xy 234.383437 110.945977) (xy 234.409381 110.897439) (xy 234.425357 110.844772) (xy 234.430752 110.79) + (xy 234.4294 110.63365) (xy 234.35955 110.5638) (xy 233.3738 110.5638) (xy 233.2262 110.5638) (xy 232.24045 110.5638) + (xy 232.1706 110.63365) (xy 232.169248 110.79) (xy 228.220628 110.79) (xy 228.228522 110.783522) (xy 228.263437 110.740977) + (xy 228.289381 110.692439) (xy 228.305357 110.639772) (xy 228.310752 110.585) (xy 228.3094 110.20365) (xy 228.23955 110.1338) + (xy 227.4038 110.1338) (xy 227.2562 110.1338) (xy 226.42045 110.1338) (xy 226.3506 110.20365) (xy 226.349248 110.585) + (xy 225.006894 110.585) (xy 224.037799 109.615906) (xy 224.026663 109.602337) (xy 223.972516 109.557899) (xy 223.934352 109.5375) + (xy 223.939029 109.535) (xy 226.349248 109.535) (xy 226.3506 109.91635) (xy 226.42045 109.9862) (xy 227.2562 109.9862) + (xy 227.2562 109.32545) (xy 227.4038 109.32545) (xy 227.4038 109.9862) (xy 228.23955 109.9862) (xy 228.3094 109.91635) + (xy 228.310752 109.535) (xy 228.305357 109.480228) (xy 228.289381 109.427561) (xy 228.263437 109.379023) (xy 228.228522 109.336478) + (xy 228.185977 109.301563) (xy 228.137439 109.275619) (xy 228.084772 109.259643) (xy 228.03 109.254248) (xy 227.47365 109.2556) + (xy 227.4038 109.32545) (xy 227.2562 109.32545) (xy 227.18635 109.2556) (xy 226.63 109.254248) (xy 226.575228 109.259643) + (xy 226.522561 109.275619) (xy 226.474023 109.301563) (xy 226.431478 109.336478) (xy 226.396563 109.379023) (xy 226.370619 109.427561) + (xy 226.354643 109.480228) (xy 226.349248 109.535) (xy 223.939029 109.535) (xy 223.972516 109.517101) (xy 224.026663 109.472663) + (xy 224.037798 109.459095) (xy 224.251484 109.24541) (xy 231.043517 109.24541) (xy 231.892204 110.094098) (xy 231.903337 110.107663) + (xy 231.957484 110.152101) (xy 232.01926 110.185121) (xy 232.08629 110.205454) (xy 232.138537 110.2106) (xy 232.138545 110.2106) + (xy 232.156 110.212319) (xy 232.16943 110.210996) (xy 232.1706 110.34635) (xy 232.24045 110.4162) (xy 233.2262 110.4162) + (xy 233.2262 110.3962) (xy 233.3738 110.3962) (xy 233.3738 110.4162) (xy 234.35955 110.4162) (xy 234.4294 110.34635) + (xy 234.430574 110.2106) (xy 236.837545 110.2106) (xy 236.855 110.212319) (xy 236.872455 110.2106) (xy 236.872463 110.2106) + (xy 236.92471 110.205454) (xy 236.99174 110.185121) (xy 237.053516 110.152101) (xy 237.107663 110.107663) (xy 237.118799 110.094094) + (xy 245.349104 101.86379) (xy 245.362662 101.852663) (xy 245.37379 101.839104) (xy 245.373795 101.839099) (xy 245.389438 101.820038) + (xy 245.407101 101.798516) (xy 245.440121 101.73674) (xy 245.460454 101.66971) (xy 245.4656 101.617463) (xy 245.4656 101.617456) + (xy 245.467319 101.6) (xy 245.4656 101.582545) (xy 245.4656 98.91) (xy 260.869249 98.91) (xy 260.869249 99.21) + (xy 260.877526 99.294035) (xy 260.902038 99.374841) (xy 260.941844 99.449312) (xy 260.995413 99.514587) (xy 261.060688 99.568156) + (xy 261.135159 99.607962) (xy 261.215965 99.632474) (xy 261.3 99.640751) (xy 262.7 99.640751) (xy 262.784035 99.632474) + (xy 262.864841 99.607962) (xy 262.939312 99.568156) (xy 263.004587 99.514587) (xy 263.058156 99.449312) (xy 263.076176 99.4156) + (xy 266.998418 99.4156) (xy 266.999687 99.428483) (xy 267.030602 99.530393) (xy 267.080803 99.624314) (xy 267.148364 99.706636) + (xy 267.230686 99.774197) (xy 267.324607 99.824398) (xy 267.426517 99.855313) (xy 267.5325 99.865751) (xy 268.4075 99.865751) + (xy 268.513483 99.855313) (xy 268.615393 99.824398) (xy 268.709314 99.774197) (xy 268.791636 99.706636) (xy 268.859197 99.624314) + (xy 268.909398 99.530393) (xy 268.940313 99.428483) (xy 268.950751 99.3225) (xy 268.950751 98.7975) (xy 268.940313 98.691517) + (xy 268.909398 98.589607) (xy 268.859197 98.495686) (xy 268.791636 98.413364) (xy 268.709314 98.345803) (xy 268.615393 98.295602) + (xy 268.513483 98.264687) (xy 268.4075 98.254249) (xy 268.3256 98.254249) (xy 268.3256 97.987751) (xy 268.4575 97.987751) + (xy 268.553728 97.978273) (xy 268.646259 97.950205) (xy 268.731536 97.904623) (xy 268.806281 97.843281) (xy 268.867623 97.768536) + (xy 268.913205 97.683259) (xy 268.941273 97.590728) (xy 268.950751 97.4945) (xy 268.950751 97.0695) (xy 268.941273 96.973272) + (xy 268.913205 96.880741) (xy 268.867623 96.795464) (xy 268.806281 96.720719) (xy 268.731536 96.659377) (xy 268.646259 96.613795) + (xy 268.553728 96.585727) (xy 268.4575 96.576249) (xy 267.4825 96.576249) (xy 267.386272 96.585727) (xy 267.293741 96.613795) + (xy 267.208464 96.659377) (xy 267.133719 96.720719) (xy 267.072377 96.795464) (xy 267.026795 96.880741) (xy 266.998727 96.973272) + (xy 266.989249 97.0695) (xy 266.989249 97.4945) (xy 266.998727 97.590728) (xy 267.026795 97.683259) (xy 267.072377 97.768536) + (xy 267.133719 97.843281) (xy 267.208464 97.904623) (xy 267.293741 97.950205) (xy 267.386272 97.978273) (xy 267.4825 97.987751) + (xy 267.614401 97.987751) (xy 267.6144 98.254249) (xy 267.5325 98.254249) (xy 267.426517 98.264687) (xy 267.324607 98.295602) + (xy 267.230686 98.345803) (xy 267.148364 98.413364) (xy 267.080803 98.495686) (xy 267.030602 98.589607) (xy 266.999687 98.691517) + (xy 266.998418 98.7044) (xy 263.076176 98.7044) (xy 263.058156 98.670688) (xy 263.004587 98.605413) (xy 262.939312 98.551844) + (xy 262.864841 98.512038) (xy 262.784035 98.487526) (xy 262.7 98.479249) (xy 261.3 98.479249) (xy 261.215965 98.487526) + (xy 261.135159 98.512038) (xy 261.060688 98.551844) (xy 260.995413 98.605413) (xy 260.941844 98.670688) (xy 260.902038 98.745159) + (xy 260.877526 98.825965) (xy 260.869249 98.91) (xy 245.4656 98.91) (xy 245.4656 98.486176) (xy 245.499312 98.468156) + (xy 245.564587 98.414587) (xy 245.618156 98.349312) (xy 245.657962 98.274841) (xy 245.682474 98.194035) (xy 245.690751 98.11) + (xy 245.690751 96.71) (xy 245.799249 96.71) (xy 245.799249 98.11) (xy 245.807526 98.194035) (xy 245.832038 98.274841) + (xy 245.871844 98.349312) (xy 245.925413 98.414587) (xy 245.990688 98.468156) (xy 246.065159 98.507962) (xy 246.145965 98.532474) + (xy 246.23 98.540751) (xy 246.53 98.540751) (xy 246.614035 98.532474) (xy 246.694841 98.507962) (xy 246.769312 98.468156) + (xy 246.834587 98.414587) (xy 246.888156 98.349312) (xy 246.927962 98.274841) (xy 246.952474 98.194035) (xy 246.960751 98.11) + (xy 246.960751 96.71) (xy 247.069249 96.71) (xy 247.069249 98.11) (xy 247.077526 98.194035) (xy 247.102038 98.274841) + (xy 247.141844 98.349312) (xy 247.195413 98.414587) (xy 247.260688 98.468156) (xy 247.335159 98.507962) (xy 247.415965 98.532474) + (xy 247.5 98.540751) (xy 247.8 98.540751) (xy 247.884035 98.532474) (xy 247.964841 98.507962) (xy 248.039312 98.468156) + (xy 248.104587 98.414587) (xy 248.158156 98.349312) (xy 248.197962 98.274841) (xy 248.222474 98.194035) (xy 248.230751 98.11) + (xy 248.230751 96.71) (xy 248.339249 96.71) (xy 248.339249 98.11) (xy 248.347526 98.194035) (xy 248.372038 98.274841) + (xy 248.411844 98.349312) (xy 248.465413 98.414587) (xy 248.530688 98.468156) (xy 248.605159 98.507962) (xy 248.685965 98.532474) + (xy 248.77 98.540751) (xy 249.07 98.540751) (xy 249.154035 98.532474) (xy 249.234841 98.507962) (xy 249.309312 98.468156) + (xy 249.374587 98.414587) (xy 249.428156 98.349312) (xy 249.467962 98.274841) (xy 249.492474 98.194035) (xy 249.500751 98.11) + (xy 249.500751 96.71) (xy 249.492474 96.625965) (xy 249.467962 96.545159) (xy 249.428156 96.470688) (xy 249.374587 96.405413) + (xy 249.328511 96.3676) (xy 249.781489 96.3676) (xy 249.735413 96.405413) (xy 249.681844 96.470688) (xy 249.642038 96.545159) + (xy 249.617526 96.625965) (xy 249.609249 96.71) (xy 249.609249 98.11) (xy 249.617526 98.194035) (xy 249.642038 98.274841) + (xy 249.681844 98.349312) (xy 249.735413 98.414587) (xy 249.800688 98.468156) (xy 249.875159 98.507962) (xy 249.955965 98.532474) + (xy 250.04 98.540751) (xy 250.34 98.540751) (xy 250.424035 98.532474) (xy 250.504841 98.507962) (xy 250.579312 98.468156) + (xy 250.644587 98.414587) (xy 250.698156 98.349312) (xy 250.737962 98.274841) (xy 250.742463 98.26) (xy 250.879248 98.26) + (xy 250.884643 98.314772) (xy 250.900619 98.367439) (xy 250.926563 98.415977) (xy 250.961478 98.458522) (xy 251.004023 98.493437) + (xy 251.052561 98.519381) (xy 251.105228 98.535357) (xy 251.16 98.540752) (xy 251.31635 98.5394) (xy 251.3862 98.46955) + (xy 251.3862 97.4838) (xy 251.5338 97.4838) (xy 251.5338 98.46955) (xy 251.60365 98.5394) (xy 251.76 98.540752) + (xy 251.814772 98.535357) (xy 251.867439 98.519381) (xy 251.915977 98.493437) (xy 251.958522 98.458522) (xy 251.993437 98.415977) + (xy 252.019381 98.367439) (xy 252.035357 98.314772) (xy 252.040752 98.26) (xy 252.0394 97.55365) (xy 251.96955 97.4838) + (xy 251.5338 97.4838) (xy 251.3862 97.4838) (xy 250.95045 97.4838) (xy 250.8806 97.55365) (xy 250.879248 98.26) + (xy 250.742463 98.26) (xy 250.762474 98.194035) (xy 250.770751 98.11) (xy 250.770751 96.71) (xy 250.762474 96.625965) + (xy 250.742464 96.56) (xy 250.879248 96.56) (xy 250.8806 97.26635) (xy 250.95045 97.3362) (xy 251.3862 97.3362) + (xy 251.3862 96.35045) (xy 251.5338 96.35045) (xy 251.5338 97.3362) (xy 251.96955 97.3362) (xy 252.0394 97.26635) + (xy 252.040464 96.71) (xy 252.149249 96.71) (xy 252.149249 98.11) (xy 252.157526 98.194035) (xy 252.182038 98.274841) + (xy 252.221844 98.349312) (xy 252.275413 98.414587) (xy 252.340688 98.468156) (xy 252.415159 98.507962) (xy 252.495965 98.532474) + (xy 252.58 98.540751) (xy 252.88 98.540751) (xy 252.964035 98.532474) (xy 253.044841 98.507962) (xy 253.119312 98.468156) + (xy 253.184587 98.414587) (xy 253.238156 98.349312) (xy 253.277962 98.274841) (xy 253.302474 98.194035) (xy 253.310751 98.11) + (xy 253.310751 96.71) (xy 253.302474 96.625965) (xy 253.277962 96.545159) (xy 253.238156 96.470688) (xy 253.184587 96.405413) + (xy 253.138511 96.3676) (xy 253.591489 96.3676) (xy 253.545413 96.405413) (xy 253.491844 96.470688) (xy 253.452038 96.545159) + (xy 253.427526 96.625965) (xy 253.419249 96.71) (xy 253.419249 98.11) (xy 253.427526 98.194035) (xy 253.452038 98.274841) + (xy 253.491844 98.349312) (xy 253.545413 98.414587) (xy 253.610688 98.468156) (xy 253.685159 98.507962) (xy 253.765965 98.532474) + (xy 253.85 98.540751) (xy 254.15 98.540751) (xy 254.234035 98.532474) (xy 254.314841 98.507962) (xy 254.389312 98.468156) + (xy 254.454587 98.414587) (xy 254.508156 98.349312) (xy 254.547962 98.274841) (xy 254.572474 98.194035) (xy 254.580751 98.11) + (xy 254.580751 96.71) (xy 254.689249 96.71) (xy 254.689249 98.11) (xy 254.697526 98.194035) (xy 254.722038 98.274841) + (xy 254.761844 98.349312) (xy 254.815413 98.414587) (xy 254.880688 98.468156) (xy 254.955159 98.507962) (xy 255.035965 98.532474) + (xy 255.12 98.540751) (xy 255.42 98.540751) (xy 255.504035 98.532474) (xy 255.584841 98.507962) (xy 255.659312 98.468156) + (xy 255.724587 98.414587) (xy 255.778156 98.349312) (xy 255.817962 98.274841) (xy 255.842474 98.194035) (xy 255.850751 98.11) + (xy 255.850751 96.71) (xy 255.842474 96.625965) (xy 255.817962 96.545159) (xy 255.778156 96.470688) (xy 255.724587 96.405413) + (xy 255.678511 96.3676) (xy 256.131489 96.3676) (xy 256.085413 96.405413) (xy 256.031844 96.470688) (xy 255.992038 96.545159) + (xy 255.967526 96.625965) (xy 255.959249 96.71) (xy 255.959249 98.11) (xy 255.967526 98.194035) (xy 255.992038 98.274841) + (xy 256.031844 98.349312) (xy 256.085413 98.414587) (xy 256.150688 98.468156) (xy 256.225159 98.507962) (xy 256.305965 98.532474) + (xy 256.39 98.540751) (xy 256.69 98.540751) (xy 256.774035 98.532474) (xy 256.854841 98.507962) (xy 256.929312 98.468156) + (xy 256.994587 98.414587) (xy 257.048156 98.349312) (xy 257.087962 98.274841) (xy 257.112474 98.194035) (xy 257.120751 98.11) + (xy 257.120751 96.71) (xy 257.229249 96.71) (xy 257.229249 98.11) (xy 257.237526 98.194035) (xy 257.262038 98.274841) + (xy 257.301844 98.349312) (xy 257.355413 98.414587) (xy 257.420688 98.468156) (xy 257.495159 98.507962) (xy 257.575965 98.532474) + (xy 257.66 98.540751) (xy 257.96 98.540751) (xy 258.044035 98.532474) (xy 258.124841 98.507962) (xy 258.199312 98.468156) + (xy 258.264587 98.414587) (xy 258.318156 98.349312) (xy 258.357962 98.274841) (xy 258.382474 98.194035) (xy 258.390751 98.11) + (xy 258.390751 96.71) (xy 258.382474 96.625965) (xy 258.357962 96.545159) (xy 258.318156 96.470688) (xy 258.264587 96.405413) + (xy 258.199312 96.351844) (xy 258.124841 96.312038) (xy 258.044035 96.287526) (xy 257.96 96.279249) (xy 257.66 96.279249) + (xy 257.575965 96.287526) (xy 257.495159 96.312038) (xy 257.420688 96.351844) (xy 257.355413 96.405413) (xy 257.301844 96.470688) + (xy 257.262038 96.545159) (xy 257.237526 96.625965) (xy 257.229249 96.71) (xy 257.120751 96.71) (xy 257.112474 96.625965) + (xy 257.087962 96.545159) (xy 257.048156 96.470688) (xy 256.994587 96.405413) (xy 256.929312 96.351844) (xy 256.8956 96.333824) + (xy 256.8956 96.283452) (xy 256.897319 96.265999) (xy 256.8956 96.248546) (xy 256.8956 96.248537) (xy 256.890454 96.19629) + (xy 256.870121 96.12926) (xy 256.837101 96.067484) (xy 256.833933 96.063624) (xy 256.803795 96.0269) (xy 256.803785 96.02689) + (xy 256.792662 96.013337) (xy 256.779109 96.002214) (xy 256.549798 95.772905) (xy 256.538663 95.759337) (xy 256.484516 95.714899) + (xy 256.42274 95.681879) (xy 256.35571 95.661546) (xy 256.303463 95.6564) (xy 256.303455 95.6564) (xy 256.286 95.654681) + (xy 256.268545 95.6564) (xy 255.541452 95.6564) (xy 255.523999 95.654681) (xy 255.506546 95.6564) (xy 255.506537 95.6564) + (xy 255.45429 95.661546) (xy 255.38726 95.681879) (xy 255.325484 95.714899) (xy 255.325482 95.7149) (xy 255.325483 95.7149) + (xy 255.2849 95.748205) (xy 255.284895 95.74821) (xy 255.271337 95.759337) (xy 255.26021 95.772896) (xy 255.0309 96.002207) + (xy 255.017338 96.013337) (xy 255.006207 96.0269) (xy 255.006205 96.026902) (xy 255.000023 96.034435) (xy 254.9729 96.067484) + (xy 254.965296 96.08171) (xy 254.93988 96.129259) (xy 254.919546 96.19629) (xy 254.912681 96.266) (xy 254.914401 96.283465) + (xy 254.914401 96.333824) (xy 254.880688 96.351844) (xy 254.815413 96.405413) (xy 254.761844 96.470688) (xy 254.722038 96.545159) + (xy 254.697526 96.625965) (xy 254.689249 96.71) (xy 254.580751 96.71) (xy 254.572474 96.625965) (xy 254.547962 96.545159) + (xy 254.508156 96.470688) (xy 254.454587 96.405413) (xy 254.389312 96.351844) (xy 254.3556 96.333824) (xy 254.3556 96.283452) + (xy 254.357319 96.265999) (xy 254.3556 96.248546) (xy 254.3556 96.248537) (xy 254.350454 96.19629) (xy 254.330121 96.12926) + (xy 254.297101 96.067484) (xy 254.293933 96.063624) (xy 254.263795 96.0269) (xy 254.263785 96.02689) (xy 254.252662 96.013337) + (xy 254.239109 96.002214) (xy 254.009798 95.772905) (xy 253.998663 95.759337) (xy 253.944516 95.714899) (xy 253.88274 95.681879) + (xy 253.81571 95.661546) (xy 253.763463 95.6564) (xy 253.763455 95.6564) (xy 253.746 95.654681) (xy 253.728545 95.6564) + (xy 253.001452 95.6564) (xy 252.983999 95.654681) (xy 252.966546 95.6564) (xy 252.966537 95.6564) (xy 252.91429 95.661546) + (xy 252.84726 95.681879) (xy 252.785484 95.714899) (xy 252.785482 95.7149) (xy 252.785483 95.7149) (xy 252.7449 95.748205) + (xy 252.744895 95.74821) (xy 252.731337 95.759337) (xy 252.72021 95.772896) (xy 252.4909 96.002207) (xy 252.477338 96.013337) + (xy 252.466207 96.0269) (xy 252.466205 96.026902) (xy 252.460023 96.034435) (xy 252.4329 96.067484) (xy 252.425296 96.08171) + (xy 252.39988 96.129259) (xy 252.379546 96.19629) (xy 252.372681 96.266) (xy 252.374401 96.283465) (xy 252.374401 96.333824) + (xy 252.340688 96.351844) (xy 252.275413 96.405413) (xy 252.221844 96.470688) (xy 252.182038 96.545159) (xy 252.157526 96.625965) + (xy 252.149249 96.71) (xy 252.040464 96.71) (xy 252.040752 96.56) (xy 252.035357 96.505228) (xy 252.019381 96.452561) + (xy 251.993437 96.404023) (xy 251.958522 96.361478) (xy 251.915977 96.326563) (xy 251.867439 96.300619) (xy 251.814772 96.284643) + (xy 251.76 96.279248) (xy 251.60365 96.2806) (xy 251.5338 96.35045) (xy 251.3862 96.35045) (xy 251.31635 96.2806) + (xy 251.16 96.279248) (xy 251.105228 96.284643) (xy 251.052561 96.300619) (xy 251.004023 96.326563) (xy 250.961478 96.361478) + (xy 250.926563 96.404023) (xy 250.900619 96.452561) (xy 250.884643 96.505228) (xy 250.879248 96.56) (xy 250.742464 96.56) + (xy 250.737962 96.545159) (xy 250.698156 96.470688) (xy 250.644587 96.405413) (xy 250.579312 96.351844) (xy 250.5456 96.333824) + (xy 250.5456 96.283452) (xy 250.547319 96.265999) (xy 250.5456 96.248546) (xy 250.5456 96.248537) (xy 250.540454 96.19629) + (xy 250.520121 96.12926) (xy 250.487101 96.067484) (xy 250.483933 96.063624) (xy 250.453795 96.0269) (xy 250.453785 96.02689) + (xy 250.442662 96.013337) (xy 250.429109 96.002214) (xy 250.199798 95.772905) (xy 250.188663 95.759337) (xy 250.134516 95.714899) + (xy 250.07274 95.681879) (xy 250.00571 95.661546) (xy 249.953463 95.6564) (xy 249.953455 95.6564) (xy 249.936 95.654681) + (xy 249.918545 95.6564) (xy 249.191452 95.6564) (xy 249.173999 95.654681) (xy 249.156546 95.6564) (xy 249.156537 95.6564) + (xy 249.10429 95.661546) (xy 249.03726 95.681879) (xy 248.975484 95.714899) (xy 248.975482 95.7149) (xy 248.975483 95.7149) + (xy 248.9349 95.748205) (xy 248.934895 95.74821) (xy 248.921337 95.759337) (xy 248.91021 95.772896) (xy 248.6809 96.002207) + (xy 248.667338 96.013337) (xy 248.656207 96.0269) (xy 248.656205 96.026902) (xy 248.650023 96.034435) (xy 248.6229 96.067484) + (xy 248.615296 96.08171) (xy 248.58988 96.129259) (xy 248.569546 96.19629) (xy 248.562681 96.266) (xy 248.564401 96.283465) + (xy 248.564401 96.333824) (xy 248.530688 96.351844) (xy 248.465413 96.405413) (xy 248.411844 96.470688) (xy 248.372038 96.545159) + (xy 248.347526 96.625965) (xy 248.339249 96.71) (xy 248.230751 96.71) (xy 248.222474 96.625965) (xy 248.197962 96.545159) + (xy 248.158156 96.470688) (xy 248.104587 96.405413) (xy 248.039312 96.351844) (xy 247.964841 96.312038) (xy 247.884035 96.287526) + (xy 247.8 96.279249) (xy 247.5 96.279249) (xy 247.415965 96.287526) (xy 247.335159 96.312038) (xy 247.260688 96.351844) + (xy 247.195413 96.405413) (xy 247.141844 96.470688) (xy 247.102038 96.545159) (xy 247.077526 96.625965) (xy 247.069249 96.71) + (xy 246.960751 96.71) (xy 246.952474 96.625965) (xy 246.927962 96.545159) (xy 246.888156 96.470688) (xy 246.834587 96.405413) + (xy 246.769312 96.351844) (xy 246.694841 96.312038) (xy 246.614035 96.287526) (xy 246.53 96.279249) (xy 246.23 96.279249) + (xy 246.145965 96.287526) (xy 246.065159 96.312038) (xy 245.990688 96.351844) (xy 245.925413 96.405413) (xy 245.871844 96.470688) + (xy 245.832038 96.545159) (xy 245.807526 96.625965) (xy 245.799249 96.71) (xy 245.690751 96.71) (xy 245.682474 96.625965) + (xy 245.657962 96.545159) (xy 245.618156 96.470688) (xy 245.564587 96.405413) (xy 245.499312 96.351844) (xy 245.424841 96.312038) + (xy 245.344035 96.287526) (xy 245.26 96.279249) (xy 244.96 96.279249) (xy 244.875965 96.287526) (xy 244.795159 96.312038) + (xy 244.720688 96.351844) (xy 244.655413 96.405413) (xy 244.601844 96.470688) (xy 244.562038 96.545159) (xy 244.537526 96.625965) + (xy 244.529249 96.71) (xy 244.529249 98.11) (xy 244.537526 98.194035) (xy 244.562038 98.274841) (xy 244.601844 98.349312) + (xy 244.655413 98.414587) (xy 244.720688 98.468156) (xy 244.754401 98.486176) (xy 244.7544 101.452706) (xy 236.707707 109.4994) + (xy 234.408713 109.4994) (xy 234.422474 109.454035) (xy 234.430751 109.37) (xy 234.430751 109.07) (xy 234.422474 108.985965) + (xy 234.408713 108.9406) (xy 236.583545 108.9406) (xy 236.601 108.942319) (xy 236.618455 108.9406) (xy 236.618463 108.9406) + (xy 236.67071 108.935454) (xy 236.73774 108.915121) (xy 236.799516 108.882101) (xy 236.853663 108.837663) (xy 236.864799 108.824094) + (xy 244.0791 101.609794) (xy 244.092663 101.598663) (xy 244.137101 101.544516) (xy 244.170121 101.48274) (xy 244.182192 101.442946) + (xy 244.190454 101.415711) (xy 244.192746 101.392439) (xy 244.1956 101.363463) (xy 244.1956 101.363456) (xy 244.197319 101.346) + (xy 244.1956 101.328545) (xy 244.1956 98.486176) (xy 244.229312 98.468156) (xy 244.294587 98.414587) (xy 244.348156 98.349312) + (xy 244.387962 98.274841) (xy 244.412474 98.194035) (xy 244.420751 98.11) (xy 244.420751 96.71) (xy 244.412474 96.625965) + (xy 244.387962 96.545159) (xy 244.348156 96.470688) (xy 244.294587 96.405413) (xy 244.229312 96.351844) (xy 244.154841 96.312038) + (xy 244.074035 96.287526) (xy 243.99 96.279249) (xy 243.69 96.279249) (xy 243.605965 96.287526) (xy 243.525159 96.312038) + (xy 243.450688 96.351844) (xy 243.385413 96.405413) (xy 243.331844 96.470688) (xy 243.292038 96.545159) (xy 243.267526 96.625965) + (xy 243.259249 96.71) (xy 243.259249 98.11) (xy 243.267526 98.194035) (xy 243.292038 98.274841) (xy 243.331844 98.349312) + (xy 243.385413 98.414587) (xy 243.450688 98.468156) (xy 243.4844 98.486176) (xy 243.484401 101.198705) (xy 236.453707 108.2294) + (xy 234.408713 108.2294) (xy 234.422474 108.184035) (xy 234.430751 108.1) (xy 234.430751 107.8) (xy 234.422474 107.715965) + (xy 234.397962 107.635159) (xy 234.358156 107.560688) (xy 234.304587 107.495413) (xy 234.239312 107.441844) (xy 234.164841 107.402038) + (xy 234.084035 107.377526) (xy 234 107.369249) (xy 232.6 107.369249) (xy 232.515965 107.377526) (xy 232.435159 107.402038) + (xy 232.360688 107.441844) (xy 232.295413 107.495413) (xy 232.241844 107.560688) (xy 232.223824 107.5944) (xy 224.556294 107.5944) + (xy 224.037799 107.075906) (xy 224.026663 107.062337) (xy 223.994084 107.0356) (xy 232.223824 107.0356) (xy 232.241844 107.069312) + (xy 232.295413 107.134587) (xy 232.360688 107.188156) (xy 232.435159 107.227962) (xy 232.515965 107.252474) (xy 232.6 107.260751) + (xy 234 107.260751) (xy 234.084035 107.252474) (xy 234.164841 107.227962) (xy 234.239312 107.188156) (xy 234.304587 107.134587) + (xy 234.358156 107.069312) (xy 234.397962 106.994841) (xy 234.422474 106.914035) (xy 234.430751 106.83) (xy 234.430751 106.53) + (xy 234.422474 106.445965) (xy 234.397962 106.365159) (xy 234.358156 106.290688) (xy 234.304587 106.225413) (xy 234.239312 106.171844) + (xy 234.164841 106.132038) (xy 234.084035 106.107526) (xy 234 106.099249) (xy 232.6 106.099249) (xy 232.515965 106.107526) + (xy 232.435159 106.132038) (xy 232.360688 106.171844) (xy 232.295413 106.225413) (xy 232.241844 106.290688) (xy 232.223824 106.3244) + (xy 223.785574 106.3244) (xy 223.7844 106.18865) (xy 223.71455 106.1188) (xy 221.5788 106.1188) (xy 221.5788 106.1388) + (xy 221.4312 106.1388) (xy 221.4312 106.1188) (xy 219.29545 106.1188) (xy 219.2256 106.18865) (xy 219.224426 106.3244) + (xy 217.952294 106.3244) (xy 217.433799 105.805906) (xy 217.422663 105.792337) (xy 217.368516 105.747899) (xy 217.363093 105.745) + (xy 219.224248 105.745) (xy 219.2256 105.90135) (xy 219.29545 105.9712) (xy 221.4312 105.9712) (xy 221.4312 105.53545) + (xy 221.5788 105.53545) (xy 221.5788 105.9712) (xy 223.71455 105.9712) (xy 223.7844 105.90135) (xy 223.785752 105.745) + (xy 223.780357 105.690228) (xy 223.764381 105.637561) (xy 223.738437 105.589023) (xy 223.703522 105.546478) (xy 223.660977 105.511563) + (xy 223.612439 105.485619) (xy 223.559772 105.469643) (xy 223.505 105.464248) (xy 221.64865 105.4656) (xy 221.5788 105.53545) + (xy 221.4312 105.53545) (xy 221.36135 105.4656) (xy 219.505 105.464248) (xy 219.450228 105.469643) (xy 219.397561 105.485619) + (xy 219.349023 105.511563) (xy 219.306478 105.546478) (xy 219.271563 105.589023) (xy 219.245619 105.637561) (xy 219.229643 105.690228) + (xy 219.224248 105.745) (xy 217.363093 105.745) (xy 217.30674 105.714879) (xy 217.23971 105.694546) (xy 217.187463 105.6894) + (xy 217.187455 105.6894) (xy 217.17 105.687681) (xy 217.152545 105.6894) (xy 216.331176 105.6894) (xy 216.313156 105.655688) + (xy 216.259587 105.590413) (xy 216.194312 105.536844) (xy 216.119841 105.497038) (xy 216.039035 105.472526) (xy 215.955 105.464249) + (xy 212.255 105.464249) (xy 212.170965 105.472526) (xy 212.090159 105.497038) (xy 212.015688 105.536844) (xy 211.950413 105.590413) + (xy 211.896844 105.655688) (xy 211.857038 105.730159) (xy 211.832526 105.810965) (xy 211.824249 105.895) (xy 211.824249 106.195) + (xy 211.832526 106.279035) (xy 211.857038 106.359841) (xy 211.896844 106.434312) (xy 211.950413 106.499587) (xy 212.015688 106.553156) + (xy 212.090159 106.592962) (xy 212.170965 106.617474) (xy 212.255 106.625751) (xy 215.955 106.625751) (xy 216.039035 106.617474) + (xy 216.119841 106.592962) (xy 216.194312 106.553156) (xy 216.259587 106.499587) (xy 216.313156 106.434312) (xy 216.331176 106.4006) + (xy 217.022707 106.4006) (xy 217.541206 106.9191) (xy 217.552337 106.932663) (xy 217.606484 106.977101) (xy 217.66826 107.010121) + (xy 217.73529 107.030454) (xy 217.787537 107.0356) (xy 217.787545 107.0356) (xy 217.805 107.037319) (xy 217.822455 107.0356) + (xy 219.246287 107.0356) (xy 219.232526 107.080965) (xy 219.224249 107.165) (xy 219.224249 107.465) (xy 219.232526 107.549035) + (xy 219.246287 107.5944) (xy 217.952294 107.5944) (xy 217.433799 107.075906) (xy 217.422663 107.062337) (xy 217.368516 107.017899) + (xy 217.30674 106.984879) (xy 217.23971 106.964546) (xy 217.187463 106.9594) (xy 217.187455 106.9594) (xy 217.17 106.957681) + (xy 217.152545 106.9594) (xy 216.331176 106.9594) (xy 216.313156 106.925688) (xy 216.259587 106.860413) (xy 216.194312 106.806844) + (xy 216.119841 106.767038) (xy 216.039035 106.742526) (xy 215.955 106.734249) (xy 212.255 106.734249) (xy 212.170965 106.742526) + (xy 212.090159 106.767038) (xy 212.015688 106.806844) (xy 211.950413 106.860413) (xy 211.896844 106.925688) (xy 211.857038 107.000159) + (xy 211.832526 107.080965) (xy 211.824249 107.165) (xy 211.824249 107.465) (xy 211.832526 107.549035) (xy 211.857038 107.629841) + (xy 211.896844 107.704312) (xy 211.950413 107.769587) (xy 212.015688 107.823156) (xy 212.090159 107.862962) (xy 212.170965 107.887474) + (xy 212.255 107.895751) (xy 215.955 107.895751) (xy 216.039035 107.887474) (xy 216.119841 107.862962) (xy 216.194312 107.823156) + (xy 216.259587 107.769587) (xy 216.313156 107.704312) (xy 216.331176 107.6706) (xy 217.022707 107.6706) (xy 217.541204 108.189098) + (xy 217.552337 108.202663) (xy 217.606484 108.247101) (xy 217.66826 108.280121) (xy 217.73529 108.300454) (xy 217.787537 108.3056) + (xy 217.787545 108.3056) (xy 217.805 108.307319) (xy 217.822455 108.3056) (xy 219.246287 108.3056) (xy 219.232526 108.350965) + (xy 219.224249 108.435) (xy 219.224249 108.735) (xy 219.232526 108.819035) (xy 219.246287 108.8644) (xy 217.952294 108.8644) + (xy 217.433799 108.345906) (xy 217.422663 108.332337) (xy 217.368516 108.287899) (xy 217.30674 108.254879) (xy 217.23971 108.234546) + (xy 217.187463 108.2294) (xy 217.187455 108.2294) (xy 217.17 108.227681) (xy 217.152545 108.2294) (xy 216.331176 108.2294) + (xy 216.313156 108.195688) (xy 216.259587 108.130413) (xy 216.194312 108.076844) (xy 216.119841 108.037038) (xy 216.039035 108.012526) + (xy 215.955 108.004249) (xy 212.255 108.004249) (xy 212.170965 108.012526) (xy 212.090159 108.037038) (xy 212.015688 108.076844) + (xy 211.950413 108.130413) (xy 211.896844 108.195688) (xy 211.857038 108.270159) (xy 211.832526 108.350965) (xy 211.824249 108.435) + (xy 211.824249 108.735) (xy 211.832526 108.819035) (xy 211.846066 108.863672) (xy 211.836 108.862681) (xy 211.818545 108.8644) + (xy 211.818537 108.8644) (xy 211.76629 108.869546) (xy 211.69926 108.889879) (xy 211.637484 108.922899) (xy 211.583337 108.967337) + (xy 211.572206 108.9809) (xy 207.685235 112.867872) (xy 207.67167 112.879005) (xy 207.627232 112.933152) (xy 207.594212 112.994928) + (xy 207.573879 113.061958) (xy 207.568733 113.114205) (xy 207.568733 113.114212) (xy 207.567014 113.131667) (xy 207.568733 113.149122) + (xy 207.568734 120.911867) (xy 207.567014 120.929333) (xy 207.573879 120.999043) (xy 207.594213 121.066074) (xy 207.609159 121.094035) + (xy 207.627233 121.127849) (xy 207.671671 121.181996) (xy 207.685234 121.193127) (xy 216.906204 130.414098) (xy 216.917337 130.427663) + (xy 216.971484 130.472101) (xy 217.03326 130.505121) (xy 217.10029 130.525454) (xy 217.152537 130.5306) (xy 217.152545 130.5306) + (xy 217.17 130.532319) (xy 217.187455 130.5306) (xy 220.197707 130.5306) (xy 220.6244 130.957294) (xy 220.6244 131.331249) + (xy 220.599 131.331249) (xy 220.469899 131.343964) (xy 220.345759 131.381622) (xy 220.231351 131.442774) (xy 220.131071 131.525071) + (xy 220.048774 131.625351) (xy 219.987622 131.739759) (xy 219.949964 131.863899) (xy 219.937249 131.993) (xy 219.937249 132.0038) + (xy 219.482751 132.0038) (xy 219.482751 131.993) (xy 219.470036 131.863899) (xy 219.432378 131.739759) (xy 219.371226 131.625351) + (xy 219.288929 131.525071) (xy 219.188649 131.442774) (xy 219.074241 131.381622) (xy 218.950101 131.343964) (xy 218.821 131.331249) + (xy 218.059 131.331249) (xy 217.929899 131.343964) (xy 217.805759 131.381622) (xy 217.691351 131.442774) (xy 217.591071 131.525071) + (xy 217.508774 131.625351) (xy 217.447622 131.739759) (xy 217.409964 131.863899) (xy 217.397249 131.993) (xy 217.397249 132.0038) + (xy 216.942751 132.0038) (xy 216.942751 131.993) (xy 216.930036 131.863899) (xy 216.892378 131.739759) (xy 216.831226 131.625351) + (xy 216.748929 131.525071) (xy 216.648649 131.442774) (xy 216.534241 131.381622) (xy 216.410101 131.343964) (xy 216.281 131.331249) + (xy 215.519 131.331249) (xy 215.389899 131.343964) (xy 215.265759 131.381622) (xy 215.151351 131.442774) (xy 215.051071 131.525071) + (xy 214.968774 131.625351) (xy 214.907622 131.739759) (xy 214.869964 131.863899) (xy 214.857249 131.993) (xy 214.857249 132.0038) + (xy 214.402751 132.0038) (xy 214.402751 131.993) (xy 214.390036 131.863899) (xy 214.352378 131.739759) (xy 214.291226 131.625351) + (xy 214.208929 131.525071) (xy 214.108649 131.442774) (xy 213.994241 131.381622) (xy 213.870101 131.343964) (xy 213.741 131.331249) + (xy 213.7156 131.331249) (xy 213.7156 130.827455) (xy 213.717319 130.81) (xy 213.7156 130.792544) (xy 213.7156 130.792537) + (xy 213.710454 130.74029) (xy 213.690121 130.67326) (xy 213.657101 130.611484) (xy 213.639438 130.589962) (xy 213.623795 130.570901) + (xy 213.62379 130.570896) (xy 213.612662 130.557337) (xy 213.599104 130.54621) (xy 211.8106 128.757707) (xy 211.8106 127.196934) + (xy 212.1456 127.196934) (xy 212.1456 127.311066) (xy 212.167866 127.423005) (xy 212.211543 127.528449) (xy 212.274951 127.623346) + (xy 212.355654 127.704049) (xy 212.369401 127.713234) (xy 212.3694 128.633544) (xy 212.367681 128.651) (xy 212.3694 128.668455) + (xy 212.3694 128.668462) (xy 212.374546 128.720709) (xy 212.394879 128.787739) (xy 212.427899 128.849515) (xy 212.472337 128.903662) + (xy 212.485901 128.914794) (xy 212.783825 129.212719) (xy 212.7806 129.228934) (xy 212.7806 129.343066) (xy 212.802866 129.455005) + (xy 212.846543 129.560449) (xy 212.909951 129.655346) (xy 212.990654 129.736049) (xy 213.085551 129.799457) (xy 213.190995 129.843134) + (xy 213.302934 129.8654) (xy 213.417066 129.8654) (xy 213.529005 129.843134) (xy 213.634449 129.799457) (xy 213.729346 129.736049) + (xy 213.810049 129.655346) (xy 213.873457 129.560449) (xy 213.917134 129.455005) (xy 213.9394 129.343066) (xy 213.9394 129.228934) + (xy 213.917134 129.116995) (xy 213.873457 129.011551) (xy 213.810049 128.916654) (xy 213.729346 128.835951) (xy 213.634449 128.772543) + (xy 213.529005 128.728866) (xy 213.417066 128.7066) (xy 213.302934 128.7066) (xy 213.286719 128.709825) (xy 213.0806 128.503707) + (xy 213.0806 128.369303) (xy 213.100543 128.417449) (xy 213.163951 128.512346) (xy 213.244654 128.593049) (xy 213.339551 128.656457) + (xy 213.444995 128.700134) (xy 213.556934 128.7224) (xy 213.671066 128.7224) (xy 213.687281 128.719175) (xy 215.383647 130.415542) + (xy 215.342866 130.513995) (xy 215.3206 130.625934) (xy 215.3206 130.740066) (xy 215.342866 130.852005) (xy 215.386543 130.957449) + (xy 215.449951 131.052346) (xy 215.530654 131.133049) (xy 215.625551 131.196457) (xy 215.730995 131.240134) (xy 215.842934 131.2624) + (xy 215.957066 131.2624) (xy 216.069005 131.240134) (xy 216.174449 131.196457) (xy 216.269346 131.133049) (xy 216.350049 131.052346) + (xy 216.413457 130.957449) (xy 216.457134 130.852005) (xy 216.4794 130.740066) (xy 216.4794 130.625934) (xy 216.457134 130.513995) + (xy 216.413457 130.408551) (xy 216.350049 130.313654) (xy 216.269346 130.232951) (xy 216.174449 130.169543) (xy 216.121509 130.147614) + (xy 214.190175 128.216281) (xy 214.1934 128.200066) (xy 214.1934 128.085934) (xy 214.171134 127.973995) (xy 214.127457 127.868551) + (xy 214.064049 127.773654) (xy 213.983346 127.692951) (xy 213.888449 127.629543) (xy 213.783005 127.585866) (xy 213.671066 127.5636) + (xy 213.556934 127.5636) (xy 213.444995 127.585866) (xy 213.339551 127.629543) (xy 213.244654 127.692951) (xy 213.163951 127.773654) + (xy 213.100543 127.868551) (xy 213.0806 127.916697) (xy 213.0806 127.713234) (xy 213.094346 127.704049) (xy 213.175049 127.623346) + (xy 213.238457 127.528449) (xy 213.282134 127.423005) (xy 213.3044 127.311066) (xy 213.3044 127.196934) (xy 213.282134 127.084995) + (xy 213.238457 126.979551) (xy 213.175049 126.884654) (xy 213.094346 126.803951) (xy 212.999449 126.740543) (xy 212.894005 126.696866) + (xy 212.782066 126.6746) (xy 212.667934 126.6746) (xy 212.555995 126.696866) (xy 212.450551 126.740543) (xy 212.355654 126.803951) + (xy 212.274951 126.884654) (xy 212.211543 126.979551) (xy 212.167866 127.084995) (xy 212.1456 127.196934) (xy 211.8106 127.196934) + (xy 211.8106 126.9444) (xy 211.893066 126.9444) (xy 212.005005 126.922134) (xy 212.110449 126.878457) (xy 212.205346 126.815049) + (xy 212.286049 126.734346) (xy 212.349457 126.639449) (xy 212.393134 126.534005) (xy 212.4154 126.422066) (xy 212.4154 126.307934) + (xy 212.393134 126.195995) (xy 212.349457 126.090551) (xy 212.286049 125.995654) (xy 212.205346 125.914951) (xy 212.110449 125.851543) + (xy 212.005005 125.807866) (xy 211.893066 125.7856) (xy 211.778934 125.7856) (xy 211.666995 125.807866) (xy 211.561551 125.851543) + (xy 211.466654 125.914951) (xy 211.385951 125.995654) (xy 211.322543 126.090551) (xy 211.3026 126.138697) (xy 211.3026 125.935234) + (xy 211.316346 125.926049) (xy 211.397049 125.845346) (xy 211.460457 125.750449) (xy 211.504134 125.645005) (xy 211.5264 125.533066) + (xy 211.5264 125.418934) (xy 211.504134 125.306995) (xy 211.460457 125.201551) (xy 211.397049 125.106654) (xy 211.316346 125.025951) + (xy 211.221449 124.962543) (xy 211.116005 124.918866) (xy 211.004066 124.8966) (xy 210.889934 124.8966) (xy 210.777995 124.918866) + (xy 210.672551 124.962543) (xy 210.577654 125.025951) (xy 210.496951 125.106654) (xy 210.433543 125.201551) (xy 210.389866 125.306995) + (xy 210.3676 125.418934) (xy 210.3676 125.533066) (xy 210.389866 125.645005) (xy 210.433543 125.750449) (xy 210.496951 125.845346) + (xy 210.577654 125.926049) (xy 210.591401 125.935234) (xy 210.591401 126.090705) (xy 209.945901 126.736206) (xy 209.932337 126.747338) + (xy 209.887899 126.801485) (xy 209.854879 126.863261) (xy 209.84897 126.882741) (xy 209.836491 126.92388) (xy 209.834546 126.930291) + (xy 209.8294 126.982538) (xy 209.8294 126.982545) (xy 209.827681 127) (xy 209.8294 127.017456) (xy 209.829401 128.757705) + (xy 208.040902 130.546205) (xy 208.027337 130.557338) (xy 207.982899 130.611485) (xy 207.949879 130.673261) (xy 207.9384 130.711103) + (xy 207.929547 130.740289) (xy 207.929546 130.740291) (xy 207.9244 130.792538) (xy 207.9244 130.792545) (xy 207.922681 130.81) + (xy 207.9244 130.827456) (xy 207.9244 131.331249) (xy 207.899 131.331249) (xy 207.769899 131.343964) (xy 207.645759 131.381622) + (xy 207.531351 131.442774) (xy 207.431071 131.525071) (xy 207.348774 131.625351) (xy 207.287622 131.739759) (xy 207.249964 131.863899) + (xy 207.237249 131.993) (xy 207.237249 132.0038) (xy 206.782751 132.0038) (xy 206.782751 131.993) (xy 206.770036 131.863899) + (xy 206.732378 131.739759) (xy 206.671226 131.625351) (xy 206.588929 131.525071) (xy 206.488649 131.442774) (xy 206.374241 131.381622) + (xy 206.250101 131.343964) (xy 206.121 131.331249) (xy 205.359 131.331249) (xy 205.229899 131.343964) (xy 205.105759 131.381622) + (xy 204.991351 131.442774) (xy 204.891071 131.525071) (xy 204.808774 131.625351) (xy 204.747622 131.739759) (xy 204.709964 131.863899) + (xy 204.697249 131.993) (xy 204.697249 132.0038) (xy 204.242751 132.0038) (xy 204.242751 131.993) (xy 204.230036 131.863899) + (xy 204.192378 131.739759) (xy 204.131226 131.625351) (xy 204.048929 131.525071) (xy 203.948649 131.442774) (xy 203.834241 131.381622) + (xy 203.710101 131.343964) (xy 203.581 131.331249) (xy 202.819 131.331249) (xy 202.689899 131.343964) (xy 202.565759 131.381622) + (xy 202.451351 131.442774) (xy 202.351071 131.525071) (xy 202.268774 131.625351) (xy 202.207622 131.739759) (xy 202.169964 131.863899) + (xy 202.157249 131.993) (xy 202.157249 132.0038) (xy 201.702751 132.0038) (xy 201.702751 131.993) (xy 201.690036 131.863899) + (xy 201.652378 131.739759) (xy 201.591226 131.625351) (xy 201.508929 131.525071) (xy 201.408649 131.442774) (xy 201.294241 131.381622) + (xy 201.170101 131.343964) (xy 201.041 131.331249) (xy 201.0156 131.331249) (xy 201.0156 129.687293) (xy 201.276276 129.426617) + (xy 202.0488 129.426617) (xy 202.0488 129.653383) (xy 202.09304 129.875793) (xy 202.17982 130.085298) (xy 202.305805 130.273847) + (xy 202.466153 130.434195) (xy 202.654702 130.56018) (xy 202.864207 130.64696) (xy 203.086617 130.6912) (xy 203.313383 130.6912) + (xy 203.535793 130.64696) (xy 203.745298 130.56018) (xy 203.933847 130.434195) (xy 204.094195 130.273847) (xy 204.22018 130.085298) + (xy 204.30696 129.875793) (xy 204.3512 129.653383) (xy 204.3512 129.426617) (xy 204.30696 129.204207) (xy 204.22018 128.994702) + (xy 204.122113 128.847934) (xy 204.5256 128.847934) (xy 204.5256 128.962066) (xy 204.547866 129.074005) (xy 204.591543 129.179449) + (xy 204.654951 129.274346) (xy 204.735654 129.355049) (xy 204.830551 129.418457) (xy 204.935995 129.462134) (xy 205.047934 129.4844) + (xy 205.162066 129.4844) (xy 205.274005 129.462134) (xy 205.379449 129.418457) (xy 205.474346 129.355049) (xy 205.555049 129.274346) + (xy 205.618457 129.179449) (xy 205.662134 129.074005) (xy 205.6844 128.962066) (xy 205.6844 128.847934) (xy 205.681175 128.831719) + (xy 208.554295 125.9586) (xy 209.532545 125.9586) (xy 209.55 125.960319) (xy 209.567455 125.9586) (xy 209.567463 125.9586) + (xy 209.61971 125.953454) (xy 209.68674 125.933121) (xy 209.748516 125.900101) (xy 209.802663 125.855663) (xy 209.813798 125.842095) + (xy 210.297105 125.35879) (xy 210.310663 125.347663) (xy 210.32179 125.334105) (xy 210.321795 125.3341) (xy 210.3551 125.293517) + (xy 210.355947 125.291934) (xy 210.388121 125.23174) (xy 210.408454 125.16471) (xy 210.4136 125.112463) (xy 210.4136 125.112454) + (xy 210.415319 125.095001) (xy 210.4136 125.077548) (xy 210.4136 125.046234) (xy 210.427346 125.037049) (xy 210.508049 124.956346) + (xy 210.571457 124.861449) (xy 210.615134 124.756005) (xy 210.6374 124.644066) (xy 210.6374 124.529934) (xy 210.615134 124.417995) + (xy 210.571457 124.312551) (xy 210.508049 124.217654) (xy 210.427346 124.136951) (xy 210.332449 124.073543) (xy 210.227005 124.029866) + (xy 210.115066 124.0076) (xy 210.000934 124.0076) (xy 209.888995 124.029866) (xy 209.783551 124.073543) (xy 209.688654 124.136951) + (xy 209.607951 124.217654) (xy 209.544543 124.312551) (xy 209.500866 124.417995) (xy 209.4786 124.529934) (xy 209.4786 124.644066) + (xy 209.500866 124.756005) (xy 209.544543 124.861449) (xy 209.607951 124.956346) (xy 209.650855 124.99925) (xy 209.402707 125.2474) + (xy 208.424454 125.2474) (xy 208.406999 125.245681) (xy 208.389544 125.2474) (xy 208.389537 125.2474) (xy 208.344187 125.251867) + (xy 208.337289 125.252546) (xy 208.328168 125.255313) (xy 208.27026 125.272879) (xy 208.208484 125.305899) (xy 208.208482 125.3059) + (xy 208.208483 125.3059) (xy 208.1679 125.339205) (xy 208.167895 125.33921) (xy 208.154337 125.350337) (xy 208.14321 125.363895) + (xy 205.178281 128.328825) (xy 205.162066 128.3256) (xy 205.047934 128.3256) (xy 204.935995 128.347866) (xy 204.830551 128.391543) + (xy 204.735654 128.454951) (xy 204.654951 128.535654) (xy 204.591543 128.630551) (xy 204.547866 128.735995) (xy 204.5256 128.847934) + (xy 204.122113 128.847934) (xy 204.094195 128.806153) (xy 203.933847 128.645805) (xy 203.745298 128.51982) (xy 203.535793 128.43304) + (xy 203.313383 128.3888) (xy 203.086617 128.3888) (xy 202.864207 128.43304) (xy 202.654702 128.51982) (xy 202.466153 128.645805) + (xy 202.305805 128.806153) (xy 202.17982 128.994702) (xy 202.09304 129.204207) (xy 202.0488 129.426617) (xy 201.276276 129.426617) + (xy 206.014294 124.6886) (xy 208.516545 124.6886) (xy 208.534 124.690319) (xy 208.551455 124.6886) (xy 208.551463 124.6886) + (xy 208.60371 124.683454) (xy 208.67074 124.663121) (xy 208.732516 124.630101) (xy 208.786663 124.585663) (xy 208.797799 124.572094) + (xy 209.095719 124.274175) (xy 209.111934 124.2774) (xy 209.226066 124.2774) (xy 209.338005 124.255134) (xy 209.443449 124.211457) + (xy 209.538346 124.148049) (xy 209.619049 124.067346) (xy 209.682457 123.972449) (xy 209.726134 123.867005) (xy 209.7484 123.755066) + (xy 209.7484 123.640934) (xy 209.726134 123.528995) (xy 209.682457 123.423551) (xy 209.619049 123.328654) (xy 209.538346 123.247951) + (xy 209.443449 123.184543) (xy 209.338005 123.140866) (xy 209.226066 123.1186) (xy 209.111934 123.1186) (xy 208.999995 123.140866) + (xy 208.894551 123.184543) (xy 208.799654 123.247951) (xy 208.718951 123.328654) (xy 208.655543 123.423551) (xy 208.611866 123.528995) + (xy 208.5896 123.640934) (xy 208.5896 123.755066) (xy 208.592825 123.771281) (xy 208.386707 123.9774) (xy 205.884455 123.9774) + (xy 205.866999 123.975681) (xy 205.849544 123.9774) (xy 205.849537 123.9774) (xy 205.804187 123.981867) (xy 205.797289 123.982546) + (xy 205.768102 123.9914) (xy 205.73026 124.002879) (xy 205.668484 124.035899) (xy 205.614337 124.080337) (xy 205.603206 124.0939) + (xy 200.420902 129.276205) (xy 200.407337 129.287338) (xy 200.362899 129.341485) (xy 200.329879 129.403261) (xy 200.32397 129.422741) + (xy 200.309547 129.470289) (xy 200.309546 129.470291) (xy 200.3044 129.522538) (xy 200.3044 129.522545) (xy 200.302681 129.54) + (xy 200.3044 129.557455) (xy 200.3044 131.331249) (xy 200.279 131.331249) (xy 200.149899 131.343964) (xy 200.025759 131.381622) + (xy 199.911351 131.442774) (xy 199.811071 131.525071) (xy 199.728774 131.625351) (xy 199.667622 131.739759) (xy 199.629964 131.863899) + (xy 199.617249 131.993) (xy 199.617249 132.0038) (xy 198.7284 132.0038) (xy 198.7284 114.111) (xy 202.726248 114.111) + (xy 202.731643 114.165772) (xy 202.747619 114.218439) (xy 202.773563 114.266977) (xy 202.808478 114.309522) (xy 202.851023 114.344437) + (xy 202.899561 114.370381) (xy 202.952228 114.386357) (xy 203.007 114.391752) (xy 203.38835 114.3904) (xy 203.4582 114.32055) + (xy 203.4582 113.4848) (xy 203.6058 113.4848) (xy 203.6058 114.32055) (xy 203.67565 114.3904) (xy 204.057 114.391752) + (xy 204.111772 114.386357) (xy 204.164439 114.370381) (xy 204.212977 114.344437) (xy 204.255522 114.309522) (xy 204.290437 114.266977) + (xy 204.316381 114.218439) (xy 204.332357 114.165772) (xy 204.337752 114.111) (xy 204.3364 113.55465) (xy 204.26655 113.4848) + (xy 203.6058 113.4848) (xy 203.4582 113.4848) (xy 202.79745 113.4848) (xy 202.7276 113.55465) (xy 202.726248 114.111) + (xy 198.7284 114.111) (xy 198.7284 112.711) (xy 202.726248 112.711) (xy 202.7276 113.26735) (xy 202.79745 113.3372) + (xy 203.4582 113.3372) (xy 203.4582 112.50145) (xy 203.6058 112.50145) (xy 203.6058 113.3372) (xy 204.26655 113.3372) + (xy 204.3364 113.26735) (xy 204.337752 112.711) (xy 204.332357 112.656228) (xy 204.316381 112.603561) (xy 204.290437 112.555023) + (xy 204.255522 112.512478) (xy 204.212977 112.477563) (xy 204.164439 112.451619) (xy 204.111772 112.435643) (xy 204.057 112.430248) + (xy 203.67565 112.4316) (xy 203.6058 112.50145) (xy 203.4582 112.50145) (xy 203.38835 112.4316) (xy 203.007 112.430248) + (xy 202.952228 112.435643) (xy 202.899561 112.451619) (xy 202.851023 112.477563) (xy 202.808478 112.512478) (xy 202.773563 112.555023) + (xy 202.747619 112.603561) (xy 202.731643 112.656228) (xy 202.726248 112.711) (xy 198.7284 112.711) (xy 198.7284 89.298337) + (xy 198.741217 89.167617) (xy 200.0168 89.167617) (xy 200.0168 89.394383) (xy 200.06104 89.616793) (xy 200.14782 89.826298) + (xy 200.273805 90.014847) (xy 200.434153 90.175195) (xy 200.622702 90.30118) (xy 200.832207 90.38796) (xy 201.054617 90.4322) + (xy 201.281383 90.4322) (xy 201.503793 90.38796) (xy 201.713298 90.30118) (xy 201.901847 90.175195) (xy 202.062195 90.014847) + (xy 202.18818 89.826298) (xy 202.27496 89.616793) (xy 202.3192 89.394383) (xy 202.3192 89.167617) (xy 202.27496 88.945207) + (xy 202.18818 88.735702) (xy 202.062195 88.547153) (xy 201.901847 88.386805) (xy 201.713298 88.26082) (xy 201.503793 88.17404) + (xy 201.281383 88.1298) (xy 201.054617 88.1298) (xy 200.832207 88.17404) (xy 200.622702 88.26082) (xy 200.434153 88.386805) + (xy 200.273805 88.547153) (xy 200.14782 88.735702) (xy 200.06104 88.945207) (xy 200.0168 89.167617) (xy 198.741217 89.167617) + (xy 198.771692 88.85681) (xy 198.894882 88.448783) (xy 199.094982 88.072447) (xy 199.364369 87.742148) (xy 199.69278 87.470463) + (xy 200.067703 87.267744) (xy 200.474861 87.141706) (xy 200.915436 87.0954) (xy 274.048663 87.0954) + ) + ) + (filled_polygon + (pts + (xy 230.7844 129.687295) (xy 230.7844 131.331249) (xy 230.759 131.331249) (xy 230.629899 131.343964) (xy 230.505759 131.381622) + (xy 230.391351 131.442774) (xy 230.291071 131.525071) (xy 230.208774 131.625351) (xy 230.147622 131.739759) (xy 230.109964 131.863899) + (xy 230.097249 131.993) (xy 230.097249 132.0038) (xy 229.642751 132.0038) (xy 229.642751 131.993) (xy 229.630036 131.863899) + (xy 229.592378 131.739759) (xy 229.531226 131.625351) (xy 229.448929 131.525071) (xy 229.348649 131.442774) (xy 229.234241 131.381622) + (xy 229.110101 131.343964) (xy 228.981 131.331249) (xy 228.219 131.331249) (xy 228.089899 131.343964) (xy 227.965759 131.381622) + (xy 227.851351 131.442774) (xy 227.751071 131.525071) (xy 227.668774 131.625351) (xy 227.607622 131.739759) (xy 227.569964 131.863899) + (xy 227.557249 131.993) (xy 227.557249 132.0038) (xy 227.102751 132.0038) (xy 227.102751 131.993) (xy 227.090036 131.863899) + (xy 227.052378 131.739759) (xy 226.991226 131.625351) (xy 226.908929 131.525071) (xy 226.808649 131.442774) (xy 226.694241 131.381622) + (xy 226.570101 131.343964) (xy 226.441 131.331249) (xy 225.679 131.331249) (xy 225.549899 131.343964) (xy 225.425759 131.381622) + (xy 225.311351 131.442774) (xy 225.211071 131.525071) (xy 225.128774 131.625351) (xy 225.067622 131.739759) (xy 225.029964 131.863899) + (xy 225.017249 131.993) (xy 225.017249 132.0038) (xy 224.562751 132.0038) (xy 224.562751 131.993) (xy 224.550036 131.863899) + (xy 224.512378 131.739759) (xy 224.451226 131.625351) (xy 224.368929 131.525071) (xy 224.268649 131.442774) (xy 224.154241 131.381622) + (xy 224.030101 131.343964) (xy 223.901 131.331249) (xy 223.8756 131.331249) (xy 223.8756 130.192455) (xy 223.877319 130.175) + (xy 223.8756 130.157545) (xy 223.8756 130.157537) (xy 223.870454 130.10529) (xy 223.850121 130.03826) (xy 223.817101 129.976484) + (xy 223.8171 129.976483) (xy 223.783795 129.935901) (xy 223.78379 129.935896) (xy 223.772662 129.922337) (xy 223.759104 129.91121) + (xy 223.235493 129.3876) (xy 226.039707 129.3876) (xy 226.753825 130.10172) (xy 226.7506 130.117934) (xy 226.7506 130.232066) + (xy 226.772866 130.344005) (xy 226.816543 130.449449) (xy 226.879951 130.544346) (xy 226.960654 130.625049) (xy 227.055551 130.688457) + (xy 227.160995 130.732134) (xy 227.272934 130.7544) (xy 227.387066 130.7544) (xy 227.499005 130.732134) (xy 227.604449 130.688457) + (xy 227.699346 130.625049) (xy 227.780049 130.544346) (xy 227.843457 130.449449) (xy 227.887134 130.344005) (xy 227.9094 130.232066) + (xy 227.9094 130.117934) (xy 227.887134 130.005995) (xy 227.843457 129.900551) (xy 227.780049 129.805654) (xy 227.699346 129.724951) + (xy 227.604449 129.661543) (xy 227.499005 129.617866) (xy 227.387066 129.5956) (xy 227.272934 129.5956) (xy 227.25672 129.598825) + (xy 226.664493 129.0066) (xy 228.198707 129.0066) (xy 229.293825 130.10172) (xy 229.2906 130.117934) (xy 229.2906 130.232066) + (xy 229.312866 130.344005) (xy 229.356543 130.449449) (xy 229.419951 130.544346) (xy 229.500654 130.625049) (xy 229.595551 130.688457) + (xy 229.700995 130.732134) (xy 229.812934 130.7544) (xy 229.927066 130.7544) (xy 230.039005 130.732134) (xy 230.144449 130.688457) + (xy 230.239346 130.625049) (xy 230.320049 130.544346) (xy 230.383457 130.449449) (xy 230.427134 130.344005) (xy 230.4494 130.232066) + (xy 230.4494 130.117934) (xy 230.427134 130.005995) (xy 230.383457 129.900551) (xy 230.320049 129.805654) (xy 230.239346 129.724951) + (xy 230.144449 129.661543) (xy 230.039005 129.617866) (xy 229.927066 129.5956) (xy 229.812934 129.5956) (xy 229.79672 129.598825) + (xy 228.823493 128.6256) (xy 229.722707 128.6256) + ) + ) + (filled_polygon + (pts + (xy 223.1644 130.322294) (xy 223.1644 131.331249) (xy 223.139 131.331249) (xy 223.009899 131.343964) (xy 222.885759 131.381622) + (xy 222.771351 131.442774) (xy 222.671071 131.525071) (xy 222.588774 131.625351) (xy 222.527622 131.739759) (xy 222.489964 131.863899) + (xy 222.477249 131.993) (xy 222.477249 132.0038) (xy 222.022751 132.0038) (xy 222.022751 131.993) (xy 222.010036 131.863899) + (xy 221.972378 131.739759) (xy 221.911226 131.625351) (xy 221.828929 131.525071) (xy 221.728649 131.442774) (xy 221.614241 131.381622) + (xy 221.490101 131.343964) (xy 221.361 131.331249) (xy 221.3356 131.331249) (xy 221.3356 130.827455) (xy 221.337319 130.81) + (xy 221.3356 130.792545) (xy 221.3356 130.792537) (xy 221.330454 130.74029) (xy 221.310121 130.67326) (xy 221.277101 130.611484) + (xy 221.2771 130.611483) (xy 221.243795 130.570901) (xy 221.24379 130.570896) (xy 221.232662 130.557337) (xy 221.219104 130.54621) + (xy 220.822493 130.1496) (xy 221.6706 130.1496) (xy 221.6706 130.232066) (xy 221.692866 130.344005) (xy 221.736543 130.449449) + (xy 221.799951 130.544346) (xy 221.880654 130.625049) (xy 221.975551 130.688457) (xy 222.080995 130.732134) (xy 222.192934 130.7544) + (xy 222.307066 130.7544) (xy 222.419005 130.732134) (xy 222.524449 130.688457) (xy 222.619346 130.625049) (xy 222.700049 130.544346) + (xy 222.763457 130.449449) (xy 222.807134 130.344005) (xy 222.8294 130.232066) (xy 222.8294 130.117934) (xy 222.807134 130.005995) + (xy 222.778165 129.936058) + ) + ) + (filled_polygon + (pts + (xy 211.2566 126.422066) (xy 211.259825 126.438281) (xy 211.215901 126.482206) (xy 211.202337 126.493338) (xy 211.157899 126.547485) + (xy 211.124879 126.609261) (xy 211.104546 126.676291) (xy 211.0994 126.728538) (xy 211.0994 126.728545) (xy 211.097681 126.746) + (xy 211.0994 126.763456) (xy 211.099401 128.887535) (xy 211.097681 128.905) (xy 211.104546 128.97471) (xy 211.12488 129.041741) + (xy 211.13325 129.0574) (xy 211.1579 129.103516) (xy 211.202338 129.157663) (xy 211.215901 129.168794) (xy 213.0044 130.957294) + (xy 213.0044 131.331249) (xy 212.979 131.331249) (xy 212.849899 131.343964) (xy 212.725759 131.381622) (xy 212.611351 131.442774) + (xy 212.511071 131.525071) (xy 212.428774 131.625351) (xy 212.367622 131.739759) (xy 212.329964 131.863899) (xy 212.317249 131.993) + (xy 212.317249 132.0038) (xy 211.862751 132.0038) (xy 211.862751 131.993) (xy 211.850036 131.863899) (xy 211.812378 131.739759) + (xy 211.751226 131.625351) (xy 211.668929 131.525071) (xy 211.568649 131.442774) (xy 211.454241 131.381622) (xy 211.330101 131.343964) + (xy 211.201 131.331249) (xy 210.439 131.331249) (xy 210.309899 131.343964) (xy 210.185759 131.381622) (xy 210.071351 131.442774) + (xy 209.971071 131.525071) (xy 209.888774 131.625351) (xy 209.827622 131.739759) (xy 209.789964 131.863899) (xy 209.777249 131.993) + (xy 209.777249 132.0038) (xy 209.322751 132.0038) (xy 209.322751 131.993) (xy 209.310036 131.863899) (xy 209.272378 131.739759) + (xy 209.211226 131.625351) (xy 209.128929 131.525071) (xy 209.028649 131.442774) (xy 208.914241 131.381622) (xy 208.790101 131.343964) + (xy 208.661 131.331249) (xy 208.6356 131.331249) (xy 208.6356 130.957293) (xy 210.4241 129.168794) (xy 210.437663 129.157663) + (xy 210.482101 129.103516) (xy 210.515121 129.04174) (xy 210.535454 128.97471) (xy 210.5406 128.922463) (xy 210.5406 128.922456) + (xy 210.542319 128.905) (xy 210.5406 128.887545) (xy 210.5406 127.147293) (xy 211.1861 126.501794) (xy 211.199663 126.490663) + (xy 211.244101 126.436516) (xy 211.2566 126.413132) + ) + ) + (filled_polygon + (pts + (xy 233.3244 129.560295) (xy 233.3244 131.331249) (xy 233.299 131.331249) (xy 233.169899 131.343964) (xy 233.045759 131.381622) + (xy 232.931351 131.442774) (xy 232.831071 131.525071) (xy 232.748774 131.625351) (xy 232.687622 131.739759) (xy 232.649964 131.863899) + (xy 232.637249 131.993) (xy 232.637249 132.0038) (xy 232.182751 132.0038) (xy 232.182751 131.993) (xy 232.170036 131.863899) + (xy 232.132378 131.739759) (xy 232.071226 131.625351) (xy 231.988929 131.525071) (xy 231.888649 131.442774) (xy 231.774241 131.381622) + (xy 231.650101 131.343964) (xy 231.521 131.331249) (xy 231.4956 131.331249) (xy 231.4956 129.557452) (xy 231.497319 129.539999) + (xy 231.4956 129.522546) (xy 231.4956 129.522537) (xy 231.490454 129.47029) (xy 231.470121 129.40326) (xy 231.465994 129.395538) + (xy 231.462669 129.389319) (xy 231.437101 129.341484) (xy 231.433933 129.337624) (xy 231.403795 129.3009) (xy 231.403785 129.30089) + (xy 231.392662 129.287337) (xy 231.37911 129.276215) (xy 230.347493 128.2446) (xy 232.008707 128.2446) + ) + ) + (filled_polygon + (pts + (xy 262.902326 121.201759) (xy 263.017053 121.263082) (xy 263.141539 121.300844) (xy 263.238561 121.3104) (xy 267.165135 121.3104) + (xy 267.230686 121.364197) (xy 267.324607 121.414398) (xy 267.426517 121.445313) (xy 267.5325 121.455751) (xy 268.4075 121.455751) + (xy 268.513483 121.445313) (xy 268.615393 121.414398) (xy 268.709314 121.364197) (xy 268.774865 121.3104) (xy 273.278601 121.3104) + (xy 273.2786 131.97928) (xy 273.277249 131.993) (xy 273.277249 132.0038) (xy 272.822751 132.0038) (xy 272.822751 131.993) + (xy 272.810036 131.863899) (xy 272.772378 131.739759) (xy 272.711226 131.625351) (xy 272.628929 131.525071) (xy 272.528649 131.442774) + (xy 272.414241 131.381622) (xy 272.290101 131.343964) (xy 272.161 131.331249) (xy 271.399 131.331249) (xy 271.269899 131.343964) + (xy 271.145759 131.381622) (xy 271.031351 131.442774) (xy 270.931071 131.525071) (xy 270.848774 131.625351) (xy 270.787622 131.739759) + (xy 270.749964 131.863899) (xy 270.737249 131.993) (xy 270.737249 132.0038) (xy 270.282751 132.0038) (xy 270.282751 131.993) + (xy 270.270036 131.863899) (xy 270.232378 131.739759) (xy 270.171226 131.625351) (xy 270.088929 131.525071) (xy 269.988649 131.442774) + (xy 269.874241 131.381622) (xy 269.750101 131.343964) (xy 269.621 131.331249) (xy 268.859 131.331249) (xy 268.729899 131.343964) + (xy 268.605759 131.381622) (xy 268.491351 131.442774) (xy 268.391071 131.525071) (xy 268.308774 131.625351) (xy 268.247622 131.739759) + (xy 268.209964 131.863899) (xy 268.197249 131.993) (xy 268.197249 132.0038) (xy 267.742751 132.0038) (xy 267.742751 131.993) + (xy 267.730036 131.863899) (xy 267.692378 131.739759) (xy 267.631226 131.625351) (xy 267.548929 131.525071) (xy 267.448649 131.442774) + (xy 267.334241 131.381622) (xy 267.210101 131.343964) (xy 267.081 131.331249) (xy 266.319 131.331249) (xy 266.189899 131.343964) + (xy 266.065759 131.381622) (xy 265.951351 131.442774) (xy 265.851071 131.525071) (xy 265.768774 131.625351) (xy 265.707622 131.739759) + (xy 265.669964 131.863899) (xy 265.657249 131.993) (xy 265.657249 132.0038) (xy 265.202751 132.0038) (xy 265.202751 131.993) + (xy 265.190036 131.863899) (xy 265.152378 131.739759) (xy 265.091226 131.625351) (xy 265.008929 131.525071) (xy 264.908649 131.442774) + (xy 264.794241 131.381622) (xy 264.670101 131.343964) (xy 264.541 131.331249) (xy 264.5156 131.331249) (xy 264.5156 129.426617) + (xy 270.6288 129.426617) (xy 270.6288 129.653383) (xy 270.67304 129.875793) (xy 270.75982 130.085298) (xy 270.885805 130.273847) + (xy 271.046153 130.434195) (xy 271.234702 130.56018) (xy 271.444207 130.64696) (xy 271.666617 130.6912) (xy 271.893383 130.6912) + (xy 272.115793 130.64696) (xy 272.325298 130.56018) (xy 272.513847 130.434195) (xy 272.674195 130.273847) (xy 272.80018 130.085298) + (xy 272.88696 129.875793) (xy 272.9312 129.653383) (xy 272.9312 129.426617) (xy 272.88696 129.204207) (xy 272.80018 128.994702) + (xy 272.674195 128.806153) (xy 272.513847 128.645805) (xy 272.325298 128.51982) (xy 272.115793 128.43304) (xy 271.893383 128.3888) + (xy 271.666617 128.3888) (xy 271.444207 128.43304) (xy 271.234702 128.51982) (xy 271.046153 128.645805) (xy 270.885805 128.806153) + (xy 270.75982 128.994702) (xy 270.67304 129.204207) (xy 270.6288 129.426617) (xy 264.5156 129.426617) (xy 264.5156 123.969455) + (xy 264.517319 123.952) (xy 264.5156 123.934545) (xy 264.5156 123.934537) (xy 264.510454 123.88229) (xy 264.490121 123.81526) + (xy 264.457101 123.753484) (xy 264.412663 123.699337) (xy 264.3991 123.688206) (xy 263.661799 122.950906) (xy 263.650663 122.937337) + (xy 263.596516 122.892899) (xy 263.53474 122.859879) (xy 263.46771 122.839546) (xy 263.415463 122.8344) (xy 263.415455 122.8344) + (xy 263.398 122.832681) (xy 263.380545 122.8344) (xy 263.076176 122.8344) (xy 263.058156 122.800688) (xy 263.004587 122.735413) + (xy 262.939312 122.681844) (xy 262.864841 122.642038) (xy 262.784035 122.617526) (xy 262.7 122.609249) (xy 261.3 122.609249) + (xy 261.215965 122.617526) (xy 261.135159 122.642038) (xy 261.060688 122.681844) (xy 260.995413 122.735413) (xy 260.941844 122.800688) + (xy 260.902038 122.875159) (xy 260.877526 122.955965) (xy 260.869249 123.04) (xy 260.869249 123.34) (xy 260.877526 123.424035) + (xy 260.902038 123.504841) (xy 260.941844 123.579312) (xy 260.995413 123.644587) (xy 261.060688 123.698156) (xy 261.135159 123.737962) + (xy 261.215965 123.762474) (xy 261.3 123.770751) (xy 262.7 123.770751) (xy 262.784035 123.762474) (xy 262.864841 123.737962) + (xy 262.939312 123.698156) (xy 263.004587 123.644587) (xy 263.058156 123.579312) (xy 263.076176 123.5456) (xy 263.250707 123.5456) + (xy 263.804401 124.099295) (xy 263.8044 131.331249) (xy 263.779 131.331249) (xy 263.649899 131.343964) (xy 263.525759 131.381622) + (xy 263.411351 131.442774) (xy 263.311071 131.525071) (xy 263.228774 131.625351) (xy 263.167622 131.739759) (xy 263.129964 131.863899) + (xy 263.117249 131.993) (xy 263.117249 132.0038) (xy 262.662751 132.0038) (xy 262.662751 131.993) (xy 262.650036 131.863899) + (xy 262.612378 131.739759) (xy 262.551226 131.625351) (xy 262.468929 131.525071) (xy 262.368649 131.442774) (xy 262.254241 131.381622) + (xy 262.130101 131.343964) (xy 262.001 131.331249) (xy 261.239 131.331249) (xy 261.109899 131.343964) (xy 260.985759 131.381622) + (xy 260.871351 131.442774) (xy 260.771071 131.525071) (xy 260.688774 131.625351) (xy 260.627622 131.739759) (xy 260.589964 131.863899) + (xy 260.577249 131.993) (xy 260.577249 132.0038) (xy 260.122751 132.0038) (xy 260.122751 131.993) (xy 260.110036 131.863899) + (xy 260.072378 131.739759) (xy 260.011226 131.625351) (xy 259.928929 131.525071) (xy 259.828649 131.442774) (xy 259.714241 131.381622) + (xy 259.590101 131.343964) (xy 259.461 131.331249) (xy 258.699 131.331249) (xy 258.569899 131.343964) (xy 258.445759 131.381622) + (xy 258.331351 131.442774) (xy 258.231071 131.525071) (xy 258.148774 131.625351) (xy 258.087622 131.739759) (xy 258.049964 131.863899) + (xy 258.037249 131.993) (xy 258.037249 132.0038) (xy 257.582751 132.0038) (xy 257.582751 131.993) (xy 257.570036 131.863899) + (xy 257.532378 131.739759) (xy 257.471226 131.625351) (xy 257.388929 131.525071) (xy 257.288649 131.442774) (xy 257.174241 131.381622) + (xy 257.050101 131.343964) (xy 256.921 131.331249) (xy 256.8956 131.331249) (xy 256.8956 130.957293) (xy 258.592294 129.2606) + (xy 260.332545 129.2606) (xy 260.35 129.262319) (xy 260.367455 129.2606) (xy 260.367463 129.2606) (xy 260.41971 129.255454) + (xy 260.48674 129.235121) (xy 260.548516 129.202101) (xy 260.602663 129.157663) (xy 260.613799 129.144094) (xy 262.239105 127.51879) + (xy 262.252663 127.507663) (xy 262.26379 127.494105) (xy 262.263795 127.4941) (xy 262.2971 127.453517) (xy 262.297636 127.452516) + (xy 262.330121 127.39174) (xy 262.350454 127.32471) (xy 262.3556 127.272463) (xy 262.3556 127.272454) (xy 262.357319 127.255001) + (xy 262.3556 127.237548) (xy 262.3556 125.040751) (xy 262.7 125.040751) (xy 262.784035 125.032474) (xy 262.864841 125.007962) + (xy 262.939312 124.968156) (xy 263.004587 124.914587) (xy 263.058156 124.849312) (xy 263.097962 124.774841) (xy 263.122474 124.694035) + (xy 263.130751 124.61) (xy 263.130751 124.31) (xy 263.122474 124.225965) (xy 263.097962 124.145159) (xy 263.058156 124.070688) + (xy 263.004587 124.005413) (xy 262.939312 123.951844) (xy 262.864841 123.912038) (xy 262.784035 123.887526) (xy 262.7 123.879249) + (xy 261.3 123.879249) (xy 261.215965 123.887526) (xy 261.135159 123.912038) (xy 261.060688 123.951844) (xy 260.995413 124.005413) + (xy 260.941844 124.070688) (xy 260.902038 124.145159) (xy 260.877526 124.225965) (xy 260.869249 124.31) (xy 260.869249 124.61) + (xy 260.877526 124.694035) (xy 260.902038 124.774841) (xy 260.941844 124.849312) (xy 260.995413 124.914587) (xy 261.060688 124.968156) + (xy 261.135159 125.007962) (xy 261.215965 125.032474) (xy 261.3 125.040751) (xy 261.6444 125.040751) (xy 261.644401 127.107704) + (xy 260.202707 128.5494) (xy 258.462455 128.5494) (xy 258.445 128.547681) (xy 258.427544 128.5494) (xy 258.427537 128.5494) + (xy 258.381566 128.553928) (xy 258.375289 128.554546) (xy 258.354956 128.560714) (xy 258.30826 128.574879) (xy 258.246484 128.607899) + (xy 258.192337 128.652337) (xy 258.181206 128.6659) (xy 256.300901 130.546206) (xy 256.287338 130.557337) (xy 256.2429 130.611484) + (xy 256.234331 130.627515) (xy 256.20988 130.673259) (xy 256.189546 130.74029) (xy 256.182681 130.81) (xy 256.184401 130.827466) + (xy 256.184401 131.331249) (xy 256.159 131.331249) (xy 256.029899 131.343964) (xy 255.905759 131.381622) (xy 255.791351 131.442774) + (xy 255.691071 131.525071) (xy 255.608774 131.625351) (xy 255.547622 131.739759) (xy 255.509964 131.863899) (xy 255.497249 131.993) + (xy 255.497249 132.0038) (xy 255.042751 132.0038) (xy 255.042751 131.993) (xy 255.030036 131.863899) (xy 254.992378 131.739759) + (xy 254.931226 131.625351) (xy 254.848929 131.525071) (xy 254.748649 131.442774) (xy 254.634241 131.381622) (xy 254.510101 131.343964) + (xy 254.381 131.331249) (xy 254.3556 131.331249) (xy 254.3556 129.687293) (xy 255.509105 128.53379) (xy 255.522663 128.522663) + (xy 255.53379 128.509105) (xy 255.533795 128.5091) (xy 255.5671 128.468517) (xy 255.567102 128.468515) (xy 255.600121 128.40674) + (xy 255.620454 128.33971) (xy 255.6256 128.287463) (xy 255.6256 128.287454) (xy 255.627319 128.270001) (xy 255.6256 128.252548) + (xy 255.6256 127.186176) (xy 255.659312 127.168156) (xy 255.724587 127.114587) (xy 255.778156 127.049312) (xy 255.817962 126.974841) + (xy 255.842474 126.894035) (xy 255.850751 126.81) (xy 255.850751 125.41) (xy 255.959249 125.41) (xy 255.959249 126.81) + (xy 255.967526 126.894035) (xy 255.992038 126.974841) (xy 256.031844 127.049312) (xy 256.085413 127.114587) (xy 256.150688 127.168156) + (xy 256.225159 127.207962) (xy 256.305965 127.232474) (xy 256.39 127.240751) (xy 256.69 127.240751) (xy 256.774035 127.232474) + (xy 256.854841 127.207962) (xy 256.929312 127.168156) (xy 256.994587 127.114587) (xy 257.048156 127.049312) (xy 257.087962 126.974841) + (xy 257.092463 126.96) (xy 257.229248 126.96) (xy 257.234643 127.014772) (xy 257.250619 127.067439) (xy 257.276563 127.115977) + (xy 257.311478 127.158522) (xy 257.354023 127.193437) (xy 257.402561 127.219381) (xy 257.455228 127.235357) (xy 257.51 127.240752) + (xy 257.66635 127.2394) (xy 257.7362 127.16955) (xy 257.7362 126.1838) (xy 257.8838 126.1838) (xy 257.8838 127.16955) + (xy 257.95365 127.2394) (xy 258.11 127.240752) (xy 258.164772 127.235357) (xy 258.217439 127.219381) (xy 258.265977 127.193437) + (xy 258.308522 127.158522) (xy 258.343437 127.115977) (xy 258.369381 127.067439) (xy 258.385357 127.014772) (xy 258.390752 126.96) + (xy 258.3894 126.25365) (xy 258.31955 126.1838) (xy 257.8838 126.1838) (xy 257.7362 126.1838) (xy 257.30045 126.1838) + (xy 257.2306 126.25365) (xy 257.229248 126.96) (xy 257.092463 126.96) (xy 257.112474 126.894035) (xy 257.120751 126.81) + (xy 257.120751 125.41) (xy 257.112474 125.325965) (xy 257.092464 125.26) (xy 257.229248 125.26) (xy 257.2306 125.96635) + (xy 257.30045 126.0362) (xy 257.7362 126.0362) (xy 257.7362 125.05045) (xy 257.8838 125.05045) (xy 257.8838 126.0362) + (xy 258.31955 126.0362) (xy 258.3894 125.96635) (xy 258.390464 125.41) (xy 258.499249 125.41) (xy 258.499249 126.81) + (xy 258.507526 126.894035) (xy 258.532038 126.974841) (xy 258.571844 127.049312) (xy 258.590004 127.07144) (xy 258.566543 127.106551) + (xy 258.522866 127.211995) (xy 258.5006 127.323934) (xy 258.5006 127.438066) (xy 258.522866 127.550005) (xy 258.566543 127.655449) + (xy 258.629951 127.750346) (xy 258.710654 127.831049) (xy 258.805551 127.894457) (xy 258.910995 127.938134) (xy 259.022934 127.9604) + (xy 259.137066 127.9604) (xy 259.249005 127.938134) (xy 259.354449 127.894457) (xy 259.449346 127.831049) (xy 259.530049 127.750346) + (xy 259.593457 127.655449) (xy 259.637134 127.550005) (xy 259.6594 127.438066) (xy 259.6594 127.323934) (xy 259.637134 127.211995) + (xy 259.593457 127.106551) (xy 259.569996 127.07144) (xy 259.588156 127.049312) (xy 259.627962 126.974841) (xy 259.652474 126.894035) + (xy 259.660751 126.81) (xy 259.660751 125.41) (xy 259.769249 125.41) (xy 259.769249 126.81) (xy 259.777526 126.894035) + (xy 259.802038 126.974841) (xy 259.841844 127.049312) (xy 259.860004 127.07144) (xy 259.836543 127.106551) (xy 259.792866 127.211995) + (xy 259.7706 127.323934) (xy 259.7706 127.438066) (xy 259.792866 127.550005) (xy 259.836543 127.655449) (xy 259.899951 127.750346) + (xy 259.980654 127.831049) (xy 260.075551 127.894457) (xy 260.180995 127.938134) (xy 260.292934 127.9604) (xy 260.407066 127.9604) + (xy 260.519005 127.938134) (xy 260.624449 127.894457) (xy 260.719346 127.831049) (xy 260.800049 127.750346) (xy 260.863457 127.655449) + (xy 260.907134 127.550005) (xy 260.9294 127.438066) (xy 260.9294 127.323934) (xy 260.907134 127.211995) (xy 260.863457 127.106551) + (xy 260.839996 127.07144) (xy 260.858156 127.049312) (xy 260.897962 126.974841) (xy 260.922474 126.894035) (xy 260.930751 126.81) + (xy 260.930751 125.41) (xy 260.922474 125.325965) (xy 260.897962 125.245159) (xy 260.858156 125.170688) (xy 260.804587 125.105413) + (xy 260.739312 125.051844) (xy 260.664841 125.012038) (xy 260.584035 124.987526) (xy 260.5 124.979249) (xy 260.2 124.979249) + (xy 260.115965 124.987526) (xy 260.035159 125.012038) (xy 259.960688 125.051844) (xy 259.895413 125.105413) (xy 259.841844 125.170688) + (xy 259.802038 125.245159) (xy 259.777526 125.325965) (xy 259.769249 125.41) (xy 259.660751 125.41) (xy 259.652474 125.325965) + (xy 259.627962 125.245159) (xy 259.588156 125.170688) (xy 259.534587 125.105413) (xy 259.469312 125.051844) (xy 259.394841 125.012038) + (xy 259.314035 124.987526) (xy 259.23 124.979249) (xy 258.93 124.979249) (xy 258.845965 124.987526) (xy 258.765159 125.012038) + (xy 258.690688 125.051844) (xy 258.625413 125.105413) (xy 258.571844 125.170688) (xy 258.532038 125.245159) (xy 258.507526 125.325965) + (xy 258.499249 125.41) (xy 258.390464 125.41) (xy 258.390752 125.26) (xy 258.385357 125.205228) (xy 258.369381 125.152561) + (xy 258.343437 125.104023) (xy 258.308522 125.061478) (xy 258.265977 125.026563) (xy 258.217439 125.000619) (xy 258.164772 124.984643) + (xy 258.11 124.979248) (xy 257.95365 124.9806) (xy 257.8838 125.05045) (xy 257.7362 125.05045) (xy 257.66635 124.9806) + (xy 257.51 124.979248) (xy 257.455228 124.984643) (xy 257.402561 125.000619) (xy 257.354023 125.026563) (xy 257.311478 125.061478) + (xy 257.276563 125.104023) (xy 257.250619 125.152561) (xy 257.234643 125.205228) (xy 257.229248 125.26) (xy 257.092464 125.26) + (xy 257.087962 125.245159) (xy 257.048156 125.170688) (xy 256.994587 125.105413) (xy 256.929312 125.051844) (xy 256.854841 125.012038) + (xy 256.774035 124.987526) (xy 256.69 124.979249) (xy 256.39 124.979249) (xy 256.305965 124.987526) (xy 256.225159 125.012038) + (xy 256.150688 125.051844) (xy 256.085413 125.105413) (xy 256.031844 125.170688) (xy 255.992038 125.245159) (xy 255.967526 125.325965) + (xy 255.959249 125.41) (xy 255.850751 125.41) (xy 255.842474 125.325965) (xy 255.817962 125.245159) (xy 255.778156 125.170688) + (xy 255.724587 125.105413) (xy 255.659312 125.051844) (xy 255.584841 125.012038) (xy 255.504035 124.987526) (xy 255.42 124.979249) + (xy 255.12 124.979249) (xy 255.035965 124.987526) (xy 254.955159 125.012038) (xy 254.880688 125.051844) (xy 254.815413 125.105413) + (xy 254.761844 125.170688) (xy 254.722038 125.245159) (xy 254.697526 125.325965) (xy 254.689249 125.41) (xy 254.689249 126.81) + (xy 254.697526 126.894035) (xy 254.722038 126.974841) (xy 254.761844 127.049312) (xy 254.815413 127.114587) (xy 254.880688 127.168156) + (xy 254.9144 127.186176) (xy 254.914401 128.122704) (xy 253.760902 129.276205) (xy 253.747337 129.287338) (xy 253.702899 129.341485) + (xy 253.669879 129.403261) (xy 253.66397 129.422741) (xy 253.649547 129.470289) (xy 253.649546 129.470291) (xy 253.6444 129.522538) + (xy 253.6444 129.522545) (xy 253.642681 129.54) (xy 253.6444 129.557455) (xy 253.6444 131.331249) (xy 253.619 131.331249) + (xy 253.489899 131.343964) (xy 253.365759 131.381622) (xy 253.251351 131.442774) (xy 253.151071 131.525071) (xy 253.068774 131.625351) + (xy 253.007622 131.739759) (xy 252.969964 131.863899) (xy 252.957249 131.993) (xy 252.957249 132.0038) (xy 252.502751 132.0038) + (xy 252.502751 131.993) (xy 252.490036 131.863899) (xy 252.452378 131.739759) (xy 252.391226 131.625351) (xy 252.308929 131.525071) + (xy 252.208649 131.442774) (xy 252.094241 131.381622) (xy 251.970101 131.343964) (xy 251.841 131.331249) (xy 251.8156 131.331249) + (xy 251.8156 130.957293) (xy 254.239105 128.53379) (xy 254.252663 128.522663) (xy 254.26379 128.509105) (xy 254.263795 128.5091) + (xy 254.2971 128.468517) (xy 254.297102 128.468515) (xy 254.330121 128.40674) (xy 254.350454 128.33971) (xy 254.3556 128.287463) + (xy 254.3556 128.287454) (xy 254.357319 128.270001) (xy 254.3556 128.252548) (xy 254.3556 127.186176) (xy 254.389312 127.168156) + (xy 254.454587 127.114587) (xy 254.508156 127.049312) (xy 254.547962 126.974841) (xy 254.572474 126.894035) (xy 254.580751 126.81) + (xy 254.580751 125.41) (xy 254.572474 125.325965) (xy 254.547962 125.245159) (xy 254.508156 125.170688) (xy 254.454587 125.105413) + (xy 254.389312 125.051844) (xy 254.314841 125.012038) (xy 254.234035 124.987526) (xy 254.15 124.979249) (xy 253.85 124.979249) + (xy 253.765965 124.987526) (xy 253.685159 125.012038) (xy 253.610688 125.051844) (xy 253.545413 125.105413) (xy 253.491844 125.170688) + (xy 253.452038 125.245159) (xy 253.427526 125.325965) (xy 253.419249 125.41) (xy 253.419249 126.81) (xy 253.427526 126.894035) + (xy 253.452038 126.974841) (xy 253.491844 127.049312) (xy 253.545413 127.114587) (xy 253.610688 127.168156) (xy 253.6444 127.186176) + (xy 253.644401 128.122704) (xy 251.220902 130.546205) (xy 251.207337 130.557338) (xy 251.162899 130.611485) (xy 251.129879 130.673261) + (xy 251.1184 130.711103) (xy 251.109547 130.740289) (xy 251.109546 130.740291) (xy 251.1044 130.792538) (xy 251.1044 130.792545) + (xy 251.102681 130.81) (xy 251.1044 130.827456) (xy 251.1044 131.331249) (xy 251.079 131.331249) (xy 250.949899 131.343964) + (xy 250.825759 131.381622) (xy 250.711351 131.442774) (xy 250.611071 131.525071) (xy 250.528774 131.625351) (xy 250.467622 131.739759) + (xy 250.429964 131.863899) (xy 250.417249 131.993) (xy 250.417249 132.0038) (xy 249.962751 132.0038) (xy 249.962751 131.993) + (xy 249.950036 131.863899) (xy 249.912378 131.739759) (xy 249.851226 131.625351) (xy 249.768929 131.525071) (xy 249.668649 131.442774) + (xy 249.554241 131.381622) (xy 249.430101 131.343964) (xy 249.301 131.331249) (xy 249.2756 131.331249) (xy 249.2756 127.186176) + (xy 249.309312 127.168156) (xy 249.374587 127.114587) (xy 249.428156 127.049312) (xy 249.467962 126.974841) (xy 249.492474 126.894035) + (xy 249.500751 126.81) (xy 249.500751 125.41) (xy 249.492474 125.325965) (xy 249.467962 125.245159) (xy 249.428156 125.170688) + (xy 249.374587 125.105413) (xy 249.309312 125.051844) (xy 249.234841 125.012038) (xy 249.154035 124.987526) (xy 249.07 124.979249) + (xy 248.77 124.979249) (xy 248.685965 124.987526) (xy 248.605159 125.012038) (xy 248.530688 125.051844) (xy 248.465413 125.105413) + (xy 248.411844 125.170688) (xy 248.372038 125.245159) (xy 248.347526 125.325965) (xy 248.339249 125.41) (xy 248.339249 126.81) + (xy 248.347526 126.894035) (xy 248.372038 126.974841) (xy 248.411844 127.049312) (xy 248.465413 127.114587) (xy 248.530688 127.168156) + (xy 248.5644 127.186176) (xy 248.564401 131.331249) (xy 248.539 131.331249) (xy 248.409899 131.343964) (xy 248.285759 131.381622) + (xy 248.171351 131.442774) (xy 248.071071 131.525071) (xy 247.988774 131.625351) (xy 247.927622 131.739759) (xy 247.889964 131.863899) + (xy 247.877249 131.993) (xy 247.877249 132.0038) (xy 247.422751 132.0038) (xy 247.422751 131.993) (xy 247.410036 131.863899) + (xy 247.372378 131.739759) (xy 247.311226 131.625351) (xy 247.228929 131.525071) (xy 247.128649 131.442774) (xy 247.014241 131.381622) + (xy 246.890101 131.343964) (xy 246.761 131.331249) (xy 246.7356 131.331249) (xy 246.7356 130.957293) (xy 247.889105 129.80379) + (xy 247.902663 129.792663) (xy 247.91379 129.779105) (xy 247.913795 129.7791) (xy 247.943322 129.743121) (xy 247.947101 129.738516) + (xy 247.980121 129.67674) (xy 248.000454 129.60971) (xy 248.0056 129.557463) (xy 248.0056 129.557454) (xy 248.007319 129.540001) + (xy 248.0056 129.522548) (xy 248.0056 127.186176) (xy 248.039312 127.168156) (xy 248.104587 127.114587) (xy 248.158156 127.049312) + (xy 248.197962 126.974841) (xy 248.222474 126.894035) (xy 248.230751 126.81) (xy 248.230751 125.41) (xy 248.222474 125.325965) + (xy 248.197962 125.245159) (xy 248.158156 125.170688) (xy 248.104587 125.105413) (xy 248.039312 125.051844) (xy 247.964841 125.012038) + (xy 247.884035 124.987526) (xy 247.8 124.979249) (xy 247.5 124.979249) (xy 247.415965 124.987526) (xy 247.335159 125.012038) + (xy 247.260688 125.051844) (xy 247.195413 125.105413) (xy 247.141844 125.170688) (xy 247.102038 125.245159) (xy 247.077526 125.325965) + (xy 247.069249 125.41) (xy 247.069249 126.81) (xy 247.077526 126.894035) (xy 247.102038 126.974841) (xy 247.141844 127.049312) + (xy 247.195413 127.114587) (xy 247.260688 127.168156) (xy 247.2944 127.186176) (xy 247.294401 129.392704) (xy 246.140901 130.546206) + (xy 246.127337 130.557338) (xy 246.082899 130.611485) (xy 246.049879 130.673261) (xy 246.0384 130.711103) (xy 246.029547 130.740289) + (xy 246.029546 130.740291) (xy 246.0244 130.792538) (xy 246.0244 130.792545) (xy 246.022681 130.81) (xy 246.0244 130.827456) + (xy 246.0244 131.331249) (xy 245.999 131.331249) (xy 245.869899 131.343964) (xy 245.745759 131.381622) (xy 245.631351 131.442774) + (xy 245.531071 131.525071) (xy 245.448774 131.625351) (xy 245.387622 131.739759) (xy 245.349964 131.863899) (xy 245.337249 131.993) + (xy 245.337249 132.0038) (xy 244.882751 132.0038) (xy 244.882751 131.993) (xy 244.870036 131.863899) (xy 244.832378 131.739759) + (xy 244.771226 131.625351) (xy 244.688929 131.525071) (xy 244.588649 131.442774) (xy 244.474241 131.381622) (xy 244.350101 131.343964) + (xy 244.221 131.331249) (xy 244.1956 131.331249) (xy 244.1956 130.827452) (xy 244.197319 130.809999) (xy 244.1956 130.792546) + (xy 244.1956 130.792537) (xy 244.190454 130.74029) (xy 244.170121 130.67326) (xy 244.137101 130.611484) (xy 244.133933 130.607624) + (xy 244.103795 130.5709) (xy 244.103785 130.57089) (xy 244.092662 130.557337) (xy 244.07911 130.546215) (xy 242.9256 129.392707) + (xy 242.9256 127.186176) (xy 242.959312 127.168156) (xy 243.024587 127.114587) (xy 243.078156 127.049312) (xy 243.117962 126.974841) + (xy 243.142474 126.894035) (xy 243.150751 126.81) (xy 243.150751 125.41) (xy 243.259249 125.41) (xy 243.259249 126.81) + (xy 243.267526 126.894035) (xy 243.292038 126.974841) (xy 243.331844 127.049312) (xy 243.350004 127.07144) (xy 243.326543 127.106551) + (xy 243.282866 127.211995) (xy 243.2606 127.323934) (xy 243.2606 127.438066) (xy 243.282866 127.550005) (xy 243.326543 127.655449) + (xy 243.389951 127.750346) (xy 243.470654 127.831049) (xy 243.565551 127.894457) (xy 243.670995 127.938134) (xy 243.782934 127.9604) + (xy 243.897066 127.9604) (xy 244.009005 127.938134) (xy 244.114449 127.894457) (xy 244.209346 127.831049) (xy 244.290049 127.750346) + (xy 244.353457 127.655449) (xy 244.397134 127.550005) (xy 244.4194 127.438066) (xy 244.4194 127.323934) (xy 244.397134 127.211995) + (xy 244.353457 127.106551) (xy 244.329996 127.07144) (xy 244.348156 127.049312) (xy 244.387962 126.974841) (xy 244.412474 126.894035) + (xy 244.420751 126.81) (xy 244.420751 125.41) (xy 244.529249 125.41) (xy 244.529249 126.81) (xy 244.537526 126.894035) + (xy 244.562038 126.974841) (xy 244.601844 127.049312) (xy 244.655413 127.114587) (xy 244.720688 127.168156) (xy 244.795159 127.207962) + (xy 244.875965 127.232474) (xy 244.96 127.240751) (xy 245.26 127.240751) (xy 245.344035 127.232474) (xy 245.424841 127.207962) + (xy 245.499312 127.168156) (xy 245.564587 127.114587) (xy 245.618156 127.049312) (xy 245.657962 126.974841) (xy 245.682474 126.894035) + (xy 245.690751 126.81) (xy 245.690751 125.41) (xy 245.799249 125.41) (xy 245.799249 126.81) (xy 245.807526 126.894035) + (xy 245.832038 126.974841) (xy 245.871844 127.049312) (xy 245.890004 127.07144) (xy 245.866543 127.106551) (xy 245.822866 127.211995) + (xy 245.8006 127.323934) (xy 245.8006 127.438066) (xy 245.822866 127.550005) (xy 245.866543 127.655449) (xy 245.929951 127.750346) + (xy 246.010654 127.831049) (xy 246.105551 127.894457) (xy 246.210995 127.938134) (xy 246.322934 127.9604) (xy 246.437066 127.9604) + (xy 246.549005 127.938134) (xy 246.654449 127.894457) (xy 246.749346 127.831049) (xy 246.830049 127.750346) (xy 246.893457 127.655449) + (xy 246.937134 127.550005) (xy 246.9594 127.438066) (xy 246.9594 127.323934) (xy 246.937134 127.211995) (xy 246.893457 127.106551) + (xy 246.869996 127.07144) (xy 246.888156 127.049312) (xy 246.927962 126.974841) (xy 246.952474 126.894035) (xy 246.960751 126.81) + (xy 246.960751 125.41) (xy 246.952474 125.325965) (xy 246.927962 125.245159) (xy 246.888156 125.170688) (xy 246.834587 125.105413) + (xy 246.769312 125.051844) (xy 246.694841 125.012038) (xy 246.614035 124.987526) (xy 246.53 124.979249) (xy 246.23 124.979249) + (xy 246.145965 124.987526) (xy 246.065159 125.012038) (xy 245.990688 125.051844) (xy 245.925413 125.105413) (xy 245.871844 125.170688) + (xy 245.832038 125.245159) (xy 245.807526 125.325965) (xy 245.799249 125.41) (xy 245.690751 125.41) (xy 245.682474 125.325965) + (xy 245.657962 125.245159) (xy 245.618156 125.170688) (xy 245.564587 125.105413) (xy 245.499312 125.051844) (xy 245.424841 125.012038) + (xy 245.344035 124.987526) (xy 245.26 124.979249) (xy 244.96 124.979249) (xy 244.875965 124.987526) (xy 244.795159 125.012038) + (xy 244.720688 125.051844) (xy 244.655413 125.105413) (xy 244.601844 125.170688) (xy 244.562038 125.245159) (xy 244.537526 125.325965) + (xy 244.529249 125.41) (xy 244.420751 125.41) (xy 244.412474 125.325965) (xy 244.387962 125.245159) (xy 244.348156 125.170688) + (xy 244.294587 125.105413) (xy 244.229312 125.051844) (xy 244.154841 125.012038) (xy 244.074035 124.987526) (xy 243.99 124.979249) + (xy 243.69 124.979249) (xy 243.605965 124.987526) (xy 243.525159 125.012038) (xy 243.450688 125.051844) (xy 243.385413 125.105413) + (xy 243.331844 125.170688) (xy 243.292038 125.245159) (xy 243.267526 125.325965) (xy 243.259249 125.41) (xy 243.150751 125.41) + (xy 243.142474 125.325965) (xy 243.117962 125.245159) (xy 243.078156 125.170688) (xy 243.024587 125.105413) (xy 242.959312 125.051844) + (xy 242.884841 125.012038) (xy 242.804035 124.987526) (xy 242.72 124.979249) (xy 242.42 124.979249) (xy 242.335965 124.987526) + (xy 242.255159 125.012038) (xy 242.180688 125.051844) (xy 242.115413 125.105413) (xy 242.061844 125.170688) (xy 242.022038 125.245159) + (xy 241.997526 125.325965) (xy 241.989249 125.41) (xy 241.989249 126.81) (xy 241.997526 126.894035) (xy 242.022038 126.974841) + (xy 242.061844 127.049312) (xy 242.115413 127.114587) (xy 242.180688 127.168156) (xy 242.2144 127.186176) (xy 242.214401 129.522535) + (xy 242.212681 129.54) (xy 242.219546 129.60971) (xy 242.23988 129.676741) (xy 242.239881 129.676742) (xy 242.2729 129.738516) + (xy 242.317338 129.792663) (xy 242.330901 129.803794) (xy 243.4844 130.957295) (xy 243.4844 131.331249) (xy 243.459 131.331249) + (xy 243.329899 131.343964) (xy 243.205759 131.381622) (xy 243.091351 131.442774) (xy 242.991071 131.525071) (xy 242.908774 131.625351) + (xy 242.847622 131.739759) (xy 242.809964 131.863899) (xy 242.797249 131.993) (xy 242.797249 132.0038) (xy 242.342751 132.0038) + (xy 242.342751 131.993) (xy 242.330036 131.863899) (xy 242.292378 131.739759) (xy 242.231226 131.625351) (xy 242.148929 131.525071) + (xy 242.048649 131.442774) (xy 241.934241 131.381622) (xy 241.810101 131.343964) (xy 241.681 131.331249) (xy 241.6556 131.331249) + (xy 241.6556 129.557452) (xy 241.657319 129.539999) (xy 241.6556 129.522546) (xy 241.6556 129.522537) (xy 241.650454 129.47029) + (xy 241.630121 129.40326) (xy 241.625994 129.395538) (xy 241.622669 129.389319) (xy 241.597101 129.341484) (xy 241.591844 129.335078) + (xy 241.563795 129.3009) (xy 241.56379 129.300895) (xy 241.552663 129.287337) (xy 241.539105 129.27621) (xy 240.3856 128.122707) + (xy 240.3856 127.186176) (xy 240.419312 127.168156) (xy 240.484587 127.114587) (xy 240.538156 127.049312) (xy 240.577962 126.974841) + (xy 240.582463 126.96) (xy 240.719248 126.96) (xy 240.724643 127.014772) (xy 240.740619 127.067439) (xy 240.766563 127.115977) + (xy 240.801478 127.158522) (xy 240.844023 127.193437) (xy 240.892561 127.219381) (xy 240.945228 127.235357) (xy 241 127.240752) + (xy 241.15635 127.2394) (xy 241.2262 127.16955) (xy 241.2262 126.1838) (xy 241.3738 126.1838) (xy 241.3738 127.16955) + (xy 241.44365 127.2394) (xy 241.6 127.240752) (xy 241.654772 127.235357) (xy 241.707439 127.219381) (xy 241.755977 127.193437) + (xy 241.798522 127.158522) (xy 241.833437 127.115977) (xy 241.859381 127.067439) (xy 241.875357 127.014772) (xy 241.880752 126.96) + (xy 241.8794 126.25365) (xy 241.80955 126.1838) (xy 241.3738 126.1838) (xy 241.2262 126.1838) (xy 240.79045 126.1838) + (xy 240.7206 126.25365) (xy 240.719248 126.96) (xy 240.582463 126.96) (xy 240.602474 126.894035) (xy 240.610751 126.81) + (xy 240.610751 125.41) (xy 240.602474 125.325965) (xy 240.582464 125.26) (xy 240.719248 125.26) (xy 240.7206 125.96635) + (xy 240.79045 126.0362) (xy 241.2262 126.0362) (xy 241.2262 125.05045) (xy 241.3738 125.05045) (xy 241.3738 126.0362) + (xy 241.80955 126.0362) (xy 241.8794 125.96635) (xy 241.880752 125.26) (xy 241.875357 125.205228) (xy 241.859381 125.152561) + (xy 241.833437 125.104023) (xy 241.798522 125.061478) (xy 241.755977 125.026563) (xy 241.707439 125.000619) (xy 241.654772 124.984643) + (xy 241.6 124.979248) (xy 241.44365 124.9806) (xy 241.3738 125.05045) (xy 241.2262 125.05045) (xy 241.15635 124.9806) + (xy 241 124.979248) (xy 240.945228 124.984643) (xy 240.892561 125.000619) (xy 240.844023 125.026563) (xy 240.801478 125.061478) + (xy 240.766563 125.104023) (xy 240.740619 125.152561) (xy 240.724643 125.205228) (xy 240.719248 125.26) (xy 240.582464 125.26) + (xy 240.577962 125.245159) (xy 240.538156 125.170688) (xy 240.484587 125.105413) (xy 240.419312 125.051844) (xy 240.344841 125.012038) + (xy 240.264035 124.987526) (xy 240.18 124.979249) (xy 239.88 124.979249) (xy 239.795965 124.987526) (xy 239.715159 125.012038) + (xy 239.640688 125.051844) (xy 239.575413 125.105413) (xy 239.521844 125.170688) (xy 239.482038 125.245159) (xy 239.457526 125.325965) + (xy 239.449249 125.41) (xy 239.449249 126.81) (xy 239.457526 126.894035) (xy 239.482038 126.974841) (xy 239.521844 127.049312) + (xy 239.575413 127.114587) (xy 239.640688 127.168156) (xy 239.6744 127.186176) (xy 239.674401 128.252535) (xy 239.672681 128.27) + (xy 239.679546 128.33971) (xy 239.69988 128.406741) (xy 239.699881 128.406742) (xy 239.7329 128.468516) (xy 239.777338 128.522663) + (xy 239.790901 128.533794) (xy 240.944401 129.687296) (xy 240.944401 131.331249) (xy 240.919 131.331249) (xy 240.789899 131.343964) + (xy 240.665759 131.381622) (xy 240.551351 131.442774) (xy 240.451071 131.525071) (xy 240.368774 131.625351) (xy 240.307622 131.739759) + (xy 240.269964 131.863899) (xy 240.257249 131.993) (xy 240.257249 132.0038) (xy 239.802751 132.0038) (xy 239.802751 131.993) + (xy 239.790036 131.863899) (xy 239.752378 131.739759) (xy 239.691226 131.625351) (xy 239.608929 131.525071) (xy 239.508649 131.442774) + (xy 239.394241 131.381622) (xy 239.270101 131.343964) (xy 239.141 131.331249) (xy 239.1156 131.331249) (xy 239.1156 130.192452) + (xy 239.117319 130.174999) (xy 239.1156 130.157546) (xy 239.1156 130.157537) (xy 239.110454 130.10529) (xy 239.090121 130.03826) + (xy 239.057101 129.976484) (xy 239.053933 129.972624) (xy 239.023795 129.9359) (xy 239.023785 129.93589) (xy 239.012662 129.922337) + (xy 238.99911 129.911215) (xy 236.5756 127.487707) (xy 236.5756 127.186176) (xy 236.609312 127.168156) (xy 236.674587 127.114587) + (xy 236.728156 127.049312) (xy 236.767962 126.974841) (xy 236.792474 126.894035) (xy 236.800751 126.81) (xy 236.800751 125.41) + (xy 236.909249 125.41) (xy 236.909249 126.81) (xy 236.917526 126.894035) (xy 236.942038 126.974841) (xy 236.981844 127.049312) + (xy 237.000004 127.07144) (xy 236.976543 127.106551) (xy 236.932866 127.211995) (xy 236.9106 127.323934) (xy 236.9106 127.438066) + (xy 236.932866 127.550005) (xy 236.976543 127.655449) (xy 237.039951 127.750346) (xy 237.120654 127.831049) (xy 237.215551 127.894457) + (xy 237.320995 127.938134) (xy 237.432934 127.9604) (xy 237.547066 127.9604) (xy 237.659005 127.938134) (xy 237.764449 127.894457) + (xy 237.859346 127.831049) (xy 237.940049 127.750346) (xy 238.003457 127.655449) (xy 238.047134 127.550005) (xy 238.0694 127.438066) + (xy 238.0694 127.323934) (xy 238.047134 127.211995) (xy 238.003457 127.106551) (xy 237.979996 127.07144) (xy 237.998156 127.049312) + (xy 238.037962 126.974841) (xy 238.062474 126.894035) (xy 238.070751 126.81) (xy 238.070751 125.41) (xy 238.179249 125.41) + (xy 238.179249 126.81) (xy 238.187526 126.894035) (xy 238.212038 126.974841) (xy 238.251844 127.049312) (xy 238.270004 127.07144) + (xy 238.246543 127.106551) (xy 238.202866 127.211995) (xy 238.1806 127.323934) (xy 238.1806 127.438066) (xy 238.202866 127.550005) + (xy 238.246543 127.655449) (xy 238.309951 127.750346) (xy 238.390654 127.831049) (xy 238.485551 127.894457) (xy 238.590995 127.938134) + (xy 238.702934 127.9604) (xy 238.817066 127.9604) (xy 238.929005 127.938134) (xy 239.034449 127.894457) (xy 239.129346 127.831049) + (xy 239.210049 127.750346) (xy 239.273457 127.655449) (xy 239.317134 127.550005) (xy 239.3394 127.438066) (xy 239.3394 127.323934) + (xy 239.317134 127.211995) (xy 239.273457 127.106551) (xy 239.249996 127.07144) (xy 239.268156 127.049312) (xy 239.307962 126.974841) + (xy 239.332474 126.894035) (xy 239.340751 126.81) (xy 239.340751 125.41) (xy 239.332474 125.325965) (xy 239.307962 125.245159) + (xy 239.268156 125.170688) (xy 239.214587 125.105413) (xy 239.149312 125.051844) (xy 239.074841 125.012038) (xy 238.994035 124.987526) + (xy 238.91 124.979249) (xy 238.61 124.979249) (xy 238.525965 124.987526) (xy 238.445159 125.012038) (xy 238.370688 125.051844) + (xy 238.305413 125.105413) (xy 238.251844 125.170688) (xy 238.212038 125.245159) (xy 238.187526 125.325965) (xy 238.179249 125.41) + (xy 238.070751 125.41) (xy 238.062474 125.325965) (xy 238.037962 125.245159) (xy 237.998156 125.170688) (xy 237.944587 125.105413) + (xy 237.879312 125.051844) (xy 237.804841 125.012038) (xy 237.724035 124.987526) (xy 237.64 124.979249) (xy 237.34 124.979249) + (xy 237.255965 124.987526) (xy 237.175159 125.012038) (xy 237.100688 125.051844) (xy 237.035413 125.105413) (xy 236.981844 125.170688) + (xy 236.942038 125.245159) (xy 236.917526 125.325965) (xy 236.909249 125.41) (xy 236.800751 125.41) (xy 236.792474 125.325965) + (xy 236.767962 125.245159) (xy 236.728156 125.170688) (xy 236.674587 125.105413) (xy 236.609312 125.051844) (xy 236.534841 125.012038) + (xy 236.454035 124.987526) (xy 236.37 124.979249) (xy 236.07 124.979249) (xy 235.985965 124.987526) (xy 235.905159 125.012038) + (xy 235.830688 125.051844) (xy 235.765413 125.105413) (xy 235.711844 125.170688) (xy 235.672038 125.245159) (xy 235.647526 125.325965) + (xy 235.639249 125.41) (xy 235.639249 126.81) (xy 235.647526 126.894035) (xy 235.672038 126.974841) (xy 235.711844 127.049312) + (xy 235.765413 127.114587) (xy 235.830688 127.168156) (xy 235.864401 127.186176) (xy 235.864401 127.617535) (xy 235.862681 127.635) + (xy 235.869546 127.70471) (xy 235.88988 127.771741) (xy 235.901001 127.792546) (xy 235.9229 127.833516) (xy 235.967338 127.887663) + (xy 235.980901 127.898794) (xy 238.4044 130.322295) (xy 238.4044 131.331249) (xy 238.379 131.331249) (xy 238.249899 131.343964) + (xy 238.125759 131.381622) (xy 238.011351 131.442774) (xy 237.911071 131.525071) (xy 237.828774 131.625351) (xy 237.767622 131.739759) + (xy 237.729964 131.863899) (xy 237.717249 131.993) (xy 237.717249 132.0038) (xy 237.262751 132.0038) (xy 237.262751 131.993) + (xy 237.250036 131.863899) (xy 237.212378 131.739759) (xy 237.151226 131.625351) (xy 237.068929 131.525071) (xy 236.968649 131.442774) + (xy 236.854241 131.381622) (xy 236.730101 131.343964) (xy 236.601 131.331249) (xy 236.5756 131.331249) (xy 236.5756 128.922452) + (xy 236.577319 128.904999) (xy 236.5756 128.887546) (xy 236.5756 128.887537) (xy 236.570454 128.83529) (xy 236.550121 128.76826) + (xy 236.517101 128.706484) (xy 236.496635 128.681546) (xy 236.483795 128.6659) (xy 236.48379 128.665895) (xy 236.472663 128.652337) + (xy 236.459105 128.64121) (xy 235.3056 127.487707) (xy 235.3056 127.186176) (xy 235.339312 127.168156) (xy 235.404587 127.114587) + (xy 235.458156 127.049312) (xy 235.497962 126.974841) (xy 235.522474 126.894035) (xy 235.530751 126.81) (xy 235.530751 125.41) + (xy 235.522474 125.325965) (xy 235.497962 125.245159) (xy 235.458156 125.170688) (xy 235.404587 125.105413) (xy 235.339312 125.051844) + (xy 235.264841 125.012038) (xy 235.184035 124.987526) (xy 235.1 124.979249) (xy 234.840608 124.979249) (xy 234.949946 124.946082) + (xy 235.064673 124.884758) (xy 235.140035 124.822911) (xy 238.652547 121.3104) (xy 249.281454 121.3104) (xy 249.5296 121.558547) + (xy 249.529601 124.873439) (xy 249.539157 124.970461) (xy 249.576919 125.094947) (xy 249.638242 125.209674) (xy 249.652027 125.226471) + (xy 249.642038 125.245159) (xy 249.617526 125.325965) (xy 249.609249 125.41) (xy 249.609249 126.81) (xy 249.617526 126.894035) + (xy 249.642038 126.974841) (xy 249.681844 127.049312) (xy 249.735413 127.114587) (xy 249.800688 127.168156) (xy 249.875159 127.207962) + (xy 249.955965 127.232474) (xy 250.04 127.240751) (xy 250.34 127.240751) (xy 250.424035 127.232474) (xy 250.504841 127.207962) + (xy 250.579312 127.168156) (xy 250.644587 127.114587) (xy 250.698156 127.049312) (xy 250.737962 126.974841) (xy 250.762474 126.894035) + (xy 250.770751 126.81) (xy 250.770751 125.41) (xy 250.879249 125.41) (xy 250.879249 126.81) (xy 250.887526 126.894035) + (xy 250.912038 126.974841) (xy 250.951844 127.049312) (xy 250.970004 127.07144) (xy 250.946543 127.106551) (xy 250.902866 127.211995) + (xy 250.8806 127.323934) (xy 250.8806 127.438066) (xy 250.902866 127.550005) (xy 250.946543 127.655449) (xy 251.009951 127.750346) + (xy 251.090654 127.831049) (xy 251.185551 127.894457) (xy 251.290995 127.938134) (xy 251.402934 127.9604) (xy 251.517066 127.9604) + (xy 251.629005 127.938134) (xy 251.734449 127.894457) (xy 251.829346 127.831049) (xy 251.910049 127.750346) (xy 251.973457 127.655449) + (xy 252.017134 127.550005) (xy 252.0394 127.438066) (xy 252.0394 127.323934) (xy 252.017134 127.211995) (xy 251.973457 127.106551) + (xy 251.949996 127.07144) (xy 251.968156 127.049312) (xy 252.007962 126.974841) (xy 252.032474 126.894035) (xy 252.040751 126.81) + (xy 252.040751 125.41) (xy 252.149249 125.41) (xy 252.149249 126.81) (xy 252.157526 126.894035) (xy 252.182038 126.974841) + (xy 252.221844 127.049312) (xy 252.240004 127.07144) (xy 252.216543 127.106551) (xy 252.172866 127.211995) (xy 252.1506 127.323934) + (xy 252.1506 127.438066) (xy 252.172866 127.550005) (xy 252.216543 127.655449) (xy 252.279951 127.750346) (xy 252.360654 127.831049) + (xy 252.455551 127.894457) (xy 252.560995 127.938134) (xy 252.672934 127.9604) (xy 252.787066 127.9604) (xy 252.899005 127.938134) + (xy 253.004449 127.894457) (xy 253.099346 127.831049) (xy 253.180049 127.750346) (xy 253.243457 127.655449) (xy 253.287134 127.550005) + (xy 253.3094 127.438066) (xy 253.3094 127.323934) (xy 253.287134 127.211995) (xy 253.243457 127.106551) (xy 253.219996 127.07144) + (xy 253.238156 127.049312) (xy 253.277962 126.974841) (xy 253.302474 126.894035) (xy 253.310751 126.81) (xy 253.310751 125.41) + (xy 253.302474 125.325965) (xy 253.277962 125.245159) (xy 253.238156 125.170688) (xy 253.184587 125.105413) (xy 253.119312 125.051844) + (xy 253.044841 125.012038) (xy 252.964035 124.987526) (xy 252.88 124.979249) (xy 252.58 124.979249) (xy 252.495965 124.987526) + (xy 252.415159 125.012038) (xy 252.340688 125.051844) (xy 252.275413 125.105413) (xy 252.221844 125.170688) (xy 252.182038 125.245159) + (xy 252.157526 125.325965) (xy 252.149249 125.41) (xy 252.040751 125.41) (xy 252.032474 125.325965) (xy 252.007962 125.245159) + (xy 251.968156 125.170688) (xy 251.914587 125.105413) (xy 251.849312 125.051844) (xy 251.774841 125.012038) (xy 251.694035 124.987526) + (xy 251.61 124.979249) (xy 251.31 124.979249) (xy 251.225965 124.987526) (xy 251.145159 125.012038) (xy 251.070688 125.051844) + (xy 251.005413 125.105413) (xy 250.951844 125.170688) (xy 250.912038 125.245159) (xy 250.887526 125.325965) (xy 250.879249 125.41) + (xy 250.770751 125.41) (xy 250.762474 125.325965) (xy 250.737962 125.245159) (xy 250.727973 125.226472) (xy 250.741759 125.209674) + (xy 250.803082 125.094947) (xy 250.840844 124.970461) (xy 250.8504 124.873439) (xy 250.8504 121.77) (xy 260.869249 121.77) + (xy 260.869249 122.07) (xy 260.877526 122.154035) (xy 260.902038 122.234841) (xy 260.941844 122.309312) (xy 260.995413 122.374587) + (xy 261.060688 122.428156) (xy 261.135159 122.467962) (xy 261.215965 122.492474) (xy 261.3 122.500751) (xy 262.7 122.500751) + (xy 262.784035 122.492474) (xy 262.864841 122.467962) (xy 262.939312 122.428156) (xy 262.96144 122.409996) (xy 262.996551 122.433457) + (xy 263.101995 122.477134) (xy 263.213934 122.4994) (xy 263.328066 122.4994) (xy 263.440005 122.477134) (xy 263.545449 122.433457) + (xy 263.640346 122.370049) (xy 263.721049 122.289346) (xy 263.784457 122.194449) (xy 263.828134 122.089005) (xy 263.8504 121.977066) + (xy 263.8504 121.862934) (xy 263.828134 121.750995) (xy 263.784457 121.645551) (xy 263.721049 121.550654) (xy 263.640346 121.469951) + (xy 263.545449 121.406543) (xy 263.440005 121.362866) (xy 263.328066 121.3406) (xy 263.213934 121.3406) (xy 263.101995 121.362866) + (xy 262.996551 121.406543) (xy 262.96144 121.430004) (xy 262.939312 121.411844) (xy 262.864841 121.372038) (xy 262.784035 121.347526) + (xy 262.7 121.339249) (xy 261.3 121.339249) (xy 261.215965 121.347526) (xy 261.135159 121.372038) (xy 261.060688 121.411844) + (xy 260.995413 121.465413) (xy 260.941844 121.530688) (xy 260.902038 121.605159) (xy 260.877526 121.685965) (xy 260.869249 121.77) + (xy 250.8504 121.77) (xy 250.8504 121.558546) (xy 251.098547 121.3104) (xy 260.763439 121.3104) (xy 260.860461 121.300844) + (xy 260.984947 121.263082) (xy 261.099674 121.201759) (xy 261.116472 121.187973) (xy 261.135159 121.197962) (xy 261.215965 121.222474) + (xy 261.3 121.230751) (xy 262.7 121.230751) (xy 262.784035 121.222474) (xy 262.864841 121.197962) (xy 262.884739 121.187326) + ) + ) + (filled_polygon + (pts + (xy 231.511205 104.379099) (xy 231.522337 104.392663) (xy 231.554916 104.4194) (xy 224.248084 104.4194) (xy 224.280663 104.392663) + (xy 224.291799 104.379094) (xy 224.810294 103.8606) (xy 230.992707 103.8606) + ) + ) + (filled_polygon + (pts + (xy 231.892204 103.744098) (xy 231.903337 103.757663) (xy 231.935916 103.7844) (xy 231.922294 103.7844) (xy 231.403799 103.265906) + (xy 231.392663 103.252337) (xy 231.338516 103.207899) (xy 231.27674 103.174879) (xy 231.20971 103.154546) (xy 231.157463 103.1494) + (xy 231.157455 103.1494) (xy 231.14 103.147681) (xy 231.122545 103.1494) (xy 224.680455 103.1494) (xy 224.663 103.147681) + (xy 224.645545 103.1494) (xy 224.645537 103.1494) (xy 224.631068 103.150825) (xy 225.572244 102.20965) (xy 230.357757 102.20965) + ) + ) + ) + (zone (net 2) (net_name GND) (layer B.Cu) (tstamp 5D313DF9) (hatch edge 0.508) + (connect_pads (clearance 0.2032)) + (min_thickness 0.1524) + (fill yes (arc_segments 32) (thermal_gap 0.2032) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 276.606 86.741) (xy 276.606 132.08) (xy 198.374 132.08) (xy 198.374 86.741) + ) + ) + (filled_polygon + (pts + (xy 274.49019 87.138692) (xy 274.898217 87.261882) (xy 275.274553 87.461982) (xy 275.604852 87.731369) (xy 275.876537 88.05978) + (xy 276.079256 88.434703) (xy 276.205294 88.841861) (xy 276.2516 89.282436) (xy 276.251601 132.0038) (xy 275.362606 132.0038) + (xy 275.362752 131.612) (xy 275.357357 131.557228) (xy 275.341381 131.504561) (xy 275.315437 131.456023) (xy 275.280522 131.413478) + (xy 275.237977 131.378563) (xy 275.189439 131.352619) (xy 275.136772 131.336643) (xy 275.082 131.331248) (xy 274.46365 131.3326) + (xy 274.3938 131.40245) (xy 274.3938 132.0038) (xy 274.2462 132.0038) (xy 274.2462 131.40245) (xy 274.17635 131.3326) + (xy 273.558 131.331248) (xy 273.503228 131.336643) (xy 273.450561 131.352619) (xy 273.402023 131.378563) (xy 273.359478 131.413478) + (xy 273.324563 131.456023) (xy 273.298619 131.504561) (xy 273.282643 131.557228) (xy 273.277248 131.612) (xy 273.277394 132.0038) + (xy 272.822751 132.0038) (xy 272.822751 131.993) (xy 272.810036 131.863899) (xy 272.772378 131.739759) (xy 272.711226 131.625351) + (xy 272.628929 131.525071) (xy 272.528649 131.442774) (xy 272.414241 131.381622) (xy 272.290101 131.343964) (xy 272.161 131.331249) + (xy 272.1356 131.331249) (xy 272.1356 118.762452) (xy 272.137319 118.744999) (xy 272.1356 118.727546) (xy 272.1356 118.727537) + (xy 272.130454 118.67529) (xy 272.110121 118.60826) (xy 272.077101 118.546484) (xy 272.073933 118.542624) (xy 272.043795 118.5059) + (xy 272.043785 118.50589) (xy 272.032662 118.492337) (xy 272.01911 118.481215) (xy 267.598799 114.060906) (xy 267.587663 114.047337) + (xy 267.533516 114.002899) (xy 267.47174 113.969879) (xy 267.40471 113.949546) (xy 267.352463 113.9444) (xy 267.352455 113.9444) + (xy 267.335 113.942681) (xy 267.317545 113.9444) (xy 263.730234 113.9444) (xy 263.721049 113.930654) (xy 263.640346 113.849951) + (xy 263.545449 113.786543) (xy 263.440005 113.742866) (xy 263.328066 113.7206) (xy 263.213934 113.7206) (xy 263.101995 113.742866) + (xy 262.996551 113.786543) (xy 262.901654 113.849951) (xy 262.820951 113.930654) (xy 262.757543 114.025551) (xy 262.713866 114.130995) + (xy 262.6916 114.242934) (xy 262.6916 114.357066) (xy 262.713866 114.469005) (xy 262.757543 114.574449) (xy 262.820951 114.669346) + (xy 262.901654 114.750049) (xy 262.996551 114.813457) (xy 263.101995 114.857134) (xy 263.213934 114.8794) (xy 263.328066 114.8794) + (xy 263.440005 114.857134) (xy 263.545449 114.813457) (xy 263.640346 114.750049) (xy 263.721049 114.669346) (xy 263.730234 114.6556) + (xy 267.187707 114.6556) (xy 271.4244 118.892295) (xy 271.424401 130.792528) (xy 271.4244 130.792538) (xy 271.4244 131.331249) + (xy 271.399 131.331249) (xy 271.269899 131.343964) (xy 271.145759 131.381622) (xy 271.031351 131.442774) (xy 270.931071 131.525071) + (xy 270.848774 131.625351) (xy 270.787622 131.739759) (xy 270.749964 131.863899) (xy 270.737249 131.993) (xy 270.737249 132.0038) + (xy 270.282751 132.0038) (xy 270.282751 131.993) (xy 270.270036 131.863899) (xy 270.232378 131.739759) (xy 270.171226 131.625351) + (xy 270.088929 131.525071) (xy 269.988649 131.442774) (xy 269.874241 131.381622) (xy 269.750101 131.343964) (xy 269.621 131.331249) + (xy 269.5956 131.331249) (xy 269.5956 130.957293) (xy 270.3681 130.184794) (xy 270.381663 130.173663) (xy 270.426101 130.119516) + (xy 270.459121 130.05774) (xy 270.479454 129.99071) (xy 270.4846 129.938463) (xy 270.4846 129.938456) (xy 270.486319 129.921) + (xy 270.4846 129.903545) (xy 270.4846 118.508455) (xy 270.486319 118.491) (xy 270.4846 118.473545) (xy 270.4846 118.473537) + (xy 270.479454 118.42129) (xy 270.459121 118.35426) (xy 270.426101 118.292484) (xy 270.415039 118.279005) (xy 270.392795 118.251901) + (xy 270.39279 118.251896) (xy 270.381662 118.238337) (xy 270.368104 118.22721) (xy 268.741799 116.600906) (xy 268.730663 116.587337) + (xy 268.676516 116.542899) (xy 268.61474 116.509879) (xy 268.54771 116.489546) (xy 268.495463 116.4844) (xy 268.495455 116.4844) + (xy 268.478 116.482681) (xy 268.460545 116.4844) (xy 263.730234 116.4844) (xy 263.721049 116.470654) (xy 263.640346 116.389951) + (xy 263.545449 116.326543) (xy 263.440005 116.282866) (xy 263.328066 116.2606) (xy 263.213934 116.2606) (xy 263.101995 116.282866) + (xy 262.996551 116.326543) (xy 262.901654 116.389951) (xy 262.820951 116.470654) (xy 262.757543 116.565551) (xy 262.713866 116.670995) + (xy 262.6916 116.782934) (xy 262.6916 116.897066) (xy 262.713866 117.009005) (xy 262.757543 117.114449) (xy 262.820951 117.209346) + (xy 262.901654 117.290049) (xy 262.996551 117.353457) (xy 263.101995 117.397134) (xy 263.213934 117.4194) (xy 263.328066 117.4194) + (xy 263.440005 117.397134) (xy 263.545449 117.353457) (xy 263.640346 117.290049) (xy 263.721049 117.209346) (xy 263.730234 117.1956) + (xy 268.330707 117.1956) (xy 269.7734 118.638294) (xy 269.773401 129.773705) (xy 269.000901 130.546205) (xy 268.987337 130.557338) + (xy 268.942899 130.611485) (xy 268.909879 130.673261) (xy 268.899742 130.70668) (xy 268.889547 130.740289) (xy 268.889546 130.740291) + (xy 268.8844 130.792538) (xy 268.8844 130.792545) (xy 268.882681 130.81) (xy 268.8844 130.827456) (xy 268.8844 131.331249) + (xy 268.859 131.331249) (xy 268.729899 131.343964) (xy 268.605759 131.381622) (xy 268.491351 131.442774) (xy 268.391071 131.525071) + (xy 268.308774 131.625351) (xy 268.247622 131.739759) (xy 268.209964 131.863899) (xy 268.197249 131.993) (xy 268.197249 132.0038) + (xy 267.742751 132.0038) (xy 267.742751 131.993) (xy 267.730036 131.863899) (xy 267.692378 131.739759) (xy 267.631226 131.625351) + (xy 267.548929 131.525071) (xy 267.448649 131.442774) (xy 267.334241 131.381622) (xy 267.210101 131.343964) (xy 267.081 131.331249) + (xy 266.319 131.331249) (xy 266.189899 131.343964) (xy 266.065759 131.381622) (xy 265.951351 131.442774) (xy 265.851071 131.525071) + (xy 265.768774 131.625351) (xy 265.707622 131.739759) (xy 265.669964 131.863899) (xy 265.657249 131.993) (xy 265.657249 132.0038) + (xy 265.202751 132.0038) (xy 265.202751 131.993) (xy 265.190036 131.863899) (xy 265.152378 131.739759) (xy 265.091226 131.625351) + (xy 265.008929 131.525071) (xy 264.908649 131.442774) (xy 264.794241 131.381622) (xy 264.670101 131.343964) (xy 264.541 131.331249) + (xy 264.5156 131.331249) (xy 264.5156 130.957293) (xy 266.3041 129.168794) (xy 266.317663 129.157663) (xy 266.362101 129.103516) + (xy 266.395121 129.04174) (xy 266.415454 128.97471) (xy 266.4206 128.922463) (xy 266.4206 128.922456) (xy 266.422319 128.905) + (xy 266.4206 128.887545) (xy 266.4206 119.397452) (xy 266.422319 119.379999) (xy 266.4206 119.362546) (xy 266.4206 119.362537) + (xy 266.415454 119.31029) (xy 266.395121 119.24326) (xy 266.362101 119.181484) (xy 266.358933 119.177624) (xy 266.328795 119.1409) + (xy 266.328785 119.14089) (xy 266.317662 119.127337) (xy 266.30411 119.116215) (xy 265.058799 117.870906) (xy 265.047663 117.857337) + (xy 264.993516 117.812899) (xy 264.93174 117.779879) (xy 264.86471 117.759546) (xy 264.812463 117.7544) (xy 264.812455 117.7544) + (xy 264.795 117.752681) (xy 264.777545 117.7544) (xy 263.730234 117.7544) (xy 263.721049 117.740654) (xy 263.640346 117.659951) + (xy 263.545449 117.596543) (xy 263.440005 117.552866) (xy 263.328066 117.5306) (xy 263.213934 117.5306) (xy 263.101995 117.552866) + (xy 262.996551 117.596543) (xy 262.901654 117.659951) (xy 262.820951 117.740654) (xy 262.757543 117.835551) (xy 262.713866 117.940995) + (xy 262.6916 118.052934) (xy 262.6916 118.167066) (xy 262.713866 118.279005) (xy 262.757543 118.384449) (xy 262.820951 118.479346) + (xy 262.901654 118.560049) (xy 262.996551 118.623457) (xy 263.101995 118.667134) (xy 263.213934 118.6894) (xy 263.328066 118.6894) + (xy 263.440005 118.667134) (xy 263.545449 118.623457) (xy 263.640346 118.560049) (xy 263.721049 118.479346) (xy 263.730234 118.4656) + (xy 264.647707 118.4656) (xy 265.7094 119.527295) (xy 265.709401 128.757705) (xy 263.920902 130.546205) (xy 263.907337 130.557338) + (xy 263.862899 130.611485) (xy 263.829879 130.673261) (xy 263.819742 130.70668) (xy 263.809547 130.740289) (xy 263.809546 130.740291) + (xy 263.8044 130.792538) (xy 263.8044 130.792545) (xy 263.802681 130.81) (xy 263.8044 130.827456) (xy 263.8044 131.331249) + (xy 263.779 131.331249) (xy 263.649899 131.343964) (xy 263.525759 131.381622) (xy 263.411351 131.442774) (xy 263.311071 131.525071) + (xy 263.228774 131.625351) (xy 263.167622 131.739759) (xy 263.129964 131.863899) (xy 263.117249 131.993) (xy 263.117249 132.0038) + (xy 262.662751 132.0038) (xy 262.662751 131.993) (xy 262.650036 131.863899) (xy 262.612378 131.739759) (xy 262.551226 131.625351) + (xy 262.468929 131.525071) (xy 262.368649 131.442774) (xy 262.254241 131.381622) (xy 262.130101 131.343964) (xy 262.001 131.331249) + (xy 261.9756 131.331249) (xy 261.9756 130.957293) (xy 265.03411 127.898785) (xy 265.047662 127.887663) (xy 265.058785 127.87411) + (xy 265.058795 127.8741) (xy 265.0921 127.833517) (xy 265.092102 127.833515) (xy 265.125121 127.77174) (xy 265.145454 127.70471) + (xy 265.1506 127.652463) (xy 265.1506 127.652456) (xy 265.152319 127.635001) (xy 265.1506 127.617545) (xy 265.1506 120.032455) + (xy 265.152319 120.015) (xy 265.1506 119.997545) (xy 265.1506 119.997537) (xy 265.145454 119.94529) (xy 265.125121 119.87826) + (xy 265.092101 119.816484) (xy 265.047663 119.762337) (xy 265.034099 119.751205) (xy 264.423799 119.140906) (xy 264.412663 119.127337) + (xy 264.358516 119.082899) (xy 264.29674 119.049879) (xy 264.22971 119.029546) (xy 264.177463 119.0244) (xy 264.177455 119.0244) + (xy 264.16 119.022681) (xy 264.142545 119.0244) (xy 263.730234 119.0244) (xy 263.721049 119.010654) (xy 263.640346 118.929951) + (xy 263.545449 118.866543) (xy 263.440005 118.822866) (xy 263.328066 118.8006) (xy 263.213934 118.8006) (xy 263.101995 118.822866) + (xy 262.996551 118.866543) (xy 262.901654 118.929951) (xy 262.820951 119.010654) (xy 262.757543 119.105551) (xy 262.713866 119.210995) + (xy 262.6916 119.322934) (xy 262.6916 119.437066) (xy 262.713866 119.549005) (xy 262.757543 119.654449) (xy 262.820951 119.749346) + (xy 262.901654 119.830049) (xy 262.996551 119.893457) (xy 263.101995 119.937134) (xy 263.213934 119.9594) (xy 263.328066 119.9594) + (xy 263.440005 119.937134) (xy 263.545449 119.893457) (xy 263.640346 119.830049) (xy 263.721049 119.749346) (xy 263.730234 119.7356) + (xy 264.012707 119.7356) (xy 264.439401 120.162295) (xy 264.4394 127.487705) (xy 261.380901 130.546206) (xy 261.367338 130.557337) + (xy 261.3229 130.611484) (xy 261.314331 130.627515) (xy 261.28988 130.673259) (xy 261.269546 130.74029) (xy 261.262681 130.81) + (xy 261.264401 130.827463) (xy 261.264401 131.331249) (xy 261.239 131.331249) (xy 261.109899 131.343964) (xy 260.985759 131.381622) + (xy 260.871351 131.442774) (xy 260.771071 131.525071) (xy 260.688774 131.625351) (xy 260.627622 131.739759) (xy 260.589964 131.863899) + (xy 260.577249 131.993) (xy 260.577249 132.0038) (xy 260.122751 132.0038) (xy 260.122751 131.993) (xy 260.110036 131.863899) + (xy 260.072378 131.739759) (xy 260.011226 131.625351) (xy 259.928929 131.525071) (xy 259.828649 131.442774) (xy 259.714241 131.381622) + (xy 259.590101 131.343964) (xy 259.461 131.331249) (xy 259.4356 131.331249) (xy 259.4356 130.957293) (xy 263.5101 126.882794) + (xy 263.523663 126.871663) (xy 263.568101 126.817516) (xy 263.601121 126.75574) (xy 263.621454 126.68871) (xy 263.6266 126.636463) + (xy 263.6266 126.636456) (xy 263.628319 126.619001) (xy 263.6266 126.601545) (xy 263.6266 122.379234) (xy 263.640346 122.370049) + (xy 263.721049 122.289346) (xy 263.784457 122.194449) (xy 263.828134 122.089005) (xy 263.8504 121.977066) (xy 263.8504 121.862934) + (xy 263.828134 121.750995) (xy 263.784457 121.645551) (xy 263.721049 121.550654) (xy 263.640346 121.469951) (xy 263.545449 121.406543) + (xy 263.440005 121.362866) (xy 263.328066 121.3406) (xy 263.213934 121.3406) (xy 263.101995 121.362866) (xy 262.996551 121.406543) + (xy 262.901654 121.469951) (xy 262.820951 121.550654) (xy 262.757543 121.645551) (xy 262.713866 121.750995) (xy 262.6916 121.862934) + (xy 262.6916 121.977066) (xy 262.713866 122.089005) (xy 262.757543 122.194449) (xy 262.820951 122.289346) (xy 262.901654 122.370049) + (xy 262.9154 122.379234) (xy 262.915401 126.471705) (xy 258.840901 130.546206) (xy 258.827338 130.557337) (xy 258.7829 130.611484) + (xy 258.774331 130.627515) (xy 258.74988 130.673259) (xy 258.729546 130.74029) (xy 258.722681 130.81) (xy 258.724401 130.827463) + (xy 258.724401 131.331249) (xy 258.699 131.331249) (xy 258.569899 131.343964) (xy 258.445759 131.381622) (xy 258.331351 131.442774) + (xy 258.231071 131.525071) (xy 258.148774 131.625351) (xy 258.087622 131.739759) (xy 258.049964 131.863899) (xy 258.037249 131.993) + (xy 258.037249 132.0038) (xy 257.582751 132.0038) (xy 257.582751 131.993) (xy 257.570036 131.863899) (xy 257.532378 131.739759) + (xy 257.471226 131.625351) (xy 257.388929 131.525071) (xy 257.288649 131.442774) (xy 257.174241 131.381622) (xy 257.050101 131.343964) + (xy 256.921 131.331249) (xy 256.8956 131.331249) (xy 256.8956 130.957293) (xy 257.322294 130.5306) (xy 258.427545 130.5306) + (xy 258.445 130.532319) (xy 258.462455 130.5306) (xy 258.462463 130.5306) (xy 258.51471 130.525454) (xy 258.58174 130.505121) + (xy 258.643516 130.472101) (xy 258.697663 130.427663) (xy 258.708799 130.414094) (xy 260.5891 128.533794) (xy 260.602663 128.522663) + (xy 260.647101 128.468516) (xy 260.680121 128.40674) (xy 260.69798 128.347866) (xy 260.700454 128.339711) (xy 260.701844 128.3256) + (xy 260.7056 128.287463) (xy 260.7056 128.287456) (xy 260.707319 128.27) (xy 260.7056 128.252545) (xy 260.7056 127.840234) + (xy 260.719346 127.831049) (xy 260.800049 127.750346) (xy 260.863457 127.655449) (xy 260.907134 127.550005) (xy 260.9294 127.438066) + (xy 260.9294 127.323934) (xy 260.907134 127.211995) (xy 260.863457 127.106551) (xy 260.800049 127.011654) (xy 260.719346 126.930951) + (xy 260.624449 126.867543) (xy 260.519005 126.823866) (xy 260.407066 126.8016) (xy 260.292934 126.8016) (xy 260.180995 126.823866) + (xy 260.075551 126.867543) (xy 259.980654 126.930951) (xy 259.899951 127.011654) (xy 259.836543 127.106551) (xy 259.792866 127.211995) + (xy 259.7706 127.323934) (xy 259.7706 127.438066) (xy 259.792866 127.550005) (xy 259.836543 127.655449) (xy 259.899951 127.750346) + (xy 259.980654 127.831049) (xy 259.994401 127.840234) (xy 259.994401 128.122705) (xy 258.297707 129.8194) (xy 257.192455 129.8194) + (xy 257.175 129.817681) (xy 257.157545 129.8194) (xy 257.157537 129.8194) (xy 257.10529 129.824546) (xy 257.03826 129.844879) + (xy 256.976484 129.877899) (xy 256.922337 129.922337) (xy 256.911206 129.9359) (xy 256.300901 130.546206) (xy 256.287337 130.557338) + (xy 256.242899 130.611485) (xy 256.209879 130.673261) (xy 256.199742 130.70668) (xy 256.189547 130.740289) (xy 256.189546 130.740291) + (xy 256.1844 130.792538) (xy 256.1844 130.792545) (xy 256.182681 130.81) (xy 256.1844 130.827456) (xy 256.1844 131.331249) + (xy 256.159 131.331249) (xy 256.029899 131.343964) (xy 255.905759 131.381622) (xy 255.791351 131.442774) (xy 255.691071 131.525071) + (xy 255.608774 131.625351) (xy 255.547622 131.739759) (xy 255.509964 131.863899) (xy 255.497249 131.993) (xy 255.497249 132.0038) + (xy 255.042751 132.0038) (xy 255.042751 131.993) (xy 255.030036 131.863899) (xy 254.992378 131.739759) (xy 254.931226 131.625351) + (xy 254.848929 131.525071) (xy 254.748649 131.442774) (xy 254.634241 131.381622) (xy 254.510101 131.343964) (xy 254.381 131.331249) + (xy 254.3556 131.331249) (xy 254.3556 130.957293) (xy 256.052294 129.2606) (xy 258.427545 129.2606) (xy 258.445 129.262319) + (xy 258.462455 129.2606) (xy 258.462463 129.2606) (xy 258.51471 129.255454) (xy 258.58174 129.235121) (xy 258.643516 129.202101) + (xy 258.697663 129.157663) (xy 258.708799 129.144094) (xy 259.3191 128.533794) (xy 259.332663 128.522663) (xy 259.377101 128.468516) + (xy 259.410121 128.40674) (xy 259.430454 128.33971) (xy 259.4356 128.287463) (xy 259.4356 128.287455) (xy 259.437319 128.27) + (xy 259.4356 128.252545) (xy 259.4356 127.840234) (xy 259.449346 127.831049) (xy 259.530049 127.750346) (xy 259.593457 127.655449) + (xy 259.637134 127.550005) (xy 259.6594 127.438066) (xy 259.6594 127.323934) (xy 259.637134 127.211995) (xy 259.593457 127.106551) + (xy 259.530049 127.011654) (xy 259.449346 126.930951) (xy 259.354449 126.867543) (xy 259.249005 126.823866) (xy 259.137066 126.8016) + (xy 259.022934 126.8016) (xy 258.910995 126.823866) (xy 258.805551 126.867543) (xy 258.710654 126.930951) (xy 258.629951 127.011654) + (xy 258.566543 127.106551) (xy 258.522866 127.211995) (xy 258.5006 127.323934) (xy 258.5006 127.438066) (xy 258.522866 127.550005) + (xy 258.566543 127.655449) (xy 258.629951 127.750346) (xy 258.710654 127.831049) (xy 258.724401 127.840234) (xy 258.724401 128.122705) + (xy 258.297707 128.5494) (xy 255.922455 128.5494) (xy 255.905 128.547681) (xy 255.887544 128.5494) (xy 255.887537 128.5494) + (xy 255.841566 128.553928) (xy 255.835289 128.554546) (xy 255.814956 128.560714) (xy 255.76826 128.574879) (xy 255.706484 128.607899) + (xy 255.652337 128.652337) (xy 255.641206 128.6659) (xy 253.760902 130.546205) (xy 253.747337 130.557338) (xy 253.702899 130.611485) + (xy 253.669879 130.673261) (xy 253.659742 130.70668) (xy 253.649547 130.740289) (xy 253.649546 130.740291) (xy 253.6444 130.792538) + (xy 253.6444 130.792545) (xy 253.642681 130.81) (xy 253.6444 130.827456) (xy 253.6444 131.331249) (xy 253.619 131.331249) + (xy 253.489899 131.343964) (xy 253.365759 131.381622) (xy 253.251351 131.442774) (xy 253.151071 131.525071) (xy 253.068774 131.625351) + (xy 253.007622 131.739759) (xy 252.969964 131.863899) (xy 252.957249 131.993) (xy 252.957249 132.0038) (xy 252.502751 132.0038) + (xy 252.502751 131.993) (xy 252.490036 131.863899) (xy 252.452378 131.739759) (xy 252.391226 131.625351) (xy 252.308929 131.525071) + (xy 252.208649 131.442774) (xy 252.094241 131.381622) (xy 251.970101 131.343964) (xy 251.841 131.331249) (xy 251.8156 131.331249) + (xy 251.8156 129.687293) (xy 252.96911 128.533785) (xy 252.982662 128.522663) (xy 252.993785 128.50911) (xy 252.993795 128.5091) + (xy 253.0271 128.468517) (xy 253.027102 128.468515) (xy 253.060121 128.40674) (xy 253.080454 128.33971) (xy 253.0856 128.287463) + (xy 253.0856 128.287454) (xy 253.087319 128.270001) (xy 253.0856 128.252548) (xy 253.0856 127.840234) (xy 253.099346 127.831049) + (xy 253.180049 127.750346) (xy 253.243457 127.655449) (xy 253.287134 127.550005) (xy 253.3094 127.438066) (xy 253.3094 127.323934) + (xy 253.287134 127.211995) (xy 253.243457 127.106551) (xy 253.180049 127.011654) (xy 253.099346 126.930951) (xy 253.004449 126.867543) + (xy 252.899005 126.823866) (xy 252.787066 126.8016) (xy 252.672934 126.8016) (xy 252.560995 126.823866) (xy 252.455551 126.867543) + (xy 252.360654 126.930951) (xy 252.279951 127.011654) (xy 252.216543 127.106551) (xy 252.172866 127.211995) (xy 252.1506 127.323934) + (xy 252.1506 127.438066) (xy 252.172866 127.550005) (xy 252.216543 127.655449) (xy 252.279951 127.750346) (xy 252.360654 127.831049) + (xy 252.3744 127.840234) (xy 252.3744 128.122705) (xy 251.220902 129.276205) (xy 251.207337 129.287338) (xy 251.162899 129.341485) + (xy 251.129879 129.403261) (xy 251.1184 129.441103) (xy 251.109547 129.470289) (xy 251.109546 129.470291) (xy 251.1044 129.522538) + (xy 251.1044 129.522545) (xy 251.102681 129.54) (xy 251.1044 129.557455) (xy 251.1044 131.331249) (xy 251.079 131.331249) + (xy 250.949899 131.343964) (xy 250.825759 131.381622) (xy 250.711351 131.442774) (xy 250.611071 131.525071) (xy 250.528774 131.625351) + (xy 250.467622 131.739759) (xy 250.429964 131.863899) (xy 250.417249 131.993) (xy 250.417249 132.0038) (xy 249.962751 132.0038) + (xy 249.962751 131.993) (xy 249.950036 131.863899) (xy 249.912378 131.739759) (xy 249.851226 131.625351) (xy 249.768929 131.525071) + (xy 249.668649 131.442774) (xy 249.554241 131.381622) (xy 249.430101 131.343964) (xy 249.301 131.331249) (xy 249.2756 131.331249) + (xy 249.2756 130.957293) (xy 251.699105 128.53379) (xy 251.712663 128.522663) (xy 251.72379 128.509105) (xy 251.723795 128.5091) + (xy 251.751844 128.474922) (xy 251.757101 128.468516) (xy 251.790121 128.40674) (xy 251.810454 128.33971) (xy 251.8156 128.287463) + (xy 251.8156 128.287454) (xy 251.817319 128.270001) (xy 251.8156 128.252548) (xy 251.8156 127.840234) (xy 251.829346 127.831049) + (xy 251.910049 127.750346) (xy 251.973457 127.655449) (xy 252.017134 127.550005) (xy 252.0394 127.438066) (xy 252.0394 127.323934) + (xy 252.017134 127.211995) (xy 251.973457 127.106551) (xy 251.910049 127.011654) (xy 251.829346 126.930951) (xy 251.734449 126.867543) + (xy 251.629005 126.823866) (xy 251.517066 126.8016) (xy 251.402934 126.8016) (xy 251.290995 126.823866) (xy 251.185551 126.867543) + (xy 251.090654 126.930951) (xy 251.009951 127.011654) (xy 250.946543 127.106551) (xy 250.902866 127.211995) (xy 250.8806 127.323934) + (xy 250.8806 127.438066) (xy 250.902866 127.550005) (xy 250.946543 127.655449) (xy 251.009951 127.750346) (xy 251.090654 127.831049) + (xy 251.104401 127.840234) (xy 251.104401 128.122704) (xy 248.680902 130.546205) (xy 248.667337 130.557338) (xy 248.622899 130.611485) + (xy 248.589879 130.673261) (xy 248.579742 130.70668) (xy 248.569547 130.740289) (xy 248.569546 130.740291) (xy 248.5644 130.792538) + (xy 248.5644 130.792545) (xy 248.562681 130.81) (xy 248.5644 130.827456) (xy 248.5644 131.331249) (xy 248.539 131.331249) + (xy 248.409899 131.343964) (xy 248.285759 131.381622) (xy 248.171351 131.442774) (xy 248.071071 131.525071) (xy 247.988774 131.625351) + (xy 247.927622 131.739759) (xy 247.889964 131.863899) (xy 247.877249 131.993) (xy 247.877249 132.0038) (xy 247.422751 132.0038) + (xy 247.422751 131.993) (xy 247.410036 131.863899) (xy 247.372378 131.739759) (xy 247.311226 131.625351) (xy 247.228929 131.525071) + (xy 247.128649 131.442774) (xy 247.014241 131.381622) (xy 246.890101 131.343964) (xy 246.761 131.331249) (xy 246.7356 131.331249) + (xy 246.7356 127.840234) (xy 246.749346 127.831049) (xy 246.830049 127.750346) (xy 246.893457 127.655449) (xy 246.937134 127.550005) + (xy 246.9594 127.438066) (xy 246.9594 127.323934) (xy 246.937134 127.211995) (xy 246.893457 127.106551) (xy 246.830049 127.011654) + (xy 246.749346 126.930951) (xy 246.654449 126.867543) (xy 246.549005 126.823866) (xy 246.437066 126.8016) (xy 246.322934 126.8016) + (xy 246.210995 126.823866) (xy 246.105551 126.867543) (xy 246.010654 126.930951) (xy 245.929951 127.011654) (xy 245.866543 127.106551) + (xy 245.822866 127.211995) (xy 245.8006 127.323934) (xy 245.8006 127.438066) (xy 245.822866 127.550005) (xy 245.866543 127.655449) + (xy 245.929951 127.750346) (xy 246.010654 127.831049) (xy 246.024401 127.840234) (xy 246.024401 131.331249) (xy 245.999 131.331249) + (xy 245.869899 131.343964) (xy 245.745759 131.381622) (xy 245.631351 131.442774) (xy 245.531071 131.525071) (xy 245.448774 131.625351) + (xy 245.387622 131.739759) (xy 245.349964 131.863899) (xy 245.337249 131.993) (xy 245.337249 132.0038) (xy 244.882751 132.0038) + (xy 244.882751 131.993) (xy 244.870036 131.863899) (xy 244.832378 131.739759) (xy 244.771226 131.625351) (xy 244.688929 131.525071) + (xy 244.588649 131.442774) (xy 244.474241 131.381622) (xy 244.350101 131.343964) (xy 244.221 131.331249) (xy 244.1956 131.331249) + (xy 244.1956 127.840234) (xy 244.209346 127.831049) (xy 244.290049 127.750346) (xy 244.353457 127.655449) (xy 244.397134 127.550005) + (xy 244.4194 127.438066) (xy 244.4194 127.323934) (xy 244.397134 127.211995) (xy 244.353457 127.106551) (xy 244.290049 127.011654) + (xy 244.209346 126.930951) (xy 244.114449 126.867543) (xy 244.009005 126.823866) (xy 243.897066 126.8016) (xy 243.782934 126.8016) + (xy 243.670995 126.823866) (xy 243.565551 126.867543) (xy 243.470654 126.930951) (xy 243.389951 127.011654) (xy 243.326543 127.106551) + (xy 243.282866 127.211995) (xy 243.2606 127.323934) (xy 243.2606 127.438066) (xy 243.282866 127.550005) (xy 243.326543 127.655449) + (xy 243.389951 127.750346) (xy 243.470654 127.831049) (xy 243.4844 127.840234) (xy 243.4844 131.331249) (xy 243.459 131.331249) + (xy 243.329899 131.343964) (xy 243.205759 131.381622) (xy 243.091351 131.442774) (xy 242.991071 131.525071) (xy 242.908774 131.625351) + (xy 242.847622 131.739759) (xy 242.809964 131.863899) (xy 242.797249 131.993) (xy 242.797249 132.0038) (xy 242.342751 132.0038) + (xy 242.342751 131.993) (xy 242.330036 131.863899) (xy 242.292378 131.739759) (xy 242.231226 131.625351) (xy 242.148929 131.525071) + (xy 242.048649 131.442774) (xy 241.934241 131.381622) (xy 241.810101 131.343964) (xy 241.681 131.331249) (xy 241.6556 131.331249) + (xy 241.6556 130.827452) (xy 241.657319 130.809999) (xy 241.6556 130.792546) (xy 241.6556 130.792537) (xy 241.650454 130.74029) + (xy 241.630121 130.67326) (xy 241.597101 130.611484) (xy 241.593933 130.607624) (xy 241.563795 130.5709) (xy 241.563785 130.57089) + (xy 241.552662 130.557337) (xy 241.53911 130.546215) (xy 239.1156 128.122707) (xy 239.1156 127.840234) (xy 239.129346 127.831049) + (xy 239.210049 127.750346) (xy 239.273457 127.655449) (xy 239.317134 127.550005) (xy 239.3394 127.438066) (xy 239.3394 127.323934) + (xy 239.317134 127.211995) (xy 239.273457 127.106551) (xy 239.210049 127.011654) (xy 239.129346 126.930951) (xy 239.034449 126.867543) + (xy 238.929005 126.823866) (xy 238.817066 126.8016) (xy 238.702934 126.8016) (xy 238.590995 126.823866) (xy 238.485551 126.867543) + (xy 238.390654 126.930951) (xy 238.309951 127.011654) (xy 238.246543 127.106551) (xy 238.202866 127.211995) (xy 238.1806 127.323934) + (xy 238.1806 127.438066) (xy 238.202866 127.550005) (xy 238.246543 127.655449) (xy 238.309951 127.750346) (xy 238.390654 127.831049) + (xy 238.404401 127.840234) (xy 238.404401 128.252535) (xy 238.402681 128.27) (xy 238.409546 128.33971) (xy 238.42988 128.406741) + (xy 238.429881 128.406742) (xy 238.4629 128.468516) (xy 238.507338 128.522663) (xy 238.520901 128.533794) (xy 240.9444 130.957295) + (xy 240.9444 131.331249) (xy 240.919 131.331249) (xy 240.789899 131.343964) (xy 240.665759 131.381622) (xy 240.551351 131.442774) + (xy 240.451071 131.525071) (xy 240.368774 131.625351) (xy 240.307622 131.739759) (xy 240.269964 131.863899) (xy 240.257249 131.993) + (xy 240.257249 132.0038) (xy 239.802751 132.0038) (xy 239.802751 131.993) (xy 239.790036 131.863899) (xy 239.752378 131.739759) + (xy 239.691226 131.625351) (xy 239.608929 131.525071) (xy 239.508649 131.442774) (xy 239.394241 131.381622) (xy 239.270101 131.343964) + (xy 239.141 131.331249) (xy 239.1156 131.331249) (xy 239.1156 129.557452) (xy 239.117319 129.539999) (xy 239.1156 129.522546) + (xy 239.1156 129.522537) (xy 239.110454 129.47029) (xy 239.090121 129.40326) (xy 239.057101 129.341484) (xy 239.053933 129.337624) + (xy 239.023795 129.3009) (xy 239.023785 129.30089) (xy 239.012662 129.287337) (xy 238.99911 129.276215) (xy 237.8456 128.122707) + (xy 237.8456 127.840234) (xy 237.859346 127.831049) (xy 237.940049 127.750346) (xy 238.003457 127.655449) (xy 238.047134 127.550005) + (xy 238.0694 127.438066) (xy 238.0694 127.323934) (xy 238.047134 127.211995) (xy 238.003457 127.106551) (xy 237.940049 127.011654) + (xy 237.859346 126.930951) (xy 237.764449 126.867543) (xy 237.659005 126.823866) (xy 237.547066 126.8016) (xy 237.432934 126.8016) + (xy 237.320995 126.823866) (xy 237.215551 126.867543) (xy 237.120654 126.930951) (xy 237.039951 127.011654) (xy 236.976543 127.106551) + (xy 236.932866 127.211995) (xy 236.9106 127.323934) (xy 236.9106 127.438066) (xy 236.932866 127.550005) (xy 236.976543 127.655449) + (xy 237.039951 127.750346) (xy 237.120654 127.831049) (xy 237.134401 127.840234) (xy 237.134401 128.252535) (xy 237.132681 128.27) + (xy 237.139546 128.33971) (xy 237.15988 128.406741) (xy 237.159881 128.406742) (xy 237.1929 128.468516) (xy 237.237338 128.522663) + (xy 237.250901 128.533794) (xy 238.4044 129.687295) (xy 238.4044 131.331249) (xy 238.379 131.331249) (xy 238.249899 131.343964) + (xy 238.125759 131.381622) (xy 238.011351 131.442774) (xy 237.911071 131.525071) (xy 237.828774 131.625351) (xy 237.767622 131.739759) + (xy 237.729964 131.863899) (xy 237.717249 131.993) (xy 237.717249 132.0038) (xy 237.262751 132.0038) (xy 237.262751 131.993) + (xy 237.250036 131.863899) (xy 237.212378 131.739759) (xy 237.151226 131.625351) (xy 237.068929 131.525071) (xy 236.968649 131.442774) + (xy 236.854241 131.381622) (xy 236.730101 131.343964) (xy 236.601 131.331249) (xy 236.5756 131.331249) (xy 236.5756 130.827455) + (xy 236.577319 130.81) (xy 236.5756 130.792545) (xy 236.5756 130.792537) (xy 236.570454 130.74029) (xy 236.550121 130.67326) + (xy 236.517101 130.611484) (xy 236.472663 130.557337) (xy 236.4591 130.546206) (xy 235.848799 129.935906) (xy 235.837663 129.922337) + (xy 235.783516 129.877899) (xy 235.72174 129.844879) (xy 235.65471 129.824546) (xy 235.602463 129.8194) (xy 235.602455 129.8194) + (xy 235.585 129.817681) (xy 235.567545 129.8194) (xy 235.409234 129.8194) (xy 235.400049 129.805654) (xy 235.319346 129.724951) + (xy 235.224449 129.661543) (xy 235.119005 129.617866) (xy 235.007066 129.5956) (xy 234.892934 129.5956) (xy 234.780995 129.617866) + (xy 234.675551 129.661543) (xy 234.580654 129.724951) (xy 234.499951 129.805654) (xy 234.436543 129.900551) (xy 234.392866 130.005995) + (xy 234.3706 130.117934) (xy 234.3706 130.232066) (xy 234.392866 130.344005) (xy 234.436543 130.449449) (xy 234.499951 130.544346) + (xy 234.580654 130.625049) (xy 234.675551 130.688457) (xy 234.780995 130.732134) (xy 234.892934 130.7544) (xy 235.007066 130.7544) + (xy 235.119005 130.732134) (xy 235.224449 130.688457) (xy 235.319346 130.625049) (xy 235.400049 130.544346) (xy 235.409234 130.5306) + (xy 235.437707 130.5306) (xy 235.864401 130.957295) (xy 235.864401 131.331249) (xy 235.839 131.331249) (xy 235.709899 131.343964) + (xy 235.585759 131.381622) (xy 235.471351 131.442774) (xy 235.371071 131.525071) (xy 235.288774 131.625351) (xy 235.227622 131.739759) + (xy 235.189964 131.863899) (xy 235.177249 131.993) (xy 235.177249 132.0038) (xy 234.722751 132.0038) (xy 234.722751 131.993) + (xy 234.710036 131.863899) (xy 234.672378 131.739759) (xy 234.611226 131.625351) (xy 234.528929 131.525071) (xy 234.428649 131.442774) + (xy 234.314241 131.381622) (xy 234.190101 131.343964) (xy 234.061 131.331249) (xy 233.299 131.331249) (xy 233.169899 131.343964) + (xy 233.045759 131.381622) (xy 232.931351 131.442774) (xy 232.831071 131.525071) (xy 232.748774 131.625351) (xy 232.687622 131.739759) + (xy 232.649964 131.863899) (xy 232.637249 131.993) (xy 232.637249 132.0038) (xy 232.182751 132.0038) (xy 232.182751 131.993) + (xy 232.170036 131.863899) (xy 232.132378 131.739759) (xy 232.071226 131.625351) (xy 231.988929 131.525071) (xy 231.888649 131.442774) + (xy 231.774241 131.381622) (xy 231.650101 131.343964) (xy 231.521 131.331249) (xy 231.4956 131.331249) (xy 231.4956 130.827455) + (xy 231.497319 130.81) (xy 231.4956 130.792545) (xy 231.4956 130.792537) (xy 231.490454 130.74029) (xy 231.470121 130.67326) + (xy 231.437101 130.611484) (xy 231.392663 130.557337) (xy 231.3791 130.546206) (xy 230.768799 129.935906) (xy 230.757663 129.922337) + (xy 230.703516 129.877899) (xy 230.64174 129.844879) (xy 230.57471 129.824546) (xy 230.522463 129.8194) (xy 230.522455 129.8194) + (xy 230.505 129.817681) (xy 230.487545 129.8194) (xy 230.329234 129.8194) (xy 230.320049 129.805654) (xy 230.239346 129.724951) + (xy 230.144449 129.661543) (xy 230.039005 129.617866) (xy 229.927066 129.5956) (xy 229.812934 129.5956) (xy 229.700995 129.617866) + (xy 229.595551 129.661543) (xy 229.500654 129.724951) (xy 229.419951 129.805654) (xy 229.356543 129.900551) (xy 229.312866 130.005995) + (xy 229.2906 130.117934) (xy 229.2906 130.232066) (xy 229.312866 130.344005) (xy 229.356543 130.449449) (xy 229.419951 130.544346) + (xy 229.500654 130.625049) (xy 229.595551 130.688457) (xy 229.700995 130.732134) (xy 229.812934 130.7544) (xy 229.927066 130.7544) + (xy 230.039005 130.732134) (xy 230.144449 130.688457) (xy 230.239346 130.625049) (xy 230.320049 130.544346) (xy 230.329234 130.5306) + (xy 230.357707 130.5306) (xy 230.784401 130.957295) (xy 230.784401 131.331249) (xy 230.759 131.331249) (xy 230.629899 131.343964) + (xy 230.505759 131.381622) (xy 230.391351 131.442774) (xy 230.291071 131.525071) (xy 230.208774 131.625351) (xy 230.147622 131.739759) + (xy 230.109964 131.863899) (xy 230.097249 131.993) (xy 230.097249 132.0038) (xy 229.642751 132.0038) (xy 229.642751 131.993) + (xy 229.630036 131.863899) (xy 229.592378 131.739759) (xy 229.531226 131.625351) (xy 229.448929 131.525071) (xy 229.348649 131.442774) + (xy 229.234241 131.381622) (xy 229.110101 131.343964) (xy 228.981 131.331249) (xy 228.9556 131.331249) (xy 228.9556 130.827455) + (xy 228.957319 130.81) (xy 228.9556 130.792545) (xy 228.9556 130.792537) (xy 228.950454 130.74029) (xy 228.930121 130.67326) + (xy 228.897101 130.611484) (xy 228.852663 130.557337) (xy 228.8391 130.546206) (xy 228.228799 129.935906) (xy 228.217663 129.922337) + (xy 228.163516 129.877899) (xy 228.10174 129.844879) (xy 228.03471 129.824546) (xy 227.982463 129.8194) (xy 227.982455 129.8194) + (xy 227.965 129.817681) (xy 227.947545 129.8194) (xy 227.789234 129.8194) (xy 227.780049 129.805654) (xy 227.699346 129.724951) + (xy 227.604449 129.661543) (xy 227.499005 129.617866) (xy 227.387066 129.5956) (xy 227.272934 129.5956) (xy 227.160995 129.617866) + (xy 227.055551 129.661543) (xy 226.960654 129.724951) (xy 226.879951 129.805654) (xy 226.816543 129.900551) (xy 226.772866 130.005995) + (xy 226.7506 130.117934) (xy 226.7506 130.232066) (xy 226.772866 130.344005) (xy 226.816543 130.449449) (xy 226.879951 130.544346) + (xy 226.960654 130.625049) (xy 227.055551 130.688457) (xy 227.160995 130.732134) (xy 227.272934 130.7544) (xy 227.387066 130.7544) + (xy 227.499005 130.732134) (xy 227.604449 130.688457) (xy 227.699346 130.625049) (xy 227.780049 130.544346) (xy 227.789234 130.5306) + (xy 227.817707 130.5306) (xy 228.244401 130.957295) (xy 228.244401 131.331249) (xy 228.219 131.331249) (xy 228.089899 131.343964) + (xy 227.965759 131.381622) (xy 227.851351 131.442774) (xy 227.751071 131.525071) (xy 227.668774 131.625351) (xy 227.607622 131.739759) + (xy 227.569964 131.863899) (xy 227.557249 131.993) (xy 227.557249 132.0038) (xy 227.102751 132.0038) (xy 227.102751 131.993) + (xy 227.090036 131.863899) (xy 227.052378 131.739759) (xy 226.991226 131.625351) (xy 226.908929 131.525071) (xy 226.808649 131.442774) + (xy 226.694241 131.381622) (xy 226.570101 131.343964) (xy 226.441 131.331249) (xy 226.4156 131.331249) (xy 226.4156 130.827452) + (xy 226.417319 130.809999) (xy 226.4156 130.792546) (xy 226.4156 130.792537) (xy 226.410454 130.74029) (xy 226.390121 130.67326) + (xy 226.357101 130.611484) (xy 226.353933 130.607624) (xy 226.323795 130.5709) (xy 226.323785 130.57089) (xy 226.312662 130.557337) + (xy 226.29911 130.546215) (xy 225.1456 129.392707) (xy 225.1456 126.824234) (xy 225.159346 126.815049) (xy 225.240049 126.734346) + (xy 225.303457 126.639449) (xy 225.347134 126.534005) (xy 225.3694 126.422066) (xy 225.3694 126.307934) (xy 225.347134 126.195995) + (xy 225.303457 126.090551) (xy 225.240049 125.995654) (xy 225.159346 125.914951) (xy 225.064449 125.851543) (xy 224.959005 125.807866) + (xy 224.847066 125.7856) (xy 224.732934 125.7856) (xy 224.620995 125.807866) (xy 224.515551 125.851543) (xy 224.420654 125.914951) + (xy 224.339951 125.995654) (xy 224.276543 126.090551) (xy 224.232866 126.195995) (xy 224.2106 126.307934) (xy 224.2106 126.422066) + (xy 224.232866 126.534005) (xy 224.276543 126.639449) (xy 224.339951 126.734346) (xy 224.420654 126.815049) (xy 224.4344 126.824234) + (xy 224.434401 129.522535) (xy 224.432681 129.54) (xy 224.439546 129.60971) (xy 224.45988 129.676741) (xy 224.47639 129.707628) + (xy 224.4929 129.738516) (xy 224.537338 129.792663) (xy 224.550901 129.803794) (xy 225.7044 130.957295) (xy 225.7044 131.331249) + (xy 225.679 131.331249) (xy 225.549899 131.343964) (xy 225.425759 131.381622) (xy 225.311351 131.442774) (xy 225.211071 131.525071) + (xy 225.128774 131.625351) (xy 225.067622 131.739759) (xy 225.029964 131.863899) (xy 225.017249 131.993) (xy 225.017249 132.0038) + (xy 224.562751 132.0038) (xy 224.562751 131.993) (xy 224.550036 131.863899) (xy 224.512378 131.739759) (xy 224.451226 131.625351) + (xy 224.368929 131.525071) (xy 224.268649 131.442774) (xy 224.154241 131.381622) (xy 224.030101 131.343964) (xy 223.901 131.331249) + (xy 223.8756 131.331249) (xy 223.8756 130.827455) (xy 223.877319 130.81) (xy 223.8756 130.792545) (xy 223.8756 130.792537) + (xy 223.870454 130.74029) (xy 223.850121 130.67326) (xy 223.817101 130.611484) (xy 223.772663 130.557337) (xy 223.7591 130.546206) + (xy 223.148799 129.935906) (xy 223.137663 129.922337) (xy 223.083516 129.877899) (xy 223.02174 129.844879) (xy 222.95471 129.824546) + (xy 222.902463 129.8194) (xy 222.902455 129.8194) (xy 222.885 129.817681) (xy 222.867545 129.8194) (xy 222.709234 129.8194) + (xy 222.700049 129.805654) (xy 222.619346 129.724951) (xy 222.524449 129.661543) (xy 222.419005 129.617866) (xy 222.307066 129.5956) + (xy 222.192934 129.5956) (xy 222.080995 129.617866) (xy 221.975551 129.661543) (xy 221.880654 129.724951) (xy 221.799951 129.805654) + (xy 221.736543 129.900551) (xy 221.692866 130.005995) (xy 221.6706 130.117934) (xy 221.6706 130.232066) (xy 221.692866 130.344005) + (xy 221.736543 130.449449) (xy 221.799951 130.544346) (xy 221.880654 130.625049) (xy 221.975551 130.688457) (xy 222.080995 130.732134) + (xy 222.192934 130.7544) (xy 222.307066 130.7544) (xy 222.419005 130.732134) (xy 222.524449 130.688457) (xy 222.619346 130.625049) + (xy 222.700049 130.544346) (xy 222.709234 130.5306) (xy 222.737707 130.5306) (xy 223.164401 130.957295) (xy 223.164401 131.331249) + (xy 223.139 131.331249) (xy 223.009899 131.343964) (xy 222.885759 131.381622) (xy 222.771351 131.442774) (xy 222.671071 131.525071) + (xy 222.588774 131.625351) (xy 222.527622 131.739759) (xy 222.489964 131.863899) (xy 222.477249 131.993) (xy 222.477249 132.0038) + (xy 222.022751 132.0038) (xy 222.022751 131.993) (xy 222.010036 131.863899) (xy 221.972378 131.739759) (xy 221.911226 131.625351) + (xy 221.828929 131.525071) (xy 221.728649 131.442774) (xy 221.614241 131.381622) (xy 221.490101 131.343964) (xy 221.361 131.331249) + (xy 221.3356 131.331249) (xy 221.3356 126.824234) (xy 221.349346 126.815049) (xy 221.430049 126.734346) (xy 221.493457 126.639449) + (xy 221.537134 126.534005) (xy 221.5594 126.422066) (xy 221.5594 126.307934) (xy 221.537134 126.195995) (xy 221.493457 126.090551) + (xy 221.430049 125.995654) (xy 221.349346 125.914951) (xy 221.254449 125.851543) (xy 221.149005 125.807866) (xy 221.037066 125.7856) + (xy 220.922934 125.7856) (xy 220.810995 125.807866) (xy 220.705551 125.851543) (xy 220.610654 125.914951) (xy 220.529951 125.995654) + (xy 220.466543 126.090551) (xy 220.422866 126.195995) (xy 220.4006 126.307934) (xy 220.4006 126.422066) (xy 220.422866 126.534005) + (xy 220.466543 126.639449) (xy 220.529951 126.734346) (xy 220.610654 126.815049) (xy 220.6244 126.824234) (xy 220.624401 131.331249) + (xy 220.599 131.331249) (xy 220.469899 131.343964) (xy 220.345759 131.381622) (xy 220.231351 131.442774) (xy 220.131071 131.525071) + (xy 220.048774 131.625351) (xy 219.987622 131.739759) (xy 219.949964 131.863899) (xy 219.937249 131.993) (xy 219.937249 132.0038) + (xy 219.482751 132.0038) (xy 219.482751 131.993) (xy 219.470036 131.863899) (xy 219.432378 131.739759) (xy 219.371226 131.625351) + (xy 219.288929 131.525071) (xy 219.188649 131.442774) (xy 219.074241 131.381622) (xy 218.950101 131.343964) (xy 218.821 131.331249) + (xy 218.7956 131.331249) (xy 218.7956 131.081455) (xy 218.797319 131.064) (xy 218.7956 131.046545) (xy 218.7956 131.046537) + (xy 218.790454 130.99429) (xy 218.770121 130.92726) (xy 218.737101 130.865484) (xy 218.726039 130.852005) (xy 218.703795 130.824901) + (xy 218.70379 130.824896) (xy 218.692662 130.811337) (xy 218.679104 130.80021) (xy 218.068799 130.189906) (xy 218.057663 130.176337) + (xy 218.003516 130.131899) (xy 217.94174 130.098879) (xy 217.87471 130.078546) (xy 217.822463 130.0734) (xy 217.822455 130.0734) + (xy 217.805 130.071681) (xy 217.787545 130.0734) (xy 216.171452 130.0734) (xy 216.153999 130.071681) (xy 216.136546 130.0734) + (xy 216.136537 130.0734) (xy 216.08429 130.078546) (xy 216.01726 130.098879) (xy 215.994498 130.111046) (xy 215.957066 130.1036) + (xy 215.842934 130.1036) (xy 215.730995 130.125866) (xy 215.625551 130.169543) (xy 215.530654 130.232951) (xy 215.449951 130.313654) + (xy 215.386543 130.408551) (xy 215.342866 130.513995) (xy 215.3206 130.625934) (xy 215.3206 130.740066) (xy 215.342866 130.852005) + (xy 215.386543 130.957449) (xy 215.449951 131.052346) (xy 215.530654 131.133049) (xy 215.625551 131.196457) (xy 215.730995 131.240134) + (xy 215.842934 131.2624) (xy 215.957066 131.2624) (xy 216.069005 131.240134) (xy 216.174449 131.196457) (xy 216.269346 131.133049) + (xy 216.350049 131.052346) (xy 216.413457 130.957449) (xy 216.457134 130.852005) (xy 216.470542 130.7846) (xy 217.657707 130.7846) + (xy 218.0844 131.211294) (xy 218.0844 131.331249) (xy 218.059 131.331249) (xy 217.929899 131.343964) (xy 217.805759 131.381622) + (xy 217.691351 131.442774) (xy 217.591071 131.525071) (xy 217.508774 131.625351) (xy 217.447622 131.739759) (xy 217.409964 131.863899) + (xy 217.397249 131.993) (xy 217.397249 132.0038) (xy 216.942751 132.0038) (xy 216.942751 131.993) (xy 216.930036 131.863899) + (xy 216.892378 131.739759) (xy 216.831226 131.625351) (xy 216.748929 131.525071) (xy 216.648649 131.442774) (xy 216.534241 131.381622) + (xy 216.410101 131.343964) (xy 216.281 131.331249) (xy 215.519 131.331249) (xy 215.389899 131.343964) (xy 215.265759 131.381622) + (xy 215.151351 131.442774) (xy 215.051071 131.525071) (xy 214.968774 131.625351) (xy 214.907622 131.739759) (xy 214.869964 131.863899) + (xy 214.857249 131.993) (xy 214.857249 132.0038) (xy 214.402751 132.0038) (xy 214.402751 131.993) (xy 214.390036 131.863899) + (xy 214.352378 131.739759) (xy 214.291226 131.625351) (xy 214.208929 131.525071) (xy 214.108649 131.442774) (xy 213.994241 131.381622) + (xy 213.870101 131.343964) (xy 213.741 131.331249) (xy 213.7156 131.331249) (xy 213.7156 129.745234) (xy 213.729346 129.736049) + (xy 213.810049 129.655346) (xy 213.873457 129.560449) (xy 213.917134 129.455005) (xy 213.9394 129.343066) (xy 213.9394 129.228934) + (xy 213.917134 129.116995) (xy 213.873457 129.011551) (xy 213.810049 128.916654) (xy 213.729346 128.835951) (xy 213.634449 128.772543) + (xy 213.529005 128.728866) (xy 213.417066 128.7066) (xy 213.302934 128.7066) (xy 213.190995 128.728866) (xy 213.085551 128.772543) + (xy 212.990654 128.835951) (xy 212.909951 128.916654) (xy 212.846543 129.011551) (xy 212.802866 129.116995) (xy 212.7806 129.228934) + (xy 212.7806 129.343066) (xy 212.802866 129.455005) (xy 212.846543 129.560449) (xy 212.909951 129.655346) (xy 212.990654 129.736049) + (xy 213.0044 129.745234) (xy 213.0044 131.331249) (xy 212.979 131.331249) (xy 212.849899 131.343964) (xy 212.725759 131.381622) + (xy 212.611351 131.442774) (xy 212.511071 131.525071) (xy 212.428774 131.625351) (xy 212.367622 131.739759) (xy 212.329964 131.863899) + (xy 212.317249 131.993) (xy 212.317249 132.0038) (xy 211.862751 132.0038) (xy 211.862751 131.993) (xy 211.850036 131.863899) + (xy 211.812378 131.739759) (xy 211.751226 131.625351) (xy 211.668929 131.525071) (xy 211.568649 131.442774) (xy 211.454241 131.381622) + (xy 211.330101 131.343964) (xy 211.201 131.331249) (xy 210.439 131.331249) (xy 210.309899 131.343964) (xy 210.185759 131.381622) + (xy 210.071351 131.442774) (xy 209.971071 131.525071) (xy 209.888774 131.625351) (xy 209.827622 131.739759) (xy 209.789964 131.863899) + (xy 209.777249 131.993) (xy 209.777249 132.0038) (xy 209.322751 132.0038) (xy 209.322751 131.993) (xy 209.310036 131.863899) + (xy 209.272378 131.739759) (xy 209.211226 131.625351) (xy 209.128929 131.525071) (xy 209.028649 131.442774) (xy 208.914241 131.381622) + (xy 208.790101 131.343964) (xy 208.661 131.331249) (xy 207.899 131.331249) (xy 207.769899 131.343964) (xy 207.645759 131.381622) + (xy 207.531351 131.442774) (xy 207.431071 131.525071) (xy 207.348774 131.625351) (xy 207.287622 131.739759) (xy 207.249964 131.863899) + (xy 207.237249 131.993) (xy 207.237249 132.0038) (xy 206.782751 132.0038) (xy 206.782751 131.993) (xy 206.770036 131.863899) + (xy 206.732378 131.739759) (xy 206.671226 131.625351) (xy 206.588929 131.525071) (xy 206.488649 131.442774) (xy 206.374241 131.381622) + (xy 206.250101 131.343964) (xy 206.121 131.331249) (xy 205.359 131.331249) (xy 205.229899 131.343964) (xy 205.105759 131.381622) + (xy 204.991351 131.442774) (xy 204.891071 131.525071) (xy 204.808774 131.625351) (xy 204.747622 131.739759) (xy 204.709964 131.863899) + (xy 204.697249 131.993) (xy 204.697249 132.0038) (xy 204.242751 132.0038) (xy 204.242751 131.993) (xy 204.230036 131.863899) + (xy 204.192378 131.739759) (xy 204.131226 131.625351) (xy 204.048929 131.525071) (xy 203.948649 131.442774) (xy 203.834241 131.381622) + (xy 203.710101 131.343964) (xy 203.581 131.331249) (xy 203.5556 131.331249) (xy 203.5556 130.957293) (xy 205.031719 129.481175) + (xy 205.047934 129.4844) (xy 205.162066 129.4844) (xy 205.274005 129.462134) (xy 205.379449 129.418457) (xy 205.474346 129.355049) + (xy 205.555049 129.274346) (xy 205.618457 129.179449) (xy 205.662134 129.074005) (xy 205.6844 128.962066) (xy 205.6844 128.847934) + (xy 205.662134 128.735995) (xy 205.618457 128.630551) (xy 205.555049 128.535654) (xy 205.474346 128.454951) (xy 205.379449 128.391543) + (xy 205.274005 128.347866) (xy 205.162066 128.3256) (xy 205.047934 128.3256) (xy 204.935995 128.347866) (xy 204.830551 128.391543) + (xy 204.735654 128.454951) (xy 204.654951 128.535654) (xy 204.591543 128.630551) (xy 204.547866 128.735995) (xy 204.5256 128.847934) + (xy 204.5256 128.962066) (xy 204.528825 128.978281) (xy 202.960902 130.546205) (xy 202.947337 130.557338) (xy 202.902899 130.611485) + (xy 202.869879 130.673261) (xy 202.859742 130.70668) (xy 202.849547 130.740289) (xy 202.849546 130.740291) (xy 202.8444 130.792538) + (xy 202.8444 130.792545) (xy 202.842681 130.81) (xy 202.8444 130.827456) (xy 202.8444 131.331249) (xy 202.819 131.331249) + (xy 202.689899 131.343964) (xy 202.565759 131.381622) (xy 202.451351 131.442774) (xy 202.351071 131.525071) (xy 202.268774 131.625351) + (xy 202.207622 131.739759) (xy 202.169964 131.863899) (xy 202.157249 131.993) (xy 202.157249 132.0038) (xy 201.702751 132.0038) + (xy 201.702751 131.993) (xy 201.690036 131.863899) (xy 201.652378 131.739759) (xy 201.591226 131.625351) (xy 201.508929 131.525071) + (xy 201.408649 131.442774) (xy 201.294241 131.381622) (xy 201.170101 131.343964) (xy 201.041 131.331249) (xy 200.279 131.331249) + (xy 200.149899 131.343964) (xy 200.025759 131.381622) (xy 199.911351 131.442774) (xy 199.811071 131.525071) (xy 199.728774 131.625351) + (xy 199.667622 131.739759) (xy 199.629964 131.863899) (xy 199.617249 131.993) (xy 199.617249 132.0038) (xy 198.7284 132.0038) + (xy 198.7284 128.085934) (xy 213.0346 128.085934) (xy 213.0346 128.200066) (xy 213.056866 128.312005) (xy 213.100543 128.417449) + (xy 213.163951 128.512346) (xy 213.244654 128.593049) (xy 213.339551 128.656457) (xy 213.444995 128.700134) (xy 213.556934 128.7224) + (xy 213.671066 128.7224) (xy 213.783005 128.700134) (xy 213.888449 128.656457) (xy 213.983346 128.593049) (xy 214.064049 128.512346) + (xy 214.127457 128.417449) (xy 214.171134 128.312005) (xy 214.1934 128.200066) (xy 214.1934 128.085934) (xy 214.190175 128.069719) + (xy 216.39646 125.863434) (xy 217.4161 125.863434) (xy 217.4161 125.977566) (xy 217.438366 126.089505) (xy 217.482043 126.194949) + (xy 217.545451 126.289846) (xy 217.626154 126.370549) (xy 217.721051 126.433957) (xy 217.826495 126.477634) (xy 217.938434 126.4999) + (xy 218.052566 126.4999) (xy 218.164505 126.477634) (xy 218.269949 126.433957) (xy 218.364846 126.370549) (xy 218.445549 126.289846) + (xy 218.508957 126.194949) (xy 218.552634 126.089505) (xy 218.5749 125.977566) (xy 218.5749 125.863434) (xy 218.571675 125.847219) + (xy 218.93311 125.485785) (xy 218.946662 125.474663) (xy 218.957785 125.46111) (xy 218.957795 125.4611) (xy 218.9911 125.420517) + (xy 218.991102 125.420515) (xy 219.024121 125.35874) (xy 219.0356 125.320898) (xy 219.044386 125.291934) (xy 221.4166 125.291934) + (xy 221.4166 125.406066) (xy 221.438866 125.518005) (xy 221.482543 125.623449) (xy 221.545951 125.718346) (xy 221.626654 125.799049) + (xy 221.721551 125.862457) (xy 221.826995 125.906134) (xy 221.938934 125.9284) (xy 222.053066 125.9284) (xy 222.165005 125.906134) + (xy 222.270449 125.862457) (xy 222.365346 125.799049) (xy 222.446049 125.718346) (xy 222.509457 125.623449) (xy 222.553134 125.518005) + (xy 222.5754 125.406066) (xy 222.5754 125.291934) (xy 222.572175 125.275719) (xy 223.31972 124.528175) (xy 223.335934 124.5314) + (xy 223.450066 124.5314) (xy 223.562005 124.509134) (xy 223.667449 124.465457) (xy 223.762346 124.402049) (xy 223.843049 124.321346) + (xy 223.906457 124.226449) (xy 223.950134 124.121005) (xy 223.9724 124.009066) (xy 223.9724 123.894934) (xy 223.950134 123.782995) + (xy 223.906457 123.677551) (xy 223.843049 123.582654) (xy 223.762346 123.501951) (xy 223.667449 123.438543) (xy 223.562005 123.394866) + (xy 223.450066 123.3726) (xy 223.335934 123.3726) (xy 223.223995 123.394866) (xy 223.118551 123.438543) (xy 223.023654 123.501951) + (xy 222.942951 123.582654) (xy 222.879543 123.677551) (xy 222.835866 123.782995) (xy 222.8136 123.894934) (xy 222.8136 124.009066) + (xy 222.816825 124.02528) (xy 222.069281 124.772825) (xy 222.053066 124.7696) (xy 221.938934 124.7696) (xy 221.826995 124.791866) + (xy 221.721551 124.835543) (xy 221.626654 124.898951) (xy 221.545951 124.979654) (xy 221.482543 125.074551) (xy 221.438866 125.179995) + (xy 221.4166 125.291934) (xy 219.044386 125.291934) (xy 219.044454 125.291711) (xy 219.045855 125.277485) (xy 219.0496 125.239463) + (xy 219.0496 125.239456) (xy 219.051319 125.222001) (xy 219.0496 125.204546) (xy 219.0496 123.767934) (xy 221.2896 123.767934) + (xy 221.2896 123.882066) (xy 221.311866 123.994005) (xy 221.355543 124.099449) (xy 221.418951 124.194346) (xy 221.499654 124.275049) + (xy 221.594551 124.338457) (xy 221.699995 124.382134) (xy 221.811934 124.4044) (xy 221.926066 124.4044) (xy 222.038005 124.382134) + (xy 222.143449 124.338457) (xy 222.238346 124.275049) (xy 222.319049 124.194346) (xy 222.382457 124.099449) (xy 222.426134 123.994005) + (xy 222.4484 123.882066) (xy 222.4484 123.767934) (xy 222.426134 123.655995) (xy 222.382457 123.550551) (xy 222.319049 123.455654) + (xy 222.238346 123.374951) (xy 222.143449 123.311543) (xy 222.038005 123.267866) (xy 221.926066 123.2456) (xy 221.811934 123.2456) + (xy 221.699995 123.267866) (xy 221.594551 123.311543) (xy 221.499654 123.374951) (xy 221.418951 123.455654) (xy 221.355543 123.550551) + (xy 221.311866 123.655995) (xy 221.2896 123.767934) (xy 219.0496 123.767934) (xy 219.0496 123.141234) (xy 219.063346 123.132049) + (xy 219.144049 123.051346) (xy 219.207457 122.956449) (xy 219.251134 122.851005) (xy 219.2734 122.739066) (xy 219.2734 122.624934) + (xy 219.251134 122.512995) (xy 219.207457 122.407551) (xy 219.144049 122.312654) (xy 219.063346 122.231951) (xy 218.968449 122.168543) + (xy 218.863005 122.124866) (xy 218.751066 122.1026) (xy 218.636934 122.1026) (xy 218.524995 122.124866) (xy 218.419551 122.168543) + (xy 218.324654 122.231951) (xy 218.243951 122.312654) (xy 218.180543 122.407551) (xy 218.136866 122.512995) (xy 218.1146 122.624934) + (xy 218.1146 122.739066) (xy 218.136866 122.851005) (xy 218.180543 122.956449) (xy 218.243951 123.051346) (xy 218.324654 123.132049) + (xy 218.338401 123.141234) (xy 218.3384 125.074705) (xy 218.068781 125.344325) (xy 218.052566 125.3411) (xy 217.938434 125.3411) + (xy 217.826495 125.363366) (xy 217.721051 125.407043) (xy 217.626154 125.470451) (xy 217.545451 125.551154) (xy 217.482043 125.646051) + (xy 217.438366 125.751495) (xy 217.4161 125.863434) (xy 216.39646 125.863434) (xy 216.84272 125.417175) (xy 216.858934 125.4204) + (xy 216.973066 125.4204) (xy 217.085005 125.398134) (xy 217.190449 125.354457) (xy 217.285346 125.291049) (xy 217.366049 125.210346) + (xy 217.429457 125.115449) (xy 217.473134 125.010005) (xy 217.4954 124.898066) (xy 217.4954 124.783934) (xy 217.473134 124.671995) + (xy 217.429457 124.566551) (xy 217.366049 124.471654) (xy 217.285346 124.390951) (xy 217.190449 124.327543) (xy 217.085005 124.283866) + (xy 216.973066 124.2616) (xy 216.858934 124.2616) (xy 216.746995 124.283866) (xy 216.641551 124.327543) (xy 216.546654 124.390951) + (xy 216.465951 124.471654) (xy 216.402543 124.566551) (xy 216.358866 124.671995) (xy 216.3366 124.783934) (xy 216.3366 124.898066) + (xy 216.339825 124.91428) (xy 213.687281 127.566825) (xy 213.671066 127.5636) (xy 213.556934 127.5636) (xy 213.444995 127.585866) + (xy 213.339551 127.629543) (xy 213.244654 127.692951) (xy 213.163951 127.773654) (xy 213.100543 127.868551) (xy 213.056866 127.973995) + (xy 213.0346 128.085934) (xy 198.7284 128.085934) (xy 198.7284 127.196934) (xy 212.1456 127.196934) (xy 212.1456 127.311066) + (xy 212.167866 127.423005) (xy 212.211543 127.528449) (xy 212.274951 127.623346) (xy 212.355654 127.704049) (xy 212.450551 127.767457) + (xy 212.555995 127.811134) (xy 212.667934 127.8334) (xy 212.782066 127.8334) (xy 212.894005 127.811134) (xy 212.999449 127.767457) + (xy 213.094346 127.704049) (xy 213.175049 127.623346) (xy 213.238457 127.528449) (xy 213.282134 127.423005) (xy 213.3044 127.311066) + (xy 213.3044 127.196934) (xy 213.301175 127.180719) (xy 215.95372 124.528175) (xy 215.969934 124.5314) (xy 216.084066 124.5314) + (xy 216.196005 124.509134) (xy 216.301449 124.465457) (xy 216.396346 124.402049) (xy 216.477049 124.321346) (xy 216.540457 124.226449) + (xy 216.584134 124.121005) (xy 216.6064 124.009066) (xy 216.6064 123.894934) (xy 216.584134 123.782995) (xy 216.540457 123.677551) + (xy 216.477049 123.582654) (xy 216.396346 123.501951) (xy 216.301449 123.438543) (xy 216.196005 123.394866) (xy 216.084066 123.3726) + (xy 215.969934 123.3726) (xy 215.857995 123.394866) (xy 215.752551 123.438543) (xy 215.657654 123.501951) (xy 215.576951 123.582654) + (xy 215.513543 123.677551) (xy 215.469866 123.782995) (xy 215.4476 123.894934) (xy 215.4476 124.009066) (xy 215.450825 124.02528) + (xy 212.798281 126.677825) (xy 212.782066 126.6746) (xy 212.667934 126.6746) (xy 212.555995 126.696866) (xy 212.450551 126.740543) + (xy 212.355654 126.803951) (xy 212.274951 126.884654) (xy 212.211543 126.979551) (xy 212.167866 127.084995) (xy 212.1456 127.196934) + (xy 198.7284 127.196934) (xy 198.7284 126.307934) (xy 211.2566 126.307934) (xy 211.2566 126.422066) (xy 211.278866 126.534005) + (xy 211.322543 126.639449) (xy 211.385951 126.734346) (xy 211.466654 126.815049) (xy 211.561551 126.878457) (xy 211.666995 126.922134) + (xy 211.778934 126.9444) (xy 211.893066 126.9444) (xy 212.005005 126.922134) (xy 212.110449 126.878457) (xy 212.205346 126.815049) + (xy 212.286049 126.734346) (xy 212.349457 126.639449) (xy 212.393134 126.534005) (xy 212.4154 126.422066) (xy 212.4154 126.307934) + (xy 212.412175 126.291719) (xy 215.06472 123.639175) (xy 215.080934 123.6424) (xy 215.195066 123.6424) (xy 215.307005 123.620134) + (xy 215.412449 123.576457) (xy 215.507346 123.513049) (xy 215.588049 123.432346) (xy 215.651457 123.337449) (xy 215.695134 123.232005) + (xy 215.7174 123.120066) (xy 215.7174 123.005934) (xy 215.695134 122.893995) (xy 215.651457 122.788551) (xy 215.588049 122.693654) + (xy 215.507346 122.612951) (xy 215.412449 122.549543) (xy 215.307005 122.505866) (xy 215.195066 122.4836) (xy 215.080934 122.4836) + (xy 214.968995 122.505866) (xy 214.863551 122.549543) (xy 214.768654 122.612951) (xy 214.687951 122.693654) (xy 214.624543 122.788551) + (xy 214.580866 122.893995) (xy 214.5586 123.005934) (xy 214.5586 123.120066) (xy 214.561825 123.13628) (xy 211.909281 125.788825) + (xy 211.893066 125.7856) (xy 211.778934 125.7856) (xy 211.666995 125.807866) (xy 211.561551 125.851543) (xy 211.466654 125.914951) + (xy 211.385951 125.995654) (xy 211.322543 126.090551) (xy 211.278866 126.195995) (xy 211.2566 126.307934) (xy 198.7284 126.307934) + (xy 198.7284 125.418934) (xy 210.3676 125.418934) (xy 210.3676 125.533066) (xy 210.389866 125.645005) (xy 210.433543 125.750449) + (xy 210.496951 125.845346) (xy 210.577654 125.926049) (xy 210.672551 125.989457) (xy 210.777995 126.033134) (xy 210.889934 126.0554) + (xy 211.004066 126.0554) (xy 211.116005 126.033134) (xy 211.221449 125.989457) (xy 211.316346 125.926049) (xy 211.397049 125.845346) + (xy 211.460457 125.750449) (xy 211.504134 125.645005) (xy 211.5264 125.533066) (xy 211.5264 125.418934) (xy 211.523175 125.402719) + (xy 214.17572 122.750175) (xy 214.191934 122.7534) (xy 214.306066 122.7534) (xy 214.418005 122.731134) (xy 214.523449 122.687457) + (xy 214.618346 122.624049) (xy 214.699049 122.543346) (xy 214.762457 122.448449) (xy 214.806134 122.343005) (xy 214.8284 122.231066) + (xy 214.8284 122.116934) (xy 214.806134 122.004995) (xy 214.762457 121.899551) (xy 214.699049 121.804654) (xy 214.618346 121.723951) + (xy 214.523449 121.660543) (xy 214.418005 121.616866) (xy 214.306066 121.5946) (xy 214.191934 121.5946) (xy 214.079995 121.616866) + (xy 213.974551 121.660543) (xy 213.879654 121.723951) (xy 213.798951 121.804654) (xy 213.735543 121.899551) (xy 213.691866 122.004995) + (xy 213.6696 122.116934) (xy 213.6696 122.231066) (xy 213.672825 122.24728) (xy 211.020281 124.899825) (xy 211.004066 124.8966) + (xy 210.889934 124.8966) (xy 210.777995 124.918866) (xy 210.672551 124.962543) (xy 210.577654 125.025951) (xy 210.496951 125.106654) + (xy 210.433543 125.201551) (xy 210.389866 125.306995) (xy 210.3676 125.418934) (xy 198.7284 125.418934) (xy 198.7284 124.529934) + (xy 209.4786 124.529934) (xy 209.4786 124.644066) (xy 209.500866 124.756005) (xy 209.544543 124.861449) (xy 209.607951 124.956346) + (xy 209.688654 125.037049) (xy 209.783551 125.100457) (xy 209.888995 125.144134) (xy 210.000934 125.1664) (xy 210.115066 125.1664) + (xy 210.227005 125.144134) (xy 210.332449 125.100457) (xy 210.427346 125.037049) (xy 210.508049 124.956346) (xy 210.571457 124.861449) + (xy 210.615134 124.756005) (xy 210.6374 124.644066) (xy 210.6374 124.529934) (xy 210.634175 124.513719) (xy 213.28672 121.861175) + (xy 213.302934 121.8644) (xy 213.417066 121.8644) (xy 213.529005 121.842134) (xy 213.634449 121.798457) (xy 213.729346 121.735049) + (xy 213.810049 121.654346) (xy 213.873457 121.559449) (xy 213.905565 121.481934) (xy 225.3536 121.481934) (xy 225.3536 121.596066) + (xy 225.375866 121.708005) (xy 225.419543 121.813449) (xy 225.482951 121.908346) (xy 225.563654 121.989049) (xy 225.658551 122.052457) + (xy 225.763995 122.096134) (xy 225.875934 122.1184) (xy 225.990066 122.1184) (xy 226.102005 122.096134) (xy 226.207449 122.052457) + (xy 226.302346 121.989049) (xy 226.383049 121.908346) (xy 226.446457 121.813449) (xy 226.490134 121.708005) (xy 226.5124 121.596066) + (xy 226.5124 121.481934) (xy 226.509175 121.465719) (xy 226.715294 121.2596) (xy 227.944707 121.2596) (xy 228.717206 122.0321) + (xy 228.728337 122.045663) (xy 228.782484 122.090101) (xy 228.84426 122.123121) (xy 228.890956 122.137286) (xy 228.911289 122.143454) + (xy 228.917566 122.144072) (xy 228.963537 122.1486) (xy 228.963544 122.1486) (xy 228.981 122.150319) (xy 228.998455 122.1486) + (xy 230.995545 122.1486) (xy 231.013 122.150319) (xy 231.030455 122.1486) (xy 231.030463 122.1486) (xy 231.08271 122.143454) + (xy 231.14974 122.123121) (xy 231.211516 122.090101) (xy 231.265663 122.045663) (xy 231.276798 122.032095) (xy 231.668295 121.6406) + (xy 234.551545 121.6406) (xy 234.569 121.642319) (xy 234.586455 121.6406) (xy 234.586463 121.6406) (xy 234.63871 121.635454) + (xy 234.70574 121.615121) (xy 234.767516 121.582101) (xy 234.821663 121.537663) (xy 234.832799 121.524094) (xy 238.4911 117.865794) + (xy 238.504663 117.854663) (xy 238.549101 117.800516) (xy 238.582121 117.73874) (xy 238.602454 117.67171) (xy 238.6076 117.619463) + (xy 238.6076 117.619455) (xy 238.609319 117.602) (xy 238.6076 117.584545) (xy 238.6076 116.664234) (xy 238.621346 116.655049) + (xy 238.702049 116.574346) (xy 238.765457 116.479449) (xy 238.809134 116.374005) (xy 238.8314 116.262066) (xy 238.8314 116.147934) + (xy 238.809134 116.035995) (xy 238.765457 115.930551) (xy 238.702049 115.835654) (xy 238.621346 115.754951) (xy 238.526449 115.691543) + (xy 238.421005 115.647866) (xy 238.309066 115.6256) (xy 238.194934 115.6256) (xy 238.082995 115.647866) (xy 237.977551 115.691543) + (xy 237.882654 115.754951) (xy 237.801951 115.835654) (xy 237.738543 115.930551) (xy 237.694866 116.035995) (xy 237.6726 116.147934) + (xy 237.6726 116.262066) (xy 237.694866 116.374005) (xy 237.738543 116.479449) (xy 237.801951 116.574346) (xy 237.882654 116.655049) + (xy 237.8964 116.664234) (xy 237.896401 117.454705) (xy 234.421707 120.9294) (xy 231.538452 120.9294) (xy 231.520999 120.927681) + (xy 231.503546 120.9294) (xy 231.503537 120.9294) (xy 231.45129 120.934546) (xy 231.38426 120.954879) (xy 231.322484 120.987899) + (xy 231.322482 120.9879) (xy 231.322483 120.9879) (xy 231.2819 121.021205) (xy 231.281895 121.02121) (xy 231.268337 121.032337) + (xy 231.25721 121.045895) (xy 230.865707 121.4374) (xy 229.128294 121.4374) (xy 228.355799 120.664906) (xy 228.344663 120.651337) + (xy 228.290516 120.606899) (xy 228.22874 120.573879) (xy 228.16171 120.553546) (xy 228.109463 120.5484) (xy 228.109455 120.5484) + (xy 228.092 120.546681) (xy 228.074545 120.5484) (xy 226.585455 120.5484) (xy 226.568 120.546681) (xy 226.550545 120.5484) + (xy 226.550537 120.5484) (xy 226.49829 120.553546) (xy 226.43126 120.573879) (xy 226.392653 120.594515) (xy 226.369484 120.606899) + (xy 226.335122 120.6351) (xy 226.315337 120.651337) (xy 226.304206 120.6649) (xy 226.006281 120.962825) (xy 225.990066 120.9596) + (xy 225.875934 120.9596) (xy 225.763995 120.981866) (xy 225.658551 121.025543) (xy 225.563654 121.088951) (xy 225.482951 121.169654) + (xy 225.419543 121.264551) (xy 225.375866 121.369995) (xy 225.3536 121.481934) (xy 213.905565 121.481934) (xy 213.917134 121.454005) + (xy 213.9394 121.342066) (xy 213.9394 121.227934) (xy 213.917134 121.115995) (xy 213.873457 121.010551) (xy 213.810049 120.915654) + (xy 213.729346 120.834951) (xy 213.634449 120.771543) (xy 213.529005 120.727866) (xy 213.417066 120.7056) (xy 213.302934 120.7056) + (xy 213.190995 120.727866) (xy 213.085551 120.771543) (xy 212.990654 120.834951) (xy 212.909951 120.915654) (xy 212.846543 121.010551) + (xy 212.802866 121.115995) (xy 212.7806 121.227934) (xy 212.7806 121.342066) (xy 212.783825 121.35828) (xy 210.131281 124.010825) + (xy 210.115066 124.0076) (xy 210.000934 124.0076) (xy 209.888995 124.029866) (xy 209.783551 124.073543) (xy 209.688654 124.136951) + (xy 209.607951 124.217654) (xy 209.544543 124.312551) (xy 209.500866 124.417995) (xy 209.4786 124.529934) (xy 198.7284 124.529934) + (xy 198.7284 123.640934) (xy 208.5896 123.640934) (xy 208.5896 123.755066) (xy 208.611866 123.867005) (xy 208.655543 123.972449) + (xy 208.718951 124.067346) (xy 208.799654 124.148049) (xy 208.894551 124.211457) (xy 208.999995 124.255134) (xy 209.111934 124.2774) + (xy 209.226066 124.2774) (xy 209.338005 124.255134) (xy 209.443449 124.211457) (xy 209.538346 124.148049) (xy 209.619049 124.067346) + (xy 209.682457 123.972449) (xy 209.726134 123.867005) (xy 209.7484 123.755066) (xy 209.7484 123.640934) (xy 209.745175 123.624719) + (xy 212.39772 120.972175) (xy 212.413934 120.9754) (xy 212.528066 120.9754) (xy 212.640005 120.953134) (xy 212.745449 120.909457) + (xy 212.840346 120.846049) (xy 212.921049 120.765346) (xy 212.984457 120.670449) (xy 213.028134 120.565005) (xy 213.0504 120.453066) + (xy 213.0504 120.338934) (xy 228.4016 120.338934) (xy 228.4016 120.453066) (xy 228.423866 120.565005) (xy 228.467543 120.670449) + (xy 228.530951 120.765346) (xy 228.611654 120.846049) (xy 228.706551 120.909457) (xy 228.811995 120.953134) (xy 228.923934 120.9754) + (xy 229.038066 120.9754) (xy 229.150005 120.953134) (xy 229.255449 120.909457) (xy 229.350346 120.846049) (xy 229.431049 120.765346) + (xy 229.494457 120.670449) (xy 229.538134 120.565005) (xy 229.5604 120.453066) (xy 229.5604 120.3706) (xy 234.551545 120.3706) + (xy 234.569 120.372319) (xy 234.586455 120.3706) (xy 234.586463 120.3706) (xy 234.63871 120.365454) (xy 234.70574 120.345121) + (xy 234.767516 120.312101) (xy 234.821663 120.267663) (xy 234.832799 120.254094) (xy 237.032495 118.0544) (xy 237.039066 118.0544) + (xy 237.151005 118.032134) (xy 237.256449 117.988457) (xy 237.351346 117.925049) (xy 237.432049 117.844346) (xy 237.495457 117.749449) + (xy 237.539134 117.644005) (xy 237.5614 117.532066) (xy 237.5614 117.417934) (xy 237.539134 117.305995) (xy 237.495457 117.200551) + (xy 237.432049 117.105654) (xy 237.351346 117.024951) (xy 237.256449 116.961543) (xy 237.151005 116.917866) (xy 237.039066 116.8956) + (xy 236.924934 116.8956) (xy 236.812995 116.917866) (xy 236.707551 116.961543) (xy 236.612654 117.024951) (xy 236.531951 117.105654) + (xy 236.468543 117.200551) (xy 236.424866 117.305995) (xy 236.4026 117.417934) (xy 236.4026 117.532066) (xy 236.424866 117.644005) + (xy 236.428449 117.652656) (xy 234.421707 119.6594) (xy 229.379452 119.6594) (xy 229.361999 119.657681) (xy 229.344546 119.6594) + (xy 229.344537 119.6594) (xy 229.29229 119.664546) (xy 229.22526 119.684879) (xy 229.163484 119.717899) (xy 229.163482 119.7179) + (xy 229.163483 119.7179) (xy 229.1229 119.751205) (xy 229.122895 119.75121) (xy 229.109337 119.762337) (xy 229.09821 119.775895) + (xy 229.05428 119.819825) (xy 229.038066 119.8166) (xy 228.923934 119.8166) (xy 228.811995 119.838866) (xy 228.706551 119.882543) + (xy 228.611654 119.945951) (xy 228.530951 120.026654) (xy 228.467543 120.121551) (xy 228.423866 120.226995) (xy 228.4016 120.338934) + (xy 213.0504 120.338934) (xy 213.028134 120.226995) (xy 212.984457 120.121551) (xy 212.921049 120.026654) (xy 212.840346 119.945951) + (xy 212.745449 119.882543) (xy 212.640005 119.838866) (xy 212.528066 119.8166) (xy 212.413934 119.8166) (xy 212.301995 119.838866) + (xy 212.196551 119.882543) (xy 212.101654 119.945951) (xy 212.020951 120.026654) (xy 211.957543 120.121551) (xy 211.913866 120.226995) + (xy 211.8916 120.338934) (xy 211.8916 120.453066) (xy 211.894825 120.46928) (xy 209.242281 123.121825) (xy 209.226066 123.1186) + (xy 209.111934 123.1186) (xy 208.999995 123.140866) (xy 208.894551 123.184543) (xy 208.799654 123.247951) (xy 208.718951 123.328654) + (xy 208.655543 123.423551) (xy 208.611866 123.528995) (xy 208.5896 123.640934) (xy 198.7284 123.640934) (xy 198.7284 119.322934) + (xy 227.6396 119.322934) (xy 227.6396 119.437066) (xy 227.661866 119.549005) (xy 227.705543 119.654449) (xy 227.768951 119.749346) + (xy 227.849654 119.830049) (xy 227.944551 119.893457) (xy 228.049995 119.937134) (xy 228.161934 119.9594) (xy 228.276066 119.9594) + (xy 228.388005 119.937134) (xy 228.493449 119.893457) (xy 228.588346 119.830049) (xy 228.669049 119.749346) (xy 228.732457 119.654449) + (xy 228.776134 119.549005) (xy 228.7984 119.437066) (xy 228.7984 119.322934) (xy 228.795175 119.306719) (xy 230.271294 117.8306) + (xy 235.252766 117.8306) (xy 235.261951 117.844346) (xy 235.342654 117.925049) (xy 235.437551 117.988457) (xy 235.542995 118.032134) + (xy 235.654934 118.0544) (xy 235.769066 118.0544) (xy 235.881005 118.032134) (xy 235.986449 117.988457) (xy 236.081346 117.925049) + (xy 236.162049 117.844346) (xy 236.225457 117.749449) (xy 236.269134 117.644005) (xy 236.2914 117.532066) (xy 236.2914 117.417934) + (xy 236.269134 117.305995) (xy 236.225457 117.200551) (xy 236.162049 117.105654) (xy 236.081346 117.024951) (xy 235.986449 116.961543) + (xy 235.881005 116.917866) (xy 235.769066 116.8956) (xy 235.654934 116.8956) (xy 235.542995 116.917866) (xy 235.437551 116.961543) + (xy 235.342654 117.024951) (xy 235.261951 117.105654) (xy 235.252766 117.1194) (xy 230.141455 117.1194) (xy 230.124 117.117681) + (xy 230.106544 117.1194) (xy 230.106537 117.1194) (xy 230.060566 117.123928) (xy 230.054289 117.124546) (xy 230.033956 117.130714) + (xy 229.98726 117.144879) (xy 229.925484 117.177899) (xy 229.871337 117.222337) (xy 229.860206 117.2359) (xy 228.292281 118.803825) + (xy 228.276066 118.8006) (xy 228.161934 118.8006) (xy 228.049995 118.822866) (xy 227.944551 118.866543) (xy 227.849654 118.929951) + (xy 227.768951 119.010654) (xy 227.705543 119.105551) (xy 227.661866 119.210995) (xy 227.6396 119.322934) (xy 198.7284 119.322934) + (xy 198.7284 118.433934) (xy 226.7506 118.433934) (xy 226.7506 118.548066) (xy 226.772866 118.660005) (xy 226.816543 118.765449) + (xy 226.879951 118.860346) (xy 226.960654 118.941049) (xy 227.055551 119.004457) (xy 227.160995 119.048134) (xy 227.272934 119.0704) + (xy 227.387066 119.0704) (xy 227.499005 119.048134) (xy 227.604449 119.004457) (xy 227.699346 118.941049) (xy 227.780049 118.860346) + (xy 227.843457 118.765449) (xy 227.887134 118.660005) (xy 227.9094 118.548066) (xy 227.9094 118.433934) (xy 227.906175 118.417719) + (xy 229.128294 117.1956) (xy 229.344545 117.1956) (xy 229.362 117.197319) (xy 229.379455 117.1956) (xy 229.379463 117.1956) + (xy 229.43171 117.190454) (xy 229.49874 117.170121) (xy 229.560516 117.137101) (xy 229.614663 117.092663) (xy 229.625799 117.079094) + (xy 230.144294 116.5606) (xy 235.252766 116.5606) (xy 235.261951 116.574346) (xy 235.342654 116.655049) (xy 235.437551 116.718457) + (xy 235.542995 116.762134) (xy 235.654934 116.7844) (xy 235.769066 116.7844) (xy 235.881005 116.762134) (xy 235.986449 116.718457) + (xy 236.081346 116.655049) (xy 236.162049 116.574346) (xy 236.225457 116.479449) (xy 236.269134 116.374005) (xy 236.2914 116.262066) + (xy 236.2914 116.147934) (xy 236.269134 116.035995) (xy 236.225457 115.930551) (xy 236.162049 115.835654) (xy 236.081346 115.754951) + (xy 235.986449 115.691543) (xy 235.881005 115.647866) (xy 235.769066 115.6256) (xy 235.654934 115.6256) (xy 235.542995 115.647866) + (xy 235.437551 115.691543) (xy 235.342654 115.754951) (xy 235.261951 115.835654) (xy 235.252766 115.8494) (xy 230.014455 115.8494) + (xy 229.997 115.847681) (xy 229.979545 115.8494) (xy 229.979537 115.8494) (xy 229.92729 115.854546) (xy 229.86026 115.874879) + (xy 229.798484 115.907899) (xy 229.744337 115.952337) (xy 229.733206 115.9659) (xy 229.214707 116.4844) (xy 228.998455 116.4844) + (xy 228.981 116.482681) (xy 228.963545 116.4844) (xy 228.963537 116.4844) (xy 228.91129 116.489546) (xy 228.84426 116.509879) + (xy 228.782484 116.542899) (xy 228.728337 116.587337) (xy 228.717206 116.6009) (xy 227.403281 117.914825) (xy 227.387066 117.9116) + (xy 227.272934 117.9116) (xy 227.160995 117.933866) (xy 227.055551 117.977543) (xy 226.960654 118.040951) (xy 226.879951 118.121654) + (xy 226.816543 118.216551) (xy 226.772866 118.321995) (xy 226.7506 118.433934) (xy 198.7284 118.433934) (xy 198.7284 117.408085) + (xy 206.2036 117.408085) (xy 206.2036 117.541915) (xy 206.229709 117.673174) (xy 206.280924 117.796816) (xy 206.355276 117.908092) + (xy 206.449908 118.002724) (xy 206.561184 118.077076) (xy 206.684826 118.128291) (xy 206.816085 118.1544) (xy 206.949915 118.1544) + (xy 207.045435 118.1354) (xy 211.038565 118.1354) (xy 211.134085 118.1544) (xy 211.267915 118.1544) (xy 211.399174 118.128291) + (xy 211.522816 118.077076) (xy 211.634092 118.002724) (xy 211.728724 117.908092) (xy 211.803076 117.796816) (xy 211.854291 117.673174) + (xy 211.879799 117.544934) (xy 225.8616 117.544934) (xy 225.8616 117.659066) (xy 225.883866 117.771005) (xy 225.927543 117.876449) + (xy 225.990951 117.971346) (xy 226.071654 118.052049) (xy 226.166551 118.115457) (xy 226.271995 118.159134) (xy 226.383934 118.1814) + (xy 226.498066 118.1814) (xy 226.610005 118.159134) (xy 226.715449 118.115457) (xy 226.810346 118.052049) (xy 226.891049 117.971346) + (xy 226.954457 117.876449) (xy 226.998134 117.771005) (xy 227.0204 117.659066) (xy 227.0204 117.544934) (xy 227.017175 117.528719) + (xy 229.255294 115.2906) (xy 235.252766 115.2906) (xy 235.261951 115.304346) (xy 235.342654 115.385049) (xy 235.437551 115.448457) + (xy 235.542995 115.492134) (xy 235.654934 115.5144) (xy 235.769066 115.5144) (xy 235.881005 115.492134) (xy 235.986449 115.448457) + (xy 236.081346 115.385049) (xy 236.162049 115.304346) (xy 236.225457 115.209449) (xy 236.269134 115.104005) (xy 236.2914 114.992066) + (xy 236.2914 114.877934) (xy 236.269134 114.765995) (xy 236.225457 114.660551) (xy 236.162049 114.565654) (xy 236.081346 114.484951) + (xy 235.986449 114.421543) (xy 235.881005 114.377866) (xy 235.769066 114.3556) (xy 235.654934 114.3556) (xy 235.542995 114.377866) + (xy 235.437551 114.421543) (xy 235.342654 114.484951) (xy 235.261951 114.565654) (xy 235.252766 114.5794) (xy 229.125455 114.5794) + (xy 229.108 114.577681) (xy 229.090545 114.5794) (xy 229.090537 114.5794) (xy 229.03829 114.584546) (xy 228.97126 114.604879) + (xy 228.909484 114.637899) (xy 228.855337 114.682337) (xy 228.844206 114.6959) (xy 226.514281 117.025825) (xy 226.498066 117.0226) + (xy 226.383934 117.0226) (xy 226.271995 117.044866) (xy 226.166551 117.088543) (xy 226.071654 117.151951) (xy 225.990951 117.232654) + (xy 225.927543 117.327551) (xy 225.883866 117.432995) (xy 225.8616 117.544934) (xy 211.879799 117.544934) (xy 211.8804 117.541915) + (xy 211.8804 117.408085) (xy 211.854291 117.276826) (xy 211.803076 117.153184) (xy 211.728724 117.041908) (xy 211.634092 116.947276) + (xy 211.522816 116.872924) (xy 211.399174 116.821709) (xy 211.267915 116.7956) (xy 211.134085 116.7956) (xy 211.038565 116.8146) + (xy 207.045435 116.8146) (xy 206.949915 116.7956) (xy 206.816085 116.7956) (xy 206.684826 116.821709) (xy 206.561184 116.872924) + (xy 206.449908 116.947276) (xy 206.355276 117.041908) (xy 206.280924 117.153184) (xy 206.229709 117.276826) (xy 206.2036 117.408085) + (xy 198.7284 117.408085) (xy 198.7284 116.655934) (xy 224.9726 116.655934) (xy 224.9726 116.770066) (xy 224.994866 116.882005) + (xy 225.038543 116.987449) (xy 225.101951 117.082346) (xy 225.182654 117.163049) (xy 225.277551 117.226457) (xy 225.382995 117.270134) + (xy 225.494934 117.2924) (xy 225.609066 117.2924) (xy 225.721005 117.270134) (xy 225.826449 117.226457) (xy 225.921346 117.163049) + (xy 226.002049 117.082346) (xy 226.065457 116.987449) (xy 226.109134 116.882005) (xy 226.1314 116.770066) (xy 226.1314 116.655934) + (xy 226.128175 116.639719) (xy 228.747294 114.0206) (xy 235.252766 114.0206) (xy 235.261951 114.034346) (xy 235.342654 114.115049) + (xy 235.437551 114.178457) (xy 235.542995 114.222134) (xy 235.654934 114.2444) (xy 235.769066 114.2444) (xy 235.881005 114.222134) + (xy 235.986449 114.178457) (xy 236.081346 114.115049) (xy 236.162049 114.034346) (xy 236.225457 113.939449) (xy 236.269134 113.834005) + (xy 236.2914 113.722066) (xy 236.2914 113.607934) (xy 236.269134 113.495995) (xy 236.225457 113.390551) (xy 236.162049 113.295654) + (xy 236.081346 113.214951) (xy 235.986449 113.151543) (xy 235.881005 113.107866) (xy 235.769066 113.0856) (xy 235.654934 113.0856) + (xy 235.542995 113.107866) (xy 235.437551 113.151543) (xy 235.342654 113.214951) (xy 235.261951 113.295654) (xy 235.252766 113.3094) + (xy 228.617455 113.3094) (xy 228.6 113.307681) (xy 228.582544 113.3094) (xy 228.582537 113.3094) (xy 228.536566 113.313928) + (xy 228.530289 113.314546) (xy 228.509956 113.320714) (xy 228.46326 113.334879) (xy 228.401484 113.367899) (xy 228.347337 113.412337) + (xy 228.336206 113.4259) (xy 225.625281 116.136825) (xy 225.609066 116.1336) (xy 225.494934 116.1336) (xy 225.382995 116.155866) + (xy 225.277551 116.199543) (xy 225.182654 116.262951) (xy 225.101951 116.343654) (xy 225.038543 116.438551) (xy 224.994866 116.543995) + (xy 224.9726 116.655934) (xy 198.7284 116.655934) (xy 198.7284 115.766934) (xy 223.8296 115.766934) (xy 223.8296 115.881066) + (xy 223.851866 115.993005) (xy 223.895543 116.098449) (xy 223.958951 116.193346) (xy 224.039654 116.274049) (xy 224.134551 116.337457) + (xy 224.239995 116.381134) (xy 224.351934 116.4034) (xy 224.466066 116.4034) (xy 224.578005 116.381134) (xy 224.683449 116.337457) + (xy 224.778346 116.274049) (xy 224.859049 116.193346) (xy 224.922457 116.098449) (xy 224.966134 115.993005) (xy 224.9884 115.881066) + (xy 224.9884 115.766934) (xy 224.985175 115.750719) (xy 227.985295 112.7506) (xy 235.252766 112.7506) (xy 235.261951 112.764346) + (xy 235.342654 112.845049) (xy 235.437551 112.908457) (xy 235.542995 112.952134) (xy 235.654934 112.9744) (xy 235.769066 112.9744) + (xy 235.881005 112.952134) (xy 235.986449 112.908457) (xy 236.081346 112.845049) (xy 236.162049 112.764346) (xy 236.225457 112.669449) + (xy 236.269134 112.564005) (xy 236.2914 112.452066) (xy 236.2914 112.337934) (xy 236.269134 112.225995) (xy 236.225457 112.120551) + (xy 236.162049 112.025654) (xy 236.081346 111.944951) (xy 235.986449 111.881543) (xy 235.881005 111.837866) (xy 235.769066 111.8156) + (xy 235.654934 111.8156) (xy 235.542995 111.837866) (xy 235.437551 111.881543) (xy 235.342654 111.944951) (xy 235.261951 112.025654) + (xy 235.252766 112.0394) (xy 227.855452 112.0394) (xy 227.837999 112.037681) (xy 227.820546 112.0394) (xy 227.820537 112.0394) + (xy 227.76829 112.044546) (xy 227.70126 112.064879) (xy 227.639484 112.097899) (xy 227.639482 112.0979) (xy 227.639483 112.0979) + (xy 227.5989 112.131205) (xy 227.598895 112.13121) (xy 227.585337 112.142337) (xy 227.57421 112.155895) (xy 224.482281 115.247825) + (xy 224.466066 115.2446) (xy 224.351934 115.2446) (xy 224.239995 115.266866) (xy 224.134551 115.310543) (xy 224.039654 115.373951) + (xy 223.958951 115.454654) (xy 223.895543 115.549551) (xy 223.851866 115.654995) (xy 223.8296 115.766934) (xy 198.7284 115.766934) + (xy 198.7284 111.76) (xy 204.185562 111.76) (xy 204.1906 111.811152) (xy 204.1906 111.862569) (xy 204.200631 111.912999) + (xy 204.205669 111.96415) (xy 204.220589 112.013334) (xy 204.23062 112.063765) (xy 204.250297 112.11127) (xy 204.265217 112.160454) + (xy 204.289445 112.205782) (xy 204.309123 112.253288) (xy 204.337691 112.296043) (xy 204.361919 112.34137) (xy 204.394524 112.381099) + (xy 204.423092 112.423854) (xy 204.459453 112.460215) (xy 204.492057 112.499943) (xy 204.531785 112.532547) (xy 204.568146 112.568908) + (xy 204.610901 112.597476) (xy 204.65063 112.630081) (xy 204.695957 112.654309) (xy 204.738712 112.682877) (xy 204.786218 112.702555) + (xy 204.831546 112.726783) (xy 204.88073 112.741703) (xy 204.928235 112.76138) (xy 204.978666 112.771411) (xy 205.02785 112.786331) + (xy 205.079001 112.791369) (xy 205.129431 112.8014) (xy 226.111152 112.8014) (xy 226.26415 112.786331) (xy 226.460454 112.726783) + (xy 226.64137 112.630081) (xy 226.799943 112.499943) (xy 226.930081 112.34137) (xy 227.026783 112.160454) (xy 227.086331 111.96415) + (xy 227.106438 111.76) (xy 227.086331 111.55585) (xy 227.026783 111.359546) (xy 226.930081 111.17863) (xy 226.799943 111.020057) + (xy 226.64137 110.889919) (xy 226.460454 110.793217) (xy 226.26415 110.733669) (xy 226.111152 110.7186) (xy 205.129431 110.7186) + (xy 205.079001 110.728631) (xy 205.02785 110.733669) (xy 204.978666 110.748589) (xy 204.928235 110.75862) (xy 204.88073 110.778297) + (xy 204.831546 110.793217) (xy 204.786218 110.817445) (xy 204.738712 110.837123) (xy 204.695957 110.865691) (xy 204.65063 110.889919) + (xy 204.610901 110.922524) (xy 204.568146 110.951092) (xy 204.531785 110.987453) (xy 204.492057 111.020057) (xy 204.459453 111.059785) + (xy 204.423092 111.096146) (xy 204.394524 111.138901) (xy 204.361919 111.17863) (xy 204.337691 111.223957) (xy 204.309123 111.266712) + (xy 204.289445 111.314218) (xy 204.265217 111.359546) (xy 204.250297 111.40873) (xy 204.23062 111.456235) (xy 204.220589 111.506666) + (xy 204.205669 111.55585) (xy 204.200631 111.607001) (xy 204.1906 111.657431) (xy 204.1906 111.708848) (xy 204.185562 111.76) + (xy 198.7284 111.76) (xy 198.7284 89.298337) (xy 198.771692 88.85681) (xy 198.894882 88.448783) (xy 199.094982 88.072447) + (xy 199.364369 87.742148) (xy 199.69278 87.470463) (xy 200.067703 87.267744) (xy 200.474861 87.141706) (xy 200.915436 87.0954) + (xy 274.048663 87.0954) + ) + ) + ) +) diff --git a/RAM2E.pro b/RAM2E.pro new file mode 100644 index 0000000..f98dc98 --- /dev/null +++ b/RAM2E.pro @@ -0,0 +1,260 @@ +update=Monday, October 14, 2019 at 08:24:16 AM +version=1 +last_client=kicad +[general] +version=1 +RootSch= +BoardNm= +[cvpcb] +version=1 +NetIExt=net +[eeschema] +version=1 +LibDir= +[eeschema/libraries] +[pcbnew] +version=1 +PageLayoutDescrFile= +LastNetListRead=RAM2E.net +CopperLayerCount=2 +BoardThickness=1.6 +AllowMicroVias=0 +AllowBlindVias=0 +RequireCourtyardDefinitions=0 +ProhibitOverlappingCourtyards=1 +MinTrackWidth=0.1524 +MinViaDiameter=0.508 +MinViaDrill=0.2 +MinMicroViaDiameter=0.2 +MinMicroViaDrill=0.09999999999999999 +MinHoleToHole=0.25 +TrackWidth1=0.1524 +TrackWidth2=0.2 +TrackWidth3=0.254 +TrackWidth4=0.508 +TrackWidth5=0.762 +TrackWidth6=1.27 +TrackWidth7=1.524 +ViaDiameter1=0.6 +ViaDrill1=0.3 +ViaDiameter2=0.6 +ViaDrill2=0.3 +ViaDiameter3=0.8 +ViaDrill3=0.4 +ViaDiameter4=1.524 +ViaDrill4=0.762 +dPairWidth1=0.2 +dPairGap1=0.25 +dPairViaGap1=0.25 +SilkLineWidth=0.15 +SilkTextSizeV=1 +SilkTextSizeH=1 +SilkTextSizeThickness=0.15 +SilkTextItalic=0 +SilkTextUpright=1 +CopperLineWidth=0.1524 +CopperTextSizeV=1.5 +CopperTextSizeH=1.5 +CopperTextThickness=0.3 +CopperTextItalic=0 +CopperTextUpright=1 +EdgeCutLineWidth=0.15 +CourtyardLineWidth=0.05 +OthersLineWidth=0.15 +OthersTextSizeV=1 +OthersTextSizeH=1 +OthersTextSizeThickness=0.15 +OthersTextItalic=0 +OthersTextUpright=1 +SolderMaskClearance=0.07619999999999999 +SolderMaskMinWidth=0.127 +SolderPasteClearance=-0.03809999999999999 +SolderPasteRatio=-0 +[pcbnew/Layer.F.Cu] +Name=F.Cu +Type=0 +Enabled=1 +[pcbnew/Layer.In1.Cu] +Name=In1.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In2.Cu] +Name=In2.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In3.Cu] +Name=In3.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In4.Cu] +Name=In4.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In5.Cu] +Name=In5.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In6.Cu] +Name=In6.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In7.Cu] +Name=In7.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In8.Cu] +Name=In8.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In9.Cu] +Name=In9.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In10.Cu] +Name=In10.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In11.Cu] +Name=In11.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In12.Cu] +Name=In12.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In13.Cu] +Name=In13.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In14.Cu] +Name=In14.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In15.Cu] +Name=In15.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In16.Cu] +Name=In16.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In17.Cu] +Name=In17.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In18.Cu] +Name=In18.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In19.Cu] +Name=In19.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In20.Cu] +Name=In20.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In21.Cu] +Name=In21.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In22.Cu] +Name=In22.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In23.Cu] +Name=In23.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In24.Cu] +Name=In24.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In25.Cu] +Name=In25.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In26.Cu] +Name=In26.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In27.Cu] +Name=In27.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In28.Cu] +Name=In28.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In29.Cu] +Name=In29.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In30.Cu] +Name=In30.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.B.Cu] +Name=B.Cu +Type=0 +Enabled=1 +[pcbnew/Layer.B.Adhes] +Enabled=1 +[pcbnew/Layer.F.Adhes] +Enabled=1 +[pcbnew/Layer.B.Paste] +Enabled=1 +[pcbnew/Layer.F.Paste] +Enabled=1 +[pcbnew/Layer.B.SilkS] +Enabled=1 +[pcbnew/Layer.F.SilkS] +Enabled=1 +[pcbnew/Layer.B.Mask] +Enabled=1 +[pcbnew/Layer.F.Mask] +Enabled=1 +[pcbnew/Layer.Dwgs.User] +Enabled=1 +[pcbnew/Layer.Cmts.User] +Enabled=1 +[pcbnew/Layer.Eco1.User] +Enabled=1 +[pcbnew/Layer.Eco2.User] +Enabled=1 +[pcbnew/Layer.Edge.Cuts] +Enabled=1 +[pcbnew/Layer.Margin] +Enabled=1 +[pcbnew/Layer.B.CrtYd] +Enabled=1 +[pcbnew/Layer.F.CrtYd] +Enabled=1 +[pcbnew/Layer.B.Fab] +Enabled=1 +[pcbnew/Layer.F.Fab] +Enabled=1 +[pcbnew/Layer.Rescue] +Enabled=0 +[pcbnew/Netclasses] +[pcbnew/Netclasses/Default] +Name=Default +Clearance=0.1524 +TrackWidth=0.1524 +ViaDiameter=0.6 +ViaDrill=0.3 +uViaDiameter=0.3 +uViaDrill=0.1 +dPairWidth=0.2 +dPairGap=0.25 +dPairViaGap=0.25 +[schematic_editor] +version=1 +PageLayoutDescrFile= +PlotDirectoryName= +SubpartIdSeparator=0 +SubpartFirstId=65 +NetFmtName=Pcbnew +SpiceAjustPassiveValues=0 +LabSize=50 +ERC_TestSimilarLabels=1 diff --git a/RAM2E.sch b/RAM2E.sch new file mode 100644 index 0000000..30d21e0 --- /dev/null +++ b/RAM2E.sch @@ -0,0 +1,1239 @@ +EESchema Schematic File Version 4 +LIBS:RAM2E-cache +EELAYER 26 0 +EELAYER END +$Descr USLetter 11000 8500 +encoding utf-8 +Sheet 1 2 +Title "RAM2E" +Date "2019-10-13" +Rev "0.9" +Comp "Garrett's Workshop" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L Device:C_Small C4 +U 1 1 5C4F04D1 +P 2100 7500 +F 0 "C4" H 2150 7550 50 0000 L CNN +F 1 "10u" H 2150 7450 50 0000 L CNN +F 2 "stdpads:C_0805" H 2100 7500 50 0001 C CNN +F 3 "~" H 2100 7500 50 0001 C CNN + 1 2100 7500 + 1 0 0 -1 +$EndComp +$Comp +L Device:C_Small C5 +U 1 1 5CB37AA0 +P 2500 7500 +F 0 "C5" H 2550 7550 50 0000 L CNN +F 1 "10u" H 2550 7450 50 0000 L CNN +F 2 "stdpads:C_0805" H 2500 7500 50 0001 C CNN +F 3 "~" H 2500 7500 50 0001 C CNN + 1 2500 7500 + 1 0 0 -1 +$EndComp +$Comp +L Device:C_Small C7 +U 1 1 5CB37AAE +P 3300 7500 +F 0 "C7" H 3350 7550 50 0000 L CNN +F 1 "10u" H 3350 7450 50 0000 L CNN +F 2 "stdpads:C_0805" H 3300 7500 50 0001 C CNN +F 3 "~" H 3300 7500 50 0001 C CNN + 1 3300 7500 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2500 7400 2900 7400 +Wire Wire Line + 3300 7400 3700 7400 +Wire Wire Line + 3700 7600 3300 7600 +Wire Wire Line + 2900 7600 2500 7600 +Connection ~ 2500 7400 +Connection ~ 2500 7600 +$Comp +L Device:C_Small C8 +U 1 1 5CC13922 +P 3700 7500 +F 0 "C8" H 3750 7550 50 0000 L CNN +F 1 "10u" H 3750 7450 50 0000 L CNN +F 2 "stdpads:C_0805" H 3700 7500 50 0001 C CNN +F 3 "~" H 3700 7500 50 0001 C CNN + 1 3700 7500 + 1 0 0 -1 +$EndComp +$Comp +L Device:C_Small C6 +U 1 1 5D140E8E +P 2900 7500 +F 0 "C6" H 2950 7550 50 0000 L CNN +F 1 "10u" H 2950 7450 50 0000 L CNN +F 2 "stdpads:C_0805" H 2900 7500 50 0001 C CNN +F 3 "~" H 2900 7500 50 0001 C CNN + 1 2900 7500 + 1 0 0 -1 +$EndComp +Wire Wire Line + 1300 7400 1700 7400 +Wire Wire Line + 1300 7600 1700 7600 +$Comp +L Device:C_Small C3 +U 1 1 5D14D1AA +P 1700 7500 +F 0 "C3" H 1750 7550 50 0000 L CNN +F 1 "10u" H 1750 7450 50 0000 L CNN +F 2 "stdpads:C_0805" H 1700 7500 50 0001 C CNN +F 3 "~" H 1700 7500 50 0001 C CNN + 1 1700 7500 + 1 0 0 -1 +$EndComp +$Comp +L Device:C_Small C2 +U 1 1 5D14D1B0 +P 1300 7500 +F 0 "C2" H 1350 7550 50 0000 L CNN +F 1 "10u" H 1350 7450 50 0000 L CNN +F 2 "stdpads:C_0805" H 1300 7500 50 0001 C CNN +F 3 "~" H 1300 7500 50 0001 C CNN + 1 1300 7500 + 1 0 0 -1 +$EndComp +Wire Wire Line + 900 7600 1300 7600 +Wire Wire Line + 1300 7400 900 7400 +Connection ~ 1700 7400 +Wire Wire Line + 1700 7400 2100 7400 +Connection ~ 1700 7600 +Wire Wire Line + 1700 7600 2100 7600 +Connection ~ 2100 7400 +Wire Wire Line + 2100 7400 2500 7400 +Connection ~ 2100 7600 +Wire Wire Line + 2100 7600 2500 7600 +$Comp +L power:GND #PWR0105 +U 1 1 5D1550D4 +P 4100 7600 +F 0 "#PWR0105" H 4100 7350 50 0001 C CNN +F 1 "GND" H 4100 7450 50 0000 C CNN +F 2 "" H 4100 7600 50 0001 C CNN +F 3 "" H 4100 7600 50 0001 C CNN + 1 4100 7600 + 1 0 0 -1 +$EndComp +Connection ~ 1300 7400 +Connection ~ 1300 7600 +Wire Wire Line + 2900 7400 3300 7400 +Connection ~ 2900 7400 +Connection ~ 3300 7400 +Wire Wire Line + 2900 7600 3300 7600 +Connection ~ 3300 7600 +Connection ~ 2900 7600 +Connection ~ 900 7400 +$Comp +L power:+5V #PWR0120 +U 1 1 5C293BD7 +P 900 7400 +F 0 "#PWR0120" H 900 7250 50 0001 C CNN +F 1 "+5V" H 900 7550 50 0000 C CNN +F 2 "" H 900 7400 50 0001 C CNN +F 3 "" H 900 7400 50 0001 C CNN + 1 900 7400 + 1 0 0 -1 +$EndComp +$Comp +L Device:C_Small C1 +U 1 1 5D136B08 +P 900 7500 +F 0 "C1" H 950 7550 50 0000 L CNN +F 1 "10u" H 950 7450 50 0000 L CNN +F 2 "stdpads:C_0805" H 900 7500 50 0001 C CNN +F 3 "~" H 900 7500 50 0001 C CNN + 1 900 7500 + 1 0 0 -1 +$EndComp +Text Label 7700 3250 0 50 ~ 0 +MA5 +Text Label 7700 3350 0 50 ~ 0 +MA3 +Text Label 7700 3450 0 50 ~ 0 +MA1 +Text Label 7700 3150 0 50 ~ 0 +MA6 +Text Label 7700 3050 0 50 ~ 0 +MA4 +Text Label 7700 2950 0 50 ~ 0 +MA2 +Text Label 7700 3550 0 50 ~ 0 +MA7 +Text Label 7700 3650 0 50 ~ 0 +RA8 +Text Label 7700 3750 0 50 ~ 0 +RA9 +Text Label 7700 2850 0 50 ~ 0 +MA0 +Text Label 6900 3950 2 50 ~ 0 +R~WE~ +$Comp +L power:GND #PWR0157 +U 1 1 5C27FF04 +P 6900 4050 +F 0 "#PWR0157" H 6900 3800 50 0001 C CNN +F 1 "GND" H 6900 3900 50 0000 C CNN +F 2 "" H 6900 4050 50 0001 C CNN +F 3 "" H 6900 4050 50 0001 C CNN + 1 6900 4050 + -1 0 0 -1 +$EndComp +Text Label 6900 2950 2 50 ~ 0 +RD0 +Text Label 6900 3650 2 50 ~ 0 +RD7 +Text Label 6900 3850 2 50 ~ 0 +~RAS~ +Text Label 6900 3750 2 50 ~ 0 +~CAS~ +Text Label 6900 4050 2 50 ~ 0 +~OE~ +Text Label 7700 3850 0 50 ~ 0 +RA10 +Text Label 6900 3250 2 50 ~ 0 +RD3 +Text Label 6900 3450 2 50 ~ 0 +RD5 +Text Label 6900 3350 2 50 ~ 0 +RD4 +Text Label 7700 3950 0 50 ~ 0 +RA11 +Text Label 6900 3150 2 50 ~ 0 +RD2 +Text Label 6900 3050 2 50 ~ 0 +RD1 +Text Label 6900 3550 2 50 ~ 0 +RD6 +$Comp +L power:GND #PWR0101 +U 1 1 5DCDF099 +P 7700 4050 +F 0 "#PWR0101" H 7700 3800 50 0001 C CNN +F 1 "GND" H 7700 3900 50 0000 C CNN +F 2 "" H 7700 4050 50 0001 C CNN +F 3 "" H 7700 4050 50 0001 C CNN + 1 7700 4050 + -1 0 0 -1 +$EndComp +$Comp +L power:+5V #PWR0102 +U 1 1 5DCDF4F4 +P 6900 2850 +F 0 "#PWR0102" H 6900 2700 50 0001 C CNN +F 1 "+5V" H 6900 3000 50 0000 C CNN +F 2 "" H 6900 2850 50 0001 C CNN +F 3 "" H 6900 2850 50 0001 C CNN + 1 6900 2850 + -1 0 0 -1 +$EndComp +Wire Wire Line + 5800 7350 5800 7650 +Text Label 5800 7650 0 50 ~ 0 +AN3 +Text Label 5800 7350 0 50 ~ 0 +~FRCTXT~ +Connection ~ 5500 7200 +Wire Wire Line + 5500 7200 5200 7200 +Wire Wire Line + 5200 7200 4900 7200 +Connection ~ 5200 7200 +Wire Wire Line + 4900 7200 4600 7200 +Connection ~ 4900 7200 +$Comp +L power:GND #PWR0132 +U 1 1 5CC8BAFD +P 5500 7200 +F 0 "#PWR0132" H 5500 6950 50 0001 C CNN +F 1 "GND" H 5505 7027 50 0000 C CNN +F 2 "" H 5500 7200 50 0001 C CNN +F 3 "" H 5500 7200 50 0001 C CNN + 1 5500 7200 + 1 0 0 -1 +$EndComp +$Comp +L Mechanical:MountingHole_Pad H4 +U 1 1 5CC7E0C0 +P 5500 7100 +F 0 "H4" H 5600 7151 50 0000 L CNN +F 1 " " H 5600 7060 50 0000 L CNN +F 2 "stdpads:PasteHole_1.1mm_PTH" H 5500 7100 50 0001 C CNN +F 3 "~" H 5500 7100 50 0001 C CNN + 1 5500 7100 + 1 0 0 -1 +$EndComp +$Comp +L Mechanical:MountingHole_Pad H3 +U 1 1 5CC7E0B9 +P 5200 7100 +F 0 "H3" H 5300 7151 50 0000 L CNN +F 1 " " H 5300 7060 50 0000 L CNN +F 2 "stdpads:PasteHole_1.1mm_PTH" H 5200 7100 50 0001 C CNN +F 3 "~" H 5200 7100 50 0001 C CNN + 1 5200 7100 + 1 0 0 -1 +$EndComp +$Comp +L Mechanical:MountingHole_Pad H2 +U 1 1 5CC795A2 +P 4900 7100 +F 0 "H2" H 5000 7151 50 0000 L CNN +F 1 " " H 5000 7060 50 0000 L CNN +F 2 "stdpads:PasteHole_1.1mm_PTH" H 4900 7100 50 0001 C CNN +F 3 "~" H 4900 7100 50 0001 C CNN + 1 4900 7100 + 1 0 0 -1 +$EndComp +$Comp +L Mechanical:MountingHole_Pad H1 +U 1 1 5CC53461 +P 4600 7100 +F 0 "H1" H 4700 7151 50 0000 L CNN +F 1 " " H 4700 7060 50 0000 L CNN +F 2 "stdpads:PasteHole_1.1mm_PTH" H 4600 7100 50 0001 C CNN +F 3 "~" H 4600 7100 50 0001 C CNN + 1 4600 7100 + 1 0 0 -1 +$EndComp +$Comp +L Mechanical:Fiducial FID4 +U 1 1 5CC4DBDF +P 5100 7600 +F 0 "FID4" H 5200 7646 50 0000 L CNN +F 1 "Fiducial" H 5200 7555 50 0000 L CNN +F 2 "stdpads:Fiducial" H 5100 7600 50 0001 C CNN +F 3 "~" H 5100 7600 50 0001 C CNN + 1 5100 7600 + 1 0 0 -1 +$EndComp +$Comp +L Mechanical:Fiducial FID3 +U 1 1 5CC4DBD8 +P 4600 7600 +F 0 "FID3" H 4700 7646 50 0000 L CNN +F 1 "Fiducial" H 4700 7555 50 0000 L CNN +F 2 "stdpads:Fiducial" H 4600 7600 50 0001 C CNN +F 3 "~" H 4600 7600 50 0001 C CNN + 1 4600 7600 + 1 0 0 -1 +$EndComp +$Comp +L Mechanical:Fiducial FID2 +U 1 1 5CC4921D +P 5100 7400 +F 0 "FID2" H 5200 7446 50 0000 L CNN +F 1 "Fiducial" H 5200 7355 50 0000 L CNN +F 2 "stdpads:Fiducial" H 5100 7400 50 0001 C CNN +F 3 "~" H 5100 7400 50 0001 C CNN + 1 5100 7400 + 1 0 0 -1 +$EndComp +$Comp +L Mechanical:Fiducial FID1 +U 1 1 5CC47A28 +P 4600 7400 +F 0 "FID1" H 4700 7446 50 0000 L CNN +F 1 "Fiducial" H 4700 7355 50 0000 L CNN +F 2 "stdpads:Fiducial" H 4600 7400 50 0001 C CNN +F 3 "~" H 4600 7400 50 0001 C CNN + 1 4600 7400 + 1 0 0 -1 +$EndComp +Text Label 1750 3650 2 50 ~ 0 +~PRAS~ +Text Label 1750 4850 2 50 ~ 0 +MD7 +Text Label 2250 3350 0 50 ~ 0 +~ENTMG~ +Text Label 2250 3450 0 50 ~ 0 +C7M +Text Label 2250 3550 0 50 ~ 0 +GR +Text Label 2250 3650 0 50 ~ 0 +~RA10~ +Text Label 2250 3750 0 50 ~ 0 +~RA9~ +Text Label 2250 3850 0 50 ~ 0 +~FRCTXT~ +Text Label 2250 3950 0 50 ~ 0 +SEGB +Text Label 2250 4050 0 50 ~ 0 +Q3 +Text Label 2250 4150 0 50 ~ 0 +R~W~ +Text Label 2250 4250 0 50 ~ 0 +MA0 +Text Label 2250 4350 0 50 ~ 0 +AN3 +Text Label 2250 4450 0 50 ~ 0 +MA2 +Text Label 2250 4550 0 50 ~ 0 +MA3 +Text Label 2250 4650 0 50 ~ 0 +H0 +Text Label 2250 4750 0 50 ~ 0 +MA6 +Text Label 2250 4850 0 50 ~ 0 +VD0 +Text Label 2250 4950 0 50 ~ 0 +MD0 +Text Label 2250 5050 0 50 ~ 0 +MD1 +Text Label 2250 5150 0 50 ~ 0 +VD1 +Text Label 2250 5250 0 50 ~ 0 +VD2 +Text Label 2250 5350 0 50 ~ 0 +MD2 +Text Label 2250 5450 0 50 ~ 0 +MD3 +Text Label 2250 5550 0 50 ~ 0 +VD3 +Text Label 2250 5650 0 50 ~ 0 +~CASEN~ +Text Label 2250 5750 0 50 ~ 0 +PHI1 +Text Label 2250 5850 0 50 ~ 0 +R~W~80 +Text Label 2250 5950 0 50 ~ 0 +~LDPS~ +Text Label 2250 6050 0 50 ~ 0 +~PCAS~ +Text Label 2250 6150 0 50 ~ 0 +C14M +$Comp +L power:GND #PWR0107 +U 1 1 5CFDD282 +P 2250 6250 +F 0 "#PWR0107" H 2250 6000 50 0001 C CNN +F 1 "GND" H 2250 6100 50 0000 C CNN +F 2 "" H 2250 6250 50 0001 C CNN +F 3 "" H 2250 6250 50 0001 C CNN + 1 2250 6250 + 1 0 0 -1 +$EndComp +$Comp +L power:+5V #PWR0106 +U 1 1 5CFDC1A5 +P 1750 6250 +F 0 "#PWR0106" H 1750 6100 50 0001 C CNN +F 1 "+5V" V 1750 6400 50 0000 L CNN +F 2 "" H 1750 6250 50 0001 C CNN +F 3 "" H 1750 6250 50 0001 C CNN + 1 1750 6250 + 0 -1 -1 0 +$EndComp +Text Label 1750 6150 2 50 ~ 0 +~ENVID~ +Text Label 1750 6050 2 50 ~ 0 +~SEROUT~ +Text Label 1750 5950 2 50 ~ 0 +~ALTVID~ +Text Label 1750 5850 2 50 ~ 0 +~EN80~ +Text Label 1750 5750 2 50 ~ 0 +~80VID~ +Text Label 1750 5650 2 50 ~ 0 +~CLRGAT~ +Text Label 1750 5550 2 50 ~ 0 +PHI0 +Text Label 1750 5150 2 50 ~ 0 +VD5 +Text Label 1750 5450 2 50 ~ 0 +VD4 +Text Label 1750 5350 2 50 ~ 0 +MD4 +Text Label 1750 5250 2 50 ~ 0 +MD5 +Text Label 1750 5050 2 50 ~ 0 +VD6 +Text Label 1750 4950 2 50 ~ 0 +MD6 +Text Label 1750 4750 2 50 ~ 0 +VD7 +Text Label 1750 4650 2 50 ~ 0 +MA5 +Text Label 1750 4550 2 50 ~ 0 +MA4 +Text Label 1750 4450 2 50 ~ 0 +ROMEN2 +Text Label 1750 4350 2 50 ~ 0 +ROMEN1 +Text Label 1750 4250 2 50 ~ 0 +MA1 +Text Label 1750 4150 2 50 ~ 0 +MA7 +Text Label 1750 4050 2 50 ~ 0 +SEGA +Text Label 1750 3950 2 50 ~ 0 +~WNDW~ +Text Label 1750 3850 2 50 ~ 0 +~C07X~ +Text Label 1750 3750 2 50 ~ 0 +VC +Text Label 1750 3550 2 50 ~ 0 +~SYNC~ +Text Label 1750 3450 2 50 ~ 0 +Vid7M +Text Label 1750 3350 2 50 ~ 0 +C3M58 +$Comp +L Connector_Generic:Conn_02x30_Counter_Clockwise J1 +U 1 1 5CFB6FE3 +P 1950 4750 +F 0 "J1" H 2000 6367 50 0000 C CNN +F 1 "AppleIIeAux" H 2000 6276 50 0000 C CNN +F 2 "stdpads:AppleIIeAux_Edge" H 1950 4750 50 0001 C CNN +F 3 "~" H 1950 4750 50 0001 C CNN + 1 1950 4750 + 1 0 0 -1 +$EndComp +Text Label 4600 1750 2 50 ~ 0 +C3M58 +Text Label 4600 1850 2 50 ~ 0 +C7M +Wire Wire Line + 6150 2350 6100 2350 +Wire Wire Line + 6150 1950 6100 1950 +Wire Wire Line + 6100 2050 6150 2050 +Wire Wire Line + 6150 2150 6100 2150 +Wire Wire Line + 6100 2250 6150 2250 +Text Label 4600 1950 2 50 ~ 0 +~PRAS~ +Wire Wire Line + 4600 2350 4600 2450 +Text Label 4600 2350 2 50 ~ 0 +Q3 +$Comp +L power:+5V #PWR0135 +U 1 1 5CBD2E73 +P 5700 1350 +F 0 "#PWR0135" H 5700 1200 50 0001 C CNN +F 1 "+5V" H 5700 1500 50 0000 C CNN +F 2 "" H 5700 1350 50 0001 C CNN +F 3 "" H 5700 1350 50 0001 C CNN + 1 5700 1350 + -1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0136 +U 1 1 5CBD373F +P 5000 5450 +F 0 "#PWR0136" H 5000 5200 50 0001 C CNN +F 1 "GND" H 5000 5300 50 0000 C CNN +F 2 "" H 5000 5450 50 0001 C CNN +F 3 "" H 5000 5450 50 0001 C CNN + 1 5000 5450 + -1 0 0 -1 +$EndComp +Text Label 6100 3450 0 50 ~ 0 +RD0 +Text Label 6100 3550 0 50 ~ 0 +RD1 +Text Label 6100 3650 0 50 ~ 0 +RD2 +Text Label 6100 3750 0 50 ~ 0 +RD3 +Text Label 6100 2950 0 50 ~ 0 +RD4 +Text Label 6100 3050 0 50 ~ 0 +RD5 +Text Label 6100 3150 0 50 ~ 0 +RD6 +$Comp +L GW_PLD.lib:EPM7128SL84 U1 +U 1 1 5CFBB2C9 +P 5350 3350 +F 0 "U1" H 5350 5531 50 0000 C CNN +F 1 "EPM7128SL84" H 5350 5440 50 0000 C CNN +F 2 "stdpads:PLCC-84" H 5200 3550 50 0001 C CNN +F 3 "" H 5200 3550 50 0001 C CNN + 1 5350 3350 + -1 0 0 -1 +$EndComp +Text Label 6100 3950 0 50 ~ 0 +~RAS~ +Text Label 6100 2850 0 50 ~ 0 +~CAS~ +Text Label 6100 4150 0 50 ~ 0 +RA8 +Text Label 6100 4050 0 50 ~ 0 +RA9 +Text Label 6100 2650 0 50 ~ 0 +RA10 +Text Label 6100 3850 0 50 ~ 0 +R~WE~ +Text Label 4600 2750 2 50 ~ 0 +~PCAS~ +Text Label 6100 2750 0 50 ~ 0 +RA11 +Text Label 6100 3250 0 50 ~ 0 +RD7 +Text Label 4600 5150 2 50 ~ 0 +VD7 +Text Label 4600 4950 2 50 ~ 0 +VD0 +Text Label 4600 4350 2 50 ~ 0 +VD1 +Text Label 4600 4050 2 50 ~ 0 +VD2 +Text Label 4600 3450 2 50 ~ 0 +VD3 +Text Label 4600 3750 2 50 ~ 0 +VD4 +Text Label 4600 4650 2 50 ~ 0 +VD6 +Text Label 4600 4850 2 50 ~ 0 +MD0 +Text Label 4600 4550 2 50 ~ 0 +MD1 +Text Label 4600 3950 2 50 ~ 0 +MD2 +Text Label 4600 3550 2 50 ~ 0 +MD3 +Text Label 4600 5050 2 50 ~ 0 +MD7 +Text Label 4600 3850 2 50 ~ 0 +MD4 +Text Label 4600 4150 2 50 ~ 0 +MD5 +Text Label 4600 4750 2 50 ~ 0 +MD6 +Text Label 4600 2950 2 50 ~ 0 +PHI1 +Text Label 4600 2550 2 50 ~ 0 +C14M +Text Label 4600 3150 2 50 ~ 0 +~EN80~ +Text Label 4600 2050 2 50 ~ 0 +~C07X~ +Text Label 4600 2850 2 50 ~ 0 +R~W~80 +Text Label 4600 3050 2 50 ~ 0 +~CASEN~ +Text Label 4600 3250 2 50 ~ 0 +PHI0 +Text Label 4600 2250 2 50 ~ 0 +AN3 +Text Label 4600 2150 2 50 ~ 0 +R~W~ +Wire Wire Line + 4600 2650 4600 2550 +Wire Wire Line + 6100 1850 6150 1850 +Wire Wire Line + 6150 1650 6100 1650 +NoConn ~ 6100 1750 +NoConn ~ 6100 2550 +NoConn ~ 4600 4450 +NoConn ~ 4600 3650 +Wire Wire Line + 6150 1100 6150 1650 +Wire Wire Line + 6150 1950 6150 1850 +Wire Wire Line + 6150 2150 6150 2050 +Wire Wire Line + 6150 2350 6150 2250 +NoConn ~ 6100 2450 +Text Label 6100 4450 0 50 ~ 0 +MA1 +Text Label 6100 4250 0 50 ~ 0 +MA7 +Text Label 6100 4350 0 50 ~ 0 +MA0 +Text Label 6100 4550 0 50 ~ 0 +MA2 +Text Label 6100 4750 0 50 ~ 0 +MA4 +Text Label 6100 4850 0 50 ~ 0 +MA5 +Text Label 6100 4650 0 50 ~ 0 +MA3 +$Comp +L Device:R_Small R1 +U 1 1 5E545933 +P 4250 1550 +F 0 "R1" H 4200 1600 50 0000 R CNN +F 1 "1k2" H 4200 1500 50 0000 R CNN +F 2 "stdpads:R_0805" H 4250 1550 50 0001 C CNN +F 3 "~" H 4250 1550 50 0001 C CNN + 1 4250 1550 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4250 1450 4250 1100 +Connection ~ 4250 1650 +Wire Wire Line + 4250 1650 4600 1650 +Wire Wire Line + 4250 1100 6150 1100 +$Comp +L power:GND #PWR0103 +U 1 1 5E5EB414 +P 4250 1850 +F 0 "#PWR0103" H 4250 1600 50 0001 C CNN +F 1 "GND" H 4250 1700 50 0000 C CNN +F 2 "" H 4250 1850 50 0001 C CNN +F 3 "" H 4250 1850 50 0001 C CNN + 1 4250 1850 + 1 0 0 -1 +$EndComp +Text Label 6100 4950 0 50 ~ 0 +MA6 +$Comp +L GW_RAM.lib:DRAM-2Mx8-SOP-28 U2 +U 1 1 5DA22C4C +P 7300 3450 +F 0 "U2" H 7300 4200 50 0000 C CNN +F 1 "DRAM_2Mx8" H 7300 2700 50 0000 C CNN +F 2 "stdpads:SOJ-28-300mil" H 7300 2600 50 0001 C CNN +F 3 "https://www.alliancememory.com/wp-content/uploads/pdf/AS6C8008.pdf" H 7300 2900 50 0001 C CNN + 1 7300 3450 + -1 0 0 -1 +$EndComp +$Comp +L Device:C_Small C10 +U 1 1 5D463FD5 +P 4100 7500 +F 0 "C10" H 4150 7550 50 0000 L CNN +F 1 "10u" H 4150 7450 50 0000 L CNN +F 2 "stdpads:C_0805" H 4100 7500 50 0001 C CNN +F 3 "~" H 4100 7500 50 0001 C CNN + 1 4100 7500 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4100 7400 3700 7400 +Connection ~ 3700 7400 +Wire Wire Line + 3700 7600 4100 7600 +Connection ~ 3700 7600 +Connection ~ 4100 7600 +$Comp +L Device:C_Small C9 +U 1 1 5E597D43 +P 4250 1750 +F 0 "C9" H 4300 1800 50 0000 L CNN +F 1 "10p" H 4300 1700 50 0000 L CNN +F 2 "stdpads:C_0805" H 4250 1750 50 0001 C CNN +F 3 "~" H 4250 1750 50 0001 C CNN + 1 4250 1750 + -1 0 0 -1 +$EndComp +$Sheet +S 9750 6350 500 150 +U 5E93A857 +F0 "Docs" 50 +F1 "Docs.sch" 50 +$EndSheet +Text Label 4600 4250 2 50 ~ 0 +VD5 +Text Label 4050 4450 2 50 ~ 0 +VD0 +Entry Wire Line + 3850 5150 3750 5250 +Entry Wire Line + 3850 5050 3750 5150 +Entry Wire Line + 3850 4950 3750 5050 +Entry Wire Line + 3850 4850 3750 4950 +Entry Wire Line + 3850 4750 3750 4850 +Entry Wire Line + 3850 4650 3750 4750 +Entry Wire Line + 3850 4550 3750 4650 +Entry Wire Line + 3850 4450 3750 4550 +Wire Wire Line + 4050 4450 3850 4450 +Wire Wire Line + 4050 4550 3850 4550 +Wire Wire Line + 4050 4650 3850 4650 +Wire Wire Line + 4050 4750 3850 4750 +Wire Wire Line + 4050 4850 3850 4850 +Wire Wire Line + 4050 4950 3850 4950 +Wire Wire Line + 4050 5050 3850 5050 +Wire Wire Line + 4050 5150 3850 5150 +Text Label 4050 5150 2 50 ~ 0 +VD7 +Text Label 4050 5050 2 50 ~ 0 +VD6 +Text Label 4050 4950 2 50 ~ 0 +VD5 +Text Label 4050 4850 2 50 ~ 0 +VD4 +Text Label 4050 4750 2 50 ~ 0 +VD3 +Text Label 4050 4650 2 50 ~ 0 +VD2 +Text Label 4050 4550 2 50 ~ 0 +VD1 +Wire Wire Line + 7700 3850 7900 3850 +Wire Wire Line + 7700 3750 7900 3750 +Wire Wire Line + 7700 3650 7900 3650 +Entry Wire Line + 8000 3950 7900 3850 +Entry Wire Line + 8000 3850 7900 3750 +Entry Wire Line + 8000 3750 7900 3650 +Text Label 2850 3550 0 50 ~ 0 +MD1 +Text Label 2850 3650 0 50 ~ 0 +MD2 +Text Label 2850 3750 0 50 ~ 0 +MD3 +Text Label 2850 3850 0 50 ~ 0 +MD4 +Text Label 2850 3950 0 50 ~ 0 +MD5 +Text Label 2850 4050 0 50 ~ 0 +MD6 +Text Label 2850 4150 0 50 ~ 0 +MD7 +Wire Wire Line + 2850 4150 3050 4150 +Wire Wire Line + 2850 4050 3050 4050 +Wire Wire Line + 2850 3950 3050 3950 +Wire Wire Line + 2850 3850 3050 3850 +Wire Wire Line + 2850 3750 3050 3750 +Wire Wire Line + 2850 3650 3050 3650 +Wire Wire Line + 2850 3550 3050 3550 +Entry Wire Line + 3050 3450 3150 3550 +Entry Wire Line + 3050 3550 3150 3650 +Entry Wire Line + 3050 3650 3150 3750 +Entry Wire Line + 3050 3750 3150 3850 +Entry Wire Line + 3050 3850 3150 3950 +Entry Wire Line + 3050 3950 3150 4050 +Entry Wire Line + 3050 4050 3150 4150 +Entry Wire Line + 3050 4150 3150 4250 +Text Label 2850 4550 0 50 ~ 0 +VD1 +Text Label 2850 4650 0 50 ~ 0 +VD2 +Text Label 2850 4750 0 50 ~ 0 +VD3 +Text Label 2850 4850 0 50 ~ 0 +VD4 +Text Label 2850 4950 0 50 ~ 0 +VD5 +Text Label 2850 5050 0 50 ~ 0 +VD6 +Text Label 2850 5150 0 50 ~ 0 +VD7 +Wire Wire Line + 2850 5150 3050 5150 +Wire Wire Line + 2850 5050 3050 5050 +Wire Wire Line + 2850 4950 3050 4950 +Wire Wire Line + 2850 4850 3050 4850 +Wire Wire Line + 2850 4750 3050 4750 +Wire Wire Line + 2850 4650 3050 4650 +Wire Wire Line + 2850 4550 3050 4550 +Wire Wire Line + 2850 4450 3050 4450 +Entry Wire Line + 3050 4450 3150 4550 +Entry Wire Line + 3050 4550 3150 4650 +Entry Wire Line + 3050 4650 3150 4750 +Entry Wire Line + 3050 4750 3150 4850 +Entry Wire Line + 3050 4850 3150 4950 +Entry Wire Line + 3050 4950 3150 5050 +Entry Wire Line + 3050 5050 3150 5150 +Entry Wire Line + 3050 5150 3150 5250 +Text Label 2850 4450 0 50 ~ 0 +VD0 +Entry Wire Line + 3850 4150 3750 4250 +Entry Wire Line + 3850 4050 3750 4150 +Entry Wire Line + 3850 3950 3750 4050 +Entry Wire Line + 3850 3850 3750 3950 +Entry Wire Line + 3850 3750 3750 3850 +Entry Wire Line + 3850 3650 3750 3750 +Entry Wire Line + 3850 3550 3750 3650 +Entry Wire Line + 3850 3450 3750 3550 +Wire Wire Line + 4050 3450 3850 3450 +Wire Wire Line + 4050 3550 3850 3550 +Wire Wire Line + 4050 3650 3850 3650 +Wire Wire Line + 4050 3750 3850 3750 +Wire Wire Line + 4050 3850 3850 3850 +Wire Wire Line + 4050 3950 3850 3950 +Wire Wire Line + 4050 4050 3850 4050 +Wire Wire Line + 4050 4150 3850 4150 +Text Label 4050 4150 2 50 ~ 0 +MD7 +Text Label 4050 4050 2 50 ~ 0 +MD6 +Text Label 4050 3950 2 50 ~ 0 +MD5 +Text Label 4050 3850 2 50 ~ 0 +MD4 +Text Label 4050 3750 2 50 ~ 0 +MD3 +Text Label 4050 3650 2 50 ~ 0 +MD2 +Text Label 4050 3550 2 50 ~ 0 +MD1 +Text Label 4050 3450 2 50 ~ 0 +MD0 +Wire Wire Line + 2850 3450 3050 3450 +Wire Bus Line + 3150 3550 3750 3550 +Wire Bus Line + 3150 4550 3750 4550 +Wire Wire Line + 6300 3450 6100 3450 +Wire Wire Line + 6300 3550 6100 3550 +Wire Wire Line + 6300 3650 6100 3650 +Wire Wire Line + 6300 3750 6100 3750 +Wire Wire Line + 6300 2950 6100 2950 +Wire Wire Line + 6300 3050 6100 3050 +Wire Wire Line + 6300 3150 6100 3150 +Wire Wire Line + 6300 3250 6100 3250 +Wire Wire Line + 7700 3950 7900 3950 +Entry Wire Line + 8000 4050 7900 3950 +Wire Wire Line + 6100 4150 6500 4150 +Wire Wire Line + 6100 4050 6500 4050 +Entry Wire Line + 6300 2950 6400 2850 +Entry Wire Line + 6300 3050 6400 2950 +Entry Wire Line + 6300 3150 6400 3050 +Entry Wire Line + 6300 3250 6400 3150 +Entry Wire Line + 6300 3450 6400 3350 +Entry Wire Line + 6300 3550 6400 3450 +Entry Wire Line + 6300 3650 6400 3550 +Entry Wire Line + 6300 3750 6400 3650 +Entry Wire Line + 6600 4050 6500 4150 +Entry Wire Line + 6600 3950 6500 4050 +Entry Wire Line + 6500 2650 6600 2550 +Entry Wire Line + 6500 2750 6600 2650 +Entry Wire Line + 6500 3650 6400 3550 +Entry Wire Line + 6500 3550 6400 3450 +Entry Wire Line + 6500 3450 6400 3350 +Entry Wire Line + 6500 3350 6400 3250 +Entry Wire Line + 6500 3250 6400 3150 +Entry Wire Line + 6500 3150 6400 3050 +Entry Wire Line + 6500 3050 6400 2950 +Entry Wire Line + 6500 2950 6400 2850 +Wire Wire Line + 6900 2950 6500 2950 +Wire Wire Line + 6900 3050 6500 3050 +Wire Wire Line + 6900 3150 6500 3150 +Wire Wire Line + 6900 3250 6500 3250 +Wire Wire Line + 6900 3350 6500 3350 +Wire Wire Line + 6900 3450 6500 3450 +Wire Wire Line + 6900 3550 6500 3550 +Wire Wire Line + 6900 3650 6500 3650 +Entry Wire Line + 8100 3550 8200 3650 +Entry Wire Line + 8100 3450 8200 3550 +Entry Wire Line + 8100 3350 8200 3450 +Entry Wire Line + 8100 3250 8200 3350 +Entry Wire Line + 8100 3150 8200 3250 +Entry Wire Line + 8100 3050 8200 3150 +Entry Wire Line + 8100 2950 8200 3050 +Entry Wire Line + 8100 2850 8200 2950 +Wire Wire Line + 7700 2850 8100 2850 +Wire Wire Line + 7700 2950 8100 2950 +Wire Wire Line + 7700 3050 8100 3050 +Wire Wire Line + 7700 3150 8100 3150 +Wire Wire Line + 7700 3250 8100 3250 +Wire Wire Line + 7700 3350 8100 3350 +Wire Wire Line + 7700 3450 8100 3450 +Wire Wire Line + 7700 3550 8100 3550 +Wire Wire Line + 6100 2650 6500 2650 +Wire Wire Line + 6100 2750 6500 2750 +Wire Bus Line + 6600 2450 8000 2450 +Text Label 2850 3450 0 50 ~ 0 +MD0 +Wire Bus Line + 3150 6250 6400 6250 +Text Label 2850 5550 0 50 ~ 0 +MA1 +Text Label 2850 6150 0 50 ~ 0 +MA7 +Text Label 2850 5950 0 50 ~ 0 +MA5 +Text Label 2850 5850 0 50 ~ 0 +MA4 +Text Label 2850 6050 0 50 ~ 0 +MA6 +Text Label 2850 5750 0 50 ~ 0 +MA3 +Text Label 2850 5650 0 50 ~ 0 +MA2 +Text Label 2850 5450 0 50 ~ 0 +MA0 +Wire Wire Line + 2850 6150 3050 6150 +Wire Wire Line + 2850 6050 3050 6050 +Wire Wire Line + 2850 5950 3050 5950 +Wire Wire Line + 2850 5850 3050 5850 +Wire Wire Line + 2850 5750 3050 5750 +Wire Wire Line + 2850 5650 3050 5650 +Wire Wire Line + 2850 5550 3050 5550 +Wire Wire Line + 2850 5450 3050 5450 +Entry Wire Line + 3050 6150 3150 6250 +Entry Wire Line + 3050 6050 3150 6150 +Entry Wire Line + 3050 5950 3150 6050 +Entry Wire Line + 3050 5850 3150 5950 +Entry Wire Line + 3050 5750 3150 5850 +Entry Wire Line + 3050 5650 3150 5750 +Entry Wire Line + 3050 5550 3150 5650 +Entry Wire Line + 3050 5450 3150 5550 +Wire Wire Line + 5700 5450 5600 5450 +Wire Wire Line + 5000 5450 5000 5500 +Connection ~ 5000 5450 +Connection ~ 5100 5450 +Wire Wire Line + 5100 5450 5000 5450 +Connection ~ 5200 5450 +Wire Wire Line + 5200 5450 5100 5450 +Connection ~ 5300 5450 +Wire Wire Line + 5300 5450 5200 5450 +Connection ~ 5400 5450 +Wire Wire Line + 5400 5450 5300 5450 +Connection ~ 5500 5450 +Wire Wire Line + 5500 5450 5400 5450 +Connection ~ 5600 5450 +Wire Wire Line + 5600 5450 5500 5450 +Wire Wire Line + 5000 1350 5100 1350 +Connection ~ 5700 1350 +Connection ~ 5100 1350 +Wire Wire Line + 5100 1350 5200 1350 +Connection ~ 5200 1350 +Wire Wire Line + 5200 1350 5300 1350 +Connection ~ 5300 1350 +Wire Wire Line + 5300 1350 5400 1350 +Connection ~ 5400 1350 +Wire Wire Line + 5400 1350 5500 1350 +Connection ~ 5500 1350 +Wire Wire Line + 5500 1350 5600 1350 +Connection ~ 5600 1350 +Wire Wire Line + 5600 1350 5700 1350 +Wire Bus Line + 6400 4350 8200 4350 +Entry Wire Line + 6300 4250 6400 4350 +Entry Wire Line + 6300 4350 6400 4450 +Entry Wire Line + 6300 4450 6400 4550 +Entry Wire Line + 6300 4550 6400 4650 +Entry Wire Line + 6300 4650 6400 4750 +Entry Wire Line + 6300 4750 6400 4850 +Entry Wire Line + 6300 4850 6400 4950 +Entry Wire Line + 6300 4950 6400 5050 +Wire Wire Line + 6100 4250 6300 4250 +Wire Wire Line + 6100 4350 6300 4350 +Wire Wire Line + 6100 4450 6300 4450 +Wire Wire Line + 6100 4550 6300 4550 +Wire Wire Line + 6100 4650 6300 4650 +Wire Wire Line + 6100 4750 6300 4750 +Wire Wire Line + 6100 4850 6300 4850 +Wire Wire Line + 6100 4950 6300 4950 +Text Notes 6200 1650 0 50 ~ 0 +DelayIn/Out[0] +Text Notes 6200 1950 0 50 ~ 0 +DelayIn/Out[1] +Text Notes 6200 2150 0 50 ~ 0 +DelayIn/Out[2] +Text Notes 6200 2350 0 50 ~ 0 +DelayIn/Out[3] +Wire Bus Line + 6600 2450 6600 4050 +Wire Bus Line + 8000 2450 8000 4050 +Wire Bus Line + 3750 4550 3750 5250 +Wire Bus Line + 3150 3550 3150 4250 +Wire Bus Line + 3150 4550 3150 5250 +Wire Bus Line + 3750 3550 3750 4250 +Wire Bus Line + 6400 2850 6400 3650 +Wire Bus Line + 3150 5550 3150 6250 +Wire Bus Line + 8200 2950 8200 4350 +Wire Bus Line + 6400 4350 6400 6250 +$EndSCHEMATC diff --git a/cpld/RAM2E.qpf b/cpld/RAM2E.qpf new file mode 100755 index 0000000..0088adb --- /dev/null +++ b/cpld/RAM2E.qpf @@ -0,0 +1,30 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2013 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 32-bit +# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +# Date created = 17:58:45 August 04, 2019 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "13.0" +DATE = "17:58:45 August 04, 2019" + +# Revisions + +PROJECT_REVISION = "RAM2E" diff --git a/cpld/RAM2E.qsf b/cpld/RAM2E.qsf new file mode 100755 index 0000000..acaea62 --- /dev/null +++ b/cpld/RAM2E.qsf @@ -0,0 +1,148 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2013 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 32-bit +# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +# Date created = 17:58:45 August 04, 2019 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# RAM2E_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus II software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY MAX7000S +set_global_assignment -name DEVICE "EPM7128SLC84-15" +set_global_assignment -name TOP_LEVEL_ENTITY RAM2E +set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1" +set_global_assignment -name PROJECT_CREATION_TIME_DATE "17:58:45 AUGUST 04, 2019" +set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name DEVICE_FILTER_PACKAGE PLCC +set_global_assignment -name DEVICE_FILTER_PIN_COUNT 84 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR "-1" +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name MAX7000_DEVICE_IO_STANDARD TTL +set_location_assignment PIN_2 -to Q3 +set_location_assignment PIN_5 -to nWE +set_location_assignment PIN_6 -to nC07X +set_location_assignment PIN_8 -to nPRAS +set_location_assignment PIN_9 -to C7M +set_location_assignment PIN_1 -to Q3_2 +set_location_assignment PIN_24 -to RA[10] +set_location_assignment PIN_25 -to RA[11] +set_location_assignment PIN_27 -to nCAS +set_location_assignment PIN_28 -to RD[4] +set_location_assignment PIN_29 -to RD[5] +set_location_assignment PIN_30 -to RD[6] +set_location_assignment PIN_31 -to RD[7] +set_location_assignment PIN_33 -to RD[0] +set_location_assignment PIN_34 -to RD[1] +set_location_assignment PIN_35 -to RD[2] +set_location_assignment PIN_36 -to RD[3] +set_location_assignment PIN_37 -to nRWE +set_location_assignment PIN_39 -to nRAS +set_location_assignment PIN_40 -to RA[9] +set_location_assignment PIN_41 -to RA[8] +set_location_assignment PIN_54 -to VD[7] +set_location_assignment PIN_55 -to MD[7] +set_location_assignment PIN_56 -to VD[0] +set_location_assignment PIN_57 -to MD[0] +set_location_assignment PIN_58 -to MD[6] +set_location_assignment PIN_60 -to VD[6] +set_location_assignment PIN_61 -to MD[1] +set_location_assignment PIN_63 -to VD[1] +set_location_assignment PIN_64 -to VD[5] +set_location_assignment PIN_65 -to MD[5] +set_location_assignment PIN_67 -to VD[2] +set_location_assignment PIN_68 -to MD[2] +set_location_assignment PIN_69 -to MD[4] +set_location_assignment PIN_70 -to VD[4] +set_location_assignment PIN_73 -to MD[3] +set_location_assignment PIN_74 -to VD[3] +set_location_assignment PIN_75 -to PHI0 +set_location_assignment PIN_76 -to nEN80 +set_location_assignment PIN_79 -to PHI1 +set_location_assignment PIN_77 -to nCASEN +set_location_assignment PIN_80 -to nWE80 +set_location_assignment PIN_81 -to nPCAS +set_location_assignment PIN_83 -to C14M +set_location_assignment PIN_84 -to C14M_2 +set_location_assignment PIN_44 -to MA[7] +set_location_assignment PIN_45 -to MA[0] +set_location_assignment PIN_46 -to MA[1] +set_location_assignment PIN_48 -to MA[2] +set_location_assignment PIN_49 -to MA[3] +set_location_assignment PIN_50 -to MA[4] +set_location_assignment PIN_51 -to MA[5] +set_location_assignment PIN_52 -to MA[6] +set_location_assignment PIN_10 -to C3M58 +set_location_assignment PIN_4 -to AN3 +set_global_assignment -name SIMULATION_MODE FUNCTIONAL +set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)" +set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST ON -section_id eda_simulation +set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation +set_location_assignment PIN_15 -to DelayIn[1] +set_location_assignment PIN_16 -to DelayOut[1] +set_location_assignment PIN_12 -to DelayOut[0] +set_location_assignment PIN_11 -to DelayIn[0] +set_location_assignment PIN_17 -to DelayIn[2] +set_location_assignment PIN_18 -to DelayOut[2] +set_location_assignment PIN_20 -to DelayIn[3] +set_location_assignment PIN_21 -to DelayOut[3] +set_global_assignment -name MAX7000S_JTAG_USER_CODE 7A2E +set_global_assignment -name USE_CONFIGURATION_DEVICE ON +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED" +set_global_assignment -name VERILOG_FILE RAM2E.v +set_global_assignment -name VECTOR_WAVEFORM_FILE Simulation.vwf +set_global_assignment -name INCREMENTAL_VECTOR_INPUT_SOURCE "Z:/Repos/RAM2E/cpld/Simulation.vwf" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS OFF +set_global_assignment -name MAX7000_IGNORE_LCELL_BUFFERS OFF +set_global_assignment -name AUTO_LCELL_INSERTION OFF +set_global_assignment -name AUTO_PARALLEL_EXPANDERS OFF +set_global_assignment -name SYNTH_MESSAGE_LEVEL HIGH +set_global_assignment -name OPTIMIZE_HOLD_TIMING OFF +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING OFF +set_global_assignment -name FITTER_EFFORT "STANDARD FIT" +set_global_assignment -name ECO_OPTIMIZE_TIMING ON +set_global_assignment -name ECO_REGENERATE_REPORT ON +set_global_assignment -name OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING "PACK ALL IO REGISTERS" +set_global_assignment -name SLOW_SLEW_RATE ON +set_global_assignment -name ALM_REGISTER_PACKING_EFFORT HIGH +set_global_assignment -name POWER_USE_PVA OFF +set_global_assignment -name AUTO_TURBO_BIT OFF +set_location_assignment PIN_22 -to C073SEL +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to DelayOut[1] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to DelayOut[2] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to DelayIn[2] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to DelayIn[3] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to DelayIn[1] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to DelayOut[3] +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to MDBEN \ No newline at end of file diff --git a/cpld/RAM2E.qws b/cpld/RAM2E.qws new file mode 100755 index 0000000000000000000000000000000000000000..7e9bad32bdd43dd62877cfebf0fd657bddc1ba7c GIT binary patch literal 2702 zcmds(&uddb5XZkSX`#J{7kd&ZB2t93Nz*jOleMNQ)Pq$KLnvrHwy83h}7m z-{8rE|3d!&FJ9`gCq0Vd)r+ zf*z};Rh8jZ7%M42CD-bfV0#!s!J|Xb>dcqyG2_gVvC%1)j*_j6q}MW&ZrfP`-7>-?K3sbkSRFF!WtIX8JGWF&A7L<`OYcQ@Ov~i zq+_3?_>MHZ1^){EBmB2$yB5@@fK?WN`N~lzXiM-Ns2RWvYQCH8hFS*y`uN$Pb_kcm z{N%l$+5@I9z@^UM(EyVHs%J2bfWkme9FX%w#u6cE)%@0dMf#6B+r-M?X9DuF*>~IS za5=$Y^H#eQ=PN^k%V42V2*%P;!s||PmJIi&xGAJlAacER@4YvuZ==GUmw~UqWnOVk^Dp@P`Hz3rF|8w)h$y z_*FYlB09xi*G>MpZt!+`Paq&p{AL$iTf2dHq>0yYI4+CX5XnLe^|Nxo7w*OFUr4WK=)r{ zK>aUrGyE4lkZmoN`Pu!uLcVFB&{95By~&I%Ue!RH8&k6KqvTgI8ic>-%lTG!Ps0@OD9`6o zfjg?h;z8sE`f$}1@ZvPNas*vkTwJtQtzk$Wjdz7QO*(&(1E^Yw!a5{E&wuUV9suG5 zPG3V9Au2**UVn%BTf&pGScwUXvmpmqKRwh- zhU;ktSJbNi!vMK7{+5#ZXF!+TEfdx^2U6MC29G@VKF`r#xsG>&>1dV8f?jld)lGBy zQan>@^Ph*ktI|3H|0;>V?{Jh~zXfSI=rf$g^6{}A)(NQsn(^*S!^&`tBA+9}%Hs7S zpUc4WdTKuLR$M9^)0>5c`CXD-Vm{H{K@Y_DC>Ly}XsdejSdEX(VgHLQWh9mk|3Akr zm%rgfRLV&3!Xk)3W06X=fbzjTQ;$~>UVd^klbl$^)<8eK*bNvy(w$5v=at=nt| zgdKaclk&VoKW8}c;72>FIK;@udxcDlNK27Nmk!(hBzdK8HBNr4$8RUHYnk%aippBE zHCvg=LoslH^_{X{TUzCo*H@R4l3tTJCPrcI>8Z6{jGw2FhP-ZAU$XluHCOGGaCD;G zkxmQ+`#-^Sh;o7?zJ1%*WvCNwd;R_-7Ck=k((>97PAzlHU|eGO~NJTU3b`QN}%MmJ;cn(wCONi=UrP$qz`VS0?CF4g-? z9iLUBW*f%VLXSebfgDE=FF${O`Ms?j1CVwtr1a1TsL>O}-1}P}u&^<@TRqd}n$-W;ymbTaUG=;AyP;f~ zHnihSHU=~HNAWi^Rlv2?-Ftf6b$vjcumMCt%*i_g&x}ddlVQ8QP9fXvSZYD2@DLBR zECo16KVtlu>}_}2Fp&jA1h*q4Go|LImRG(80|M`d&(M}~)5iL-<0j-imq878A-l!z za0fIn;eHs8U|fB!)ZMLJ`E8B8JuvOL`7omXuFWMTV64<)vxhe>%rzGq39wI7dhk-&5PqXB7IigVTTasAUXcqqX2Tv?g!@Wi&Owv5V`!QuPDA z-3y6e)_YlPWpl4Y6xupR9lc;(0moRzhPHMopd3u(IWC^bBEX|Q%)N(+AP0AR+|q~% z#@QksrS@G5mDZ0zCdAN`}{`US!PK7(9Y50?bkQz0O5d#4)v208yse@}0!B@kgOh;a4n zpki-1mFUrmqv2UWMtES$8_MrdB%m1@A~h++Ejw$RQfy}-QoczX!W?_2e&Xjx_Nh-; zz$>WOGdK2i?v&sQ>1X%qP_~t<)wxAm&oQ@N%2pFTQ?Lv5N2mT6*!WSd+oDq4Ok_ds zbpZo(n^LyY75R^CLZ{|5lCKMc8G)siMG{u=NAGGniSL-a2hp?^oW`v7u3!g0vL_%-7k1c`+m_MdSzr6ZC2W^GN?U?1Vf%i&HQBM*}VoUgki9 zHb@S|=sc9BRcqUXydCYsaXh`NP_N=qZTgRx32FP&2w_8HHSB-e5BD)$*rz6%znFKM z^gV5}$aV5Z(xz9B%XjDcOEZ0jFjGdOvwZ(N(O<$A9nMan-+5E`pg$2%@ zWI>^5b;I32#);ByWXJq7=lm81_-JcB9bu0Ne8K)@Pam5xIdwVZe!x18BBIB11C z7$@j9F!?%H=)1+MUvbNy*j*pCr>{ePrB+hKd;DjBHzmCgZv}`mEsL+ddna5628YHC z><;QEWPS~Qxlj&xc)*%k%iqcSI^Ys!Hd@oXoq+oSUw@erHeGTv0R)9Fn+p|tWz|qu zBRns=*Xg6q+J9gDiIIm6);Sqi7@m-Cd%1D7@9T4o8GImJ*w_=>NxeNtD#WI?Ef#sK zVr0D6-{JW(XN8q^*iBMFAFkXi{W#^P@CTUs>M~1yn+t{l_+WLm-YTp2QOP{E)ub+} z&}&b;hs<>DvoLvGf3OSk^Y3H*Kp?9yNj`4$6xwk=$~*55=L}0E)g03!n*oPMhrxJn z*TJJ5s3y1=0JztRi!}47ROGZnuBO{X$eF}*Q{C|jEj^cbXx&JRe2yp+EMR+oj&0IO zwe!;brSyc96FRowDzfG@D$>_>l;cBx&g!bWaB453yM&f}my(!tEw}uAApr8iqN|7V+zS(4K63F><4hUEH- zCNo3$&c}%1C)n6#I2(L`^iH1k)dIR=i-q^%)fuNH?DeOa{Q7*tE_I9PF6q}5I9c|0 z4^@cf{``*E1j2us@_jJXj&jZyQ4z6eBTNiu^1e)pJ_1#&E}V-*6K*f*2#pp1^>~e( zqIRR)oMa`rRiHRqdKK-7kjbGdOgU3Szvkv=g{E3B`|#pVhpXRb;o0WVXTSe;h1sf3 z_op&t8EjEf9|Jz0&oVdst&tZxe;z_|qI6GXEISb}w?>_)sl~=C#{7jJCR~NdLFbWG z(rPylBirE}$jg?*?Apk=j~=%1`P_NW3a|CY4e+yAUYb1pS=^<4qkyaSV=Zd&LF|yL zTZKrmEd<-8`E&NiECT=~^~pr0fyw#w=LxqW@Ru@HE5tVmWWt96TI;@icZf!(XvFUv z%)oKY$gIcHWr8ew`GOVqqDaZ#WsfX-02aZ;Z#YTCl3|W-jWH@fd~g=Er|6XCe!ua9 zH8w*km(mmEE^zSHE>}IR`oCb8>*JkxBhhLvpElYhz01iFBD@H~v zKJ)7GZ>!xg06gdg@I;-gw*jpkY0+#gQn1JPXBJ&D_fabOg{67^tn`oqftuRh(=Rwa zIG-N-3GAuL;(caC0cst*Z4qTP2~P5VRw_QJ+fzCCREVC=$b2i<4=K}2J<|@4mdIsh znIC2f*qDCvFe~u(r{13?_VQzLqs8+ZucP=fs}Fvd#resF*dg|hv{ zUO_zI3Xxq?u}9Nv`XC;wiriJC7T`EkjH3bE?iHr)x|SgJWo2OG7lIzz!T z;HB^3 zuS|SaUN7sju}Fui4H#Muuw#;s;$|J)ihbL7vG*{f-i7L#E%>^eW?k*}fYsN1>AnB0 zO2B8;Xwxv~TNT8W`25z-dFO-ID_b%11Jlqf#MD1aMtPf`R!S_}m70_UXj&KF(CfX~ z_9CJ0#y1H3(&X~CNdLRSU0MYw5!)DvwPt$?oy_=CH+pHK)x_RbH7Z~$4bnhVKLDCg zqKEppZoeqH8iKsQ_ld=YK*UDD)Rf0|z{1X)g=}X522?cVQ%egy={{JL)AthmkDm$y z;TUOqdk6v`oMY!7bldU&ecGG)j|v8n01)Yrf5rf)QQz=XI3k)^TLDS$7k2F6T_Q88 z#Ts`x)!|wEt)qY2sx*XYMdq)dl`s{a7o<+LR_I(elY+5bzx`LIkad=i&z$oF8$s@hwmq*jq7EP|xYB6A)2{sVys_dnq+ zF#P9R%POyY6@~DNa3%j686_c9B3ekjq2}MsD$->(`PrwW%ruW{t)oP={-4!d1{WYl zaPl>JyU>+9eWvR3DMUWlYuslnu1tQ*^(p)93I7-^44#|!3z4Law$d4OWLLm^DrZMN zgdyx@89V8aVZkQV-Kwb-$kC`V^e%QH_2_o^H&TuP8FAf7u)h3f8IZ_7Co`3f9&g zb`f;h^3EK{hS~cw)W<8J1azH$^n2X(9!)c>u53DYK2j)<(U(i%8Xi~!xr`3{io(MO zeo>wy7wIgUI-XNMGz$?(04hq+p3J#&Cjk{-{}U2`NkE)2srad_q8Qv0cYj=tezuD* zxfd(H4Dwin`vxK`ZEHCje|n(doE(PIPTlo<@$O+g4Kg@#5AFD~v11r;Bf|ihZ}-L$ zF@1KU+wuRZVDwzHJ^yAl1~vb>garQWswUO30#HLdVSs-2xm!`Su(A>;Tp98x3{8g| zw%y<(aw?&3no!>_mW(aCXiFw?QVL|RiLCcSCoViZ?Wg(qX&&!#UM+Neg zu|clfw0^%$Bo7kaCImxGLR$tn7ps=!MA>8@4M)&&2afxT54)#g_xq#qZ~OwEik_N0 z7+4K{Yk0(|->9*CN$p;zvIaBEH7Tx~>GIxhodWM6(kCc|O4F8-9Tn&mfy>U7HFk(# zM9}k$j3nEfExFAbuH0AUrPG%LkYCY=sCp{a+((AX_h1@48V%~SVBFRcuIH}-nnSdr z%wl(xv!%)$NlHrtfdwVcsx@<)*C(vxo&IgW?i%&(PuI%McKWlu{nHVw@4d`X9w`RN zwGOGzIt7BJC=L6sBsC8RdbT7W!|{40Tq8pxC+RKu-j%xJLo(#|ovh@vzd$WxX4SXH zVcd`a!(mBDH!G;Q^IxEe^>=kOrYQvh47kLjGf5vhsa#&>pN*w!khbzCjr1Gh;0fdJ zyN!4$lGQ(Gma+8#m-Nh2=%PjSeM(vj>N#ENQMR_dht9aa0N^rH% zC0%q%WS4n!{GHI5?Z0O|c1~!TBOe1lW){Obj^V{|77}(8imP|oA~HTvda8WWdzge? z&}q{^u|2(?8isiavv<+b-=2bG`I}cO)8=D}b&{?JowAiPfupltH6|@pmk<^mvwEni zdu!FD=V@}P{RK{={9o&*A~^f6pF7VTGy2FGundzEpka_wc(dv|{#Zjr3LFyyE>L#@ zv9`5!WviVj?wFqQE9%1htmgOip8LIzP4e&le47R`5Ia`2xvC-`tNkX8yh{1lHH%*+ z*UgERO;ej4Rte_DbE^KKK0)*INamrjQ}RQg3g2gL0l+<1am82U@v>6DY#+IB?+LkW zjP>fsx4I`;lQpKlXMa*Rx$Lvpp4Ruy4p{7I`rGXV9o4m>@@=}(hw5BS^c$snx_~W2IB^5kmc~lx_DoKc zvH*;v4z%`Y>&L=z9OT_QC!GSM-3iQiC7M^z>^+IO^vEd<7>UwCgoRrbAfdqJX8aXG z2ckdb;O2oSXahkSJV=oBHSXwLbs6=!J(HHQYm&OUC*CJNzurc0&ETzVPwXN}VtPh= zo=iEQgYCfqd2soAcp|CUT}uowU2+2&rzNts+Qhw6n+)-(ImN-T-rJ3L+~>$ROX8s~1`Vv-K4w4qpcV#Yuou*F^7gyxht zf&naV4S#bcgXH?WWX-9E$+Jmi;RZ0^aBD5Le#T}^#n zcP@fWh;hM!9`=%k{m?$O-ZW?Hd!IXX@)ci=cQi9&5r@2akg^R9K+TtbU0SlDX)Slu zDEpg=KAsrr$(T}z2_M7j1*8}Q7+M*Zh~G0sPfeiE{3SS0mMe`VNnp~N&u&QSCD7xA zP)ejM9;?!o=DHko?O&TO?;P(XwXvX^1q7CTiB3usQoE62O#P5V^FgjX@+9qngjSOQ zbc#2%ImZ6BRrvj6?qp}V702qRH=&uT<*7FZneM8`kVLM3=;nI`XJ>RR1VgTP-A;4P zru{}Hq?iY314liJ`@PU^baQaM$Sz<}bl0XKtdY-Pb&<@p-*FP<4jx=rn*zd31S|FI%g<#cz06;~C;9al*`g3<{OGqvu%SHD-mQK4@&lrWPA+up5s2pfhm2j)zH(JH z#;}Xs(q=qcbzT&G6$MoPPPinCib;Pwqvcry9l%Rp*V)PWoX%AqzG^g@r|$hk3)$Qj zIHh2_SdU|+?bxP z@T5PZhye?vQ^q4x$9=NA7Lry+X55gW+ef!UKuBgDtAxUo*3tpBkReq`YfH~){;y-q zEzd90gP?;{aKHo-{7#@B&}Wn`i-~ZH>j^)Sv!;htM?ATMFCd`8dq`Hecze2M22i>T z`l++#tLSXOPn`&%CoO@dw>o@n;Dw3e_(4>zgZtkOG}r4l%UOs}e-m(Rm@d665iK=A zwGj(d5+G0yvFp5g*Hgckd5Xsc6)0N9;&W6`q+T{ zRG9TEk=GE96Q+^5ovpzyGQmLWK0KD{#})GLO~dVJYdpGpWi@jMSxj)N`W?+>gS`$7 zgbz?$-1?xx#J0@6222-N3bT%Hyn8cacQUI~bzG#lhhCC_RiYpY`$RK%RUH2MiX7K< zUH9?5^ySq!jh1lmx)GuB93ZzV@3J<9?~YR~eD}qnk+376T0xY%4PqCmKNS&eI>dP9 zBZ%R5jVj$$)ou-4^A4s|C5qqKUJE1nto#mC>B>v7HzgE#G11-C3Fo&DM5yj;e36D; z-0R@SaA8uAZ_)jFE;JrbW~}rb%(71XgBb>KvE0dig!DpMV3}p){tpiX5r@iqA^HyK zON6b1J7UlUWqI_hj4cJ_z2wI6eX{ktnH$k2*(#gk6L577W#ysQg+(v7XW!U%Ig1vF zJc&J}Ilua{`)IJ^xnDhZr`LI6BmQ_xbZ?^7!FfjS@Y+j-CE?3WPRyH?}6tES2HEZb?;V*HB3v2)^Q+~^^KRF;HnFH@!)$EXSDuQp~ z=+)N#b`@i0bPXPqiB!L_cWO!_7mV+%J^TUW$>n)x@h89#8PqhHNeSr?x^D5;u?d$6m9*+oeF&eoBqn@b`DQ`r{xsP_g@g16y+&(si2d6*b zv{m)YFkX3-9({0x5Ju?Br|ibM8@;lJ>dckpoOAcgpo6m}72d-4Rf<+?!lv4_yP2Cg zOzWD`n>ZArL;$#l0yR$901+!A+gKY&I54_z0{2+;=9h>yZ-J2J@h7ayi+Vu|15@9~ zfXi#@WSfZf&ClhR9dEbx+21@qm@gssvQLmcd`K@BdY!k1#nl-Twer`C!ljCtq8zb7 z)?=;inzMY514ojC6$|u=o~i|v-RJ_4Az&en?Z+Ke>G3>914B}~!zWpzU{NPJiW|CU z5wY0NOR63f9YOq_w(A|y_^#Oi4Sr1m#eY|xTnvy?aNX5IVvp^WA_N3hl_84Mt!!S^ z>SQ=)b+Dg^oq1oDe=dL9jKcHiG?u=UrD(3xTef@8q_cD1|G7B}3CMDKU2!DJa$1uL z)c0Cd;Fk|DCzuLIgF})@B`cEkWUDJQbDHTM3V5Er&Tt3I8Jz5mZglX~y-8 zwp9agWBiL-xKQq%Z?EAN3hiq7uOfu-Iks3ElTCO*s3;S|PJ+`Y7*WwnRoN0$E<-zc zA)k)nVzj4?&9W*-MZK3Lo%%Uoikb3A!&{yFyRx@y{2;GVsy1zuLSS>6#VM#b=V}_y zS{t(fjcWT8Mc;>F{qEP|g7+KXg@}Rsx`zIK2`7+83b(Oe1ai?J;lV^E%W|J4aFt^f zXcu#grwa((FOPWrGtr zShaKi6&i%XCGkN?LpvPlKWF0N4+S~!r;~E#XX%x%>_tUQ{7&@WPK`VVMB}^J32{Xl zabpVYM51u$z78ulAkCJD^}CMDG|T%^gZzcUiDChj@)zSoHOrc5_&!a>LdEXkb{mth ziK@ySDdy47Ez}(LIf^%>PHta&{sg22m9+MWPQ|gC>x619w!4<7xmPP(R+?@$Zniwg z;6x;-${;UOzQXW?)Mb3VZYVU4j@7@ejqHm@rsv4jx}|PrYcZcMPv^h)Dc^i&;;zs_ zno%fh-1nw`q%bl+n@CdPPEo~Gp{MXaiNCFkukxZkF$n!Lx#fK!b>VXuIPKE z%O#&m`$bPj_ukr}TC*b+nE!;9DSc1czSGp}7u_3k1-SR&+{x0if3sErpG9|aKzYm;t65UHFTtm=sA!|4$qLc0;gGp54j5%uZH1j}BzNPln2L>ih zYsDIt58bNYS~pd7m|xMlYn${Cr7ERMosLz$Su7o_GLn#DGV$}7Al6EJOZwkT+VfRKsyQ%^OMWjx7HnRUeHg> zdNY}zGu@9~MXC9^_5?>=#-uLvf|B8fB z#2(uGRn6~qo7fJBl*B#GM5ko0CmrNXqPEg*biYI?Say`?zqgra*=V+OQ%`WNOQxn| zF4{5)!~3Amk@2clevO#de(i?&OF=&|XN;HHn9D=LySYYIv)19U=4p2G2#2LowtkVJ z=J@7eOOl3=NcOe9`uX32WSSiY%Yut(H(6v^t3$`x&A%_H7$gaVic^k<4({HzC8M#E8hAGTa7eoWeo|3eT;v)apQ85+j;H>kG;rsSa;hBjGS&~f z9{MVD|6p_9c9`%z`Ni?Egj1`&$zplExcm6FTAO00*Av}o5VYgEW82K{!DBD#ibP_! z_hn_6D0@jUnvTzA_-T*EX$)$blm#v&@+rex5QDM<&Du5{JY2ckq2@GEz=v_9sHl!T zWN6y0@@$!Sym-YMPBEO;$9TI6G^@w}TXNOdDF+!1;qZ`qM`-z(Dv5&`3>K~bb1g8Q z0`VbnqfA;XJueRz7G`64EDZ3Olj0c^Phcws0d+$YgrJKqEEN6f z6=lttdNXsYzVRd4aW{86YDd@gntBU{e+hM`+mGDlN1_wiR~N`bUN~OodYz@Ftb?H) zzF$oKaWNECu1De%zKj63V|E7}%&O{81Q?m9J1Dtj}qd=w>{((u)>x*HK7l4h;# zd6q2Gg&TLZ=NCoN3>H;VFKR^XnS7D>p9vnGM4nd;4!e#=Q@6uU@b#E}a!^u{-^M0u zL(|l)^pw8VT(xS@QpUWEW>eV5>GFl(8sU|ZmT&syLg!Gd1I7wp0A;*}e<(NiW#ze< z5gE9E22|wY=TadgsiS<-|GK|yBJy79z(VRhEzfV3lpTw^r86ko7%u*~)7Z^L#X5O+ zVQ4&v^}R;~?m{A^$t!_c*#ILmDJ>~WsXrv;RTd*V3m?WWl0AGO?q{$!mq)h8*$B(L!(8H$>-$X4rU&2Dy0h;GM-{## zX3Ymk7SS_&V|QeHSRhs-pNz>aQ3o5dRg50@1Ie=}7j%`TolyP~{jt(>#4uJ0;+xs(g${EA(dmH@H+`qJnIUOAXbgkJg^>)XJcs{j`QSiA@#v_m=C9%Q z0Mm`ghOn9D#(*#jl4b@#i-B0aPQnNo?r0W$)=k^mY3}nJxR;pdAzRZ62OuFK5T3{c z=EgrPjg5^n8f=`g6Tai2>>7LYc#1CJ0j9PSJhgff@?{cUp%UWV@@RANaE^a-G=CLU zhU{b05I2=cVB~b9wq^@d+W9VsltQxMa-JfXKrE$l20(K4j|{-0MY)AEc+N+WBL`s-Duvx*)smt);6yCkOK`eL(59kgC~_3q;L=+tw29^zVXxF zXeH{2GIu04M;Dy$?@(U8@A1W-h!I(u2hI}HvPhtR`#yY*#jzh)z=ZUJwsQwJhR7%Z zi2hBcfm0Ak2lh7Y1%yh-S|O+0D#vGxhZT}syi>jKiMs2zU=U-eC*!cOmehZ~Zy^x> E0}QOjS^xk5 literal 0 HcmV?d00001 diff --git a/cpld/db/RAM2E.(0).cnf.hdb b/cpld/db/RAM2E.(0).cnf.hdb new file mode 100755 index 0000000000000000000000000000000000000000..2de499a6e2e277254b5b8bb476e7bcda4e5d476c GIT binary patch literal 2759 zcmV;&3OMx<000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*K1+00000008_500000 z007+r000000074d00000004La>{(lDB-ascZDQx<7@Uv<5=>fw#j6+7ml@3{Pu

XpRqIs_cH zVRXK_be-?ixz&swK79D_N|Gf1rWT(Eg#ShDv(Nuu4!%uu`%jwNC;iZC$%pj$2fh33 z^DGC?*1(s44g8(<^5^uy-u@ANJ|q0U`XtFpvhr2xbSv+SJ`E&Rz~uR$JSd#{D^T~m zD=w|w(zzkz&DXcH^OU($K1ZI5o8x-#9PL(1i_RCfhmU&R9`=1#9Cf(U(t_2(bOquG zD@{+0a@=&qO8l7&J_%U@nFOlQqpGo2F`KQdcgHd3cWVvDNr!42Rgjq8ZrPdz1l%e| z-M$M5M)i)L2u$OGMmOAXu3tldtXJ+0udAMWgMKi-H~Yo*!V&wr>TjGa)xa+>KQey7 z2mRs!8P3Gxi1rQ4B1pCZNEq{1H&)B9C7KsnkTXwv1uZS`oLA57A*UJZP4Z1s{Vj3` zncUB(FQ`QMYm4R7`W91!7!5XuJG^XYxpGT$$g#21*`wYs#kJlK@6;4In6fD{IwnmX zQN~8nZ7Aq7*sS-v?6g_$?RQjFMpY4!#Dbf|2P&sXdss{8eKOeZaS#IQ3c3m;KAD6( z0U=L7$P8`4W6cv@*^Pp2nas{!jC{m zuJR*INUri5QDoraGcACT|^5`I9!4@md{3BOU`H&%WGgdYLnM?m-y5Pk$Ce*}ad z0f{%Jc$gZj(v$hoHw0#<^Z5elz|2Wogf^#7UvIjGDgbJC)(w)A0cziOyyA1MrOYT{j zCMj#lc}p%@a@mqQEjjkD3sI(W`17;by$t!Q4-Yf7NhV2?t46ZL-mGI(s8uq}x)M4@ zort;-tw+=|yDcjF5p7@x@`et;?B}2#*C6ghXJ=H%CKrTr@|BoKMXe8_rfb z8s$XfGZLY2fQIeBM=2&81%zxI*=Ku1z;C2-YBw7ghdsz4dFLo%RP)y9}JJ~3l*s-6nV2Ke44rK~&$&>}Z0vhuZu*lcs8ALcWP{w9>T~X zXk1IjGv)2av44OW(;jN#7(DN~ox?#UtXd15KfG(C=DsKx!yxe;Pf4W~0%B zlF|)M$-MJ$-M(Yp{%YM`v=*#keJZT1C}11uQ~lXmuBe*Y>c>`i z-?}-XF0`9Q{plWYDo5u78_jf+RM=+vR3BQmRMpg0zqb}+NGiw8_2Y=TekfRzo$r}& z)vv9^7?SF{Myl{nX_Y-|)uQ!V1}D2dm76&?rD#mA-JrPt zX1bi69L<;Mwa(gGkJZ)Ha`klevQDn%tB0!>_j9!%^BOJ&V$-qAQ_>}$ypkkq)ZU(q zU%PW~y*QW*$J+vhRe?rWmjK9`*_(kZ=jY9D--n) z$2d<%hU$;x5cgQT_5T9^0RR7Z0aQ{wOC3QJy=(k_8sp-}Q$t7;BsPkTu?iVC9~J|mA$FG9X%W!EB6bn%0;wVh7J?QD1T6Fq=r2f>$}R+Q?kw;5EY95Fo^$Wa z{Rktz&IC09E!2+l`q^p5o~Hn7GifYTGR>XMjY?yO ztEsYtW&@%}^aQuDoA8W1wHGSVS`o_z?H<@>dX95)Ie9R*y#6}%d1(Vya!|_ zy~H^a?jN_o4gzz+$|Wa^5mguI6dw{QEh-oX-9crXYcD3IM;-($=vYM!0l{Pc=~rUo z5<2Pyip=*pgLX>b{$09NoU2rqWZK+6*h6nXY%Ncnz)&|(I2i< zr-J4j4}0J0JM*va`a3I!>&iq)BTST3osUuJyk>!)R}+=d|FiSEUp&25pkiaU$TVij z?=c_m9v-o$17JSWHV=Ac4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*KZG00000006!R00000 z0049X00000001-z00000004La?3!7R8$}Suo#hG$B5nyDP#zGk?B)(nqkN4hii|gr zP*%Hu6Y+*WP{h~Z5ebPi%OP1lgB z9*?gpJ=5#;{!xA_Cb<^q4t@VEA1y_8$nMg2e`9^)wL3kx*MmRYSU*(5KMenX(ms9m zN9aD~f5{H{pUPol8@gL*u(dO|5NdoO<*5mMj|2x>7tWsBj)=AP@a>CJczf%YUfkSy z#jLl3hY%08UXHGhTe%*reAiq#P>^W5Wn}ouLcrzqmcb^x&7tlIwgs z($z~r+52hoVuv=`A^4ArhwR%<7kvEh$|s)hO7Fwc^`NtDaaQx_Cuf&Bc1d17#K2C|oj<0ZICSOfY!}AL#>e7sepudy|D1%mYK#5t-K#Rb zej;1sY1w$P1r>a)@g)jZcIVFzPrJ8E_)#`J%9^PUoGD-SrmX8TrrcXNrXsy5&#$pg zA_03}W^~EKs7-F=qh}`8>pe0ph7v%Q1imx^e~^I3B78-_T9Ex1k%9!McM;G-9-%T8 z7J}k(nLz0mefqG;MWiJ&)31frv_L9^H9(C~L@2ce@8d<`?*x287MO@eHYq=fR&m*v zsarQblMFZB`Oofbp4-VGbtz0H zrzdo6DWLY?$~++^@5myKW2M$2LGy&Lz(p;HH6X1OYX~dt0q9y{iGE?JF_H*zx#|fX z8$u%xK-#yx2b!B-$vqpVl1E$v72m0ilI114SHwJKlR-)NQ$1DrNiC7DQ0ruvfljqy_>SKOzhg2reAGj0DDH1>o_*f_{-C4mr8ITHP>g9m=v57}(ouT%L(vF{Yk@_e1 z!krv^Tl}XbL8?&~K=$W>)cA+Bod0`?0vu*)D)gAnh(Q%b!~bZW`uoABOAA+qPu2 z;B~zbn7V+gJc9u@0)Lz1DjO^}^8oF#BQ?O2VWy7+#BG!phy@%Ip3KHBswD;HMq`AU8gja7UUivRC? zC^rmU-xr)8C!-n*^vn0{suSS10<{^%U8~>+JCfD8rXyMXl**()hG)G(#t#}U%OP_h zH#dcNkgKB!XZq<8J7$yY&1z$CdGPS30)DjTVucqXUUC%k5$Wfc!#5rmz*gqxWSywP zWZ-3zvb7ylc`df_^6;hFby}aan_Qdx3+ccYVun59`~Y8$)E3?V$ZH>MPCgW$mBN%) zt)nhb5%ZA!3jhHB|9Am(QOiohP!OHi*7|CdD!38sLPUH(3yKvVG)ZrpK$~b1sfrNX z3A)lB=)y&J76cXS!o3^ah)7ZV0$sWA1Ed@O!81{MZNb2qxijaS+{q+P3RQ@x{&=>F zLRw32D3t=Ky#Q-I7x?dP;aAzElTHP+KtDdP9h3|+0 zh7^v=s^AIHHS&4+c$q&Z21ui6qa@k^qEtgXsQE`>lG7 zH6=z>H1FPx3#1MKFJ9@UeGm^(o=pIIW5#pyJ=^jt@7SDG#5E4X;@ aztSH!hbU+m;CHq*?Q5zlly3k40RR8h8JsNu literal 0 HcmV?d00001 diff --git a/cpld/db/RAM2E.(1).cnf.hdb b/cpld/db/RAM2E.(1).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..86fc2bf3597fcef09c6cd654b3fc98d7a2b17584 GIT binary patch literal 777 zcmV+k1NQt8000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*I;6000000009500000 z004CX00000000RC00000004Lav{cJZ!!QsmZy`~{fdgNGc-%PU0Q~^22vx3=NT|VS zYghac|A&Ocn=rHSTB(!+2e7o>o!#+z>)o_znr47?1o?*jpR$cRzHmpMu!cj(C*pr8 zAMy7wKkv6yZKpe8Ovi;2%Y|qyXLVAjjC+`M?CGs!h(2j}#v%K2f|< zauduZsP@jSL(O^2*2}N~UCbq$%g08I3FCQLdUcpJIJkH~mj);5=xWJ;Tf08BHM`=j zZYxsHo*K|nxZ>x|YYySO9F49nSn0Ly*GTGzyTYwymPD{p*IDsdC7YGw(k9esRx#q{A5jBKHz^_pNw^yYR zuxRRxw0&Aw8Ml5KBP(a<;F1Kb3D6z#jQ;^C!g~_XXBr&9Rn6|l7y=iH#c{~X9{>OV z|NnRa;$UE8XkcJqSl*bK3Zxi>fH>IQFW$%B*)hb^AIR_w4gpCpFaa?O0|$^iBex|0 zBq9UEAhiLmLBT*(u6`l$!68AOe(v!=W>6$Z34;U>GcgDNN#&bDJ3t~Z8+=`ZgB{&n zk<_XIWtkZifTUj1#NR-QK@^BVHn<1*hX%xlL>KPJAL1Gjg79^)vxlp% zBS;4W$WOb*?j-=E@=<^ycjw z0hV_@4$d|#fG+bXZZgQeI)-M`ePoN;;%4%s9)zNVAR4SiXnSHjQyM76NLc|#RY3j zqGI~%uUv#vvCR2OiX|3Y`P?5UM$Wkjv9PAPihe!EdG`XB^4~ip&vi6V$nN^^(7Act zua>}aH@&^}K2HcOffyalTx<@4UIezUX(qD?tprHIa0)kH(nb&|S7K(wZ0j;qZW!Rv zqJ0tR9#qY&+QIf=HlT^NuW|lu0wGAOB>>YTzRO)G0n;A8VX}QPX4BuEjK=4{Paqoy zdk2$>wyJh!exyqDLTR%*A>-(?O}c`(FNzZ6Dc&nDuN+nJC!P;k2bU{T<8rg0x^^Zu_Uj&e0ImL|64I^cgaeFpkWegafK zLddK?#8C?9c>~{A@$Ro7{zpS?Z5puBXP|SX{6CsrgUN@cuhoBp@&^7#6X&zzLH)lA z{x7Bf8#MnP(xR&UjdB3ffh$}Xj~aFvD8po(rE-Ba2HY`2Hy-CV~2ls6H0bw(jEA8nkZw=g3{7elYg7jGt#Un-A1y7z}+a z5@@=smMhxA`HC2HoW*5u2t5aP5k*WnST?8`kyG?I>Kqso|6`T!O ziN6oppYGMM38Pa|{&l%IILFJ|)oYN%R(ZKA<~Oi4?PyV;=<|)Oc``MaCeKCncVU8G zpwIKvz!)R;Z;ZKg@yA{#{3yiUwtcK)rh!B%+(2EWj$Ye3IW`Wa-dPm)#h2X$+W648p6nKttNgC= z+IXs8ZAqdg*}47u4+iBN-(!RKvl3JO^{>T~ZKO#iK{g-BCIe9Hv&)JDzxAb$`uFSBa{7A`6O5ug2wwRo2isUMfh=bvmGeM8 z79S(*d8DSH4j3RSw`#9zdqsSPFCL;_tKICpDjGj<8r}|;gq?oM4NtalJkis>E(#ka z)-`{rEPj=2(+5yl5Kz5enzK}G3(vaa=@M8lCoq~e{|4Hx3Sq0$BW#Q=+k2di)^na@ z+az9@BQeIpz2lwKV1z};D6dT`W-m*GYh=3|?LkSFE5*g;X63+3oDpn`sbZg<8~7~e z-@$|z)G z>MGx7*+F~n)pkwIf@XeXSnV5NzjQe;=$cU5FCcM}(EsIfQ1xQ_$#r*jH@nRzv!Kg9 z0I&Iq!MM-IWl3>Twjs5U)T)j%1gZQs043VUe)Z1PxZIfl;8xGq6)<%?RnO;<=#ZFm zKQY{&JpClEdh751ZeteAGKS1phSYlUJtv7$0jJ@;#VA*~=Zv8U#-GBe#48 zB2wV~nTPvOMqPGiMw9RLbEd zn`*wB`(9xEG1-sSaZZR!p>=K+)?6?<8Tj!){4QiAACBFB2IVqO$kjDU53zmwczAg@ z{rI!Ik;kHf_`OE|X+ucu0>9lx5Iu*><=`Uxo}pbsnohJ8w8NBT;CSs8KfD;t6!zhu z@cPYrX71z03+TN}>f0+$g8Pg;xszz=<9XN*;{Zfe^Kbu8jYI=~@_MhV+T{tL63S#U z?-bTZwv+kP>d|2u)nK?Y>xe?n=Xv7b3d+?zqEn)W0lRRslOMV9uFhjvX~V)6@+s){T%%@ zF|a9{43~s&rzR+hpa8Lg=uXLxqa>|z@fa^YMEyT-jg?F!h=nz z=Sk+ucSU^HokDQi%}a>K0*Y9rb^g^pCe7D{?493@^1Q%U-*mG z+G!r)JV+GSR^#@5?muV2g3=a0(bBvbo))^s=ki&fMl+&lmCKQ_IA_s_M*Wl z!?wR~$z}k>S?i|w()MlDmc;OBaB&pk!=PLEwlNcIfC7dyQ0wvx7BdceypfbWC6>z7 zm`Cy+`yV4OB-BqCj{Q3fd%UP!2rm$}I$*%eDXKLJA&Ly9mF9nWrGmCRTpu|-Buv=; z4t)#Xvpc31xO#kMmyvWF9^B9Q&Bqu!Z_5U?aPQIkQ8U%*M6}a@H0Cruo1)13IE&_y zbI*$vJ`e;j!4n_Os%za*J+L})oxtz@Bhb`vmXAa!#sdzEr0S6_L}Rx7chUgval{h0ANYkcMI;OaU`j(a~QI2X=-D1WR|Gzk3EFpahw*ZRABe z`ZttS9mp2JjP|6a@_;7Wh-J-_KS?2L=jVt}@PFcIQrt15ucS=JwpkN6lqVvmZS!HA ztl&RemTSrtyp`+3>TxiNQx5tf=V_iWE%P~c$w~w3d!8hsF={YAiE*ufQjXh|f8Dr2 z&mXrQ0VX`9m7lq=&;$t9T-}@*@x1A*?7x=evr2Y=wKO=;lDRsaVP6vm0 zU0!ARX?v~bCvNqP|fkITv{0K4I-U8wK{ZW%+50M@Q#*t37EGwv7 z+d8!eqKR%VYID;KeP4_B!svS{DBaQKxp|E~AT#`cExVi2DHt#k)tAIrxs=*)f^Bj~ z<73_K0|FUG=J9KSatTSU?ABo>2<`O-5QyO{|4|zIre!0)vKQv_ka^If31%wwHlz0C zd!`Nro@}BUkoX(RKIy(#!Rv z66Wsld*{K};&-aM@^iY^hs`8s@^>G-@5+8%G*>I+wX%XOWb?E`E8+jN5zK94)H4XO zBG{QqUW>Q}x~je6d@0tK5ApBZDBje+;PqeFbq)ZM^1B_R+f(a;3;Vti04>rm4dOHG z@+z3dcgf^+xShIpyyz0!lxscKkv{R2CY?ckxuC^obk&#s>d~X^e&h7WSw^BGVK_7U zV=Is_VPYYm+cT|yJUqtk#Xtl=UjmOal(TLZT|O0HIUuC*jC24HfI{Q|xpFBj#5!~t z*XRHDbq!kCO(Ln#YW4HNH(XNQMw8H@;DS zmSjTkrS{;a3qM9xyAXlf)7 zV|!oq97QB;5N|_sK6p-yMAtR6NMD_M$nN5fprS%NPuTs>95zTd0f{|xDXpDC8klHi zm~|@_nBs&Z6etv>`teK4j-Dh;c$Gy94yb()mD5=7YmCbI>9yB`y*G+H(fyJo@|m%$lvXulIg z28Drpd(5#qEPhg&;ebMmH4J!3*Ai%QIa$!J;cj6l1tv5!ERkqX1G@tVFS7A5!BuVE zj=S?`c_1FVu9b?Nyn?-pJ~P;+sTRCNTqUivbOzvx-#S-gCh(~x#7k#zMx#wFsvaSv z#A2A{F;x!JE5j>@&Ln+(QqDF zpkoH-HI0zlz-0<9Ug>6Qr@IicI0^iG-iGs>scJ8}bDdaCxI+pV6ly(R5b8yd8gl7c zO0WDq_fRdG11w-<$d+fT$E~!qvQEYJO|I6vIF#*G?b{_G_Siw1=1X^#j>=96Y@?+` zxtIkZb_Ac25v1HO&4ekLUNTYT4M?9n38FVe2_jIbc>edH!{TVAI?ZSY75x)#ggLYv zo?ME@cJfT+SH4O;r(A4;UqfXAh~vcH`D+JU&$n|SNdE)hx_hz|y|UA^Rnp;Ni%Ezz z%g~_3`8kYSOX^ixS%&x%QBGm9XbpB0CB(mrcz85CZ(12AY#9w?TJ@A2c5ul zWvwYke}Ta6$WDpZD;-gjN!DpWXldbbfqOm+hHW}T#vc*tpqsAFk<)W6rRqxOQ2G9| z{v^1;xE)LBrh2D@D06pp!uLi13dcRcLxD2hjS$6ap%HMy(dn>b%Jk^5vD4H}{+sM~ zhzT67WJvqHmLZ)f432Fd0Sr@o$r-efn-I3G*cL+NzVz;MMYsQFusFH6%cjh{iZnS8 z`>FMSO$cNZcVQi$gbD3vU<2}I7c30$-yxz|<$PC}ufpM=3b?md!`kPzmWfS4^}#ky z_bXfz4p@`WDL;lCm}Pu#Na$21v=)ebRAz@tAw9ts%bvRvbRec=zepEBM!BbsCSB3~FsmC_k`+a(@dx$e^I%FEpP&>+ z+y1q0BnXC~;5#_hMx1^d)NB)h4V+!A6%)!kgRFu!jtv_(g3~kz=}0gGo|C0`Ea=tL z!ahtu9+2D&ynFx@CLD%;^=95`)H0qyDjgGC8trum!88G5s|O_HFk|MyQqVL=Ttd1I zVzs}kCm!x=DOzZm4HHpi#&k+7Q7MHz0m;;1U1g9-X~Z^A%RxJsAp$-@0dUm5uYsNwixaVA^r~Y zSL>vn$4ndWJ0w+V5bZ!)VcU)g^$j>PXtVA0;J@5EEs>Pj6p!7{sX6-|71+TeSe#J( zTJ~G+hE_(JbV~{Fmzv=HyiJb^0u+=8qK_v5WU6|gOMMU-_UxEy@EY6Ra(8?f`tNW? zE6|mC14S}iGY>C--KCVKmJQ+G@VZbWg%N5Ub#@jQ3N z;I;6YL)De@*@koD6Bi=L`ZG_eJa;~X3)e_TUFbkF1M-LvvQFSx@uKQMJ=NBHYnjdn z_9x8Ee4|Zxu-Pc|oat$Bc-^!5(S+%S1b19D9KwSyjwZETOy9F@m289rzws*7gnOv< zU2^_$P6n9oXk4+M@wqnfA&fRwCg*+_jmL&V%n9~}E~i8qoV&$e3fKs2NKuOO6MGJe zo$CcHAFnGI0@U376bAnAg`SvfKq%nS(my%%DO!IX4h_;fiI*J_VK(}Va2D(kVB&#J z{yAz4zD#?=U?VQr*~$4ehe4_X=UuxV{+)_rquoBT9s_&b*jAM>whh;VDyoVy9f!sj z1N$CUJeX}P;Ew)X7E!P5zAR}T!4@O}HJ&Fv$EW4M^WGp#vyNYX<`5MSL0p7Sa}~w* zs_cvo9s2W@((W3UDg^8igR39ZY)*-yJkMIB`3TJAlM$NA%9k3F(>S zE~-j*5R*kgL+u7ZUiBIe;{xApX$$scI&azfvb{`u^Qn*VI`VsVvO!yZn10nSo6U#T zNl;Gej~tQP^Tag?YK2@V^^IUxEL+dYZa3`l`U`1}L{U#QAA;lK?$au{BeBVw)u=@+ z6o*Ql)vwa}J@O<(3bl1<-|93EG7$@%9ewU_Ow{Ry`S_v7ZRgrM>*E!YA7h=`a~@;e zc<7v-M`0;Q`j#IH0kPuy)gJem8hi83jg=wzQ#PTh#urLwq6U~y(s$1KfzD1-iiX6# z@~A|tbqw)k#cl1-6Ku#)Xroo9_0FkY&E%J(!c!pjI`)}!G?XWxjnXRj$AYWTqvb}c zJfLy!V`|HPgB?k1DFzqMlxp~z91RyX8sa&y5fvjZXkA5mgXDX-AdizhC-@z>NaHYm zEB`VSb10bdIvpEa+KtA6)FSz1Zh=@uyC_%Fk?nTQ^Jl8AFQApp&yx=}ng(uq8z$$P z01OM*7B@BfJ_lHN7?3RE6~~(w8v_`KYh^lf(x&VG(m}OPzjNAW&|kvmD>2SwprBcjTyR^1nvDoJI#$fqE;uf z()t*7^d1mLl;_4F_OuL2@Cgq87(KRjlH%qX2MY7HU<~Fbnb6r?eFD8gJ1H^QAE)#l zryHxDUTq<@NYIqSvJM5emz|sTUi@xu6Tj#~y>=RKmX3XA*w5xM5t8sDri8|fXdrg5 z#Kx6j=NXzz96GXPR(=#jHL#r1V~(F|ER0zXA+^C}@D*_k`iGk&jX_f$Fl%qcXO#*d z6EE*t=N%HZNd@4DFOz`9czS4$3}2PcoW-pzW7*O3Mb;VwzHzS@7QeR-e(8P;-)L{d zdUV-{%Lkt!y+SoGa*gkN?0uM?D>xYOxHK}et;gOM5i7`){i*!LDYO-g2VfiS6_sQ@ zTfyIWeDz59?lZScEe}$l^VTJDke?uPEDYMyGk}d2L>5mdj__kb3Rvb*nk)#cTLLy0 zG-M^HJe}g7-+JmUH@%t7&8%r?y0OKPL@V5qwy^tUVYZdv!PiJuoH(v$atgN-g$^t!H-6| zvm=UQ+w!f=Ak6g_;^44fI}+BQCmOrv#O-VURS-ii?N0P@);h+g?1_l9J`w@pZ-Ja3vb@@-L&U8Q$yU!fv8gB3ml)ZBj2+6Ca4yjqJ9%F2oYKAUdSM_TjBTE4gf4MP zq4d`6=Pj1P8)fl#8S;4yxB&^;{bv8(p_+c2-S}zPiRBVc`M?_(bM1A=za}pFUF^B`n9~e z+Pdl&v3<>6!;^hj2EQGjt2}JqR3dwsjitPq#|{BXOf^&O77hK9!6`s63SRcRr;~zDjoF5%OQejEg_Kg}dj2*l1KGnHuDAHXLl zx2L7C`YRD8ax+J_Vh7VdTAz<|&m|gis+}uOumfDb4#HhIo%!1YF&ZKeo-H}C ziYaYZccm(;SLxqHib+?`TBO&UA#Vi#YZ&Ww8zBA_ozZVg+T{m+xHN+)S<6rf%S^9V zL?t%^_bcDM=Xm~r?9)@D<<0Y18kLo&c{Mma2Y(z8&#VjBz6i19{vhUTRL^vnZxri1jbB19>ZI_~_*k&C(%=r} zVF|rGt0&npaW>lIiLj8O{XVAyW&ZW&U;jx(2KC)1!R*>9M^ecu{)SOzgfeMcnR5_0 zF6z@#Byj06aPGfFrJ$ryE6y~TMWD;>kkD{g9avQ8UVF&vFMUy5UdO34?Ow`5P|XS_ zXS-};O5;`z+tO$~dau=lJc_NtGP<#cg=DB?FtkC?cuT~U_X`6e^QarniT+%oP{ z_D|u|)PY`8r=SIIps0nVjpS6pFf+=rA)&T}p>gYx00>LKH=8;h5+HjpnB%{W#{)Vr zpOxx`0F#|MECiB0Kkb@QN($GDnd`qG3Awt*%vxs~95grHH8+u4@FEDJGwi09-K|Uo z#tV^V3#2ZT4g?S}1>>hnN1Cu*Rp6`xZNT}<-B&3AqC52jpQObOWCOBTqW3Vk`Yg%N zMs+6Xu!vdA)iOVV*ZIuuDt`czT}{TFhtdX&B-m~_%nO8uvx|KeJ{xE&9R&WzuVKOl zjBhEDB^j{@G3d%jiiB@4v)>A}^If?iu^)8Qy>gj52wxrC$y0+mtv?<$aW!Ip7j@~P z8Iy;{RvT%yL8p6WbfzpR|6AEmvF6jCKkDY}g01_YOa}Tz8*TljneRF!$d>zN3lvEHeNjs9H``^`WyN_XlVr z!{ULV&nLw5b3c9p!5wChOTDBlTarQGg|)W#&m14ne_R{;d9~i1L67wy*{hGSq!)0t zjl5YGIT?FI*&*qS?WAgPrH`;%;Y>0RPC zV6I8217ZqXNpVgVbVd!i3^Mmjl`jQithfH+0W}LD_Hw5C8qp;PqHigiS$L&AW`BQI z07nlIt`*Gc_V%%Ft}NFUH=^I%VVjBM+FGYvon{0`x_>;AWnc7??J!rPfD)-b;(dGO zes%wQ4ZGM3I1~RYVeB(wFX`$LP?oPYcYBdzGT$-L8wp!2Yh0k330s4wXM>C}hOd~p z^woJKG8$M^{eoEP*bbu$AMn$7i@I*Y+z7rGJD@S;i>%fdOpHfOfM4-HtXmmxG|IFO zczo>NydL82^iK-AC+|+p8=^ff*HhSvNd51iQ>-_A;B+9AgqK@V2WS^>hA*gT42nkF zV`>)4Hx)h^t|ov&Rnq#kiX!}9SiOFxfWPD?wiFifOFcSsm1?HIQRCxXgrJ!zNk3_D zBqp@|W0QKxc|?hUDTxApg{_Fr;W}w4OtqI{~g` zB6OPdt=^DrV4?D?H|Y~kZ-FfLrJdNVcz+X#Q;8IXam;LDnQH|!YyZt0d5iUG zT!CbzWa0ENw43FaQDl}8*^GZ_E_SW@^HAyVje-4o!+(o>UNPX$PSgl}j6(DS&7FJh z>4T*#?>LuYkIXhf_O<$Ga5TTIhVdDAzt$A#keEmb6@U<=_Tu|aP8DK2lhfc{q>_P8!35FASo? zBVhz(i6CT%?H!q9ZAp{2gpF^|jtc?cK_$eqaK8^h@iKOMoA+-oP~}X2CSE({)xY99 zF2&W141Lsi=GDx6*IC_2WrT@tvAF1Gb;ddyu4P3=6XPi}6x2=z<<|G7L3msnX1Jxj zgI}40Uqs#BM4K=nBpLpKj_bs_u1l4v_XpFmsj4y%2`g(}xHgFSvK+xWgHVe;T`*KA zvSOx<1I%z=jE)E9t9KcdtKJ5>-w}W2dn+EYhYwU3Q$ZthzAh>=U*%682z5VD&WzpN zGID{U*Y~I!*x&nf`_D8Mn5Vm|7CoJ%*FdkWBH|;9e$`A!hDzE)_neB>pC1iPTg-99 zCEx!NY%tYEbKmvZhbK2HT$N8XoEmQV_&^?c|H^NVntR~~M?MZRu{e>>v0hHbY_-Ya zphvVlC3;m3aK+r-u_4=k=8oL1+Cv*+)jcb&`oc1*d>sTD@s|dTr6~13)r}bGT@Yxg z0mJKxH9VQ3?bY_7W(_vl?W{mA90ar`Y4UZ*yCu3WVP>ST zL2{7Bn)}pvjq3dYN_PFDM@ab+=^uv0j-sWM+oHd$-*>`nv4$eNZ#fB@{NWnV9@WK0yTUYZtO4-j$7iI z@-O`@D8Teb72m&QExQ0-%OIk=gae1BzxL;kA-2sASN7|bSk_URqMzHeEbu~y;BUM3 zyCUJvAE#BGFT60f+_CrmT))s;Uog%4ulioBOrgy_FZxB`YKcl8joc{X_3P>Uj~;2S zte%N-U=7i|uIwTc;^$FSNfR-w!FN1~+KY&r$6;6PyhExTRcZCSyI|~_%xb6#b78S& zvKN#iUyo6$NL)x(wM(8OxuPx`m4P;^6l3K$TJs(At`TKt3oH*CWQ+?j51Vv0gT`r= zmH(>hy@b|$#*ekN;8pM|FgEbxLC{hUMZd>?G2Ytb!tP5g^kOPcR6FLg%NyHsNoPZy zis1&wkP`VE84+G)A&JRlP!_jM5H_XlXtiIzD@y=$>yb!n_9VuX&F&B68KDAY&0Dz@ zcvXh20Fy=ELY$YYDEoh#*=+RavUg_S<(4SM#}Zk_Dy>RKnD-S&g2u5o}(7Q-9T; zM>5iIDX*aB0k&ZR5{CRo1Ik15iO=(Rd5&p*EN+=~se7M!ZsZR7HF}$2Rh6y_*&czY zN&%>JPS0^ve*?6Aa{dA;Els?LGe2bS%tGd=oub`Kh~~Wr!f8yy;?F;@wFb2-oeel=PSsOcdi>Om2Trzomwbok>1h@#B!e;X zbJ&!;OE%#nG_Pls)+So69Lm|3uakUIlbgyWQ-B}zza{HixAE&RouI;+R8qa$io*j4 z3^>12sQ;k0_fw!(`mGX^j;Y=h@k!bntBl+!@0=~2VELHCxY}Gg@m}YxABq3BsllCm zZCOtbB};3;S*xa94FILh%|sTa@}sOayGlaj??b8*-bd$8tDihemTF&rE|!``6v>hq zlz5o9X|{24u8IApY^GVkC>q5k_lsP@E($KcxzrB4e_QLAM@uzkG+ys=qU5u@DLIiv zgO|GXwALAR5}h#-$>AyMyAcwWH7IY;zt|e$i9e z7YE!UFMN%*oc=?}+;8Fdbw!bq*=|$xXcGq24K_JR(b%tWG>2B|oql6@91g9vs|(&s zE+?a*8r_EvXFJ3a0YsWVGs*mf&a#O9or}EW;2DmT5$3$LeNnltKQ%LW!L5eiox0SX&Qm#Mdf^>s98{o^8?CZ*Ww+Z$`e(zN~2>5QJ z7}sspJBGoE^>8VMVEYko#US;Pn*`S(zl>=&!^XT%ePY$P%=fz40`rLs>-rNRV&1Ey z=Ee`m`7hJTf9av`RpouiUQwGdl#ix+KI}zTFU7#j6_rfyMguPy{+?fm?4S@BWhvxL zW-6!Y&T2tr)Xvmz7gJr~hb)buw~NC9C-Vu2)1M4>eg}3Hq*OhuC*->(kv!TbRC22f zDch}!L(*hr^MFcCpaW?IC!t7j_M)76v3ASM-48)ZSqW71#1G#XdtmUf=__tJ>68=v zinXzo06`;=Al1LYY3>~68XSWtyTkr0>@7nprt7$-sI-96ghbC8_44iQEdBk;EvcqR zkfv~Kij`tvTk~Ll0g08UeZ zB9_<&F6nl<&lQh>SMAgu8%|qw_Sh$7kQ)sr+;9Kz1!sX z79RFEF}rV$No<$^`y8oe7O)l!xJ{Z}LnVzixH&n={pPp|7e$zKhBrvG#iJ;$qTyaERNi!d(9n$ z0Jc!e{ZE5l;q4Ic^1{fipL!MbL*tL99s(zbwvr|~tDk?H(t7D21my|XlAgBVN4y$0 z^f~Z#&sZlEDL>&Jxb&izsEFro#2Ei&4N`bRW_#1t*-jLY-nbtS1{(^l_z`kla`RFB z_?{;DH>@a^`^W;@_3+Cy5R z8qMu1iY?4;W$_-t&BT?S&9AyO+@47`#fPOqk2Vjt%7jFdd)cT*^5&9L z0fL%wL9dE4=4&0Uc{ug)x^{&F@cZqGUDD}@V8HOh=%XU@mhO-+EWOkuLC^)hm(3wD z?A}(rKH?>tgT6@^zlVUHqH9^bt6C?5(d$*-`s9Ck29B^NR|+^3OkHvxM|W=8Ctis! z-87E@I6~%yp>ipyfdY@S$kSuPHCf&jJeH@NKDw*YGwcSnw(oby>QEOVq<CEsjrH~*hHuTNB&Sa9m@)DxP4SJro1^Xd#_$S)%;*$n}t zOp*jZzu2CoE|1(6j9o9xR4c0={)Cjp#-1y7B;@QJKF=vR+%WnYtak5I7g3|;Ia3|K z;;#XmoX#yr57uYvyG^bIqc?;H&4?Dq7~Oufn}|Ia3`Oqarj5sM?^AgOgSVpj;7z^( zI0H56(2_t2KyK$G+|Ge?k^1je0qLbAFPkE50%=3`;Va4qNM&qu`d^7B>IH@h99D%)iFQLD_TiC#Q#l2XI}plME`)i*!C;^y*V|Xky zcv4Y<8W0#-clTi28!pkVV9w)dVy?D{V7L#VZwAXI9NZU%ygRFg4tB(RB1vxs1y|TW z-2y?@P&?oc#QJmQhf##;orE+P{*Zc)-vZP>tzJLB)jx|pN(1_N$pk=>OC81b2jy>K z5G(ve;ZB@=`s{vGO>2gaM{VS=+XeHbnUTk*_j|OgS8=x}HJ^B-I{quiGkrv$Ligku z+;c{4jgm4A1sTcDnPRxEEHn9vaPv>0+@6VFP9M$mxg*ZMpB(JEVj@j!)H$Ic7G#LL zKtGk4q0#=BUvx~BD6}X#Qj9)7Iz1vZvcTL1tdUB><7=z!qEfZvqb2l%eG_6q6Vb`C zUs$-XxdRCa!sBGSUYZ9EMknpD_CCMsLNLX=TVi5kt@lchI>;h=25I&iza3xqgXQ4q zbsN!G&qH=34Gct-(ly+i&amqF>fEK*(2-O1m*yl zkXS83xvTjaP8W^?T+OMuDN@|I{V8vj1uN3^$t`sMO^lm*$XvH3SUe=!|&=dHenXHS`E03TB@CFY0p}-v7N59 zw$C=HS3dELG5eZ!+p|Ywm;L8^)f$%aSB~oXG77JIxAwZKbm7q~5qrZ*cYt^>bctx? z67R*ZYXtno)#=sDh2h=OH~Jnt;fe#5H}?enjb3?<(p6SF8Pa%Ug`YN!N~5jgV@oV$?jA{x?dRePCWB z)^Ev&-Iatf^G@{Y_)CPz-Ir)W&U7QLhSY?z08bE^uB+R|&dVQyz9hVT#o4A`mGHmy z_g$qDN7*Eps2R0mmrDI5s3BC7P@r6@jkv|I&19KW${;%2(HSW~&?*VZYtE?47iC6u zi6|ETd@UwA3}ty;!PIl%{wMjf|6|VypsxRNn)Rt>P%=`1T=Scq?}_nvFGJaJhU^Z< z$}YwOZmRQ3=#G!ev8@G=Fm6Kv@xCWX9;I9qnx7;H$oc0u;A+BTJzdB~o=5}+IMKZ32k0Ee za1Si1zFo0rppUe5d;YQ}H2fk?EP++#ctwls<$vu9f`eLEYdJI_q9p&WFut*4fpD}% z7!|W5E--YDNAbTYGv*_iNft{IQ}8=H4cOb}*QB*hODS-~aqg_pz}cFas=5bv)faM? zGtZ?SLJoBQb_HHZ=BMS7A5xXkR=(7}`A2npinlqnz#4M>d3FkRlkbD82(b;_FzhZ? zvMNcybEvlHdS4sFV9)U@HIVM5&>x3?=2E8x!V|bs^^#Iwe6O3VIN7CivU$Kym8NL) F{{jB1|Ahbm literal 0 HcmV?d00001 diff --git a/cpld/db/RAM2E.ace_cmp.hdb b/cpld/db/RAM2E.ace_cmp.hdb new file mode 100755 index 0000000000000000000000000000000000000000..d9596212e4ca95c385dbe8d74fa9bff29e845fe5 GIT binary patch literal 15014 zcmZX4WmFwa&?audHMm1?*NaPV2_D?t-5oB3Ai>?;njH|4y-gsA1;pV&z~@#>ToTj>>wbXIsOMAzM%ha zEav~;=m~l5hnPw&nREfh#d}a+$+I*iENZb~;tlz!r@nzbKdYwBW4MOfxE>sSVSQYU zt9k8? z!3|swK&;gJ*#p<*L>7WTDdYt-@|afViV3DS5NI9Z zssw@6&iX6obIrS~<)r{;QYXM+Y19seVZ-1T61dctzk1`uz2doo$8=6~(SGsp$0hLn z$%(Yl^}iK#5|y$FS9Te{T5ai;Tt1$XL^tZy3(T6vwSzd#hMNbh`0KAgcCFE-*;X4d z=_Ju3C8Sh(qr;$niMjcn=%OPVyaOP#cwSf-c@*Jaa3Tg&?34h zhKg^(q5u9q&|ZAQ{+_8yXw|OC#$QEVyGqB;dS-g{N~8}O1&vdH)j+`Uy0^lS*bqLI zL&$qPJ=(-VZ7I6c20Erw%+X`8A+4nnV=R%-ZOoUG_`pVoQ}K{XV=mr!RHk)&>_26- zp0`94T~r>(r4_oS>&ZO9RqX_Y!xNBLdglIrH``(0pfAp_y5!pp<$uLk`Rc1%MFg!+ zg)PR8bf@&Xb{HiT_q}u{c1`Mh*%!KtP34IvyBAFA4G%BG!f#>?_sk;o+UHz~Nulk1 z`nLg|rkZx1c0sY1<(woq4|Dy0r1*rwqr?`>P-pdbiny}Bw#)Vmh2g*{AvF*s`4d@P zsi(W=XVXV7(KdDeppTZyNqS#3FLkkOUX1b;BYRZLZTiH=94~|gjspTacljRkP*IAv z@b*6rlZwkQE`7p7t6d;YJsp9+5^}tXjZjIi-Ny{aj<GNY1D~76PP_y+D zFS`z)^2L)76s%*3=PmcLJkC2Ec~-AAr*$)d?md%;5j?_I=kF~Id`4X|EYQo^?`vTB{giR6ZpY(C*y(t&Sns|L;6?9H1-BoiGZ8|!|Rx=pnV zQhJX^V_t``ZngtFbqq24DV zNrKDdl7oup!FbDu_gc*s!ioksrz)W7`@7kvc}@FF4&ECcxf@qzvRTWzPh4MZW~bT@ zmgwkD!mi>Y1*XFxS^Zc>9{s=S2S3fDzUK5W=Gj(`J;i+-ylfwhcFDK6la~;14IMbQG5B4`m5VB35MQ`~pPbF( zT%N5_-t1=6R>{?v5A3gEg%OXCWMO}xWIMyACvPIW_^z|u_)0W&*;6+A?K)R~wA|m% zdC2D+Om2vtd>hJppUj7CYvFRT*-OrGK{eau%XTSMyGS4rzlBy1l@+1bQ*>M}ObS>( zyH01Xo&S(o$kCLgM&;Oda*0(U2N1>i2}vbns3KkvUDS`R-K{-IHL$9u%Q_x8mW+8Y zDK+H`v3Ifvse0*s7d+^)shc_^#R~Z1+uvG~-{3s{+++01ZH;iP1m&P%!wcsUC8A&m zR^{7NY@F{PnNr)|miM7X%%5;Tz=Q|i{a)MqP~{ew&q+w??(#=73BlQf20h)m#U@n^ z*Jj~cfs1TN-#cNQQ`HE!qYHgcRv+C#1y__Dm0c{uQ2# zg*BRj#W_qeJC0Ud*yAK_dBhm3_CNK{6<%DK4SedM8H zufKsS^iq7yITF*`{1-idxO^8tg^kqYWp-hfecDC~Z1qgLs~k0(Bx*!C1Dp9WMn;K+ zx{+HTnhH{QR$nNU+|2H{q@4SYpI)17%5aYk>COyis|O41TO29`vVk_8XZlH+QHoWi zi{&mor(=s+k8!rqNk{XGYb}KpN{j1NinSiDH|{l*nC*pvSHr>UP>m z6(Z-yAPw49{ahC#`yfDxE{bO^8tUUg&()lEitU65KC)|)pJ1UpeVkR*@B4FD5yYF1;&pcNvhDaSo za_cnHz!1FQ<~U|%T71pd3DbA_?wo`)_!D0^R_&X19VQFIu8jpIbhZoFChEE+(70G<~0Wz8Nq zvXpT`Z=Y8~^R}Hq6rY)PSOxmrDX@lAk0Eo{;A3)H7-aMu5-gg7t>s6cCUDLNTn#hr z3Xv9gR&B00I4v&oAu`%5gYi$Kpw#uw1u|@or@;8H4egdj*$PEFDij-g-lGYaE3M6m ztgrftR(TZ&EA*I{GBuI*z&Poq@=%F!w;gI?Fw5jfN7lPuaRR(HWgRpU!BQ3x5lS>O44=EGo&f(qC3;HX$A^iw0+bIA$S@Vj{wlpu1B}c zHDJ5(iTFzU4b_v$A6{VM@*>M}fzCNI^lw}~=|QfBF3{)1ojG=W<_D)c8>-MKn=&9p ze?HqcNmEfa+nv*zO%?C<%2s`RLM4HIeMhLro120Er@6ptW9Uvgerz~X4d$N4T8jZ6VnGyU3)0QVIYGPxsb4>C?$QLuh!yCdx}QW5ORp0t$fOcMUlI&|C1#rZ zL}o7A9!{emtGGloB#_65n^G$MJ3u456xPS z_3{JZl;x{D>7Y)x1(RbA^`hTW)O$o~-<$5_j;r7tcUWl%B({#D5xtu!K2cLKdua#@ z0i{MzgVfT^X0lkFTWv`}qpxdFthPjmIMhIHvIFL;g@rF&3%?M1yVFFgf{j%1V&%|% zjc!a5?hxOR<+MB;*Rji5&r+UvebJr#*n{B{#Oi*MeY_74W#Q_5{iSnfDqNVaN&Y=> z4^ys?X{PR3^!J1yFa5^0V`jSRIM0iBpYN^Eyp^yXG$uSRqBs}xVaEQDOtX_&`pNYI!?(*&M;@`@k4SWH{u zX6kiMr;<9fr)WYpF2z=CIaqk%$@9$g8SBbO^^mq4B@bbNHnl4+`??@#+(cc^m#FWj zU0=FH9exf`NUSn+xTiu1=c?k28x}X+kFa-?SWAMXJa6R(gu&fh#!T#_g z9?SUU9Fv;jY?S&Y5KW2Na?xDp3;UL#AdE#X#W>fy4^zO0Mr{>tP*l_Y)BL4bxoWX6Knd%7b@H+ zfs-7l2dMtHmrr*xUzoQgve-(ts{wEh)Gg#F^e0w7Y5#+R^e4hKu>B4V!(gY#LF~J$E2*yOb?>95NlTGD}vn@h=; zxn%^Ct=Sh*=UTSXFYO6hgd8Y1icD;^{}c*emw)XWmD4Z5iPeFc#Ohdl)k#u*)0{^_ z|Ho+Pg?*SVsMD!z=e>^NRWN8pZrXrhHj~@+LFi91&{(f?@Fdl)I)s;Jo(ku-F&MpP z%+p38X-uVtinvf_ZCO~JS0TmBiohg6n7TNbb1h{r{FWN}i2R*TGX--C+PG1eVnC?O zFSnEF73KzLQou~S8SUi6z)l8O77O~=)}8zi^+EfwdaQ0M3y|}KS%X4lD5nzj+qt)! zeJ11XJr@*7sV8-bIk;z^BwRBw0T2g8OIi5~?92BTHn8z(eDZLU6bgqwA@_d<|BZN} zjqtKL0Y+dPXxF_%oGg)dLb3@vUlI3%Bf7$#1o|W1uE>RP6d7Z-d)H4+{I9GsHvM4( z6{Yq*Aubt%s6Rt;$#0;AOLy;Og%8&yySBZEx-y$X+{th7DEtAtOc5+%?fBOKbc4XB ztca76QeyV0mN>6uI+N&`SfyZMBu@fL4=&R!peN-nx~eI!fjv+mTU+nduGNnMzn+BUV${@r|&;uMFgbD z)2G%)5o2Ii^P$gvvS7(7k9V4_{&g&IP*@XQn&HM?L%5xXmg;5Jhu`teqRIXRj+Neu zh_>OV9{E6I6E-{`>qK>e-?c$!ksPmEj()0$z$oj!jd2?``1Ldq;gw@8^uE=|egoUS z*XSpBIp%iVq;~Mww~UgnBx%f-DyE$qD9 zBEU}rKN>&Qs4QS>6VG3HPgn&(?G_?UJ`X=l*S1eWQyI+4ehc{ zH3vFf1h+e{&VrRZv2bpBkz(67-I67rl&8cR$9?cy^ex2%w5vfNZ0*5vlcZT`xkj(b zs;YI3%0nqyS<0j)wrWqcr$H0V0L-GYKB2ag+)t6|U7j339fD1uMad(4mX3DroF&o6 zOK+o{?Opr8QI*aY6txUY(*<`}#iyvHXxxJsUsKWJ@ub%D+5-kk%4adBd^guhm2sZf zXi(Ca9pyliu}dSTw(V_&v_PMDskx((we#Nm@c#TRSAt2Dn!&b{b~ugcsx}SHcb)R0 z$Ww_mtwuZJO6_Vz`F)+Sq)|^Z-_WsL?@J~xPnS%I)wdplwu_h=B3QP`mE%DKufzV&J2e{iWVd`8ro+HKb zij*y@LBFF@q86;>Y+B~oe=sMpp=aFsT96TyX3$Pk+LVM3Ei&u@c@*us`@D{d@YKq2 z2c^aa-X#1B@vBz(RZ6iLu+GcEZamFE1k8him~|;JnVs(M$HS{)bUD>sUdELwmLsu= zI`ov5n~}c$m7Hvwv%0qUOb0`9oeBGDy?nnox>uuBqnragUw#JAVRF&LdmM-9@V*~> zh`(3--u^=JgU>?e;9YdVK~wQOoL}IsGL1+0^utFTXsWaRveA3UHh;Nrj>eYF@F-Qg zE6}V&M3A6^!0N>;Y(HiDJa0LhHJD-7@^gO$uGoE;BImFf)cWVKE%CwQUGj@Fs)xSv z-jXSc+hk(OqL6(~{=;7;D*>PPc(+!!Cx2rv8bF_&o44KzFPQx~<)Gx+&Mx6_C@q;! zCu7~2MadREL7~aJ70iQgLz+C2&*9p?)`w>HW#MHqnibzib7rrR z=+2fT;WtO#yWXP?nU1&|b&^uY$jr5W9cII60w%896T4xp<{7h?3LGiZKE~jRRqo5* zvVi6HTHJUePKhqs$rhCYWbRhvPbW1BGG!JAE%eU)Xj`gIX9lhK72FElx)gp+O@jQb z4i>~qUYFNjj-Ko(%L_wJd6;9XR<^xjtezzApTFl!Lx-7!xQLoma=u6uD1Ae-Ro&~+ zQ>A{~NV{1#@dWd4xJlRRrcZL}YZK4zn~!E#d0nj1v|Ml;On^`^_~1P>n~%LV55P_6 zE}hUeSe_THZbH}jxAAM=KjTb@J5}vF#TB7QnUc;(=hwu*+E|OPfJuK=HF#@jJD`B-7;sHUKSC z6=0#=#o5BSxp>j$Zm=X2bI$+hkE)I07y0Iz2*purHXiV@X)tB z#3su7bdA+wL5O9d>t(`@_}tFNVAm}XuhoFyK4Z=QaDv6Rgo2xU?vSLJ*gogcZ@Q{) zGt#}aeg8gwOtq$H;B9yDJ+A+DBJE)+O@B--!Nn_}dz5vv>Eg}V)0p_&WvT}AUkCow zGd4&sGdO>wu_i^cn$jCjJX&N_ zc=$KcxIf|cZn5e>I0yjpS;vPKTZ|y0>~WZ3`jq0k5Z1-KB_c@bU1`M{{=1y{_eGtP?At)jAL;ZxxHICq}BN+7O=6#__9B|C?8m&t`i zl68N1?=3S3;~OpIondlp&>lPNJ%>WO{wXb@*H~JVnh(o8+fC_ZxnzHWF{wi;s@-I! zVK+c$>pKr^VcpRGg^xj4{K61z{zpr^3Nv~y!nNHF+!&2xgIC5v!QFE@r8>xXk9dmj zu62NAOu~VAn9t0G4Zy2%sFXu-7ntH7*Vn8`DCDN@A{*ac(%3+8vP#~IV!;G#-niT# z3yHXjMa-=KR&TDXmA$=NPoKe*R;1t8^0I;15_hnNgMdE zfKWvU68>%(CGx5o>W*N^5(|1xu)Nijuv7|ss7C3|%f^e%37^{1^g2Z%tMc<%5&9JO*fq`pmVm{cQ zEDDg6NFUMBRNmVeHe61w`LG&PcqLM&v~AJSE)-M|tt6tR1Y%TvXezOfHpY<@M%`TJ zvX$d`D5Hk$_u$MS;Xu3(m$+Nv!t-Akj=GIx#uU6X;gb4v2uhyC;TYcl^4sHL1Dw_y z9H%48f!k%$wj#=G{>Qu}{BC_%Z z77N*m{_ESRF)d`vQ`ATK*hSEQE;;bH(XVYR?W5ei$+V)`TD+E7{NF9lyLHPE6X2nZ zQj9wDcjYAL1!2$KetFm&bS$qwSmzt$E26nCLIY`Do+J4ZR8UJ!r}N15iB7s)CTyKX z`ek%5nPsKQArXG#1E{`r>W;Q*7bJ~)V`>x(Lk{&AY9U2$QM7Od{r;`SU7wYw6ezE& za~ORO#Xzdvo8#wo9Q~SGlm;HPcl6m=DM7iUrN49J=5+Wmhvmrkta(i85*Mz^qx`NZ z#@8`TqGq6?R$2yQ3S$;gHwpe@m50^SNu}$w(`ia;Zhl;qZ2cux;R2=w_qZL)>QPIHpUMdsA}@JCsTn`lHknasDin`AKC1R&zqbjH z>TmJet$m|jZ+h7CH{lEweAqn|Z7UsZ14tEyP>(h3#Xip_9PKiW_=gv0#@K?68V>G~ z92$sSoCYl}!`uUwnHp2--Cj~VNlYKgHdFw;7i?{)|It^rQ6YkJl7>gIwxXd1(SLDR zm^}iG+7d)QsY08XIyY^5=GDdOf*|Fr+;EaRu^X+LuaF$XDDDB7)=ZMZj0)TG;Mysb zmz&rY>^5RN)&JD9pNPiF^fub2Js+`AVU8j^E-YNDK%mnHA1yR}4E=wI%L4ox?6rVG zZ8j$p!IY{Cp*fep^i?ZM2#Pnv?~1vergi1Ak?#*)Vi&%GzfN?j9V{ zgN^SltjZDoKu?f6=kiOSx;7|wfW<1LzC9KBgo-3cMVx$-lBEA3=8`y#N#lytT&BXW zDS3XZi&IzNqTU}fsg42ozX}ZDeR}?jkVm}f2l20`-4OPcavow!2ra6L+&43BCl{(X?}Vi~5SpGGd0bcI^?hAz7NO6b`oq*G7Y^kq+o%&Rb=Vi`_!9oL z(Mn&|dbd5iUv11SWh!n)U&qy0*La=wVo0Y$D$k{lmudyk64g*R5}z~oL+(8rHJiNL zk+{apxa9W9StI7GS)=QjQ)=jGGugw~tDHu1kMbHD7cb;pF6H}AeuFzQadE-)LSx$D zD#*}cA}~+*E}}`-4*AOhCT|?Fil1_vX(X1&BwVPzl>ys4sq!C8*enxe%BxEln6Au~ zXs%yvS)0T2ue{>Cpo;={;3}|{ADU}t zo+4r!&xUO$L>vV@?|8v|*vNf&C$LcSNRh{*z<43eUG5dyI|&(P9e9$M2y-GXaMoc+BQs)y$;-um1Ur-i7q-9ptFn~VrqPV+AG zC_+T8+E8=OI55K8zYUTCsdX6D3!dy`;*Gj3{vS_L+LS$*#Sbcc3$5Co!Jm*+C7*Sa-+mr!`5FrE-&#ONOzHq#(t=Un)c z6XBny$tNKYG6Jp$(>>jeMJF#mSj9$Y+63Q}@om(#&TG2F=;MBx0*R0i{P_xE`u=&~ zp$iQ_Axd0m-8Kv^sf{uP|NI%m3N$7ITwA&$BjK@jQ+|nGZW+Kg6;B! zB?Sfa_~8D?WYgaIZ!>Px5TgrGx3>E^*7}%f z&KWL=c(ggi>p*dCU0Yp#tq%cMt8Dy?I;~DuW2r*ysKgbIsgZH>P&mf27R%AXYK6Cy zn>vOyr3JZp7(7lpdTc3w8Ul}l^GtK}bh?Py4S~q3Nw@Pz6);HKx01 zS3yxW5^`zV;G3YAzW;TED`YIVmj~=Kip;iMYBLyTb)?Ev#7DbRtGW-<*zh^P^)`Lu zU+QxOp@mMl43r9?ef3|DI6COi@+3IwxrH`paH;W5d0)3ZJpF@dnWB~hH;5aF8+z><$%j&S= zG!{uSgBKLKzD;+U-jYbpbKNWSB|pbKpBC#FL~QZbhU; zcav+mMM4sSO>vN6RkF8eZLdsXB5X4mr9HW0rGC^k_naKN^(rdwo3RIWX7@*mcUT%r zVCQ)CK29JNbBttytwS$N)4uy~;0hhI`4Mue9=oCYMBoY&w7G|2{au_O`|iVd#2N$T zMN;=p%A%4!tPsGgFehSgZ%8d65n))!eYn$o*x!BlBhV2E(Ets%;~D}&i}-e?W<-CK zJR7q?DW;ZzZxro;*nIU?)_w#RkUo*GyUP6*a!3OMNqu5r z5##UpdO9)CSP1)maH zU*dCbK)MH?`j6Z9456U2#lAKFXX)VL?i8Kv*u}72knJg5i0+$mX5*)h;6tp*+;rny z96dqn6|ag{Z)&jGe1(o%Gj9k}e9CF_>q~In++WFp^je6wgR=fCJu9fy)lL#Lg{(QAT755UB<+&lS{_Wd{6jMam#D4`v+^Zo=y`E<@h9xMPMR@%(f zt?6wju3xUf?{ZMXU*lzjaGHJ-k}2jMAXD)jXifoq-owjwoRSG)@@!B_Wd>lk*QsXb z-3FJh{%wU?IP98;MD7qNGqr9aAzVIOX*|_ue^7f;nAF->BJeEtX5+nVMR5vt!PG<` zIDdQox&P45y}VgrU-wvj+*8!33K-{jIto;@M990p)#%5ojQ9jmRKrk=SfC#3|3!7e zlmPAC!$p(?%{bH*X+D{g#gFEJ@a!dRgVxP~>#zt4h?|O{G}ss4nTegy&_RW%Xf;n^ z=|ck2qtVer;5b?^`Ws={1j7_m{KfmxxU7GVg$~Bw2G1OpYO0iiBu0o}StRqJ9a285 z$s)1>xAuOx?f^(y?Nb?`#>sCIhLhUJO(7$l0Az#A{nSD*eo;-nHnI#C3)**QL>O+Z5nDBT{MDVG|En9 zTMbrR+=a2ef*+L0MuFga13MYtBA8q|jL67Jusy|^WnjK>xll-?1_!(~ie5?ea3g#e zEW_c$P~~+Ys)nD-YWy2IOtd;d+n( zcPof~O6nQN4&1(7}a|Q97&__Zz*)R`ELm@ns{$riEm?Fh2R|4J4T@eE%4` zA+r**%>0wM2vwCnMCRTC>aMn{mgK+_(_UFDcD01VG3* zi~|1IFFFs%crCl2mZjY9%gzRHT#wKR_Lr12O!Xrh@iq8l`CtOu`f5td`5 z|7=VAdbInng8Q(Lqju}oLnFmQ0|hsf(e;|72K8kvgI6>04LM-n037!T6AIrITA`XB zSv?;5VoWoi!k*Wei8$auwNlkJ`rA2JR^RS>=J9R)LpViN`C zvgF=;OA#OkX0D_#*K`1b;81Y$wcf3 zC#;jXy?@!vpZ0XByNIXHpfX~uDxwPtGHT9#;Bx}CrB8b@OQT;;qkluAUr?iet17~$ zD&n;=;1FtKj|$qYR2FG#s|7UQ!T-efrIq@oJOT}2B;)t)r}ra! zlGK!UVb-_*-$dr}L00w^d62r>k?+sPpCCDoyiI7e;FPeL9Qd_P&u1j%$5`sBrh<>~1UrEagwMzmk-Vk5!?NUl)xImmGkf7%vMTP9GSImmo( zEcfQa6--n!xYZ;P^Y%O7^m^qU@yW!d-ra|x1J4ou96;-N#??AlJ+hON$_iO3AHtLZ z|6}2^9Fj;WW%#3x)F@jnJ*Phb6&fyL+PMjmV%#s|KZ1@NS9A03fZr4AEKpMcPWx_TO7OBf)#UgeG%->QeDo>kJWz^!_GKCp;s(owZ##>9* z!NsGm3TqPURAWw-4cq3Z*y_C%YxbT({xO2LE#EXMO@1T&MdalVN%V@=@KAL(tzlx= zd{xNcv9Go-o}CG_1u{|&hTO7m5AfWR+mmKdEeU-S2J`M)wiL@dwquo*V#ARw!3t}m z)m}Q+A@b{m$=sZdeq^fEZ+PICS=M2eN7ms0M%E#ceo2e0IYEcv7h#BQ&dG00j&#Ly zZIO4`6dgcmmwpaMp+#q)m({8Hi{7&T%lIAcRaVQomP3bC2R~^vS64D`d59NX&2xNm zVlr>mq7!B|C*c;AMZRjz{>lwz2DKoePmRolwgxWC2LKQ%J4MHt2T@qNMk(ySH(u;S$!}spEcNKCTky&4c9!6QX$D zrI+V#!s$as^i;70rqbDNDgTV=r>n&H_*&~_~Z>>wK-Az zepT1~H7~5ygeFwLdc~WnCT#y|5TxjlnCk@=&(R@;~Osb5E7`&_j<8N5+d++I?qXpX{jyHAok5E z)<}c%_XjmJUu4}1l?(~5t>}o;Cht@gV0l^jg_b~D`A#IbUoncz*vNff5JmRe13XD7HZnqVyk0!_KhXq&|g~UDKmsoFwqyG$zD!w zW@Xji8k*zM2@@qj!6rcU494e5BVhiH%tfdE9vqoWV`;3MD1k_TEggYD%0}QHSK0$l z&vp%j{GIJ$eqn2&V~Los4gfs*AFbaCdFZ*5683&wyE{vs+8HP}G*yu?z$#`L&)g|# z(6v!US@RIQIMCIuJh$9xx3_yeUF>gtpe6Y8JNubU@rzLv53R0D1o{k#FP5K z)52CFA86KMiqn9tH5Ft>>0Z2U7TLg5$)6aa`KW z8QRf&^#+BB7qS_zUdnKD>1|f%Egb>6?kf?xXA`SxubSJ=E{z~p|0}4D7noyWNT%D2E?{Bu->(CeKPt0b|IY2M=}rwT8jA_GJD+7pv(XnS zHzc>tYgV=MTpAu3IrL3NllC#x%g6GEjhU`egb(^O+Vrq|VGRPT6V+X?an>@p`a!LXDqwL)n zQ%MK{ziKdRu-V{%F&j? z4-&8U!Ou!LW&8-dWrPHjmvQLnR1OV-8PYM=$2u=FENbtdnu5^|K-x$plHGLav* z@ns3BJDxt;zO_{bcoB$^-+GzuNXf-;@6GalwKOWft|x`JgR{|u#Tg^zw=UuCNl7H6 zhcEd>jBUScR7rGU@`&jjd85WPO2)A{m$w5eZKfF7eU`Bg+)=#7$-jtHmh!pEi_(ku z3lMV@8D0)~D9lI~uyGF+wW6XiJBXiF6BjzbfVuW` zVW{g9LjMoZjvsCL?lMYot)^kMk?^F{&+py2vKZ^X6FKjLRRJHpNE5PqZT9^LJSDR@ z1;hoWjtQq7-z#Im8dH0}Kpwf45$f!P4mKwySrLCeO152KJ?4LR zsqIH;B09}$s{00!B$iK`u_Z~s-TgMa?DkRk`G_iycOrhl+aAW84AX14SQegbyLQFG zJhJ%vJkfktsN8gqW}N^PI{MI2^~)(mH8XX?WqE0jvJu_aF@XrE1&;=0A;LH^`uHSn z-xjei_RUbt^y^qCf4}mI-zKc%L`S}D#WDVDGxj+m*pKm2SWlSP<~2BQtZCc8`knfg z`rfgBxueS{-CP}pKi8XRfo<)ycC;?DQ0+dMO;Kw3bJ=I4p;HLXV}KUpwB)_1kG z99xD#&v?Nu2YSuAVSS5$wJxL+GoO<$Rm+K4Sw0o!SK3*?ZeA{uZ_Jtii?`G zx(V|_-}c$%aAaWzTo+_HEa&2{4m)yfVKE2@TVsm4NKTxv1j?P}R0yFbK~T|&Fqo-* YqiOn(!5t|4o=C#-TdVKmf1K6-0*D2kP5=M^ literal 0 HcmV?d00001 diff --git a/cpld/db/RAM2E.acvq.rdb b/cpld/db/RAM2E.acvq.rdb new file mode 100644 index 0000000000000000000000000000000000000000..1bbc4d2a0c8962b7181274254e77f1044ecca9b5 GIT binary patch literal 415 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR?gAPD0*@dRgDA*!AeaxOD;R(M2ZDNs zGYqUfJv>Yf%ZlC78l4>clH%gBCN@>CQ1_9V$)=T>@PqpbH_KOtZ7gE7TnCr#+}Y_m z^~A4)oC#%aY6>6OGZ;9ScN$ohDI8Yas$*;^s>D<@IlE!~&V>_QH}r3A?OeA|6KLuG z{}qf$=?N(U2?+@uMq7N?f_UyY$UJ!@BL76{fxHNlP+|g8QW_h#8ynm184kV*M-@1a zD7YS6xNl#dvsJ zjj4_OD-abSsnXz;?Kf=-vnHFO0^3cdi%g-XPaI2NC~=aQy;R?$SD{O)gIi*zgh_)} rPwkyWj(x3?kDtAN{78zWR8`rUnLVKB(%Ho}3dsrk+N3}s_WwTsD#DJ- literal 0 HcmV?d00001 diff --git a/cpld/db/RAM2E.asm.qmsg b/cpld/db/RAM2E.asm.qmsg new file mode 100755 index 0000000..5b39a25 --- /dev/null +++ b/cpld/db/RAM2E.asm.qmsg @@ -0,0 +1,5 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1581910344521 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1581910344521 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Feb 16 22:32:24 2020 " "Processing started: Sun Feb 16 22:32:24 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1581910344521 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1581910344521 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E " "Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1581910344521 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1581910344634 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4522 " "Peak virtual memory: 4522 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1581910344801 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Feb 16 22:32:24 2020 " "Processing ended: Sun Feb 16 22:32:24 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1581910344801 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1581910344801 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1581910344801 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1581910344801 ""} diff --git a/cpld/db/RAM2E.asm.rdb b/cpld/db/RAM2E.asm.rdb new file mode 100755 index 0000000000000000000000000000000000000000..04822bdd6ab2edad872e6c350c80506b66214545 GIT binary patch literal 1329 zcmV-11c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*B<;00000003D800000 z0027y00000001!s00000004La>{i`x8$}Se`Ti~-fdt}V9uP_-;*TS=CE}s3?IeVl zw6$BIzM%EpoOA7c^>#PJec}HGO8CvK9iQE}RRRw@u+rY_jCX!ByYsO=J3Bl33$s?M z-NLNZ>$SH*I$Cowj=2!o>ZrdC$ulVZS($%ejrkh@KEluE05r5oqKfG%i130_e+8f4 zp)B(%3?Kxnb_k0F>3K^K@kK8bv$qufMUs&}Zn)4Z>_(9MS` zT20KcY8Tk2duT4 z@Y-Dc0BWVL4W*ODlSEIXc-&a2H=~Tz?w7AXhSv819@?0;P>d<<@|fD!$@6x;@pimz z@>*WYZ7+@B{xq(Reo_fL@f#qeZO48JGRpF;m`^ySerh5!UBCNH?A}#L6wf+a zNOpAN%zsQevjvJK%nCT1hS9{LECnVgtH~Lc$o-HSE^x%>C32Qp?Q2X285aD+a_Ubh z3&|Yw3xFj7+ASLFOC@8R^G~0Z{O9=gqLU~9Na^kZdpyf3=@IR6bFH$x21&=7j4V0; z9C-Paybp~b`-mNKdK?)CxpdP@jPx@}t@XE7jd6ATB{aJi+%>67IlK*+c^jpX8rxVE zG~!cJwp7|^7!z*NE&@AJ#e@x2D{bj0ON>{Ay{CSq+fj-~d=6TSuL62l1>NUU6|f&A zDy9udt9T1uCdzEmQQ3&+wvPD}oq4lN&P$y^(z|M<3agE@0u=;OFW846c{A&SmE{Jc z>p=X>riOy3V1Lm~B*yo1d@!o(@4=r3YRE-|b3cG0BIC|IKd(N)-AW~1>aa`jiVpg{ zewT)$&Yi;*_(v+a0{l|w6w=*w8ob;GDw)~2JvB^S6d8Gq*8DV&#{PfBT($bDYiTd? z|D$}vXk|zk(L^x+=^u#_sO)Voe8c2XoBfAz8f%|J>23$3&R*Hwq`8q(co(E~iubh~ zPWBE+_n7_1+9u>g?}5m+_IKUutv3A)00960cmd*IU}O+rU|@(cv`hw44BS8*c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*C2*00000002TE00000 z0083y00000004g@00000004La>|G1cB}Y}ByN0KNNI*~txx0|C2?74+{u?X7{-(1W z43Oc06i$d|`Tq?7F|!57J#i>ZbuX7kHkJP`;0beY9QW1o|50X!i{g}i0=Xd$))Cj| zuXW`QQMyeSga5k2CBJu0fp60JEeC&z}!}{(4uDmV3;9!O> z@AwQ|^GxYE(|Z8w;I2Cn5{qv3$U{}aml$naT5hk7uYO@03K6nXyM z(fK~ev!L*#<7rx+yx#0|K6Lag?`8OOqg_GaJq&63wi})Q0`9d6PZ-lD97wWZmK*&0 zT{-Dr>2TL@ZFXYt9@pnT*^v1XrE_zm+~{17@}MwHz9uta4Bqp)#+7@W+}Og4xW?;U zEikvE{A-2w65eEJ$_eo$vH$6m_wBxTZA7Oa9(wo1OLFl&sJTM?^%aM9U%WiRWl(^4 zkprvdFWlj*^1Zpo4;g28&ezaAkm791%|0MgXM{YaBEv>?lxJN)*t{ zk$?ZrrU=+a|IY6%nv?~LPH9>M^z+Fy+5|Xlr&?<2RbEtq`EwET9uvqadB9gL* z(8?l$IriLMW^gp7> zh11cD4mf7v#yG zW$U>9H=O?g2g3l;csLHqK{rIWharCp&IldIvm%|3f{HJ|970JqemkD z37pw};&B>z63%~xGe`f~ICy>r=+V_}9{>M3Kwpk|K;`a|>(gY!E8 z*><4kD)jo8IQw=Vf}24Aj_Yv+`ykzge(5tcgVDTD`6H#!&8TnTS~cQ=2*FsidI1i3^WaK{u_v~ z*9u@^TzFVJn5W!4)Sax+b>wM$Ev~oYFqI%nV-+&ibgoa}Y*t1`mNE8YV&my|&bpM1 zk98PG(tI|&mUO_d;*|-`; z-#UC(T@S*h!jy(T4 z&t=FciQ2#Y0x1fnQgBp8QGA-W<)m0m;!B_X#lV^ zIIl; zVyc5>)!;{wdmD0lan_Utmu47rz?iLN@5BK0{28EQl{9y6F`};N`re8)8}U`*n0mz8 z+(^bsuT>pQ1BS1v-E6Iwfb4!8yYnLHQj2{M7w$|!N)5;MyFq&;ER7^e(G6AR*;dD6p6S!*8BjN%2gnt$hH0Yq&BCTG((Xcxb&LjI5164JDa986p;-Xp-ypKD6%v(c%|2zs#;`4vecravZPp{wwj z_rjsdc5Bo``cFs6S=6h9`L;o8#z~_)j99LHMQD}R0WyyZ#Wi-W?HGo!b6eJG>RSPo zEP$D5E6Ey7a*f%`7)szbx!ZIanxTnHIPApsQGLM&RP>u?fuvXc2K;92nj3y|<#_R% zG+8#*H<(VY&DN~kY>vt~w0`lKqY0zKzOpP>>)IPoQd^yEB&x-pEm@?FuApVf!cfHbcHfDk9yZ?Da^hIJi`E*_YMC@PI&swNG2a$W9LpPO zOQ#)5Wxnf8>%p!64fRVsllZo9FN`d>^zvQT z;>1?r#IgmPb7E50;lwDsXVKS*k83=V6d+QpG&Ot@V=egd-I8s-RKc6J6ZTKnxBzzm_on>xC~cd$JE z5!LVilf>}ld^ccgy8|I*ovdm4`B6H zYm7N-O)X;YnJ!~8T>!Ww zO6?|7scV@`*Fb(7z&(pT?vt?-R(Ob0CKiBr2+9_KR{iblKm(dfL+kQ>GQa@SNbIi@ zQkgt7%Nt9+PiXxX_L?hZy=-=;=q{P7ZG$gA6fm+7)SJW{-4a;(7;-=>!1HIB74#i6 z<#izk&BoS+ox!$L=4+`T+R@{YjQZ9Y@H7o^emD-_0kf(ji_4UrIO_Vb3=P`&6i>rh zvuFIB3hS+Wmx568{1h{6eCB4;vskAY_p0K2O2K@CHZY&oI-|jnu+FEe$IE>BH8dE{ z`Da6D)*hsixDqID4#b*`%mVDS@;;|^cW%&^K%VV5IvvZdg|(*vrjZJcg*Usr8MMqN zi?}J?w!~(Q0XijZu;z^qGNngd6r(Y_5?JixM1vHWCnKs2!yNIXozmama%jn}> zYp?bVjJ|ruq%ryyj+fClgg$OWgZI{Y>AKHe540NoGeF!x7yZ7=rl}Jv&(iJl*!&W_)WQbt+f3oI`4_^pjQ4tA1i~s`xZf*$3m;YEkDIiNF z5nWT@8NB6Op+1fq2EvC(FHV5?0enzkj&+W59&QT}DAJDvtAHo6yaMki#{NM&RkCzK!7PNhDR0 zhvkx*jX1FbNqWDl5u}Khr!wthX!aExyPbigItAyAJ*F)R{Ey0~h{XQIRzM&ELnxlD z+vXUnMZZVV1Q{#HNl6oAo1BqQZOXEvv8ExrPfx| zunhqMHCqO6D#(-0hR~9lE#s?&tSIsm4E(e8kO}#iS&;AoX4W#kWJrQNgu{kSAWElj z5Jr*-*M&5oC+wO+NW>0OZO-j@9;u}f(pI7{hG#N)uv7@VE;a%{DUN_$W(vc4sf8EG zNg}$Yz%y>s%DKpk08Fd#lmx_@EU>7AG?Q;2B9pZkN)Eg(5tKp#wjD&grJ)H7k`_%Q ztv5AM2wF(lf#K>D79mj zg8m>;?vpSXvn~y$@r-#e8%=Y}9vIz$d`pdDxugf^*@VNh2co8w%?sSy8bc5vsGs6zSSuY7|B1zWT#SSFd_~;7Cj7W2G zone`Q1#BhjuE$p@@R%(@M0Cm}u==A=$T`z-}#x*`?> zl&8meVal7jR_f{j60(mD7{M=hXhmL@Fu-lZMzU+HH3F}T>f}xV0lN-E=Glm%&szH^ zDY7}`P7R(-3UcDjQ6}TqHTljofbnGxk<1AG-E>Pp^exFyFw6oAimGH`mmek~_b!=? zAio~M>k>iPJ}2NT2Z`|R8_!0(%VLCM7hw`ImuCdKJa+1I-tF>Ibapx@Cvls03+{o0 zO1hb;!#Tv6O#H4XAc=3=#fF3(;G-l`l!D6@0?u-f$Sn=sKvzP%!x{1QC?=C<>M)pQ zg3amvqz+@73X)LL^=^893Dyf;26ZOI1rNXVM{zR3>OV0NABnsmss93lt z65cWsd_@X+mPnfSLl#Ch23bQSm62IZ!7{L*D&Al*_nx>!kS?V79Vo)}Kw6e>KJ`Re z8KF;tad9%aY#>c0Yt!lorhk;$GsIY5y`olV&>}%%biMa{e!`EX*p7E^5f@dy1z`L4 z+~&H?$#bTXMp4kL^eWVC>s1yz48F6eMS*7~HYUnt%X*eNB$o}WVv2IzQkIJoB$Jn` z<^t3p)e)kQP1TW$CzE6uRIgG@4qhXoHvKqPL=3^2X4BRyq(y|8Y}$4w5*K1;+6z*E ze`YjySDSuqr|sZTmPwl)cr!|hh`mZ@w5Wkc#hS{<1m>w&a`pU9L>>VXtL zD{1mLnOruon#uZUXIq=@(`j@TCm_C=ZKkukTjZVO8B{;UYa|o}$>5**`XyIH48fY_ z>+P-rX%S&2qqF-8i3_ovm`)H=+uhaIV>?q+f~E#(B>u5YF;2ie8Zo+=;}@*U1%afb zf0(JNhibme1<_3qF#K~!_bZ4-Q$WyTcQT0OFy2$Zs2gMV9veswKd_1^`bB&P-=bjDu!3WTG~7NQ=Tqe`M_ntYR{2TO54{h1jo&ZD*$h%{mjsPEmykdF&5{rF`rs zraKTyFz5TLwhDX1Co}P`aE8k$+6NQ6iwDyA@sx2<$HnJDCc>F%j_V5z>A;+sTu^JHQUV~RR?uF zuaQs`B!hoC7Uqf^KhqD$XZbyepmvO!@guLpzmP3ojL zitOGQtJ7E;RBupl6eR?mQs&wN1a6{3HZx5r_XiSz?Ld}^mzsiQ>M7-`a0^gTzuh8` z6jD7nwQff2@9dzDsn?o$w+MifZVq>EwB;r_FE=vh+YLz4p%!N9AiEjeLv5RLK9qax z=ltf~QFf!616+X^kWKjPEF?WK8~BgOM$y6uHvxkb;or>WVBu*ma{y1_)B|aGgh#%D zA@HopWrLMjn^xx8ag4v|LQ=*}$#!wtF|sk+K)SPYWsE!K(ocLGI+5=R%8<-iES4pF2s&Z zW3HCXy7cb3mOl0&lf6V_`kG1KCu?Va2k86dYCr}1o-0JP-GN^E=5P5J0(Gv*WrLO7 zz3m$h7Ij8I7T{+gYL}nmvo{4l_ljDBNptG8=DMMYXKiIpAzAjyOrr>JfsZ172$YVAmK1joZ_=27Pp!JPxNIN- z6GzbgJ$$T2F7eMnZPPLo1*_JW!5%qhal#l#e4s^vXC@ter#d3U5Ugo2!{+{b_p<*> zqG3^H(5$lqScH^jKDDYop#dlQzJSyW)0AxLWQPO-Fdo}FB%p)3r{-}AtYT7Aut&dH zM5r2)B}_s7;~$Nh!*0&ChwWoHE~KmsnGO8MWSo{p_Apluq~m_=(Xt3TrE^Y3{|2gN z=CucRYk?#wX>VM;PK%5lFE=tL<87eKUClHn+nOcG6@}_Hh0SMJWb_@|I}!FtBB(yZ zPVkc#CO;{)$y^~m+__5TPhJ`+*P|{gGzmic+`s4ZC4@osJVGFO(bQ7sx=moKccnR7 z^k~n8QhL_{mkp%HR68w`xChdlkHa0y2X~v#ShG#kH(b6A^2X$KJ3)}Fz07M5o#yPx zsexHd!7`?|Ij0o{nbFgRiFvZo|{?h`^X7n@D)bbs(NmW&E>7L>+mrO$fOkXGB4 zll!zGg;8V;vM$x;cWGf^bW>w?ok`#JJrI(1*3cNi7dcR26oPWrXz)n$exU3HxhCt2l*(S#fad@yKF#>B=h*2_tl{e?jIf7 z73|Xs4w5R5+po9im^B|MJ<=5gamW^;pk0Dk9OQFFL7O+Ix(E5Mx9fYZK|a^h5_2sr z`TZsH3eFw4Y|yr)_2`_hT45JP<|E@)tIQZoa9SM!SV|j6i!DwSd zl4nZ|Uw`U9(mbm~pM;ct{mtzN|Cc5D05|yhTkjDbWCE*65=X9o{N`Bw@$(2{PmL2E zDc67F2dfF=-{VT~l${6QoBFri_b|Nx2hr^|3iG%6rm$@h!@jLf4g|YSITGT{p2>TBO9++Nb4NB^+~8|2 z@-?6EH8hfhlUff`V0xvt9x?@9Q?_e7sUPtd+IoC{AC}7W^EjHV51ya4UVcPFFyxyi zL@{4Xj=! z%8@@KMc}G>Ar7MZ9xk=f{V3JTm7_(K$|bEPq8g`i^+I=cvuXFZAvpi>i7t z4l?mxky~h~vz3Yaz2BEA6Ph|n5S?qpgrZFFGxTe$uc|9>5b6|&f|KN*;>A)X?nmvd zw`?|GZ@t-8_krtH05VLWn5uw$Z)+w#U<7=OYMuZ?V!3000c4w^7~~k;31E#{P24g z;=#w&Zz{h>xc04k3~OJE1_qV&-vbqa{GR6#KH`}xKeN!f|LkL*6n(*CkYD}7e0BfX z!vN&>TF>v7{DtzfVORIxqg7n;dqas|oXzY0GxtM&S9pXE_zUG{zNGFy+m<1}%)wjx zVzd!AkO;(k9ym!~X4AYOSU5xACPEep7=p!1c=AaQ{1XUSxL^nt5E};{5N9EUAy~v` z2sXxeJrQ=WQ!S)q1Vtde^@Wq9_ie z_xg*I22Ppyc1_*XosfWMWQ+k-M z$u#q`9_)G#cD1j`G?R7zRURzU=5}B6Y=7}-&u1RP_-#+%IO`}5;`B3j)=f6^vYW2* z!e^@ZqF2(Nd$26v`8{7V_Bh$Rnsw95JXqFE*%a{O9xRjX+pU|npeGLM0*zh9!w;rx z^kydZVz2(p0c9f#L1jIZFY`EG_cd7@opssEJy_OdkMK3w$l9>W-RemnA70P>3a||) z+ot1idWlzUX0jLgw!G3`%s95L^Eg@G;AN`1bF;q56qrqiH+wTa9~ z&Tb?;-NZ$$tOR+_`Ay^#6`AoM?=Ymfe#5O6hV_rv;;};cp<)Z@DE(Kwv{*J(hY#s5 zC?k)=77>ntD6g4+;Uv!b1@0F#EzsPi4I|DQe8Yqfdf(xEP=jB;YWzvh2ao;AZ=vgt zapDTe9(}#tNr&R7LiQ}9cKm|+PX7a+C!mZXeYJ$d_A1hu9*GD%xbuONofc@T#+pmZh- zQ>o6%SkD!kBo^BAcPjD+ys(#hDcWbe=X;!N(>TNVh6mf6{wi(<)rOG;{ao9p?myr6 z!i~P>ps%^f*92dK4S@3mZ`(=}e`C7FL3Y zKj49dS}0fu2CYP~QN&tBusBc~!SBuO?8U!@Hy`ufx9{hhnId)5BoQ6CxVHkJ0xXOj z722_?1hy5)kloY;E6^}j@9N?k_H2VO%k^uK<=awOzM~FGE2@W5*@0ILeCbCjmNv8X z*F!sigPBKTf)U20%3)BiDnD?fCUK=9%3>9*Q!RFl!kGA1a@IQiuBzxjWPGe{*6uTK_UG3H_1vM(bD z@hK)r??(K2i;&11bXap%Jv&?v>(Y8a{_#d_kDOW(Kb z251$MG-7}n@HA(qvRuG465t>`wYo$<9YMXY1j8elFZGmo)E96zMcvU$;)Y2c!pxJ5q6LQRfAUUjP6A|Njjljtc+) literal 0 HcmV?d00001 diff --git a/cpld/db/RAM2E.cmp 2.hdb b/cpld/db/RAM2E.cmp 2.hdb new file mode 100755 index 0000000000000000000000000000000000000000..5bdf86b556f455a338c5c068b2dadf40543086ee GIT binary patch literal 13385 zcmY*<1yCJL@F(t0aCe8`?wVl1-FdjXI}Zp1m*DR15ZvYA?(Xg`$9Mm$y1Uw~{`F4P zbWcysw9F1V7#LV3BKQ||{(8i|P#xgpY-w*t%F53Cotc$X%+=Dyl$4E~jr2Pg3o9E7 z+jmxWQWb!co23bW^p}x|H7P5p%1=@afHA40sin(TPpFXp#DRhNF#T@;Q$+h8{K4@* z=mCD_3!h;inRFqqX}YQ8mPVv1R((8(x5u?EZ*lbJLIDCMN`B@iCFS2x`5$O=;4skH zN0)yDhKkuIQxAE_qi9D-5lRB`!+G+f2x#jN>PCp!HZ_`0HvY-3t9<&iP&zQQewOha zma7yiWR~ePU(B2?RvUcMM}rx$6ZU1kj|;An*P`@mqarL54IvR$=e!M}brHg&mTTg* z)`{<<651q@@VsqNO&Rpp!bjaf7(0`eA0&CidlAbiaIk_OJCqxq8dB%-fz`V?7tA+E z;Sa2Wjrm%F{Ur-D{RK-THlu~+yrVsMo3;_M*$PR6gfu}yYC-T8*~?xMT$=YZp2MBN z*>n_jJ%DV&pdOX!el$Y`8YD|I)>`9C&)o0=}?k z%`6lKZj6$I(1^aWN7HJ&Cu;>X(erCATxEkL!&)Zb5ws={30K`0>K2V}>%`0{81OO^ zo{#e3p1DZ;u#jwL1bWU2VW#_)b91@27^^6D)XLgP`kQEXKcVYP-bw6m7eSY+ziM}n zl>%x7?eRA=Dm+rQSUsNTG*^^Ns_28|gSV8Xh-)VhC^d4IAR1Jbdz68SZ8xO0Y$g=$ z;*o`J3c${a;GQM+QOPc#nQ^-L3|GwYh=3eXl}Day>z?}Emx3ta+Iu-gNfSgxPU^Qdp?yP=|~Ljxgkn=4is@R_u4q@jc=#H3x$bw^qU6tikfTDy8V0 zud;K&u{HaOu5par6JcX(cPB56K56r2zpC+iNE)dR1_I7Shztap9QNbcHCTvr8cmprpE$n}I+oENGZH$Lacl}*~l_pGFTfKsESCv}CsKtu$JKDJ( z$G~Cnf=a)+VIxSY$G){=nWnnA_Tgo>%STV&`_*bCuI1ZPUcJ+LEHmUuYq**;*Jk3I z$L(n2r>qj6_ETsRBJJn1grj?8KsT zk&?;EDL2+>%fSmb%)9NUQT3+LAC?#CWRhj_Yt)Ep+aa0dYQZe)xjBN#Ss=;w`i<>7 zMj|~{(oM1NDj~hi&PWSBD3)FTg1Yo^QG#Ebot6nzCIP_4|$sG2mgf=_fBQN zqVV#2U$WisP3fx3X-Y**o)X*qeLbqnuiI5#)XE`AWN$C2GJ76--G4d`Y8>V^@jH$g z5`m@T%I*CLscES@*EjSCa#XygbGl1at$&-)ukNFl1)pW0F>8X+8!{0bpu!inY-g!*k z;RW>f@mZ99oRjR`BD|)T2{=2#rIHU2R^80@#Jmkv8qBd<`oTsR>}p4s!u4>Gg}}#` zj_mqM$X|P={y_e+T{EzguxbWIuC=rkmKqmTCDG83uI-wNXQ1>q}c0 z;$9Xs%E)rCBEzUt>4n1zg3_eVFh(mpbSUXxw6qW<#Rxj9dmqws>@MVI2^F3tZ3+ZO ziG0&|lBzusV7mmCvr~~!I*#@^&_gVZR zs7VE#A`^kkc{=v@5hL$fM-G;udfeAHms&8LR^y~pTX(%Rcr$;`!#Z@?^kzrSH2lN~ zl7kA<)`506Q)7Uox$vy0d2{A+@bZUSB(s=`^{QMMgBl5Tt|gj3hwXWc88>$R@rWA( z>pf#m*th(8FHHHvTj|-24~xfGx|#mXjk#4@`w7QEYC_l{7kciv`2|DaO3o!kOJuiG zn^p1PcU_6#b>g~40J0az;V_6=cpBzBIddMrF2;oqP8bpJFEnpC;^Q~kxq67X9Ys2J zAUTnOWOqzYLo`Hn4{RL1uW$Mx%BPZ4gLmTcMp+~8&789B(Qr|1yZ0!uZAFKSiYIyn z&Ms#Ko23gE9kJw>+3R{bU~Z^U5H;Sz)5+AokTXcvfRjIAmez}xolo1fE5K{IIKdv8 zVY=+_H5LyFaXPOO*QXi|S41%;%4WL>!`DAZofY$(A=Kz}ghapsiXa zOQE;$-&$v9vw2UC7=#r~R;k_??NYAT+w^*EUoBuM@ozH_$JL6>#g!%BwBzY_786y` z-F3#Gg>?Ed^Cdx(0^_byJmYI6^DgJ>r zt~{HTZXH-Sv$7iCYKSUS3fEgY3qDA)*Jw*I#J}vvCdoa^&E56N1QFJl>{{9+#OP*O zr6ALxCZ3}OCS?yBrWxUNr~&C)Z@u~2wTrt2Avpuu@K+UYl!D#(YX_>?{ z{2N@Jf$%sjwbq{1roY$w*PQvUs1oMsOl5Jb3Vg%w1b^+Krco}jHYKiszbT2l4X4kx z47h0QE>k^l5%7hLR0sC%-PX1ITa8OZS8Q#!D=PO8ems00R)t}{b7=B}Y)0vskmUG8 z;|e&tfbSsI{+U4Lje)vSZ9cw6JZ3ZJ#G2SH=#?4`R!fiF3XKd1{}TB9UJ>5`^7Q5qXSj9RJPoEOl`{~Qv*5?9MnWi^obGc~b-h$TQn}J(C8f~29q#9Usf&go|44$9(zkY$$%h^JIAD>eP zyZw)u{$kkWznJ+i###OcxObhAV*TOhRY1QeFR?}mC)QT)Y;(Xv2Q`6x7W-Z=$48Nn z>k5-PBb;>H0&1w@bL4k5L951J%e>m0o~zrB-M79aS$Lwu?CB`Ejt=52;D0masNuvm zs5dlyP#8kzWQ|wFAG2~l9*Pd4<2tLN7y8m2w&M}W=-L~)QD(Fi-n0^Y2&k7+b7(`_ zuPWv#oekX<;*xv?O=tW0LVTuc)R(b3(=fKUHdI9SSuFioO@#Tmc(E=FrKrz9_dTY| zRg0`7Zv-c6ePsza!pnSmFMg1K)|CZte*#(qE{5`jH+YneYRUBs99Ov3UET6CuWM#+ zA0j?ma}Kf3>z~CNd~&UR(y!H`dIIZ5XbUs;i@A*UPJioCNR3X)ckVPR&ynH?!NPiuyPN;h5|;M<2BDK4d7)3RRuT>;%!ne8>E$H;|@%Jvhy7nCz)| zYC=kQPN zXqq@7)a%XTyVme$G3et%(oXGS%fFw&Cep*(%-e?!XF0R}F?WOohj~N>qP%`q&(7hN zkwOKlaYcSIWsCPb*$$9NJ}02cOA@ld%A74do)7rrJP+t2jesr;Rs&ki(5KyS4T?^} zYaJ!EeNjd zc%C1E49wFpu*fMwr(tL&bRERS)m!Z0yn?s(HW+;%yx3sqC!;Qh;g$P9tQ|wRdHXw) z8ESP@*kgNDx_;l-J}AI5&|OpHw2tPfGFg)AQ=|ksGT}@G)6dXoshWBzCT0bdYZ`@m z3HMc$xo>mIRag@K%)sC|ccy>E*ik|Iq}Xw%_#oeDCjVgR z52NUWWeuBEMBi0#yfW#}K)ICgq1+)M_Y*djD0Q%=PceN0ROdMem>O~^w>5uOg3oO> z1XH5;{*#{WxtyCGkDdZ(Mh{`-RExa9_cNgT6JFEzr%>qsc_Kk0uu&SboGN0Vk>nNM zwSv^b5{lYvRL|;cklvcDwQk)yTA_xp5Wb` zCnbI8cAU`+fKN94CgHq_j>m&bC>iXYRg5TmPDQTHl?3g0XrOqIbu+C4-iE`%QXcyt z4tG?gCac>4E#7OG-tpbZS5^y7jq}Wwjz+ zHJ~}RQuBeAi?!nX?qXJSJexqwwtTDRl*?f&ib;-rYO$7>(3K)Yb%e+G1HoSLsfep% zj*e6{WIyFbvPN^#SpFwGES;T$RLpbn2%)@BfiTvbIO_E7Yp9Dr|6c?$`8*O3i*bqc z)htq3K5?^RLQ>Ar^b3U49j<52n+HhB4)$0l$Z}h}HSefy;5{tPzQ2J@a z&0yw6Hy|uT{FHQ7^NJpu810DsFD>PXh{o%2SWYbL?QN`xg>Bn?ZETRxu(+(-fhinT z<72X~aZlc}^%Fve9k9J6rwh(9H?)Xzez1g3w9WVn_1Kwi7ZuKvx(M(O(W0qv4Jpcg z(6&KapSKka3qd*pd5OMA-uXM%C&=%T_NelZe-&0fZuq$E`z&5N%8&>CNt}BJ$Rj@I z2hVqQwA#TT2V4I9J^CKE7c0!Cx&JVlln#&fPIZ&oE`+(Sk|*niXP8Wu7M|vFj-CnP z`HYZ^KE=O-Z1zvMn@TGbB3O?1%G}BKM}0~+UUWM-riIM;_1@W(mNA(W*GFx%SgI>f zEA00}OEyAgJf&|$BZSq?8S52Okw-_>1Rm7U@P`clZG0pQN_RMEF`pPL#4gMiPq1y$ z?n-v{AV${l-SSbruq83e{^WRcL|q?XV9*##|4ULX%`Zk@5L}FUHOjXMv-l=E4)Orl z#=e$vwbd+YW|u2OOs<^FkGNjskFjORE=DBo615$5s&zk%XJ1?#h`lDaYi|NmGt{bKrQtnO?~@s30snm6=~sSHC%DVh%D1B! z6e3%y5+$-owx?2trd7=}_zjewDL;qQiEygV5i0))vl6iJ*(Kxa!g;mpXtxklY8yM! zu58gw9b?niA{ZT=2bDK>TKrL7d+_qSZxUs8Uqz}2>^<{{8O5rWXV3?v82fw1Du&uZ zb-Ij}+huS5mQQcsO4O@v=W1U?Sj9PZQr&L6w9Yrb#$Andp-YDa-7zw7W3`t~70Q_n zl&`xN=WP~?nawr(OssKA-(2(KR4*~B+6k< zCe3rNx3dYJ?#sIq=wIH?ucFTCRaKCT4j#Ci(k&KeEhF}6uXu1Zi{LoJ* z{noypXUgph;Va$V>YnM6yY`b9`n7tagSH)yeV|dv_D!4zZ;lUqgjLd*aRaQ;sFg*v zqqev)V;#`_wXday@Lsla-aSQXI(9O{?PLiu!1*~$cIEM0*Vl&CHR4VygF#m4)a6;~ zpO4@Bg^GT>u#(Ur-=dH7JLQMOY04WjHg^H5s- zN%@ZXe4m+3t?ifh^5b6P?dFtbL`eD5=FF$`9dlpyA+_YKl=)Nplx1F6>EmwMUN*~3 z{A+7YbVYo;yPo^YHGWjPKd)W>erWfbu!DEqEa8}Jf$w^H`D|?u)3LI)z6IBanDXZI0i;X$g zI)eQ#rHWo^@3$O$PXkp|@+Pr)IDC<|q$=ZkeGj?Q?~#xZiwxaJf;fVt14Q5jd69u} zKSa5+7=xao#-NxyQ-V=W#PINV@qvjyue?c+mjI6IAk-{&>UVVcck77zzfl|2`76kk z;j1SnwktDL0*RsM#_4703Fz`Xl>?Ga#TvImvc@5JNoCYbx{|Eq=Cj^WC|e!Hz4TkGBx}rr1I*BDUX+vYp2MlKl03t`q4%L6Y zf$@tg)c)PF(Ty5bYcrs%mnfkRf*D{_@YE7qh(mJ{a}LQ6bc&2%6&C!N-j!WNz2E|I zYspBlm`q5v*g{C&Y&t^b1f^wBB|{Xl#!Jsl?=0s0H3u0{Bc#LTvm+&vBi)?3XDFXh zF$9~DZx>I*G=Fp5R=3Cs9*3uhq0YCE&bvfc*~MQeEObmQSOS^=zy|>f9e~ATV3RBG z0cWadWGV~Vb`|LyZ>kA2l?7w_igZplb#(}-e2#oY6oiL|&&A!FinmgpV;i5l9h|jY zgtx+wW1F1YfwXdfw<4Rn zQrsHmJQT?maY}z|Y6EIT7H{Psrz0cR7G{M3Z>1=w5;VmHem;+6OKtH~PeG@%O*QLq zC`Td~EyVX-{FT$9&D6pl;(Q9}JSB%HHuoK-0f=-iH1#k(bpea)zeZoUnJv2dWiLd- zJ6pqMV3R-aL1*gw(7^3xt5L;?Mo^0e1h{I5W4EF$RC>E zQ)^-K+iJV-{cKK=i-FruD751h2qO}C8^3mEH-8&MGfx700QgZ;U+!M$ww_PV4#n!>n zOZrtWA3}eUD5u>Ge?-tyc;i2UZ@-19`z51_OhbU>Y>9mm4E`=~@u+9c<&usG z5fP*{B;z&VI+9L?Ce*IPPZEC#{EP+2O-3=i9^YBeS0kAU>MMp{YEM*UWJ3m+7$66G z-wDRhN4t$N@Y{H}8D^_W1A<3k=}Ag_a&7|uW3H~jnH|f2Y5kwy8w1!a)(3g1Jw17>$XUHhTVNTOIk*C|9Ts9O~iIFQ}V*E&pO_;`Ks6e;X>OEu36_h`kIGOGs z&6j=*iXvV|Wb<-i89w@d8ZZQppv8yoxyY3}if`qqC*Mafb>yPgFm*6cb0?A9nJs>L zZT^}$2P>kOcR`Dm!>ntY{VAa(&V zq=A@}((6hV`v08rg(aR~mo-(C*M2z>Lq*2Z6GB$cmCWZXaB(F`FBra^Dzy-@aybcH zg%H}@*0(oeig@9ie5(tPy6ZtFkP&ol2z@W-PJ|URrtcveoJ=9oii)wd0^NTYX!b+dAL!l=3Fe z=YAWO4m0oN@^$N+@rSw-o9c~dZ0s3atK0bbi!l264LPvFbqf*wWc!DcQ!|!zoenFT z`Q1}sna%;(SM2Jz^|Srb@xl%ZvtDdrSr4toaoN{pef7+9&brkOo6U>)!DanA zGw+fAM4oYmv`4L+nKKI~YWyK8WHuV?N-1u7^0X?wDH5wT!mb?nU8L$~5p>#?+gZiz zeDld{U%WfC=MD};{D^KzKpyIU68qg5EwCJ0cb%&V?!?ODaaOC9Ji=`~d{m;ng^aOI60X8;)qMyM_&Vyo)6-e&$tIm9}6778*Ex4C*5v+p5N5@=@y=5g_KJKsfk zaHBIz$xSV{NSeJUCEQ1EVccf5KfR3$u9`W%f!kgjb@>A_R0O8J53{jFTG#YUR1m4}fMM`} zE&r`V$C_j%w(tC=!*K!MqC&q`_gmy>r*+rOf zJqG#RI`I(VeZkH^{?}J;*aHqjk`aiac%ofP7Q29)YzcXezpN0QmZr?g|f!(kw$C}jY??jIqj`)-%1?g zJ}*`x87tH(ALq0UE8Ged${SJESgUs19r%92=ik4x?IDSbuDh3p^QcsrrP7*)>I|>H zq`oBCh2zPjaXVQ|@+oItJx#6pDgloiBuqKL~8ior(1b1YVWfH~Vg`#o_0)Z(- zV$Q;5`ua}%$?d9y_TlY*y3{`uhN}85LXu1tGaM@o7&c+sQ)Jt7#Jgc6-S_*ak1Ylt zwBG~~Qf?ew2{iGRu4G0H`aWWdOgQ9Z(#K{OO4;L-ZyVsqqZ5SMG#~Fo;D~L;k2?0y z4fkhN6nI7}?v_mE4bh8d)lytv?OtFCT#-#~Y(`p^2A(m97w1BQ*h*3k&_Rc&1?FPkYbDQtdL5YqtdtzLFb^D~* zQ<@Ucn>yzE;*SURHhE%`>8=m1abxklms&SB(g(mwRq_j#`^NTFb;B04(iAj5eZ#- z2B&zDNSuW(t`wSb^>;315ij z$_6-GwcL(5BqOKFq|n-NEMWUGo~#Qh1eLQ|_n*i1ak*kWLUH^f#2}rM&3)NEpoL~1 z-+ibw9Cd<&bJB{RRxKiFxevC1Mg;nyss9WbN{72!cE6K2GU4Y1GVZrY`5Npa(%PzE zz<(>D8C>j-v?B4zxf9Dg3eJ3LA^Herl)AO*4IKJ3T!>-Ha$YP0fGm41R_c*yt_#xC zzGOTM#o@Eix}~_w+_0hEKKB&H<1fc!eI1MjKk0(p?IlXM+O&K?BLANIZ*+Cer#^kF zf_wL@xs9bIo_G$(Qg`ZBG@4)A>ibM1yCzTU>Q+l$VsWV>9DAV)7d(PAUavXzLy&GUKNo9`A)>jLSp$>;Aj*Yt6Qc zQ;N6H3r-G*e}{p5$3U`QL$aS!1di*q3aM2d_=XXC1GCA1*s2IzV-Y6?4=JN$DIiTi zh#}XA_CSJLscdEK=xyv5C?=z7Ce2DAV;Mzz+ZHWFT?ALQ^L^u#NI`Rs`K?%n(An#* z_WP7o>-uh?8f zo~E+BVxt&d-vTn)Mj!4s;iIO?r6HB4%8it5HKSy}M2mIDSp$Bpa%Ft0j4w4!!Ja+q zoH)UDJ*Jb=1oAiG8kMra!i_X^3@Gz>p7-`03icgmTFRcP5badpZ=MHeaxy29UB;1_1YFHvf@&uMnIH3SiamF* zzh3X1K|#mM`~D789(|G+q@Oa?U({T>AikRqe(G-4O*%x0DA< zP$N=C|9M>9DGPf#%{b)8g%1;X{gCDIY4AX}gv3>@afliSdH_y#5Fg#KHT71G&#|JIM&`u=HX|L@}s&40ycOueKY?_ZOGbjfTptFUVtX@ODUwWnhPpv z`}4{odv)<7FW?P=w#jk|-&yjPcDLEDmPml7qT=4Fyy5vGqGVWs5<1!Z{7d z57k2EL3yd{m`r4}?ZznVOS3dsT-tk!cvK+>li2tJNv^e15u8kz?5d)iSvDId^0W@W zlNipZY=w}f3?h_?Ob1MfvOfrEBo-4e+=voBzjyjI{1kgIgSdSMrliq7_R@E;*i?!&SCfZ-T0x|;ODb2~#EQbvF~i$b z2r|tFa;4#cx>#A$;bPNa9Kf&!_;$Ze&9)id0Y1yzV*9T}uiUCzvG;VGlT*9IeUZgB zrDRN^-y1lDhR9E`YByIS+USnC;Vn>b8&@Zn1E64^P1o(MfY*ozhDL$M^U2BIocc`U zRr7F<<3Xn!z3;k1%>mtp?grEwK)P%KQb<9Mk-DMxiG|BRhD)GucsQ&?KS^M~AmDM7 zB#{g?;$DD}oERNF=6CpzM1QtK|1S9sJo>dc`gK9L$4?0XCh{zBv7>c!Niu(Q^vQ_u zLx7|{T4*~Zxjr^}b$Gbzm*?@O;kp|QJAlVkCft)}s>dgg0?xR*-;r#?@NXrb6ZbcE z4%O`pQ;-ySFjg(LW=KluDWxd@#8sVBefa;--ywK_-dTyf6qs%SL(B7 z&CHDoFoV3rfNxqr0r=sC5)X?OSj87)!d3pE8S*5bpV$u#NS;#S+U(|rTtv!gh?lt7O^$JV(gPbsNIq%gh73hab@O?$oBw%%=4{l2hT|H zN{FZSera3WHLu}N+-Fy~V*L@&pY!Mv@*SjokR)Tm<3I@e36F0gsPBAD!Bd@hUB6#K zaxK8kTJ+(T#m5?x|J{#TWiuKjqueLi&t6JDnPopa&Cv6VyI^JHR`3KVis)i&nEOu9 zl{afKg4=6n0E23B2?tc2_?E)qml;hme@(*X;^V=yhY0nri`GOLr6W1@n^u#87n3S& z3XA&E61^a=FE5eX-pg_^aa1Jk(5X;1TmMm`(@`WhPgR)w3f3y0Ufwlf*Sykl&M@pl zV9dAa;@}72uba@HpB~@^8kRO#YTr63BAEaT!zhiU>Ijku9Ib_T|P_meJVTeETpfJCM_lL_y0ZAyW97L(8KfW_g-)5%r zS64%8_sU<4rF(aJUo21h@+vXCAdqprH9aGGO{buxnv%<$s^{qNvdaMGU4qT{0uRgm zCUytPnil?8t2bBKFdNLi^!wQCvepO85^A4Lz%%^tjmSNAbv5*5_p3*En%|7gHb2yr zM^%<;!jU7PHT+jYQ2=?Gd2DiM;FE@Zhj&&WpQM4Y4IEf*C18|hYM;;d}TiQu=` zpn9Me(|vYZn7qT-wS=g;F$&y;1xh-a#c?Cgj$m*SavC$g!*MC>O&Zc#UIY&3Cwpdd9PVH7CQ@GkivccQSM%01 z7->#GhaZGc1&Lz!GwQkDrs@?EXK!P`4|&Q9zIj$0wgqMnTYGfj$~;ACY}gg2;7TT( zW8A_BmA=rI7~_reYtHq}o}ybA!^zv!5P2|Z=2>e3wkVTLPe!9L5h`US^atJEr-G)M z>T6GVCFobAu!2MPZ2A`l>0@A6(>C584bHoZ8we$Hx4CO2aYMt%QD(M_7oum$`gqdN zu+<9N4g+yE(d{ZMat-XyD)@rs(SY0lBxyPmMY-qw^jXp*iGrFc+FJ?EO5&^qs~N8f zhsWT==dV2xioK{f@)tO3mo+VD#9DmPD$wT zP-8B^!%6}_EQT4JA4G-J!&<;%21S!YGt!Ss?tGXUO^_tWU{e=yu?Tg(c4C0k86DxK}Bd(oCCTKVND6 zWy;)dM5ZeBn?7&S<3;se_AdEf53XmJ#1y4;>{3VIe!!X`0SG-8rltXawJE%@thva} z#tJ9xe@*{RYs=z`U6jyKbczk&8&bTU0C6r+Pfj-LVNf|e!0+Tol8a^9>#UMkScWhk zk?xlG!GICJKZVR63VWc|fiO}x`$)fM_u!OC%Wl~A&p4!ghi(R6uIp0>DX7}PzcbM} zUkbgW+ArG4b5cUJ=2xQKJswpbIf5QlVJ8b7^VrPE!X;0#WQE`s7(v~hxW>x8h*Y|C z+39odf>T1La9B*Jl_nagnYpPbWrdlMk(-&ID!G*MDeA{{akk_Pwqruy+Q4;4Y>`RA z;M&&;kzw80nWk5OOl6KfQQp6C)%a1LR+-Xul7qQ3h-ORNhrVcJ3naNYoDJ>fR+e)4 z5lHcJwLCgaA_Vno6kRW3M+_DE;+yQT7KLA0;KQGB}4o{!A_T669OG#Vi-dKt> zdiRc=?{19BXXGR!rlh;rW7-$3)gE{3WtjP#QN5r;T#}+xix(uexP4)8$jR|7=++;=SQVAD%2f&B zPATN9$PsT2DPP#OeV%2AGaPd>CI6wYAwBTVl_>*)iP2L^gURkS!%0b@-MaXKS?p-| z!A^O%Ugi1U-(B1LoV`aDBvVWC3aK~xXZbd~9ekv%h zd^x!qUp?`Z)LZa1SN8CqtMF6DZJ%v6dtky_XpB3d>JRp%;k%i@YL@%pmdKEGoV44n oV8ZnKv9Wi@Ki$d97W;NploaWo#*yTyfoup2G>!b%{}asq7bQy>0RR91 literal 0 HcmV?d00001 diff --git a/cpld/db/RAM2E.cmp 2.rdb b/cpld/db/RAM2E.cmp 2.rdb new file mode 100755 index 0000000000000000000000000000000000000000..f4143afa7be4869481a1b7ff41719c313d911a98 GIT binary patch literal 10239 zcmVc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*I@z000000034d00000 z0071U000000093d00000004La>|N<|8_9JSW9O0+XMM*xJGOVNwF!yzf|A)Vy4gLKT&p)w$KgKWr2X0HMY}Z#ZobnVbk(32 zsP>8H^;di9IOv6^E!A(go4rNVY@db=buwr*NWh-DS#KSycK=b(RlW8}|4F?YC??tL zybb$3RoLC%SDkvd-$F)H$IYmcMO~uaI#=yMzcc8oldu`|)JeB}hBrt(Q2?M$+TGx^ zO&Z?36}B49!7=ZTgU6tR>U7(u-TIj(aT~aVX9L^Ey0X_}7&q&^Ub_+2`@yj~ZZ`&J zL91`L0p-FLpq!aUHYND5(+zsP=DF$(j{YWS^wrINTVn%4p7k{1jdtreG}N$|S~Bk& zoYW2wY=OczYlEX+qZ@WK?-fqFK|tV(j_$kYT69gIwk>(%k|Hy(H1w`_I?b?AKWYXF zzZyb4t}~+0qPqDc>^}l&k?kxrZCykg>V0+YIzI%tQ8CHfYoo%>032eN;-)06={iI6 zo;s_y1}F7Kf6xUjkIr2?*y*T6C*8EPAZ@`1^#L&Hh98mkVXxl}j|TmAx3{F!elw^8 zU^h4chgcfl6itkprV%|EbT!W%2mN~3>@D5Ya^PfSyV-uCYJ;=0diQ)pK#=ge_*;OO z-1reBm4DCc0boC&5rQy(5;q4>3}oWF^}%V-({G0UTlg*#pi`(C0SX!h0JX8QIQ{^&brw+V_S z-eppB)mrVof-44ppao$JTdJ<^?bhyUXL5;*i;D#KL;P9?p`)EU!ns~X!pQXk$Ch)gJklHU zgfS>U{iX*1+I@@0cv?t2ejI(Y1x`#R#&?P7l##dBCc%)46yap+e%Q)XFmXov!X|jA z@iioW>y6u-N$%a&%IS8a(>%UyrZUYa!=x{D!WKO4MIATHAP zcK6PMcF*pY>Hlg>=jTw=YOO6ZRa(*WkG&LFFUCR%_DdK655Z$2fMJ+40yjWZ&I7_W z9Cr1@J|0FcZC1hBf6a+~JL*U^NSFC3o2dR*Ruo1Xc5S41j{1;xp7&hRS`E_!atz0f zYMHvg>dQzwCtUj!y-9UGv&;UHRe&bpB2}nY%Pp?W!6c@c4w7zxIi+K8(fvHLq|Tfg zb81Km$on~OTz6_fE#jgs<=iO-6_+SeJ<8&Lvf<<2>&TUHGs}GQf*2J@g2?+hah?Tn zC>F7kaVIB?G7S-b&w${L7Z<_owbjHCE~#AKK>Rs=X)9I^Gr|YB$$%`F*$Q-nUi471#>~b zV0bPFa}vzCU@i!Bp69y2?oZ+zH=|C8*lcjY7PJFwe$xY_UMFaTCn0KnTyJ9UrXE){v0V)*7(}6*it#dg0OM&()#r}9 zUNpdB6xCIPBcK{wr0uKt9a*9F#cv9t=)(5DS;IFOt5ZaveYcJMK%i~CnYJLzl@7gs z;G)5$&hUeaW|kQ=HP7($QbL*jC5!wqZtae(*Hi@z+g00j>_NV`yDn!8UoXT^{~Wj6 zGiD*bg$>96Ya-|_hP;oGqp=T!_CM6DL~Q;rr@@>LevM=y)+=1{!!AFZJHVagRYcZu z&-5h@1??^!itpP$-rKEe^J5g|$0#T`kn>{{MvhTfxB+L29t34XI`9|ylHAvs=HV?| z^b+N85gd1=ZUx6U&Vo+1jx_8kz)u0I_aW0QOarS2WG_Jmpa=pum&|x#PJxXRRjNl1 zG<&Y0qh6Bt4NBl5T&+9{cU0*^Y|VwQ4&vel8)aJeMq`-F?qtd~0v%2sUfDq5TST`T`E38rTzTxV^hJXf$x zerb6rtL_X!97UDO=hX7r?QHJ0J_xP0ud=H44fS?#q)O)WE>n<(rHaT2R;Bjp?nD=Q zF?w^K$@O{s9JD)`X7D&@+TGIWx#@>&*U!S{xhc&p!n=is>&wf_HIu>|W_O->W-pia zch|GIjoMCeVDinl@)bcp=NbUUC3yMt_KJboR9kWXFHERCake&Q6rgPC`?htKxRMoJrtWdzk+1_SG?*I`x|BN9W^^ zo0d0jXS3{#P20u!tSLwS-K}$;wcdN*B+(fa$M%`T@p_7s#Q7U`3V5iG9&ma$uFcIb zi)2DJo8d)KQp%1#Rr%PICr1=3yZhyxQuVM_zPndAxL++Dmbc7np5n2Z%jGw6o16Ky z)urs}+Un~1dJZIA-dtTXndpsWXqa)O-7CB+Z50mhmi9{3!a?b9`~F_>pj_E2>>Tcu z4tB~ld+rJ=s90%8tBf)0~gq(I071X3&S-rp&(35N%j$_`R-QN%Ta*TS=mJwoo51!?(}4EUFrZVdj4 z1=1}D;9Q2I=en&F{?UOf+oeDB!Ubd7AxrGZ`eLKJSgq%o7!35h85bh zx@Eeque_I9AH8uZHC(b-+C9Dg{c7bKrQ$)fFjF(0u75DG!eYIqQ+fbeuMv3bi$2~<0rdh zDN0t#ZLX-@JLaXxo;NAXVb)wma->$cv3@~?)N;|eKqGZpsG~@(ulnlDnF=U0JcP`9 z-slJn%bcSy*1UMzX42B=J{hhrdx;W@X_~d@dijW^3xm2xIK)Z4)$a9MkqM@O!Rcf% z%TWy@Az}9^>^OGQ@P_K2cdUB9m_2ADKB~8Z%*jS$C1|W|)Gcuc;_a|?+S3uUIi8V-7oO ze7|Pj{{`-OXoVIRtb6w#QR#Lw@MQuX*1BKsKSCB<>|N?(u59lGeJ*qBbUhN#RUAZ8 zWcEfUYAqb$q}KubySPRQ>P~pnjSgRB0?y{m1xt@j)fAy~cit&2A914~8;@dZM`^$& zGug&>z(%4*&I_4~dd6+sHYrqDdalhpQtg=O591j$|T%o-kWu@(lX>jym^ z+#bLXi{g={rY~R_K&Wdf$G;V0C`oe3y2JSh_({9_z5z^1*x&~YE+!a3<~QaeNRm*q zk~xa}WgkHjRnM4=3^LYW`SZvK&7yiZ2byO?M(4gG9T%mia&n^YlMmlSTB)T^*2bCT z@Ha8YkDN8V2$||;spCPHtCJ(p$l`K5=I*K9EG(A6Y1%jgo2Sy7Kq3!z3B0M2ISfC; znc8X>C-sII93jqA)`21rxvV?~3Q>7eL?5tSDpsthC%`2hZli29I1K=eBYaHVG;!Ky zgukT(YO>UD8b47<;^FHOn{uUy7%!uNaXfgvp-)TK*vYn$9rk!=dlNUfhM)foX;x#D zTR5j3gN(ScTHr<^9AH_ePTbO;tm)Ilo52%RMKh6rD}i<5K`5xw3C>iv)|B?5fV0kF z*-}&J^&_yY!Sf7xkSdQsFFbCCFxN1R9Ypb*3)J7PcU$ox&t*&m4NXTB-kp%(?Ib)M zn9m=mUgHtiO6SyPpzSkW@G;JD1jQXk(Vk(x2ZG%{$5t!be~F4;LzX*r=o?2VI38jAttm3CVWE11tJR!Y)UT)L3@HR!?4vBIM@e z3?^|bo`X>^ZYw`fcOU+Og>U>is9-XG5ob$71#SgLgVR&$e>zjHdvKT(9$1~*l!Mc>JegZ^*1>JhO*Xq#vJnE zN^oG}fqtZO#S>#|ih+G`m|I@9%JPELx8TSpYT!8b0a6d~MG`7IT%IJFB>Hx+XLcgM zj_4zEMwgJvloc4yG=BOFQfl=RNU*FzXS|@%3|+(ud6(wbH9k?nB|V_6xGT?gPg3|x z0A4dw}>*GZKTLE4T3B4-QSC ziY_5GB}He~dChlXs`V$PF1*8)CMo2Oedp3eXP@gL7na$p9N;4z9Oe#sk1}_#VfW%P zpCz0&WH@ubqqf?uul7~3-nH644LJ_Ys+j+T{BI5Pw_O0xc|e!YgqF?nP_a<0zJq12 z6A<)Lw&CbIDu>zeth3e_Uah>XCMzu3xl=6d3<14JF|bQ4 zzO~Bs!MNB`GwkrYIjTUpX7tP{=t|!VONw{!Q5$5yl@P|n14(RMY$KcpLE}*?{JfV84)a3mt74?BptgefXM z;*#7>&^mP!NA!|c@P|v>>KT9fxg%AK!N3}08nDb(m*C?0hBRc!gu0ZQ@=-OIyvR@_ z`XTYaag&>NVT?MVp_$RF2b*O&6-#uU2ezDJOHJP$y2tPPlVK+db8eY zL};b8h7;qKMNw0$hc8$Khi!aPLVr=oeW^jG*y8{mkLOpItune#&H|1s+`*=%Woh`3 zi)_)FIpiFp;Lz@-xwxp&WqKS7!Z6k>d`!2q_$X++e+esa<(RT|X1)QT^eVdnM_+k| zi|%#}VfdL{<<9$#RHsTvUo{|EhrjudV2;GYCaT;UoE?E`_`H|V&#+Q%Y7lp9rI|pD zEQ(DvNGAMge(L-c7}CqSE2@H0PE=^Z^L+%g}B!=g;Y z+!yI!PHx9ElH=oxO1;TakHV9Fgg(g|O$cQ0-7)INLhroQfLqaO!|^TRb7Ej~FsmEI zr7`)ds2zTHW)~kDLnBZ%>fzond>=(J4zl4&utwtH%XsTsfmHTDV<=DXt*%7RQS2hz zb#Uf?UPQ8U=v|kgo~|BR6cF;*>qRrKp;G}DkxrnF5-Rmr;yTb*_|hJxCXSJgiygVSs&DneZgLu)^`?ZZ zd?o;B5x@uij@t1| z2T7XJvBblf(Zr$yJISqH&=znr7{7wa;lru(#&08rQ`^4RrH!LZ%9X>GU%Jvq_pE{Xx79# znkCb}CNIU$9G~k-m~;-Fi2+87dYi0CrOOAG!Z?eZk2>WSjgHRETjE&Q%bN$`d6D8S zdWgwlGIKf3Y;T#lWyjC*o|PXQ?3nx{9(a+_`EZ1#&vv{hVQe~4dPtSm)`3Y~3p%m$ghTB}&7mG%hO=D`ke~%d zSHt0SP+M=8Orr@nMVkmN6Oc-JtoFNHm_WFKNuFjj$+qxBB~!!a@ME#FK^11+*SzJ7 zMDp!jEaG*{OHK*t@d9!ryha!9Ej1qbL~x?7>!*~!?6T@?8;l4fGjf~2Q@WVG0CrX( zY{d)1Q~IOX6v=)W@RZJLPIR!8#utPqtx~n{ZdQ(EUFhsEYl?Y|=h@{BMah-96m|N7 zP^6&&6*r{gn$pi=cIJ5!(PFqMF%+s^a^efZkm2wTAFk(XB|FbX_R9&ekT{Cu=SfAH z1^RIK!KD#Q@!(z%BWeUAhldE}Q^pc6sFw|b&N0#mrcm$&A~>xV^Lfzw8BdL0iKFyF zJtAtN-K~T#w3@;KV%%i~o}PzLTa(B>dCldgcb+nzPxOShMB{@1^rLTEffnAAZHP2W zYbi?qS~Ns@Q)?;Sl}lo2_{x|-4>FMmU-Njs8H5q9fJycAkX;9^JN+BM&LSK5)haDJrL z5`|y5))KfR8zSvUK83YJ;g`1|(vIYAD6jl9px7qCU)Q*Q-U+#H^)j%0 zeb!R?=z8foX@*u)@Jyd{;5CCy+3F-`#6xyWP1fajB(}p4t8gYc|kMdAJsaqnDFA*4i0W~Shy*kn0Sj% zr(vz{m>j$n4yb2Bjk4#WR;H?c)UlG{%o)E2#bSByz#v2r9qw5)k1^70=<3q)gW+_x zK#XrZmX}nBVwIX>J&o~!Uh9lhjIz69$)jjaDq2}URZ3DSYl0=iq9K|p1AOin;^5Qw)7shP4J z!tP3l!%$`u%$(2xzL**^)vPKrCxlc3`o<4Nm!}KMs#y zT;rJ+IpOG=MYS;8aB(UaaxPH98)}Cez)c53SYZio2!$F&+NG%u9GfFr4sB2SZ4>Z^4I^f@htTnhu7LZ{J#sh2b>&bTEW``<6+xd{b0=5D*uw zI3*Avc`E{-12T(*uL!HUBH*~XiSbNBbox?qXORTxfUqmD(@(0w@qBqPB9U7ICA=XR zQdLb3Lx{M9Hv~hfs>xvpk@M|2oT;;gXs-x3L-MQuL^h)#;odGP6MwBcnKI=3HhGb zC6tjf5}t&CSVyTtCWj&9Tf&nt#QG)KY;qVv?tF`%ns?(h(&=CbIrjy8S{RbACU1w3 zb9pR*qtz^WN-mVF3OKHA;*Wlq34a+sD&a{O;3uieC#KPwkT(g>!61zeir>WlxY(%i zq+85j$;D;~%|Wn~nynSWL6`7`U`S0kIi-Yy?pye?96K8fA>F>nNKLC5=Pcz?`I>+? zL@Q}wC#RK=ZV6Aq0O!sILr9%3K-u<(w6GJmLoQkSLT)YZ&IUtRRSD10N=x9Dou!_@ zI~O9jE`)qtfRiAmot%^*)e?@Y+jfI=rY7$OA>I<6gaKtc8w?@dzSs+n_u?Y~*sAd~ z;XBBQi(?GA$=Y|G04r_9`?9BlA#AsV=SW2clKal510uxRw`rY~&g!tqQxDb!Jl7E0 zAC{Y^YKGhjEx|butP~5UF57f4gt)ni`?x0WNaj%Uta39yhCJ&^;GcJO6MT@(YnoiWd)a7Nh@^?p#jR)@K{dSF& zV)m!}#tl0n{&o$Y;vILR;V)bmN*p6cs)zs=)-F$#`&{(QJ~aolB9`SC$+$j+KYPaD z4!@=rL;h#|p8v7NeSCFL@}^ttC2)OAA~Tw$gT$$A?gJ)L(<# ztI5jbeOxgM6J_Bdxw(CEqdC~od^ZA*xW=iT>+9xncuONTd;Rz}?o(60Ltp5d*{lCq zRK1q`q9Zh$WsT{xU0Q#|I1yTzs4xbe#fi8#%d@8Yx{ zMzzFne;lR#BD#xV{6l;j-TCs}i^}50igPR+aT>n`VXm0DBZxI#VGjBhQA3pJ`rtXMm z%TK;n)*GV@7auoHj8JIMGp2IhTDO+BB8FAm+6+nCsGWrP0BXLBzpl!cVrpT0QqbZS z2l#kStB*TetT!IH(rh~ipD;A7@QX{j*lDe0Wy|WUpbO{`gB-Ey%57U`uAG&*fOk?> zbjwUgvk7seK5MqTFf+!q^%Z<9psT6~K0cqVMSdX(o7pHMzFG6CvODRPkP)Yy zD}4AW=<m9X+LP6|nJ+pKgCsWwT594O@(Dj*sU@1Q}_UjjV=X5epZ-8o&A~>Wv8%eOe|-+0~`h<#7n>s4p~5 zjGtiXxIMhH5)`+eiI()qL@UEF_RKlb7fiHcKHS-hiMDfiYcAf|0Zx@Vux(^fam`^--ODpII%|xbYfnd8RCjm) zx&9#(JP`_h2n9ccf*-dosqrpK61#AxuOQLD(a;TGje~{^e8jf}*gJ8UuBTEf05tZ7 z7`i5nh-;K>X4E!|yupnuCF7<&Agtg~F{FVIb!%wJx)Xhwq=|J>$mdw7lnZxkp`Y%e38ym0Q5J<(&LkJl*4H zITxN&L}ix8x$d^8EH{3;8=Qh&ZO>xy1ou2&*)p?bB=$Vsb~@>&Ptq zqpiMCliHT(L~Zq{+VxXHQ*TRX84+m!&eVLe|9j5NCx7&Cc*8G2U}$4Cyf%<_u` zMjpKs7&Cc*F_~m^s%MmpZX!YSS=>P$un$uD%%vl?{ef%zDOz?d#VBsH+RhBK+n;rqL7yJ?aJc_7C4VV~fKl7=5zCH&BI;D?fi zAA-YAf{;mY%Sj$#WtTRNnTC0Ujbl0*m+&tvG~MYX2!}T!&lqMe`p|hB5UJIR-BxJH_?CrQ_4_Fe6 znS4!SI+~X7(}xI|>^9QE10zO&xcdkh83Mi@ZJHGT_x*U=ZA9-w? z2`E@tSVXYU#=;+9W8>`IZQzR8ft_dGn|b$SkRSp8%}jm^6T~o>s@RNHE6n0I5WpCO zS3DP6wV46TlNcPTHw=TYb=x9}PVI`aObc$y0s=9($MbY|^N+X4UW-N=8m)V*6o)yy z&t2a=V1fxhqY{hQRHp_tUDYVoqtL@s&Rpd}m`A-1YA94ysVa1n@P?JWcKU-4KkiY5 zCBiJ^9IoB@`pMwj z!ZDYtTdZVieKZAelsSCfKfu&?%0<2Xr4YPeEq8L<3Sv%U@CPvIs(+th2U`i{4Q@@! z!(1u%eWV~f;&p(Uw%7j|P;pX+?Mi@EqtN0liI#@e}LP~ literal 0 HcmV?d00001 diff --git a/cpld/db/RAM2E.cmp 3.rdb b/cpld/db/RAM2E.cmp 3.rdb new file mode 100755 index 0000000000000000000000000000000000000000..201406fc96dddd591cf7e121fbbfcb081dd67ec6 GIT binary patch literal 2546 zcmVc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*FN800000007Vk00000 z007Sc00000008m{00000004La+*ny}Be@Z_b8VvB2XZ4QAi-t^uuiW%_8DYV$tuLEznmlOz*)8DfKcMh$y#KoO{uAH- z!29>?{py*HNraG20 zt-=`<9;wg^QlIO-ynrSsiuEiOiv>4n$f6c$whflp;}wsBND}QSVWdyK_R@t6joUEH zQz5u4+$S$Z{xOPWk_1ft~;nhWBv(3g+$dqVx5xm!FjmEDsN>>Dwr+ znwo)#5}4XZEjD81=P~e8Tt4%65_}V-m7q7g&korHKRJJ9;@Er{rDfCBGuwFUZ?}(z z4^zNynvA6{s921p@W)AJD`Sg|zt+S$1|=+wAOe$MzTJ)Rt$b1E3W%x$*3jG1sm%A4H7Kp*95c1q6iH zWfhX3m!096^&ce`1P>d;4^i6L!!)vZj?p4;AL(fz`oHbxDY+bsA19mFbCFK=MmH#;Ub5;x= zo@U47T{I3;&%@c7rU4aUW+Bzn8C9!Pt3BP{e|qqQ5c?f7g&k{~FC8FIt3~^@;zSvG zCF2CqoEuU>QQa?9N>zH6DtPhQevK-J8KdU3mH%|G|F6UAN>wSpNfSFGC$6qtW$sUq*AF29X%e=vk{g1 z=7X5|Lf61BH8j9L^05c>E}5k__#V0{N9AgjS9YB1>(I^PGt>dHkP*oTu8DVGEy8z= zZ@27kVQ@X+NSZ^wTMK?Af>f@k<(_@5nK|Fl)mPCtL`=&1ZuxFLtGChX^jq!bU_5M{ zb?c+^L37+Xaos;faZs!6AJvYJ_YV(B)q}%>gC|dFRVeiMAX_;Db+PCsY^xKxffeJk zX16)0kDBAt^KN6*>UHbwG0?x=8je;3dYNf$BgXC$&@o;`?QZT5GA6zAQU822KB*^LCw=Fdp@KZAXl;yrX>?;5ZkvQ1(&A z^06Dj2KXxkWb!xe`#%26XVmI6$HPXw-E`v_4yqJo=lQds*%P+D$7>}AA zcKvvm-qC@r+WN=sE33TI>TadS7{v&IXPc<&?LWe}{-F1w*%*!UU2F~T)f>ETiP+HD zUHe=#;#${fY^(F`V{cMiS5|1l(Zt=bj{|`E1weQv3AZvvOWZo>9KoUE$41bX;sbi6 z5G)~_?#PACFAqOQ=SUm0Hu~o_n50KIav})$+L;l{tbyI#T_5V0FnCoePx-g+THqsh zs-;YyY)G~Dj;Zs^b*>GsxfX^}E6AMz8NF?)x=U)K@;Gqr2yc#Xik|Dlgi8=-R7EVi z(lcWZ8g6(FKE_M!lDUd9OuJ7fjEf(MP!^|0-h0wJJQA6)GK1GD^z~&zZ!%+Mbpf;R zkB85VIy}lSYg^Fua98O&V@C&q^BI9FZNE4*95?lw6 zMSuaR3+3~P4guqvX0mm%pXXb|O0o?s*zTUiHo=5kipzl%FO`p!i8N)TiKKxtW}yg> z=SYZ?f1CI*7BxegwwVRWcyqq4U{xTzfhl9piMBT#dqG2LBqA3(y<(*xm_PuvVd8`* zs>Jd^mLv-kkf4H?X=D>ubI#FlG(;ClyKEV&&1QjA$cZ>4+;Fpl#yJN!QAJwOYmi;uP}adCBls%GS55CF==?s)jB0Z79Ph8P$e66ERU9`EYo>g(zU zF}M?`h?{{2NY341-eF{BH~e#{RjD6gqLGFQh4OI;f!w#U_q?<=Up#YCBNZ>O9 I00030|40GAXaE2J literal 0 HcmV?d00001 diff --git a/cpld/db/RAM2E.cmp.cdb b/cpld/db/RAM2E.cmp.cdb new file mode 100755 index 0000000000000000000000000000000000000000..cb905807dd053cec99925533082f4e43ef6cdef3 GIT binary patch literal 14965 zcmeHu^;aBC&@Rr41QOgOK?8)~z6&8ig9UdF?rytCfCLW^bb$~O2<|S6J1p+b!V+|G zTiDBY?;ml0`OchEPj^>Ob*?j-=E@=<^ycjw z0hV_@4$d|#fG+bXZZgQeI)-M`ePoN;;%4%s9)zNVAR4SiXnSHjQyM76NLc|#RY3j zqGI~%uUv#vvCR2OiX|3Y`P?5UM$Wkjv9PAPihe!EdG`XB^4~ip&vi6V$nN^^(7Act zua>}aH@&^}K2HcOffyalTx<@4UIezUX(qD?tprHIa0)kH(nb&|S7K(wZ0j;qZW!Rv zqJ0tR9#qY&+QIf=HlT^NuW|lu0wGAOB>>YTzRO)G0n;A8VX}QPX4BuEjK=4{Paqoy zdk2$>wyJh!exyqDLTR%*A>-(?O}c`(FNzZ6Dc&nDuN+nJC!P;k2bU{T<8rg0x^^Zu_Uj&e0ImL|64I^cgaeFpkWegafK zLddK?#8C?9c>~{A@$Ro7{zpS?Z5puBXP|SX{6CsrgUN@cuhoBp@&^7#6X&zzLH)lA z{x7Bf8#MnP(xR&UjdB3ffh$}Xj~aFvD8po(rE-Ba2HY`2Hy-CV~2ls6H0bw(jEA8nkZw=g3{7elYg7jGt#Un-A1y7z}+a z5@@=smMhxA`HC2HoW*5u2t5aP5k*WnST?8`kyG?I>Kqso|6`T!O ziN6oppYGMM38Pa|{&l%IILFJ|)oYN%R(ZKA<~Oi4?PyV;=<|)Oc``MaCeKCncVU8G zpwIKvz!)R;Z;ZKg@yA{#{3yiUwtcK)rh!B%+(2EWj$Ye3IW`Wa-dPm)#h2X$+W648p6nKttNgC= z+IXs8ZAqdg*}47u4+iBN-(!RKvl3JO^{>T~ZKO#iK{g-BCIe9Hv&)JDzxAb$`uFSBa{7A`6O5ug2wwRo2isUMfh=bvmGeM8 z79S(*d8DSH4j3RSw`#9zdqsSPFCL;_tKICpDjGj<8r}|;gq?oM4NtalJkis>E(#ka z)-`{rEPj=2(+5yl5Kz5enzK}G3(vaa=@M8lCoq~e{|4Hx3Sq0$BW#Q=+k2di)^na@ z+az9@BQeIpz2lwKV1z};D6dT`W-m*GYh=3|?LkSFE5*g;X63+3oDpn`sbZg<8~7~e z-@$|z)G z>MGx7*+F~n)pkwIf@XeXSnV5NzjQe;=$cU5FCcM}(EsIfQ1xQ_$#r*jH@nRzv!Kg9 z0I&Iq!MM-IWl3>Twjs5U)T)j%1gZQs043VUe)Z1PxZIfl;8xGq6)<%?RnO;<=#ZFm zKQY{&JpClEdh751ZeteAGKS1phSYlUJtv7$0jJ@;#VA*~=Zv8U#-GBe#48 zB2wV~nTPvOMqPGiMw9RLbEd zn`*wB`(9xEG1-sSaZZR!p>=K+)?6?<8Tj!){4QiAACBFB2IVqO$kjDU53zmwczAg@ z{rI!Ik;kHf_`OE|X+ucu0>9lx5Iu*><=`Uxo}pbsnohJ8w8NBT;CSs8KfD;t6!zhu z@cPYrX71z03+TN}>f0+$g8Pg;xszz=<9XN*;{Zfe^Kbu8jYI=~@_MhV+T{tL63S#U z?-bTZwv+kP>d|2u)nK?Y>xe?n=Xv7b3d+?zqEn)W0lRRslOMV9uFhjvX~V)6@+s){T%%@ zF|a9{43~s&rzR+hpa8Lg=uXLxqa>|z@fa^YMEyT-jg?F!h=nz z=Sk+ucSU^HokDQi%}a>K0*Y9rb^g^pCe7D{?493@^1Q%U-*mG z+G!r)JV+GSR^#@5?muV2g3=a0(bBvbo))^s=ki&fMl+&lmCKQ_IA_s_M*Wl z!?wR~$z}k>S?i|w()MlDmc;OBaB&pk!=PLEwlNcIfC7dyQ0wvx7BdceypfbWC6>z7 zm`Cy+`yV4OB-BqCj{Q3fd%UP!2rm$}I$*%eDXKLJA&Ly9mF9nWrGmCRTpu|-Buv=; z4t)#Xvpc31xO#kMmyvWF9^B9Q&Bqu!Z_5U?aPQIkQ8U%*M6}a@H0Cruo1)13IE&_y zbI*$vJ`e;j!4n_Os%za*J+L})oxtz@Bhb`vmXAa!#sdzEr0S6_L}Rx7chUgval{h0ANYkcMI;OaU`j(a~QI2X=-D1WR|Gzk3EFpahw*ZRABe z`ZttS9mp2JjP|6a@_;7Wh-J-_KS?2L=jVt}@PFcIQrt15ucS=JwpkN6lqVvmZS!HA ztl&RemTSrtyp`+3>TxiNQx5tf=V_iWE%P~c$w~w3d!8hsF={YAiE*ufQjXh|f8Dr2 z&mXrQ0VX`9m7lq=&;$t9T-}@*@x1A*?7x=evr2Y=wKO=;lDRsaVP6vm0 zU0!ARX?v~bCvNqP|fkITv{0K4I-U8wK{ZW%+50M@Q#*t37EGwv7 z+d8!eqKR%VYID;KeP4_B!svS{DBaQKxp|E~AT#`cExVi2DHt#k)tAIrxs=*)f^Bj~ z<73_K0|FUG=J9KSatTSU?ABo>2<`O-5QyO{|4|zIre!0)vKQv_ka^If31%wwHlz0C zd!`Nro@}BUkoX(RKIy(#!Rv z66Wsld*{K};&-aM@^iY^hs`8s@^>G-@5+8%G*>I+wX%XOWb?E`E8+jN5zK94)H4XO zBG{QqUW>Q}x~je6d@0tK5ApBZDBje+;PqeFbq)ZM^1B_R+f(a;3;Vti04>rm4dOHG z@+z3dcgf^+xShIpyyz0!lxscKkv{R2CY?ckxuC^obk&#s>d~X^e&h7WSw^BGVK_7U zV=Is_VPYYm+cT|yJUqtk#Xtl=UjmOal(TLZT|O0HIUuC*jC24HfI{Q|xpFBj#5!~t z*XRHDbq!kCO(Ln#YW4HNH(XNQMw8H@;DS zmSjTkrS{;a3qM9xyAXlf)7 zV|!oq97QB;5N|_sK6p-yMAtR6NMD_M$nN5fprS%NPuTs>95zTd0f{|xDXpDC8klHi zm~|@_nBs&Z6etv>`teK4j-Dh;c$Gy94yb()mD5=7YmCbI>9yB`y*G+H(fyJo@|m%$lvXulIg z28Drpd(5#qEPhg&;ebMmH4J!3*Ai%QIa$!J;cj6l1tv5!ERkqX1G@tVFS7A5!BuVE zj=S?`c_1FVu9b?Nyn?-pJ~P;+sTRCNTqUivbOzvx-#S-gCh(~x#7k#zMx#wFsvaSv z#A2A{F;x!JE5j>@&Ln+(QqDF zpkoH-HI0zlz-0<9Ug>6Qr@IicI0^iG-iGs>scJ8}bDdaCxI+pV6ly(R5b8yd8gl7c zO0WDq_fRdG11w-<$d+fT$E~!qvQEYJO|I6vIF#*G?b{_G_Siw1=1X^#j>=96Y@?+` zxtIkZb_Ac25v1HO&4ekLUNTYT4M?9n38FVe2_jIbc>edH!{TVAI?ZSY75x)#ggLYv zo?ME@cJfT+SH4O;r(A4;UqfXAh~vcH`D+JU&$n|SNdE)hx_hz|y|UA^Rnp;Ni%Ezz z%g~_3`8kYSOX^ixS%&x%QBGm9XbpB0CB(mrcz85CZ(12AY#9w?TJ@A2c5ul zWvwYke}Ta6$WDpZD;-gjN!DpWXldbbfqOm+hHW}T#vc*tpqsAFk<)W6rRqxOQ2G9| z{v^1;xE)LBrh2D@D06pp!uLi13dcRcLxD2hjS$6ap%HMy(dn>b%Jk^5vD4H}{+sM~ zhzT67WJvqHmLZ)f432Fd0Sr@o$r-efn-I3G*cL+NzVz;MMYsQFusFH6%cjh{iZnS8 z`>FMSO$cNZcVQi$gbD3vU<2}I7c30$-yxz|<$PC}ufpM=3b?md!`kPzmWfS4^}#ky z_bXfz4p@`WDL;lCm}Pu#Na$21v=)ebRAz@tAw9ts%bvRvbRec=zepEBM!BbsCSB3~FsmC_k`+a(@dx$e^I%FEpP&>+ z+y1q0BnXC~;5#_hMx1^d)NB)h4V+!A6%)!kgRFu!jtv_(g3~kz=}0gGo|C0`Ea=tL z!ahtu9+2D&ynFx@CLD%;^=95`)H0qyDjgGC8trum!88G5s|O_HFk|MyQqVL=Ttd1I zVzs}kCm!x=DOzZm4HHpi#&k+7Q7MHz0m;;1U1g9-X~Z^A%RxJsAp$-@0dUm5uYsNwixaVA^r~Y zSL>vn$4ndWJ0w+V5bZ!)VcU)g^$j>PXtVA0;J@5EEs>Pj6p!7{sX6-|71+TeSe#J( zTJ~G+hE_(JbV~{Fmzv=HyiJb^0u+=8qK_v5WU6|gOMMU-_UxEy@EY6Ra(8?f`tNW? zE6|mC14S}iGY>C--KCVKmJQ+G@VZbWg%N5Ub#@jQ3N z;I;6YL)De@*@koD6Bi=L`ZG_eJa;~X3)e_TUFbkF1M-LvvQFSx@uKQMJ=NBHYnjdn z_9x8Ee4|Zxu-Pc|oat$Bc-^!5(S+%S1b19D9KwSyjwZETOy9F@m289rzws*7gnOv< zU2^_$P6n9oXk4+M@wqnfA&fRwCg*+_jmL&V%n9~}E~i8qoV&$e3fKs2NKuOO6MGJe zo$CcHAFnGI0@U376bAnAg`SvfKq%nS(my%%DO!IX4h_;fiI*J_VK(}Va2D(kVB&#J z{yAz4zD#?=U?VQr*~$4ehe4_X=UuxV{+)_rquoBT9s_&b*jAM>whh;VDyoVy9f!sj z1N$CUJeX}P;Ew)X7E!P5zAR}T!4@O}HJ&Fv$EW4M^WGp#vyNYX<`5MSL0p7Sa}~w* zs_cvo9s2W@((W3UDg^8igR39ZY)*-yJkMIB`3TJAlM$NA%9k3F(>S zE~-j*5R*kgL+u7ZUiBIe;{xApX$$scI&azfvb{`u^Qn*VI`VsVvO!yZn10nSo6U#T zNl;Gej~tQP^Tag?YK2@V^^IUxEL+dYZa3`l`U`1}L{U#QAA;lK?$au{BeBVw)u=@+ z6o*Ql)vwa}J@O<(3bl1<-|93EG7$@%9ewU_Ow{Ry`S_v7ZRgrM>*E!YA7h=`a~@;e zc<7v-M`0;Q`j#IH0kPuy)gJem8hi83jg=wzQ#PTh#urLwq6U~y(s$1KfzD1-iiX6# z@~A|tbqw)k#cl1-6Ku#)Xroo9_0FkY&E%J(!c!pjI`)}!G?XWxjnXRj$AYWTqvb}c zJfLy!V`|HPgB?k1DFzqMlxp~z91RyX8sa&y5fvjZXkA5mgXDX-AdizhC-@z>NaHYm zEB`VSb10bdIvpEa+KtA6)FSz1Zh=@uyC_%Fk?nTQ^Jl8AFQApp&yx=}ng(uq8z$$P z01OM*7B@BfJ_lHN7?3RE6~~(w8v_`KYh^lf(x&VG(m}OPzjNAW&|kvmD>2SwprBcjTyR^1nvDoJI#$fqE;uf z()t*7^d1mLl;_4F_OuL2@Cgq87(KRjlH%qX2MY7HU<~Fbnb6r?eFD8gJ1H^QAE)#l zryHxDUTq<@NYIqSvJM5emz|sTUi@xu6Tj#~y>=RKmX3XA*w5xM5t8sDri8|fXdrg5 z#Kx6j=NXzz96GXPR(=#jHL#r1V~(F|ER0zXA+^C}@D*_k`iGk&jX_f$Fl%qcXO#*d z6EE*t=N%HZNd@4DFOz`9czS4$3}2PcoW-pzW7*O3Mb;VwzHzS@7QeR-e(8P;-)L{d zdUV-{%Lkt!y+SoGa*gkN?0uM?D>xYOxHK}et;gOM5i7`){i*!LDYO-g2VfiS6_sQ@ zTfyIWeDz59?lZScEe}$l^VTJDke?uPEDYMyGk}d2L>5mdj__kb3Rvb*nk)#cTLLy0 zG-M^HJe}g7-+JmUH@%t7&8%r?y0OKPL@V5qwy^tUVYZdv!PiJuoH(v$atgN-g$^t!H-6| zvm=UQ+w!f=Ak6g_;^44fI}+BQCmOrv#O-VURS-ii?N0P@);h+g?1_l9J`w@pZ-Ja3vb@@-L&U8Q$yU!fv8gB3ml)ZBj2+6Ca4yjqJ9%F2oYKAUdSM_TjBTE4gf4MP zq4d`6=Pj1P8)fl#8S;4yxB&^;{bv8(p_+c2-S}zPiRBVc`M?_(bM1A=za}pFUF^B`n9~e z+Pdl&v3<>6!;^hj2EQGjt2}JqR3dwsjitPq#|{BXOf^&O77hK9!6`s63SRcRr;~zDjoF5%OQejEg_Kg}dj2*l1KGnHuDAHXLl zx2L7C`YRD8ax+J_Vh7VdTAz<|&m|gis+}uOumfDb4#HhIo%!1YF&ZKeo-H}C ziYaYZccm(;SLxqHib+?`TBO&UA#Vi#YZ&Ww8zBA_ozZVg+T{m+xHN+)S<6rf%S^9V zL?t%^_bcDM=Xm~r?9)@D<<0Y18kLo&c{Mma2Y(z8&#VjBz6i19{vhUTRL^vnZxri1jbB19>ZI_~_*k&C(%=r} zVF|rGt0&npaW>lIiLj8O{XVAyW&ZW&U;jx(2KC)1!R*>9M^ecu{)SOzgfeMcnR5_0 zF6z@#Byj06aPGfFrJ$ryE6y~TMWD;>kkD{g9avQ8UVF&vFMUy5UdO34?Ow`5P|XS_ zXS-};O5;`z+tO$~dau=lJc_NtGP<#cg=DB?FtkC?cuT~U_X`6e^QarniT+%oP{ z_D|u|)PY`8r=SIIps0nVjpS6pFf+=rA)&T}p>gYx00>LKH=8;h5+HjpnB%{W#{)Vr zpOxx`0F#|MECiB0Kkb@QN($GDnd`qG3Awt*%vxs~95grHH8+u4@FEDJGwi09-K|Uo z#tV^V3#2ZT4g?S}1>>hnN1Cu*Rp6`xZNT}<-B&3AqC52jpQObOWCOBTqW3Vk`Yg%N zMs+6Xu!vdA)iOVV*ZIuuDt`czT}{TFhtdX&B-m~_%nO8uvx|KeJ{xE&9R&WzuVKOl zjBhEDB^j{@G3d%jiiB@4v)>A}^If?iu^)8Qy>gj52wxrC$y0+mtv?<$aW!Ip7j@~P z8Iy;{RvT%yL8p6WbfzpR|6AEmvF6jCKkDY}g01_YOa}Tz8*TljneRF!$d>zN3lvEHeNjs9H``^`WyN_XlVr z!{ULV&nLw5b3c9p!5wChOTDBlTarQGg|)W#&m14ne_R{;d9~i1L67wy*{hGSq!)0t zjl5YGIT?FI*&*qS?WAgPrH`;%;Y>0RPC zV6I8217ZqXNpVgVbVd!i3^Mmjl`jQithfH+0W}LD_Hw5C8qp;PqHigiS$L&AW`BQI z07nlIt`*Gc_V%%Ft}NFUH=^I%VVjBM+FGYvon{0`x_>;AWnc7??J!rPfD)-b;(dGO zes%wQ4ZGM3I1~RYVeB(wFX`$LP?oPYcYBdzGT$-L8wp!2Yh0k330s4wXM>C}hOd~p z^woJKG8$M^{eoEP*bbu$AMn$7i@I*Y+z7rGJD@S;i>%fdOpHfOfM4-HtXmmxG|IFO zczo>NydL82^iK-AC+|+p8=^ff*HhSvNd51iQ>-_A;B+9AgqK@V2WS^>hA*gT42nkF zV`>)4Hx)h^t|ov&Rnq#kiX!}9SiOFxfWPD?wiFifOFcSsm1?HIQRCxXgrJ!zNk3_D zBqp@|W0QKxc|?hUDTxApg{_Fr;W}w4OtqI{~g` zB6OPdt=^DrV4?D?H|Y~kZ-FfLrJdNVcz+X#Q;8IXam;LDnQH|!YyZt0d5iUG zT!CbzWa0ENw43FaQDl}8*^GZ_E_SW@^HAyVje-4o!+(o>UNPX$PSgl}j6(DS&7FJh z>4T*#?>LuYkIXhf_O<$Ga5TTIhVdDAzt$A#keEmb6@U<=_Tu|aP8DK2lhfc{q>_P8!35FASo? zBVhz(i6CT%?H!q9ZAp{2gpF^|jtc?cK_$eqaK8^h@iKOMoA+-oP~}X2CSE({)xY99 zF2&W141Lsi=GDx6*IC_2WrT@tvAF1Gb;ddyu4P3=6XPi}6x2=z<<|G7L3msnX1Jxj zgI}40Uqs#BM4K=nBpLpKj_bs_u1l4v_XpFmsj4y%2`g(}xHgFSvK+xWgHVe;T`*KA zvSOx<1I%z=jE)E9t9KcdtKJ5>-w}W2dn+EYhYwU3Q$ZthzAh>=U*%682z5VD&WzpN zGID{U*Y~I!*x&nf`_D8Mn5Vm|7CoJ%*FdkWBH|;9e$`A!hDzE)_neB>pC1iPTg-99 zCEx!NY%tYEbKmvZhbK2HT$N8XoEmQV_&^?c|H^NVntR~~M?MZRu{e>>v0hHbY_-Ya zphvVlC3;m3aK+r-u_4=k=8oL1+Cv*+)jcb&`oc1*d>sTD@s|dTr6~13)r}bGT@Yxg z0mJKxH9VQ3?bY_7W(_vl?W{mA90ar`Y4UZ*yCu3WVP>ST zL2{7Bn)}pvjq3dYN_PFDM@ab+=^uv0j-sWM+oHd$-*>`nv4$eNZ#fB@{NWnV9@WK0yTUYZtO4-j$7iI z@-O`@D8Teb72m&QExQ0-%OIk=gae1BzxL;kA-2sASN7|bSk_URqMzHeEbu~y;BUM3 zyCUJvAE#BGFT60f+_CrmT))s;Uog%4ulioBOrgy_FZxB`YKcl8joc{X_3P>Uj~;2S zte%N-U=7i|uIwTc;^$FSNfR-w!FN1~+KY&r$6;6PyhExTRcZCSyI|~_%xb6#b78S& zvKN#iUyo6$NL)x(wM(8OxuPx`m4P;^6l3K$TJs(At`TKt3oH*CWQ+?j51Vv0gT`r= zmH(>hy@b|$#*ekN;8pM|FgEbxLC{hUMZd>?G2Ytb!tP5g^kOPcR6FLg%NyHsNoPZy zis1&wkP`VE84+G)A&JRlP!_jM5H_XlXtiIzD@y=$>yb!n_9VuX&F&B68KDAY&0Dz@ zcvXh20Fy=ELY$YYDEoh#*=+RavUg_S<(4SM#}Zk_Dy>RKnD-S&g2u5o}(7Q-9T; zM>5iIDX*aB0k&ZR5{CRo1Ik15iO=(Rd5&p*EN+=~se7M!ZsZR7HF}$2Rh6y_*&czY zN&%>JPS0^ve*?6Aa{dA;Els?LGe2bS%tGd=oub`Kh~~Wr!f8yy;?F;@wFb2-oeel=PSsOcdi>Om2Trzomwbok>1h@#B!e;X zbJ&!;OE%#nG_Pls)+So69Lm|3uakUIlbgyWQ-B}zza{HixAE&RouI;+R8qa$io*j4 z3^>12sQ;k0_fw!(`mGX^j;Y=h@k!bntBl+!@0=~2VELHCxY}Gg@m}YxABq3BsllCm zZCOtbB};3;S*xa94FILh%|sTa@}sOayGlaj??b8*-bd$8tDihemTF&rE|!``6v>hq zlz5o9X|{24u8IApY^GVkC>q5k_lsP@E($KcxzrB4e_QLAM@uzkG+ys=qU5u@DLIiv zgO|GXwALAR5}h#-$>AyMyAcwWH7IY;zt|e$i9e z7YE!UFMN%*oc=?}+;8Fdbw!bq*=|$xXcGq24K_JR(b%tWG>2B|oql6@91g9vs|(&s zE+?a*8r_EvXFJ3a0YsWVGs*mf&a#O9or}EW;2DmT5$3$LeNnltKQ%LW!L5eiox0SX&Qm#Mdf^>s98{o^8?CZ*Ww+Z$`e(zN~2>5QJ z7}sspJBGoE^>8VMVEYko#US;Pn*`S(zl>=&!^XT%ePY$P%=fz40`rLs>-rNRV&1Ey z=Ee`m`7hJTf9av`RpouiUQwGdl#ix+KI}zTFU7#j6_rfyMguPy{+?fm?4S@BWhvxL zW-6!Y&T2tr)Xvmz7gJr~hb)buw~NC9C-Vu2)1M4>eg}3Hq*OhuC*->(kv!TbRC22f zDch}!L(*hr^MFcCpaW?IC!t7j_M)76v3ASM-48)ZSqW71#1G#XdtmUf=__tJ>68=v zinXzo06`;=Al1LYY3>~68XSWtyTkr0>@7nprt7$-sI-96ghbC8_44iQEdBk;EvcqR zkfv~Kij`tvTk~Ll0g08UeZ zB9_<&F6nl<&lQh>SMAgu8%|qw_Sh$7kQ)sr+;9Kz1!sX z79RFEF}rV$No<$^`y8oe7O)l!xJ{Z}LnVzixH&n={pPp|7e$zKhBrvG#iJ;$qTyaERNi!d(9n$ z0Jc!e{ZE5l;q4Ic^1{fipL!MbL*tL99s(zbwvr|~tDk?H(t7D21my|XlAgBVN4y$0 z^f~Z#&sZlEDL>&Jxb&izsEFro#2Ei&4N`bRW_#1t*-jLY-nbtS1{(^l_z`kla`RFB z_?{;DH>@a^`^W;@_3+Cy5R z8qMu1iY?4;W$_-t&BT?S&9AyO+@47`#fPOqk2Vjt%7jFdd)cT*^5&9L z0fL%wL9dE4=4&0Uc{ug)x^{&F@cZqGUDD}@V8HOh=%XU@mhO-+EWOkuLC^)hm(3wD z?A}(rKH?>tgT6@^zlVUHqH9^bt6C?5(d$*-`s9Ck29B^NR|+^3OkHvxM|W=8Ctis! z-87E@I6~%yp>ipyfdY@S$kSuPHCf&jJeH@NKDw*YGwcSnw(oby>QEOVq<CEsjrH~*hHuTNB&Sa9m@)DxP4SJro1^Xd#_$S)%;*$n}t zOp*jZzu2CoE|1(6j9o9xR4c0={)Cjp#-1y7B;@QJKF=vR+%WnYtak5I7g3|;Ia3|K z;;#XmoX#yr57uYvyG^bIqc?;H&4?Dq7~Oufn}|Ia3`Oqarj5sM?^AgOgSVpj;7z^( zI0H56(2_t2KyK$G+|Ge?k^1je0qLbAFPkE50%=3`;Va4qNM&qu`d^7B>IH@h99D%)iFQLD_TiC#Q#l2XI}plME`)i*!C;^y*V|Xky zcv4Y<8W0#-clTi28!pkVV9w)dVy?D{V7L#VZwAXI9NZU%ygRFg4tB(RB1vxs1y|TW z-2y?@P&?oc#QJmQhf##;orE+P{*Zc)-vZP>tzJLB)jx|pN(1_N$pk=>OC81b2jy>K z5G(ve;ZB@=`s{vGO>2gaM{VS=+XeHbnUTk*_j|OgS8=x}HJ^B-I{quiGkrv$Ligku z+;c{4jgm4A1sTcDnPRxEEHn9vaPv>0+@6VFP9M$mxg*ZMpB(JEVj@j!)H$Ic7G#LL zKtGk4q0#=BUvx~BD6}X#Qj9)7Iz1vZvcTL1tdUB><7=z!qEfZvqb2l%eG_6q6Vb`C zUs$-XxdRCa!sBGSUYZ9EMknpD_CCMsLNLX=TVi5kt@lchI>;h=25I&iza3xqgXQ4q zbsN!G&qH=34Gct-(ly+i&amqF>fEK*(2-O1m*yl zkXS83xvTjaP8W^?T+OMuDN@|I{V8vj1uN3^$t`sMO^lm*$XvH3SUe=!|&=dHenXHS`E03TB@CFY0p}-v7N59 zw$C=HS3dELG5eZ!+p|Ywm;L8^)f$%aSB~oXG77JIxAwZKbm7q~5qrZ*cYt^>bctx? z67R*ZYXtno)#=sDh2h=OH~Jnt;fe#5H}?enjb3?<(p6SF8Pa%Ug`YN!N~5jgV@oV$?jA{x?dRePCWB z)^Ev&-Iatf^G@{Y_)CPz-Ir)W&U7QLhSY?z08bE^uB+R|&dVQyz9hVT#o4A`mGHmy z_g$qDN7*Eps2R0mmrDI5s3BC7P@r6@jkv|I&19KW${;%2(HSW~&?*VZYtE?47iC6u zi6|ETd@UwA3}ty;!PIl%{wMjf|6|VypsxRNn)Rt>P%=`1T=Scq?}_nvFGJaJhU^Z< z$}YwOZmRQ3=#G!ev8@G=Fm6Kv@xCWX9;I9qnx7;H$oc0u;A+BTJzdB~o=5}+IMKZ32k0Ee za1Si1zFo0rppUe5d;YQ}H2fk?EP++#ctwls<$vu9f`eLEYdJI_q9p&WFut*4fpD}% z7!|W5E--YDNAbTYGv*_iNft{IQ}8=H4cOb}*QB*hODS-~aqg_pz}cFas=5bv)faM? zGtZ?SLJoBQb_HHZ=BMS7A5xXkR=(7}`A2npinlqnz#4M>d3FkRlkbD82(b;_FzhZ? zvMNcybEvlHdS4sFV9)U@HIVM5&>x3?=2E8x!V|bs^^#Iwe6O3VIN7CivU$Kym8NL) F{{jB1|Ahbm literal 0 HcmV?d00001 diff --git a/cpld/db/RAM2E.cmp.hdb b/cpld/db/RAM2E.cmp.hdb new file mode 100755 index 0000000000000000000000000000000000000000..d9596212e4ca95c385dbe8d74fa9bff29e845fe5 GIT binary patch literal 15014 zcmZX4WmFwa&?audHMm1?*NaPV2_D?t-5oB3Ai>?;njH|4y-gsA1;pV&z~@#>ToTj>>wbXIsOMAzM%ha zEav~;=m~l5hnPw&nREfh#d}a+$+I*iENZb~;tlz!r@nzbKdYwBW4MOfxE>sSVSQYU zt9k8? z!3|swK&;gJ*#p<*L>7WTDdYt-@|afViV3DS5NI9Z zssw@6&iX6obIrS~<)r{;QYXM+Y19seVZ-1T61dctzk1`uz2doo$8=6~(SGsp$0hLn z$%(Yl^}iK#5|y$FS9Te{T5ai;Tt1$XL^tZy3(T6vwSzd#hMNbh`0KAgcCFE-*;X4d z=_Ju3C8Sh(qr;$niMjcn=%OPVyaOP#cwSf-c@*Jaa3Tg&?34h zhKg^(q5u9q&|ZAQ{+_8yXw|OC#$QEVyGqB;dS-g{N~8}O1&vdH)j+`Uy0^lS*bqLI zL&$qPJ=(-VZ7I6c20Erw%+X`8A+4nnV=R%-ZOoUG_`pVoQ}K{XV=mr!RHk)&>_26- zp0`94T~r>(r4_oS>&ZO9RqX_Y!xNBLdglIrH``(0pfAp_y5!pp<$uLk`Rc1%MFg!+ zg)PR8bf@&Xb{HiT_q}u{c1`Mh*%!KtP34IvyBAFA4G%BG!f#>?_sk;o+UHz~Nulk1 z`nLg|rkZx1c0sY1<(woq4|Dy0r1*rwqr?`>P-pdbiny}Bw#)Vmh2g*{AvF*s`4d@P zsi(W=XVXV7(KdDeppTZyNqS#3FLkkOUX1b;BYRZLZTiH=94~|gjspTacljRkP*IAv z@b*6rlZwkQE`7p7t6d;YJsp9+5^}tXjZjIi-Ny{aj<GNY1D~76PP_y+D zFS`z)^2L)76s%*3=PmcLJkC2Ec~-AAr*$)d?md%;5j?_I=kF~Id`4X|EYQo^?`vTB{giR6ZpY(C*y(t&Sns|L;6?9H1-BoiGZ8|!|Rx=pnV zQhJX^V_t``ZngtFbqq24DV zNrKDdl7oup!FbDu_gc*s!ioksrz)W7`@7kvc}@FF4&ECcxf@qzvRTWzPh4MZW~bT@ zmgwkD!mi>Y1*XFxS^Zc>9{s=S2S3fDzUK5W=Gj(`J;i+-ylfwhcFDK6la~;14IMbQG5B4`m5VB35MQ`~pPbF( zT%N5_-t1=6R>{?v5A3gEg%OXCWMO}xWIMyACvPIW_^z|u_)0W&*;6+A?K)R~wA|m% zdC2D+Om2vtd>hJppUj7CYvFRT*-OrGK{eau%XTSMyGS4rzlBy1l@+1bQ*>M}ObS>( zyH01Xo&S(o$kCLgM&;Oda*0(U2N1>i2}vbns3KkvUDS`R-K{-IHL$9u%Q_x8mW+8Y zDK+H`v3Ifvse0*s7d+^)shc_^#R~Z1+uvG~-{3s{+++01ZH;iP1m&P%!wcsUC8A&m zR^{7NY@F{PnNr)|miM7X%%5;Tz=Q|i{a)MqP~{ew&q+w??(#=73BlQf20h)m#U@n^ z*Jj~cfs1TN-#cNQQ`HE!qYHgcRv+C#1y__Dm0c{uQ2# zg*BRj#W_qeJC0Ud*yAK_dBhm3_CNK{6<%DK4SedM8H zufKsS^iq7yITF*`{1-idxO^8tg^kqYWp-hfecDC~Z1qgLs~k0(Bx*!C1Dp9WMn;K+ zx{+HTnhH{QR$nNU+|2H{q@4SYpI)17%5aYk>COyis|O41TO29`vVk_8XZlH+QHoWi zi{&mor(=s+k8!rqNk{XGYb}KpN{j1NinSiDH|{l*nC*pvSHr>UP>m z6(Z-yAPw49{ahC#`yfDxE{bO^8tUUg&()lEitU65KC)|)pJ1UpeVkR*@B4FD5yYF1;&pcNvhDaSo za_cnHz!1FQ<~U|%T71pd3DbA_?wo`)_!D0^R_&X19VQFIu8jpIbhZoFChEE+(70G<~0Wz8Nq zvXpT`Z=Y8~^R}Hq6rY)PSOxmrDX@lAk0Eo{;A3)H7-aMu5-gg7t>s6cCUDLNTn#hr z3Xv9gR&B00I4v&oAu`%5gYi$Kpw#uw1u|@or@;8H4egdj*$PEFDij-g-lGYaE3M6m ztgrftR(TZ&EA*I{GBuI*z&Poq@=%F!w;gI?Fw5jfN7lPuaRR(HWgRpU!BQ3x5lS>O44=EGo&f(qC3;HX$A^iw0+bIA$S@Vj{wlpu1B}c zHDJ5(iTFzU4b_v$A6{VM@*>M}fzCNI^lw}~=|QfBF3{)1ojG=W<_D)c8>-MKn=&9p ze?HqcNmEfa+nv*zO%?C<%2s`RLM4HIeMhLro120Er@6ptW9Uvgerz~X4d$N4T8jZ6VnGyU3)0QVIYGPxsb4>C?$QLuh!yCdx}QW5ORp0t$fOcMUlI&|C1#rZ zL}o7A9!{emtGGloB#_65n^G$MJ3u456xPS z_3{JZl;x{D>7Y)x1(RbA^`hTW)O$o~-<$5_j;r7tcUWl%B({#D5xtu!K2cLKdua#@ z0i{MzgVfT^X0lkFTWv`}qpxdFthPjmIMhIHvIFL;g@rF&3%?M1yVFFgf{j%1V&%|% zjc!a5?hxOR<+MB;*Rji5&r+UvebJr#*n{B{#Oi*MeY_74W#Q_5{iSnfDqNVaN&Y=> z4^ys?X{PR3^!J1yFa5^0V`jSRIM0iBpYN^Eyp^yXG$uSRqBs}xVaEQDOtX_&`pNYI!?(*&M;@`@k4SWH{u zX6kiMr;<9fr)WYpF2z=CIaqk%$@9$g8SBbO^^mq4B@bbNHnl4+`??@#+(cc^m#FWj zU0=FH9exf`NUSn+xTiu1=c?k28x}X+kFa-?SWAMXJa6R(gu&fh#!T#_g z9?SUU9Fv;jY?S&Y5KW2Na?xDp3;UL#AdE#X#W>fy4^zO0Mr{>tP*l_Y)BL4bxoWX6Knd%7b@H+ zfs-7l2dMtHmrr*xUzoQgve-(ts{wEh)Gg#F^e0w7Y5#+R^e4hKu>B4V!(gY#LF~J$E2*yOb?>95NlTGD}vn@h=; zxn%^Ct=Sh*=UTSXFYO6hgd8Y1icD;^{}c*emw)XWmD4Z5iPeFc#Ohdl)k#u*)0{^_ z|Ho+Pg?*SVsMD!z=e>^NRWN8pZrXrhHj~@+LFi91&{(f?@Fdl)I)s;Jo(ku-F&MpP z%+p38X-uVtinvf_ZCO~JS0TmBiohg6n7TNbb1h{r{FWN}i2R*TGX--C+PG1eVnC?O zFSnEF73KzLQou~S8SUi6z)l8O77O~=)}8zi^+EfwdaQ0M3y|}KS%X4lD5nzj+qt)! zeJ11XJr@*7sV8-bIk;z^BwRBw0T2g8OIi5~?92BTHn8z(eDZLU6bgqwA@_d<|BZN} zjqtKL0Y+dPXxF_%oGg)dLb3@vUlI3%Bf7$#1o|W1uE>RP6d7Z-d)H4+{I9GsHvM4( z6{Yq*Aubt%s6Rt;$#0;AOLy;Og%8&yySBZEx-y$X+{th7DEtAtOc5+%?fBOKbc4XB ztca76QeyV0mN>6uI+N&`SfyZMBu@fL4=&R!peN-nx~eI!fjv+mTU+nduGNnMzn+BUV${@r|&;uMFgbD z)2G%)5o2Ii^P$gvvS7(7k9V4_{&g&IP*@XQn&HM?L%5xXmg;5Jhu`teqRIXRj+Neu zh_>OV9{E6I6E-{`>qK>e-?c$!ksPmEj()0$z$oj!jd2?``1Ldq;gw@8^uE=|egoUS z*XSpBIp%iVq;~Mww~UgnBx%f-DyE$qD9 zBEU}rKN>&Qs4QS>6VG3HPgn&(?G_?UJ`X=l*S1eWQyI+4ehc{ zH3vFf1h+e{&VrRZv2bpBkz(67-I67rl&8cR$9?cy^ex2%w5vfNZ0*5vlcZT`xkj(b zs;YI3%0nqyS<0j)wrWqcr$H0V0L-GYKB2ag+)t6|U7j339fD1uMad(4mX3DroF&o6 zOK+o{?Opr8QI*aY6txUY(*<`}#iyvHXxxJsUsKWJ@ub%D+5-kk%4adBd^guhm2sZf zXi(Ca9pyliu}dSTw(V_&v_PMDskx((we#Nm@c#TRSAt2Dn!&b{b~ugcsx}SHcb)R0 z$Ww_mtwuZJO6_Vz`F)+Sq)|^Z-_WsL?@J~xPnS%I)wdplwu_h=B3QP`mE%DKufzV&J2e{iWVd`8ro+HKb zij*y@LBFF@q86;>Y+B~oe=sMpp=aFsT96TyX3$Pk+LVM3Ei&u@c@*us`@D{d@YKq2 z2c^aa-X#1B@vBz(RZ6iLu+GcEZamFE1k8him~|;JnVs(M$HS{)bUD>sUdELwmLsu= zI`ov5n~}c$m7Hvwv%0qUOb0`9oeBGDy?nnox>uuBqnragUw#JAVRF&LdmM-9@V*~> zh`(3--u^=JgU>?e;9YdVK~wQOoL}IsGL1+0^utFTXsWaRveA3UHh;Nrj>eYF@F-Qg zE6}V&M3A6^!0N>;Y(HiDJa0LhHJD-7@^gO$uGoE;BImFf)cWVKE%CwQUGj@Fs)xSv z-jXSc+hk(OqL6(~{=;7;D*>PPc(+!!Cx2rv8bF_&o44KzFPQx~<)Gx+&Mx6_C@q;! zCu7~2MadREL7~aJ70iQgLz+C2&*9p?)`w>HW#MHqnibzib7rrR z=+2fT;WtO#yWXP?nU1&|b&^uY$jr5W9cII60w%896T4xp<{7h?3LGiZKE~jRRqo5* zvVi6HTHJUePKhqs$rhCYWbRhvPbW1BGG!JAE%eU)Xj`gIX9lhK72FElx)gp+O@jQb z4i>~qUYFNjj-Ko(%L_wJd6;9XR<^xjtezzApTFl!Lx-7!xQLoma=u6uD1Ae-Ro&~+ zQ>A{~NV{1#@dWd4xJlRRrcZL}YZK4zn~!E#d0nj1v|Ml;On^`^_~1P>n~%LV55P_6 zE}hUeSe_THZbH}jxAAM=KjTb@J5}vF#TB7QnUc;(=hwu*+E|OPfJuK=HF#@jJD`B-7;sHUKSC z6=0#=#o5BSxp>j$Zm=X2bI$+hkE)I07y0Iz2*purHXiV@X)tB z#3su7bdA+wL5O9d>t(`@_}tFNVAm}XuhoFyK4Z=QaDv6Rgo2xU?vSLJ*gogcZ@Q{) zGt#}aeg8gwOtq$H;B9yDJ+A+DBJE)+O@B--!Nn_}dz5vv>Eg}V)0p_&WvT}AUkCow zGd4&sGdO>wu_i^cn$jCjJX&N_ zc=$KcxIf|cZn5e>I0yjpS;vPKTZ|y0>~WZ3`jq0k5Z1-KB_c@bU1`M{{=1y{_eGtP?At)jAL;ZxxHICq}BN+7O=6#__9B|C?8m&t`i zl68N1?=3S3;~OpIondlp&>lPNJ%>WO{wXb@*H~JVnh(o8+fC_ZxnzHWF{wi;s@-I! zVK+c$>pKr^VcpRGg^xj4{K61z{zpr^3Nv~y!nNHF+!&2xgIC5v!QFE@r8>xXk9dmj zu62NAOu~VAn9t0G4Zy2%sFXu-7ntH7*Vn8`DCDN@A{*ac(%3+8vP#~IV!;G#-niT# z3yHXjMa-=KR&TDXmA$=NPoKe*R;1t8^0I;15_hnNgMdE zfKWvU68>%(CGx5o>W*N^5(|1xu)Nijuv7|ss7C3|%f^e%37^{1^g2Z%tMc<%5&9JO*fq`pmVm{cQ zEDDg6NFUMBRNmVeHe61w`LG&PcqLM&v~AJSE)-M|tt6tR1Y%TvXezOfHpY<@M%`TJ zvX$d`D5Hk$_u$MS;Xu3(m$+Nv!t-Akj=GIx#uU6X;gb4v2uhyC;TYcl^4sHL1Dw_y z9H%48f!k%$wj#=G{>Qu}{BC_%Z z77N*m{_ESRF)d`vQ`ATK*hSEQE;;bH(XVYR?W5ei$+V)`TD+E7{NF9lyLHPE6X2nZ zQj9wDcjYAL1!2$KetFm&bS$qwSmzt$E26nCLIY`Do+J4ZR8UJ!r}N15iB7s)CTyKX z`ek%5nPsKQArXG#1E{`r>W;Q*7bJ~)V`>x(Lk{&AY9U2$QM7Od{r;`SU7wYw6ezE& za~ORO#Xzdvo8#wo9Q~SGlm;HPcl6m=DM7iUrN49J=5+Wmhvmrkta(i85*Mz^qx`NZ z#@8`TqGq6?R$2yQ3S$;gHwpe@m50^SNu}$w(`ia;Zhl;qZ2cux;R2=w_qZL)>QPIHpUMdsA}@JCsTn`lHknasDin`AKC1R&zqbjH z>TmJet$m|jZ+h7CH{lEweAqn|Z7UsZ14tEyP>(h3#Xip_9PKiW_=gv0#@K?68V>G~ z92$sSoCYl}!`uUwnHp2--Cj~VNlYKgHdFw;7i?{)|It^rQ6YkJl7>gIwxXd1(SLDR zm^}iG+7d)QsY08XIyY^5=GDdOf*|Fr+;EaRu^X+LuaF$XDDDB7)=ZMZj0)TG;Mysb zmz&rY>^5RN)&JD9pNPiF^fub2Js+`AVU8j^E-YNDK%mnHA1yR}4E=wI%L4ox?6rVG zZ8j$p!IY{Cp*fep^i?ZM2#Pnv?~1vergi1Ak?#*)Vi&%GzfN?j9V{ zgN^SltjZDoKu?f6=kiOSx;7|wfW<1LzC9KBgo-3cMVx$-lBEA3=8`y#N#lytT&BXW zDS3XZi&IzNqTU}fsg42ozX}ZDeR}?jkVm}f2l20`-4OPcavow!2ra6L+&43BCl{(X?}Vi~5SpGGd0bcI^?hAz7NO6b`oq*G7Y^kq+o%&Rb=Vi`_!9oL z(Mn&|dbd5iUv11SWh!n)U&qy0*La=wVo0Y$D$k{lmudyk64g*R5}z~oL+(8rHJiNL zk+{apxa9W9StI7GS)=QjQ)=jGGugw~tDHu1kMbHD7cb;pF6H}AeuFzQadE-)LSx$D zD#*}cA}~+*E}}`-4*AOhCT|?Fil1_vX(X1&BwVPzl>ys4sq!C8*enxe%BxEln6Au~ zXs%yvS)0T2ue{>Cpo;={;3}|{ADU}t zo+4r!&xUO$L>vV@?|8v|*vNf&C$LcSNRh{*z<43eUG5dyI|&(P9e9$M2y-GXaMoc+BQs)y$;-um1Ur-i7q-9ptFn~VrqPV+AG zC_+T8+E8=OI55K8zYUTCsdX6D3!dy`;*Gj3{vS_L+LS$*#Sbcc3$5Co!Jm*+C7*Sa-+mr!`5FrE-&#ONOzHq#(t=Un)c z6XBny$tNKYG6Jp$(>>jeMJF#mSj9$Y+63Q}@om(#&TG2F=;MBx0*R0i{P_xE`u=&~ zp$iQ_Axd0m-8Kv^sf{uP|NI%m3N$7ITwA&$BjK@jQ+|nGZW+Kg6;B! zB?Sfa_~8D?WYgaIZ!>Px5TgrGx3>E^*7}%f z&KWL=c(ggi>p*dCU0Yp#tq%cMt8Dy?I;~DuW2r*ysKgbIsgZH>P&mf27R%AXYK6Cy zn>vOyr3JZp7(7lpdTc3w8Ul}l^GtK}bh?Py4S~q3Nw@Pz6);HKx01 zS3yxW5^`zV;G3YAzW;TED`YIVmj~=Kip;iMYBLyTb)?Ev#7DbRtGW-<*zh^P^)`Lu zU+QxOp@mMl43r9?ef3|DI6COi@+3IwxrH`paH;W5d0)3ZJpF@dnWB~hH;5aF8+z><$%j&S= zG!{uSgBKLKzD;+U-jYbpbKNWSB|pbKpBC#FL~QZbhU; zcav+mMM4sSO>vN6RkF8eZLdsXB5X4mr9HW0rGC^k_naKN^(rdwo3RIWX7@*mcUT%r zVCQ)CK29JNbBttytwS$N)4uy~;0hhI`4Mue9=oCYMBoY&w7G|2{au_O`|iVd#2N$T zMN;=p%A%4!tPsGgFehSgZ%8d65n))!eYn$o*x!BlBhV2E(Ets%;~D}&i}-e?W<-CK zJR7q?DW;ZzZxro;*nIU?)_w#RkUo*GyUP6*a!3OMNqu5r z5##UpdO9)CSP1)maH zU*dCbK)MH?`j6Z9456U2#lAKFXX)VL?i8Kv*u}72knJg5i0+$mX5*)h;6tp*+;rny z96dqn6|ag{Z)&jGe1(o%Gj9k}e9CF_>q~In++WFp^je6wgR=fCJu9fy)lL#Lg{(QAT755UB<+&lS{_Wd{6jMam#D4`v+^Zo=y`E<@h9xMPMR@%(f zt?6wju3xUf?{ZMXU*lzjaGHJ-k}2jMAXD)jXifoq-owjwoRSG)@@!B_Wd>lk*QsXb z-3FJh{%wU?IP98;MD7qNGqr9aAzVIOX*|_ue^7f;nAF->BJeEtX5+nVMR5vt!PG<` zIDdQox&P45y}VgrU-wvj+*8!33K-{jIto;@M990p)#%5ojQ9jmRKrk=SfC#3|3!7e zlmPAC!$p(?%{bH*X+D{g#gFEJ@a!dRgVxP~>#zt4h?|O{G}ss4nTegy&_RW%Xf;n^ z=|ck2qtVer;5b?^`Ws={1j7_m{KfmxxU7GVg$~Bw2G1OpYO0iiBu0o}StRqJ9a285 z$s)1>xAuOx?f^(y?Nb?`#>sCIhLhUJO(7$l0Az#A{nSD*eo;-nHnI#C3)**QL>O+Z5nDBT{MDVG|En9 zTMbrR+=a2ef*+L0MuFga13MYtBA8q|jL67Jusy|^WnjK>xll-?1_!(~ie5?ea3g#e zEW_c$P~~+Ys)nD-YWy2IOtd;d+n( zcPof~O6nQN4&1(7}a|Q97&__Zz*)R`ELm@ns{$riEm?Fh2R|4J4T@eE%4` zA+r**%>0wM2vwCnMCRTC>aMn{mgK+_(_UFDcD01VG3* zi~|1IFFFs%crCl2mZjY9%gzRHT#wKR_Lr12O!Xrh@iq8l`CtOu`f5td`5 z|7=VAdbInng8Q(Lqju}oLnFmQ0|hsf(e;|72K8kvgI6>04LM-n037!T6AIrITA`XB zSv?;5VoWoi!k*Wei8$auwNlkJ`rA2JR^RS>=J9R)LpViN`C zvgF=;OA#OkX0D_#*K`1b;81Y$wcf3 zC#;jXy?@!vpZ0XByNIXHpfX~uDxwPtGHT9#;Bx}CrB8b@OQT;;qkluAUr?iet17~$ zD&n;=;1FtKj|$qYR2FG#s|7UQ!T-efrIq@oJOT}2B;)t)r}ra! zlGK!UVb-_*-$dr}L00w^d62r>k?+sPpCCDoyiI7e;FPeL9Qd_P&u1j%$5`sBrh<>~1UrEagwMzmk-Vk5!?NUl)xImmGkf7%vMTP9GSImmo( zEcfQa6--n!xYZ;P^Y%O7^m^qU@yW!d-ra|x1J4ou96;-N#??AlJ+hON$_iO3AHtLZ z|6}2^9Fj;WW%#3x)F@jnJ*Phb6&fyL+PMjmV%#s|KZ1@NS9A03fZr4AEKpMcPWx_TO7OBf)#UgeG%->QeDo>kJWz^!_GKCp;s(owZ##>9* z!NsGm3TqPURAWw-4cq3Z*y_C%YxbT({xO2LE#EXMO@1T&MdalVN%V@=@KAL(tzlx= zd{xNcv9Go-o}CG_1u{|&hTO7m5AfWR+mmKdEeU-S2J`M)wiL@dwquo*V#ARw!3t}m z)m}Q+A@b{m$=sZdeq^fEZ+PICS=M2eN7ms0M%E#ceo2e0IYEcv7h#BQ&dG00j&#Ly zZIO4`6dgcmmwpaMp+#q)m({8Hi{7&T%lIAcRaVQomP3bC2R~^vS64D`d59NX&2xNm zVlr>mq7!B|C*c;AMZRjz{>lwz2DKoePmRolwgxWC2LKQ%J4MHt2T@qNMk(ySH(u;S$!}spEcNKCTky&4c9!6QX$D zrI+V#!s$as^i;70rqbDNDgTV=r>n&H_*&~_~Z>>wK-Az zepT1~H7~5ygeFwLdc~WnCT#y|5TxjlnCk@=&(R@;~Osb5E7`&_j<8N5+d++I?qXpX{jyHAok5E z)<}c%_XjmJUu4}1l?(~5t>}o;Cht@gV0l^jg_b~D`A#IbUoncz*vNff5JmRe13XD7HZnqVyk0!_KhXq&|g~UDKmsoFwqyG$zD!w zW@Xji8k*zM2@@qj!6rcU494e5BVhiH%tfdE9vqoWV`;3MD1k_TEggYD%0}QHSK0$l z&vp%j{GIJ$eqn2&V~Los4gfs*AFbaCdFZ*5683&wyE{vs+8HP}G*yu?z$#`L&)g|# z(6v!US@RIQIMCIuJh$9xx3_yeUF>gtpe6Y8JNubU@rzLv53R0D1o{k#FP5K z)52CFA86KMiqn9tH5Ft>>0Z2U7TLg5$)6aa`KW z8QRf&^#+BB7qS_zUdnKD>1|f%Egb>6?kf?xXA`SxubSJ=E{z~p|0}4D7noyWNT%D2E?{Bu->(CeKPt0b|IY2M=}rwT8jA_GJD+7pv(XnS zHzc>tYgV=MTpAu3IrL3NllC#x%g6GEjhU`egb(^O+Vrq|VGRPT6V+X?an>@p`a!LXDqwL)n zQ%MK{ziKdRu-V{%F&j? z4-&8U!Ou!LW&8-dWrPHjmvQLnR1OV-8PYM=$2u=FENbtdnu5^|K-x$plHGLav* z@ns3BJDxt;zO_{bcoB$^-+GzuNXf-;@6GalwKOWft|x`JgR{|u#Tg^zw=UuCNl7H6 zhcEd>jBUScR7rGU@`&jjd85WPO2)A{m$w5eZKfF7eU`Bg+)=#7$-jtHmh!pEi_(ku z3lMV@8D0)~D9lI~uyGF+wW6XiJBXiF6BjzbfVuW` zVW{g9LjMoZjvsCL?lMYot)^kMk?^F{&+py2vKZ^X6FKjLRRJHpNE5PqZT9^LJSDR@ z1;hoWjtQq7-z#Im8dH0}Kpwf45$f!P4mKwySrLCeO152KJ?4LR zsqIH;B09}$s{00!B$iK`u_Z~s-TgMa?DkRk`G_iycOrhl+aAW84AX14SQegbyLQFG zJhJ%vJkfktsN8gqW}N^PI{MI2^~)(mH8XX?WqE0jvJu_aF@XrE1&;=0A;LH^`uHSn z-xjei_RUbt^y^qCf4}mI-zKc%L`S}D#WDVDGxj+m*pKm2SWlSP<~2BQtZCc8`knfg z`rfgBxueS{-CP}pKi8XRfo<)ycC;?DQ0+dMO;Kw3bJ=I4p;HLXV}KUpwB)_1kG z99xD#&v?Nu2YSuAVSS5$wJxL+GoO<$Rm+K4Sw0o!SK3*?ZeA{uZ_Jtii?`G zx(V|_-}c$%aAaWzTo+_HEa&2{4m)yfVKE2@TVsm4NKTxv1j?P}R0yFbK~T|&Fqo-* YqiOn(!5t|4o=C#-TdVKmf1K6-0*D2kP5=M^ literal 0 HcmV?d00001 diff --git a/cpld/db/RAM2E.cmp.logdb b/cpld/db/RAM2E.cmp.logdb new file mode 100755 index 0000000..626799f --- /dev/null +++ b/cpld/db/RAM2E.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/cpld/db/RAM2E.cmp.rdb b/cpld/db/RAM2E.cmp.rdb new file mode 100755 index 0000000000000000000000000000000000000000..3276cbd0ec73947643056cbe1d71d28eeeffbf16 GIT binary patch literal 12973 zcmV;eGE&VE000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*DOb0RR91000Rw00000 z0071U00000006Br00000004La?0sE~V@Z})7C$>sEW5qaJM8ka5cEvbEBmWc9BH?TgEidX#%# zrJg)Vt)@3p8I|pfyg@_ddIONEsebrLZ#+=rp{IK1F`vIVR4s4VzUZn^uh$tqR-N8O zyQ$8{-6qRCR1X{7mggHaa=4UC6AkjFYl zqkFA-<57P+Qs?cCH&o|?-W9&!?YRO1b>16z7rl1(LOp!c?lwE)7Vlf$3vfd92fd3y z zuGMgS_Sd}TNIe|&G&Nx4)ld`O>~&jh!wpNRWpl;Qq>3Q03l2Z5j?ae8LA$TTC3`XO zJR*PW_+CQQqG~*KVA&hw6p2Bl;di6o@3fnZvyP|mt1&bJm_COdtA{V!qf4+B$*$U_ ztdCKK#zYaS{(JCay!hWBk$;hI%g3J`4p6lJG>U6P+Z$1AVg-in&m!V%SV=U> zp*iYxSNFa1#<(+5hn?OT+MVhY%)dg+e;ofgt&+dX58BWyS)$Etl9WJra8U?<3BOv_ z^PNV2h~}c!zKZpCzJi4JOt@G^Q_5e&uP$Y|I<39>IV5{C%gBP5qWlT`>Y@~T7y4!7 zzXzW_SXuf07%t#=SifrY)#~cNYqaV^YfGJ=;0<6}u zNE5msi4lOPA-p0$_UiKcS#{)%I`B}{bIRg?*grbT*UI<}T@Of(V;>9l?@Yn`wz`Dw zLnFbtTSs24GcJ*0pQGCwDfB7e*mhy}+FjL9AC;vMDLU57NU&XJ^T^;vzF9oR` zAe|`k-vZdE)T}jN`wO^@ldEZVOWWq3o}Uehmfm!vl9!J+a^OE34W(>5cQ(;D(o)cb z{U?)$#I7MjN?evo9VH3K z%*`bkwL9$}n9(*2ALYBF_UJmPL|T&B{K-C)yPv;SNu{Clef-8sdl>_DSB^fgAIcir zZc-#U}?+XmRLB~j;^`XqjFd`UY@ zYw)($zJE2m5Wd5sB&adI#Ow%YQb9f|pV*Cy=p+>{Ca%{hrAyagqB@o@Zsq`mTX)-a z|Hs#Ett2rWA@&1wAHi8FJ^m5$iD}qEU+>Ke!!2^;XT&k0TT^LwSIcnX=mfE*Vk-UH zc%6H?elqk1!}U)aU2lEgPBabI58I<>bnb({BPHWvvdYSPL^M0-0?y~QMi_Cos zzkcgq#UvDF-V!iBAcd~aEq`6#TfXBx-~VgV(tHhBt;yaulWJ@LG0B&JOI!PpB>(gt zKpL)y?uA;wm}&@GKnxK1Eg(umIDZcCCj0r*8Y?!RGrH2r$yf|tB!MF@Rez#VzmEK; z;kYXMH>R5YJkrDv?wCO;V@E0iZeYyG=LQj4Qs5@k2YL>hFQbfUGh7B?crEx(EkgbU~!&^i*~FSM_FZ+y%O)$KH8;FzVDdsA$zv1^=)7OZ*{9 z#(N4FhzMz!sDjIO%R`g{hQ>#FXa%FIjCnXIn4lHhj=axD7+GN`j~;P-tS~;)Kx6_Y zDsvHQf*5)%!uKF8;q$QCgOjx;IrO8 zSY`}d)F{)I%n|t{}&7##iBYq#2iX-)>iJ08&4ic&uB^AgCUiY$4uNzmT070Y%y7&&Twj{zK!uJtGQY{skb0r5fuGtVMGME{gULWhb^pX+)!K$u-y6Uxph@cZ7dBAy_n@C?K`eMm~B?}Pw zy402>3zkx_R0Q;*mx{1t!BPsAia_UiX$$OXbj}wta;&gk=Cpse)flvfn8ThLrN%%p z7uIB#V?4sEV4PgJb;reCqooee=`j=P=4F_tN>(?EmVc%=E&)b0fqS3)BGTpD@(l5M0CyL<#0bORt zhhW&!gb@t=33`Ts-o<=8z~CZp-^K6540T-GykLsXZ2#ly`J>|#a~P;3j6twDEK?iw zuq+%*Z*-DoiglnM;~A&*Iv+3r_BrumO`?gv90>ycF^Aa-o zBvy|85XZv+>tDhx*Y#V>&#*}h(3*(W>6rD{!9;{7vHeflLw;F4EP1e$gQYIaZVv*( z#F;*99e~ZH7#??wpm?t2zQK=RurvLL{~`YPY?o^?TrLQ(_56s-ASan-sM zkl`X;-Rx!eRQ_`WvZD_L=Hdq1e{|7a(uzC^7^rYsqiOJR5g!T_tp0GwKHgf{ld zZ+!4-W#z~C+u;pEQcVghz&1&A9v-Fx^@9XGjo(D}HdRm#W$TvtBvjTRC-1c zAGeGC%nRN8kFZsuYQf=F?!xeOYhs?LUUJHg>8L`>f}8qrm`osa=n<#7mM}6 zQ8j;DE0m8+O1dgoUKwO7cZ2&laNKcEgR5kZv&CY*SkHf4$sXF<&q2n-VGfq&J`x8<|vQcRT%LduJ`RwVQg9 z+Q@9CGnv%prb(E@N%@{Kn9r5#r_2-ZB$JtsQ zw-vwQiFht}itzTcbsyTn$x)7#o-Nj~gJTggh7`CYWLZ3U&-%f33H4w2TFP436nq$lVX-dsMpHnqM?8u zy0&8&>|3>)tUt0Sty!X${5mFkyx%ZcBu;rx{45NrF<-Cdvcp6n_j+L2uEpL{S8*$mSZHdg6bg$tRjuPRq$7WmQBoOjFO_{H7&&tL9 zkk-wlX%gJGB)CF3C#-KVo6DE-M>Ps`2njWn(aYH9A6>#SM!3v!e=8sqwHekc*RQzFs-a;u+UO5uDabvgJL8PAbRc@8okeUk?k%cq$)%q9N)H(=m~N@M*KV zj(IC#B;8Y}tHtv3dbOB;UZ+tC@;bUE2#&LE)M4zpTYFY7<*U`~A*3~bnzuSk!JNNQ z`-?tEV|VNO$A#1UQC*|SR|`pPQ5<=){67!4uqb1UI0XI})pc?UZruqP2U}Mn z1fzxYxLE9p*Z7_~ZDYe{xZEX-hPuNTzK)N^UCLEX6nrvIJ%Y=KJubyQnAV2r7CdG< zV-Rt?2H0Rd5Ndj%coFLMP~&l6i`j)$jjsD1Uf`N8 zfNvqie!lu)tz20>+)h6``p9Y@rQmtH+v>d>D&4m<25x)J7M61N`R;aodlNUfqH=g= zfMdk*&S1|Vm3M|~nsDA3lH^UU=j(E(ztmmsT#o?N@HX@nS{m`jZuJhH+a0kMU~PZYQB{)`^bc# zX3ua2(iq+M03r=hv3)l1k0s&@vq$R-+~HzlbM0Af(1RpxG*qT1v0sFP3@rG7i>74u zZ3aVlIMHA(mQw7cv^Hwl4snPS`fNO&%AMJzOlCH#&8O=PeXQ6zM~#O4)Ve*EVZEb^ z+V+O)S0d|hJr-R+5yi_S(c9#X)^L=SK{pFYxm%DF#TU@T)`2v&ye8Mv%|%gmVTz*I z0(xAnHCi}9V|+FrL5tVg1iFACI*QG!K4vb5bJo~jU5G!ExB^-ncepb;CedCMcP7xq zQKWY)dvz2=&;=CH{I<@|J+CnzF&`{cTTx5_9qdZLez?8d>Npe*3s^s&kErh~MATHY z0fp54#)UrrDwWxokFe}wgvDSB=%GLCHY>v|F1pq_TtPpXSS=k&rzhCWOW8D2KTOk% z6BYH2eYVA6qRbPMfoK?F;!lO>0W;Tn>wc|2Lycn+^$fKI!{*ldwRE3dlYEXiV|2%H zz)8!t-RuBYznl3CKe$L%B&~k05j(Qj5*gYpIFp1;LuPBkJP=UUc27g8h%de7Mses} zh_P|?tc@P+pm}+%j(iHKCPAzM9&ix~S8wYF-PJu9qwgxR`&|!OMcd+w{s-NhABs5< zSvS0QOpc}_;+MU__wa*@B#caQHNjiUA9^bWe|%<>H;hdW=h;24 z=W~WLUutKVb@XMMe_~z7%;?NeR7&rP4r;Q8CwLs+Vf-6+^TaT}Ff_5_XzR$1aYY-o zii2jMSm&6cv^#RPOfVe)*<(+fuS~?T0Kdf#<{$?TgO)je)DkC-Hk$eXR!y5B6Nz!n zInc=lqNcw;nX&-GLF~iI~bJ7h@IpapG z*%!SwdWYzV^|4gvT0nc=7<3`wRgdW(GyoL@hwRY-k^C}Y9ni{hwJj8Kg9j=GN zAti&+>bS3(ogPO0ALWW4o>Y(DM{-=DqJ0A|EC{m^$?8k4xf@`~9gd*h&!5(F#p7;V z&c70$(~R0H&m4fHA(ZoR`RP7d%!Q)@X5z|6dAn5#zTgVcNpA#e*3R1(V|tkwN*FdT zVFPqZy`rtc6@)mMsfvnr6B$}By(Uc0=o*`^SZxgfeh(1#8qi62OWMadXE&Yj;R>Ad zC>Xm#i@_Ai%Tct+VOPC}@`6zwv@bW$@6(LjWh4g6Ayyu6pkk@>N7#?Z_N&7b{HPj9%1wkdC)VVE)mfdi(ecw0|Bd6L0Bu9#Px z&*jGPo^sPTwzULbbsjBqfsTYV=56L~oWpWU5GG%!oS_FUhehc90EgI)>#=t*@eo(FWtDJ8&#Sbm)QpZ2>-tY0f%uwy|$Ld~FS((G7~# z>GfPF2RXNe5IX$e3O~^SkAv66qTy*UNmSZ(z(Ws@@Fm;g$og=&TDu(d##nP~>>B(k ze}{kA;2W4tP0`5})2j19?+V`bO9Knva7pcu_mmFb9Qu3j>nv0A9F=S8kRn+b4=-2u z5d1Jb4~Io6n0FYgp7hmzulvoB%0UgMXJSX*tQNvzA-G;mB8&uA$im2b(Zb}i$H$*c zX<AUd)eZw8FlrU#_+iOT+Nr6jI~&+<%8O+IH})7 ztrJ;lCl}XTp#7yokEm9}zFLtB@h0>@M%j*tQL&oWyzI8W@1h^+FI4+}ha&RG>Or&& z4vhG?d~7614AjYrl=r0CmsZz!tK20+JBwcT!p%@cMt3%m4VT8rSSmINtNR1y3WlpB z9(m7d)n0=eT2x$s;+x(OPeKpusku=*?j%5LXv6$LGm>Q7a0J1|JdkSkX0=5qvZDbH zouj~QZsK9Pojy@}jSfyu^vRMXkoTM#v*H3s-C+kRRqx?2a4s$$x}|vfjooVT#cVCL z*@gtREPA}7DVd}}-c$B%)~Y$h6aDVC-Kk`HR%0FXc_d&AmxZ-o(1Xogdd=_MU@^Z6 z(3JZta|pH4LDvQyyM@}FBdc&lpIKGzFi77RL8M0VNKCRddgydWI6@B`ShlmdJ)Grk z*GKw>4sTdWGvVsnX#18VMw_Z|!~?UUhTs;uFW`iO#(Bsl7vhDV zW^GURuJ>_B`-P61_l29~OLBKONgD7-jv`IV^#OBTw$DdC`DCv@4z|k3KG>=(G^B}! zy4!;*nZxlnIMD~hx&E*eY}0Ej9Y+244WQuW2E{K{8w+(Fi>}##iUoIyR#V zGSCio7V8FwLw~Rxv&$OVRNqh!&^e_?*M9ow4^s#F9YhV$w2Sz5@;L|qpr+|SZruvk zbI-B}Hpe?$nng$Eo*m#InR%z1a1+UDGEYXb9vqmYbh>TOt4?a(Qiv>2-I6C_XLgy; zWY?~M!*2j2Q$XhU6zIeT2>tnDrBr`j*snb^uSDy_IERxigQ{BQ8~e*lzOMr|{fKZD?lOypr#oOw#+{gdK}WiFA}R%IdsnavE@(`@l1Z=ll5og5+Xbl*HDW~$DU=`D+i zVe9pi%6|E|t#68jewiyD9U(Nea9XI@;M57sP`XR&iD<{=w^*t=!F!`k1 zPfJIsv~(coIBz+p9jQ{*t^b&yp1H7)81lxL_2Tg)&WS9nC59XyA*A;dq2j*SX;nUU zA~KPWGN0S~xPXNz=y>E2(Qc42AqxM@U;8kTlXd4@7n6s@5+0zI>F87~g(hL85!K9@ z#G!%6)R`y#x}=O)XhfebXcGJAC0-4=>6ukR8r@XNj(L;R@N_Q$C#+y%bz$i(F2FoOE>A6CFjHDcDOuZ*DzPc51r9A1-lAY<4dzwx8I9OfiK?V~a-n z7_6p_%vBS>X288*l@`nBJK-^zHgu*9lrq9`u9zm9*#wTpgR@>RW=xi*d}P0_QUN;B z;oRuZoHf}UD33?xGdb;q8lF|?8?BhBUz1k1Kl*4gm&3f* zEu+|i(7a{SiY|rexN&6vq6-9P*XAo}%pPUT17&K{+>+xiSe~z~81Z()q!71E-0hjy z@}9tJHEVmxJoIq}CK;b{sm+pkVy0b7gv1=ZcQPPw6NeuyI3sd0l*sF8fj*|-61_kv zZY4r_Kc(cfez{C(%eE}cm9i|2;=606ld9Z~v? z<8*fSRpL)c{96GnQrN9nkVBJIKw{?&*mR7p1-vS+}L$ z6k_g1#9vXVwDAPjh&D2i;KV#OsKFR;#SDVoS5mTbul;NI>aP?C!x{2YZ23>&L8ax>Rmq| z%4LE2PKvEjS5R&g7Z=g(3Q?EVM4-MCDKn^#9ctFKMG-CZWJzx)Wpqxv&-3^n7eOVM z5`kA}p{S)fWlWd&d0y7DyiZ`Zpki^MdEOjjps4CKC;K1jv3{k zgp0A4S6b_iMk$%;1jGNjXrw^^A9tmLolw<+yRVdumc%ELMA0lsX?$HIvVEW{haX(p zrYAUZudALlg}&!Q3f*uc@81|69y=dNQ<%WR*ICPpdNi+Kg#1pNrq}8nQPWHNA(vw- zK?ZCCFWe;F3bWu$6a^{nKGBonhuOR*+V|3aIsYT!FI#WQmPA_q?F6%WKT0BeaCz%9|w?4&dw_V4{?FmXE+mnoNgQV@cA0?6PNhU$#??*{w zdy=sV5!I9XQ4-mnq!VnHRi8IpPh@+NPG}kLM@eLRk`YaW)cE^R64{<)5`5u%P?FPd zd$N_F@uFq4wf5>g$hXix!3<_fJ!;#a_V@7y7fBHv6%L>8RW}B&2bk@3xS_>Or%D0An-JoD;(7fK}6B#B4P6w5Y2*at!CX|Q2(wuTgK$7BvS|^-d&QqTS%`Mq2@%MmwEMBkbHgVj7iCQZF&!Sl6mi*$p%9AY(8)r`Kwvj5yBH!d zsT>zY9UGrO9qj3l5!~RS1o*@FLA{ed)@*3D2~~@WZXo^C>@t3F@o_H2);L7#k`nlw z_0yE@7c>HmVK(Dumr-d9Lg7*Zo5LV$#(DaS)r`MvF%G18F|NFDP+WpbDnER)d8xX2Gm!XhS&RUpINQv#1GhUAy)M=ZoI83`hhIz%CU zIZTW3O9nPxxMpi!c@=_-o`k}S)Yu+6QN&vEpykk zoR5+CA~>A#Eyg3E;Syg2hij!4!y)uLZf1r+p%>1wFNQs3o ze3DnUwNo;NO5$@kOgUU!*ka|_6yq%tpTnW+Bl}$!9=9M8q2Ll>1c?h1To8%SyiI}7 zA-Ro)Z;DoRQ{Zv1N%5_^==8DV(j$q`Az@WuJ)Sg!v-$F(MXzN;7%dLzg3OOY zD4N6<;gxhj=EorvZcE^c;E*oJ{5XVyZwY)7$8F8I90%SK_(C}LL;5|pn;=7JBtD4) zF_6-R%#TCpx5OuLh~9wr4paS0eON;v3obAMK1Z-+x@cU-Wf=G867Eaimxw!jzRm26?>=atZI ziBIByr@2qoVU z=p>3o2Pb8mwZ!9K+iH-`)cn;T)LY_{IACmVheN1$TmT09d%>OoEY)n5@DrrO#Xg2y zh8?%z0D*RcmD`Kq5N)@_=U7Dnk}J~}Ln72WZdp5l-qvB0`y%WJe6Aq2KCCn^R1CRX zTB36(2ow{iF42$sw*hC`@#+hL0v?ZgBOEu=Xm=WC9h;TtUDjNwT*V`<+45@R?{*@aEerRV!-j2rkf2XV5l5T7 z=9AH^7PCI(32Ll}*L5-)aR=-ECWCD_4Heqcj&&fyX;>Dy#ogEZXG)OSEgF$CExg9{ zOZc-J9u|4jb%6O_>F@lH6cuxBwo(KYP>jrmjpk$>CN}MgTm$EnM%tKPHc9b6$*mNQ z>Qc?zz#~hh;hWTyywECtcS4thOk&ODAWJ*yrjZw?{2zYt#TN#?VT&9caD&T>Lk~g+ zEOgP#xFO#=gf0hrEvCDJF8hbBMi+-pegwifgIX*q_tr@TIwwGJqDhfU62E}V30?ii zzVv+42oe7OL;oFatZW5x;3pI5f*hy*=l|yC{V)FA?*?~%y5Qyy{I9{g=l;6@M;t9Q z0?`XuE%m|OzwqA$see1>T^u()^|J)BYMx~Vf$)q`vbjh=h|eH%_`5_tPPNX=NI89ybE>dpQzk#j4p>J z3)R#=3Y9$#oEM?mIH>Ld8$6P8 ziNp7+0An`*yXdq>%}X3)OXmCMdxVgF9jW(wAbzA?;-E{-&b{3=+Av;9P8O$SgVrXtIX4H^5vnHF#M&w=N+vr+69@a?R5K(uuRg?& zFL$HM_Mo-e$DyOwiU(qjD8@KE_DUbx)5OWEMkbGIMH~zqBbNqdh&zXiAh>`4uj;dM zR3P**z`3TuQICDgdEliu_#5t6d=wq)jM1AwfH+`axJEVn!4U8E~efA?%uI)F_ zYpagN*s#AbQW&kp@k$glob<|f`i!AgW7Md(yGV%ZJ^Y#dWyhRcB$qp&8^Qnn7 zC@2R0C=1=+Rr8*=cC?7MmNSuCn;_hWAp)+4p{nBI$KU`MeiY-Cv$H}+sg4H&e?bi4 z2E$=Au&zHt9GX}7#l=squbxy|0^3kmS*AA~BsmPGf!ng)8aRQuRWxL$sFqt1%_0QL ze+b#i!mTOh>5XL=L8uPwl|8b^47h|VR*csCBJ-HNC(Rg&m07;e;An?!90;)`PK2Ny zRtj0PRpR7VO7S*Nok;KQB7Hg?oCCqqBE8Ur9etXHNw^gxq&VY*1%=})y#dcu;Nf-y z9MQ-l!iGvc%HpIdEWc78s3&V18@8cmkpo>uex@`k{(;)IiOARuwd&M5aHseojSm_8 z;tHG~e%QnZI{^~Tv5gOQN+EFdm}3_o>M)m+pE42S}|t>yrW{zUw4okV~~eR4*`9v~Ytad;K4Q#+({|vlvb!@Ng?#@yj zJ2sK9O#u-?55h04+J#@*3JXfk>{ju^DQyjgU)m|&pq$KZt2F%54oU@e#+0^>1DG@w zZjDgnt*!LP+lfMH2)0y_v=hbBX(!61-`awaNOQ3{2r6(0Pt@Zmyz&o#2`Sdb+SW{p zm7c6g8fdaN+@^%$oko8vFsR6PYp@8kAZ>ChAX zlm1NqWX#W|`Pni*+vdjwJxyYE0s1VenEedV?SeyMa$D}xol7KGZxr-c>0Ng84+++R z&iaQ0Sm!QX>~TN2wK^aKUP(QK=6NZu8KgO$I9*?{G*-V zmM&oMw9AwmBBfQ(Zxg85epow=evZd#FRROjAC?q9M28>Z#t+frCs{V!f)lyU$kj-w z=uSv<(Q_aOmk}=)w@bA!MThi|nlQM{dKe|-#xxULyvKG2ZnYk)@Rwr2VAD{a-qf6%sQ{k zHhS(+S9>_0t#+Hwwc<9Pdz{SYg4b<6=fc^1?lC4`xKVd4n$71PWAa&_j0r_>o%UD! zWq~o->{uUj`>n{v(DS;F726Xy$>t`|eAD<+gz4^)!z(L)4^F#maBNrSbpKepYy4#f z{?ZEYmr`89?~eU79hzh9Qu96iN&XTYf0>`Z%+FuuCmg2ZFNMbVpL`-T{t~(|SvM%u zl+VQI#BrkkFeM);hGR^^tbdFj76(7}8$siVWr_OWyT%8f+6od_Ao^(Ar~4gY!Jen) zNv<=W#{9UN{|C#OpX{Q%{+dU3HqOxtNq}3sGn4Kct#@@#X>c}dsrxaV)YmTo!3@`= z)pzTKLai=Ic4p3ujZE|PY^!UnlxYPf5xM1hAw<%i&WvbsNFprDwoe;EL}|V261hdE z@1n^5*oQHXESGEqwP81$(am@G%or7>-?|y>V*6l&CJms>_=>?~8xi~COtD4cmbJ&! zhV8)r6CVjzUc@%`Q<^nmo${F!PWenGP5EpLOxVo0rbinz+v_!cjoT(5rl=GITr=6W zXvIH58||TmI?#dxC(P%tgf zHAWM8lQn=Kga#QRVB?rD3s_K_`RxA#00960cmY(ByG{Z@6o$_VauGWmcij&FA zeE&b^GAU3X0RWv`aR(QOVpy8$ShP{MY<2=e7=Bn(0G7JVSwHS3F&NZp8wRm99h;~+ zb?WLWExD2o0#QhybXb1~pyR zFy4oGBB|VMA&9#%p`eCJHH~W0H^Hg>UizKAJt3&l3bE{gWR*Bf;pP3u!)q5;nSfhQ z)g%TTOdt+0$`#Z3>)k_CjAO8rrNWlH65(9$@$#_l)#j_?|AFOADV{3t;kwqjaFxSeRkK%(2I>iaOVuO{4PAf#0 z?F(?E|MNwVrSXma`1XNdPrMNNMI00960zf@lD literal 0 HcmV?d00001 diff --git a/cpld/db/RAM2E.cmp0.ddb b/cpld/db/RAM2E.cmp0.ddb new file mode 100755 index 0000000000000000000000000000000000000000..dd79ef5cffa1f6d9551e3a4f1817a1215a98a890 GIT binary patch literal 6132 zcmeHLi8qw*`+jF8dzNJ1qEGfc*|Jqyjl$TCUC1)_L1UXjB2==4K^P2Slo{(}l)Wzj205AVC8=T**&DybKYL2;o>T4?BwDlsw`@1AZqFA zEPCy(M-Xi!eBzjnM$7#-09Ggdjhnpx#!%2w7DJuwHSYG+cNaLH@jM&H#)*i+&OJVB zmxX4$xhl@cD6>S;%b>i7soiEuJTKZ34e8p$ONTR`~jcPy#_!K4Kv`(6IS&#-) zj1jKYLATNNR|Yt_)6(`8Ynj%t#;y(Aq8bCNZi7IUj~MfJkx_(vE?`3v57`HEbbm@6 zU0_C;XZDslrN9#0i(u@9^8EX%-VshZ6!>Dx;C0xH8D2akwV`s?QJAjQ^G7(&r&J)M zJEhCWN$GxRZH$1`X6@qpic_H@zxv@%_Kk<%oE-0=%ddq-oSf9p$Py$s!R8xUQ8fgK z*FVZ)tno;O+#7Y6oD3&ef9$VHDooWmV>FAwjfW_*P{9r~(Xqc};sx-}pd`p7BEyD* zT#)|I_n$6*S7m0hV5v9LqRk1ib2^ND;>22mmsccE-axqre6aOo0-*JjW>C`t3<~CO z5LB*OM6r??&4@8bc~(bj=zN1c{aT9GA{^MOqsRie1hXI)XKOij$7^Q96YBQBS`L7;vwnfB?1t+*?qN zhxFFkB_OWgjd*A%5Be+3xCnSsX=rdBxP!zUf`q1Evw%h?&G>g9`LA&ka{%H{gdDH11qGV@aT6eoaT-?@ zsAIQleeDF$PFtv))8q))JU`qw0-WD$w(GI0VJw;7mE2m9AGthoQG{IlJ3Dn`j}`rG zNnQG&gEP7H8)Qev82<3r5O^on%L{58ZOiTpRwZMPfJq|-!PZvc7$xN?xKuQW1} z0r=KHK>|K_vl?*+NG$}P1hu1^{GF4McPki-kB72?{7hBECqlY^!~sNFV}_I3j#W%v@w+I~vtbAb?7@ z?BMJ`C>8d&6hFNRSTWEl*2xaq$-w^La2ldpLktb-fwWg(i=eqh*i#_12RlfQFUA&Z zOm+V-0gPyEq|wZS9+1cO>VYPuhXw@zi$L5fz&;2U38V+&z5}_{sTZ4>(P^{>&xsNs zZ=}O!E$Q(Oy%seA#X3p?kRF0_2Q~eGMF8R5u!RFCV1L~e>KADZK;Z_MGoVS;p~3S& zdJxVMbnp$E4#vkoSs`ojW?AZ#U2{;6wE0WTKNm~Y?ch%y*t8P1=+Pz$1SvF~-2wtw zt)pB4c<`wg|L~yMY4WKKd}QtP1pSx@Uh;lr#V*jZ2wMg1bYR~xIr?Pmagf_--ohZ6 zEVNT=_cQP=-5@XHqMjbwx~Oa8dr7`-Eip9p~2Sxs)51_NZd@T@=^mDosOoR4$h@Gn6`uKS`W`Hh?xz4BB-*N37#xw8rWUQ zx3Tp+3k)-}4bWZsguVWLFh^s>mBl!(Mt`uV73WC3u8Ix{2St&I$Qw$N5HScyJOUW|$YWsbpQC>elm zAR+=n7E;*KL>t+8@&Hd7DMP^Z28u0!YNWISx;0$sLdmUxkey;^#ED6gn~adgES=IB z8TdovRY>R%ZTDE^Fp%F@9$!l{Fc!e713L~{BSPgF9ZfO>=q6b+j_)FcEeZ6Hoo6J_ zczhFO)KfTt;LB-U!*4>ar@0tpN_**7zwmDP{ozkcO?nlTXUWjlV# zHU|0jbfQrkh$2^PI*5>i)W@C5w+}+dvp8PMKrxX66$oIouELf!+TG6cK2TmqVF4aB zQn~<(7c!Pp9)Qs;>={_uql3Y^=Wr|Yp% zO5_Ha?}FY*Ee#@>qew)4q&smXZsKKj%itsa0VqBF%$W>3v)*LM7Y2Ql9U+l~XR*3` zh#0XD>u4R$v}PHCa?HpHa~ag)9Y%kxGBZ?3Tl`MP9p6pW*HBx8FbL#BL-MtN zy5T#F7mTyrJ*8rqx+^N;48{`beXgbrj~Ga{&Y3-w@3HlX+vit35ojlAKJ$vsQxKz6 z_&%ojZ6C{TFj7b2KwQM8prNxOzfFAEORCw7YbMz$pujB2OG#|}`xj2{*bvK>-K(7| z$;6<1H4g9P)V90BfX2iflc@BQk>xh$ZGB1fvx*PmJW?vZF#ZmP5aOVA%nQ!Y`IyG& zls~xwnLoX#0w)*Fn&E^b{Wss)u#pNMEt&i<(C?A;GAr8i;|fQ+)q#9sJ|_47>z8%t*jz2-8D_EgfO^5qMd zMN2XyfqWR!uFyVd*u9f}TBTfuY!=4?nL^$tkGWq&w*j@$24bv#v42G+7-Z=N@&ydp z)aYs4lbx4c(BCd&pV9xt{)*DQh)#RxY0N6YAPAvxul?unX7pd|ujs?h7K6()n=hi1 zKs4^qfPWnp{fqq-ZJBn&9^33}X#;57+W$HH@)!G8lr~w|-x4^wplRH>LjNnv@ml<^ zEdT44|90;GcM~POgeUR%``TKk*EJY~y>1#Qmxyp^->+~JCtUH_Y`-mk-ZZL*hsEdn z0*}L|{-Bbhh0*yh3emfgsjiDLFo9Q;hHhxKMrLJI(IM8>W!mQUN{b=Z@weji&)Ka9 zR$Hm857m`ohZh!KTgfN^>dN<&`x1rmb2a?^uV!rYM$;VAip3J`9braH zqRHTMs4G!@j}YR54AUQZ6)s~Md3LBhAi^v!Qonxc&RFFq4-MojBA8m=o4q%Y=_H^V zWhvS!KtwibsV%39BOD*CRaman)D2lGsHYCyTW!l)9O=`dDB1W9_eUklvtsq_5qJ z9u+4aG7ZHAe7x!v))ip&v;eO|a5mRG8p$o-)4qW;gkLAzH4}nxn-pwec*=TBWqHnw zd$Sal4{Ci0+<$HEX;9%y^54+L=Nj04?1u})X>WG$8~+&J%kiQXw_oYup5?#LK)U}W z^No;9wGC$X-E&j@Y8(Fn_`v;QivEtxmS0T{2El&4W++V!=>cWoyppmFO^vcasF{e0WmxiVe*J9G zxX0DR*@BLcZS-z&kRcNL$zKC2`^m*SWVhgDxc5~%f@H~BP?^ix3DfwEX_sFjOB%1a z&XvCL?gf;qEZpy`at?$(tyePY0dRV~IbqZTCeA=`X`A*Z-He$4DE9u>z`U7yH`OfM z*}KFAmD@)_gBC|YzeMyTi;%6eX*X6=KdDR&*$?n*)sB2Uh+mDcFOs@xR3hcmRCv+I zdT-<0n^SRvC~LJoQ?8D4!G`Sz9`=?r_&_}>Yxd>Q5miI3JNa&r_I9!6n!wckw%^n4 zOjn^YFIJrr^cCM?t=C5~`L8ZWcR$xJdr6eqL+}(TB(-=IUMNuCQgzEc$PHZa=zWF> zdx$ar2NP4BQl}6OgMa)YFttcA|L5u2A9qzh0Yh=!CkNjw8lDbmNc{1B&o7-ui&vrB z>le3XTO9b-GGgl&s&>ku&>h_A#$Y2{7pKeG3UyC<=HZ$MsV+I)!Q7wsu25VEgLJ%d zNtW_=BQvLW8uW$!cG4~a+NEucYu8D?#>IW7yUS22n(5>zjnG6}tIqFExsiM z%G)ZiNz&?AsIY?NChsbddv$&9y4AIWW&&2SjSaPz&)g@Jq*xf6wDJAWc_8uN?7N z%r8rdajtYVd$(3oBdR)MhXRpuz2e|*mG7vmvxqNb2}U2HuH8%?Tr}Y*si@^RQghWmMq=Uv@7aM21w-E!@+u(dZ}_ z$rzL5nXX9~53_Q8q_RjFXi6{@b)z<(4;Gofb)=?U*u3r$e8=H#M#jv0F9&ns=UH!h z96fFftlv#8UA0W~-Av}?H0(1_Jkntyy){Rzdqj`(t3u9lTeFt6^B!DmM4_&tgj0D-(6fb$z!|BZ5iW=q@X2+@7-5E4rv^NPlmL9I9MPEkd?* zkEKtExWIp>Xzru+1)?Ksp6J|1_Zx-k%eQ6tRbQo;#PRdL@bIq^{^HUPjXOD$98;N3MVYU1KNFX# z-xS(kZ(VM+?o%2yuv6G!MyT5Fm>5?uh{i~oK_s6`@0cXCeAJq z_ZwG?LbJU8ShKY1BFld5EdSIxS9o5~;u_~Z2ZOg#qP^Y~j!DsbP!5-%9MX`2Zex~j z_k5*wI`5U!UTHP4@Ee7{U2?+hg@wNlQ+$=Ln>${v9`dZvvrnIMW?LI!QZmfY#1l*# zlkdKO&{90_jsUQDq0XgWxS=D0^&gvwli z`WF>tv(3pe#IL-)&C4U!edftSD@jBt&rPA4RI^BX8$)ig&8ePgi8;`XAMisvvnPV7 zU9WVNbK@tQ@=X}S%7p1|Y0jLyR0zAI1=V>8V8PFb4~*=Rad&%^p5$}foe$!SEhfdY q7(GPR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRO0oh?0Rjsq2(1U97&4&z3dWErr~M8) z@VE-*A3Q$cq|I)br4v`UINkEv64at4_9h@~L&Eub@!Orr%Y$y8k-Y!p+}7Klf7a}~ zu--l*=HTwyQ`0|e-D>{fX6~)v&Jv3&d%jf|hPc`oak}h^y=UyXVrA0d*H=x~Z2uNw zWU!r~Y^V8A>$r=>GRDeh78k7eRJX3HqWkWy@}MbdPgvKgiKL};bGkaFIVByswDIA$ zM+bMUTqUBI$`BeBps;+m^I5-Kna+ysi&x)SpEgrE_Ng7GQh5IBxSpy_7jy(78?-*Y zTge}=RA4EqN708VVjQfjMvS3FFAk`QEXr`uFl7I>RN(idEljfmI(!ZYFYRtT)Oee_ z>y39(UyhSvyJh+L*-exCA9q~K6>@pos3c`0vwg>*BAK MDR[0].CLK +C14M => MDR[1].CLK +C14M => MDR[2].CLK +C14M => MDR[3].CLK +C14M => MDR[4].CLK +C14M => MDR[5].CLK +C14M => MDR[6].CLK +C14M => MDR[7].CLK +C14M => BA[0].CLK +C14M => BA[1].CLK +C14M => BA[2].CLK +C14M => BA[3].CLK +C14M => BA[4].CLK +C14M => BA[5].CLK +C14M => C073SEL~reg0.CLK +C14M => VDR[0].CLK +C14M => VDR[1].CLK +C14M => VDR[2].CLK +C14M => VDR[3].CLK +C14M => VDR[4].CLK +C14M => VDR[5].CLK +C14M => VDR[6].CLK +C14M => VDR[7].CLK +C14M => MDBEN.CLK +C14M => nCAS~reg0.CLK +C14M => RA[8]~reg0.CLK +C14M => RA[9]~reg0.CLK +C14M => RA[10]~reg0.CLK +C14M => RA[11]~reg0.CLK +C14M => nRAS~reg0.CLK +C14M => S[0].CLK +C14M => S[1].CLK +C14M => S[2].CLK +C14M => S[3].CLK +C14M => PHI0seen.CLK +C14M => PHI1reg.CLK +C14M => Ref[0].CLK +C14M => Ref[1].CLK +C14M => Ref[2].CLK +C14M => Ref[3].CLK +C14M_2 => ~NO_FANOUT~ +C7M => ~NO_FANOUT~ +Q3 => ~NO_FANOUT~ +PHI0 => ~NO_FANOUT~ +PHI1 => VDOE.IN0 +PHI1 => nRAS.IN1 +PHI1 => PHI1reg.DATAIN +PHI1 => PHI0seen.OUTPUTSELECT +nPRAS => ~NO_FANOUT~ +nPCAS => ~NO_FANOUT~ +nWE => comb.IN0 +nWE => comb.IN0 +nWE80 => nRWE.DATAIN +nEN80 => DelayOut.IN0 +nRAS <= nRAS~reg0.DB_MAX_OUTPUT_PORT_TYPE +nCAS <= nCAS~reg0.DB_MAX_OUTPUT_PORT_TYPE +nRWE <= nWE80.DB_MAX_OUTPUT_PORT_TYPE +VD[0] <> VD[0] +VD[1] <> VD[1] +VD[2] <> VD[2] +VD[3] <> VD[3] +VD[4] <> VD[4] +VD[5] <> VD[5] +VD[6] <> VD[6] +VD[7] <> VD[7] +MD[0] <> MD[0] +MD[1] <> MD[1] +MD[2] <> MD[2] +MD[3] <> MD[3] +MD[4] <> MD[4] +MD[5] <> MD[5] +MD[6] <> MD[6] +MD[7] <> MD[7] +RD[0] <> RD[0] +RD[1] <> RD[1] +RD[2] <> RD[2] +RD[3] <> RD[3] +RD[4] <> RD[4] +RD[5] <> RD[5] +RD[6] <> RD[6] +RD[7] <> RD[7] +nC07X => C073SEL.IN0 +MA[0] => Equal17.IN7 +MA[0] => Equal18.IN7 +MA[0] => Equal19.IN7 +MA[0] => Equal20.IN7 +MA[1] => Equal17.IN6 +MA[1] => Equal18.IN6 +MA[1] => Equal19.IN6 +MA[1] => Equal20.IN6 +MA[2] => Equal17.IN5 +MA[2] => Equal18.IN5 +MA[2] => Equal19.IN5 +MA[2] => Equal20.IN5 +MA[3] => Equal17.IN4 +MA[3] => Equal18.IN4 +MA[3] => Equal19.IN4 +MA[3] => Equal20.IN4 +MA[4] => ~NO_FANOUT~ +MA[5] => ~NO_FANOUT~ +MA[6] => ~NO_FANOUT~ +MA[7] => ~NO_FANOUT~ +RA[8] <= RA[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE +RA[9] <= RA[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE +RA[10] <= RA[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE +RA[11] <= RA[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE +Q3_2 => ~NO_FANOUT~ +C3M58 => ~NO_FANOUT~ +AN3 => ~NO_FANOUT~ +nCASEN => ~NO_FANOUT~ +C073SEL <= C073SEL~reg0.DB_MAX_OUTPUT_PORT_TYPE +DelayIn[0] => ~NO_FANOUT~ +DelayIn[1] => DelayOut[2].DATAIN +DelayIn[2] => comb.IN1 +DelayIn[2] => RDOE.IN1 +DelayIn[3] => ~NO_FANOUT~ +DelayOut[0] <= +DelayOut[1] <= DelayOut.DB_MAX_OUTPUT_PORT_TYPE +DelayOut[2] <= DelayIn[1].DB_MAX_OUTPUT_PORT_TYPE +DelayOut[3] <= + + diff --git a/cpld/db/RAM2E.hif b/cpld/db/RAM2E.hif new file mode 100755 index 0000000000000000000000000000000000000000..1dab439091ab99d0ee7968fd7c9b3209eb10b649 GIT binary patch literal 1082 zcmV-A1jYNT4*>uG0001ZoQ+jWbE7yAzN>2g166a`J;b9Ym?N=q%nk-G4`*^x2_Yp^ z2+jab%~WRo`wDO#yK%Bx#wAtv*AI28KmBzOZGY&jAh2wDc3$;hr`oRCHx?o@I78O? zp{h#@6Kp~IGs2%SM8rx}`%p<`ZH2tGkd^*vU8=(J%c?h)fVhC#%m(pl_41F#&+Jw^ zJ}jYnP&Eed_}eAvh8x6gJ*^O&ompvblC#39Xhr+O1nX})>+EdM_)U3IK3VM6%kZeK z)N7Nsicgkm`l|l{>iat`_lKretr2$pz7^LBl*P})+hBk8JNjcL8~s-B$&#W|ylOht zbmsgIYB1j)TB*3MYW3RjtS>cyObCduo)?l~XiMQz>I&>)Tag00HgJrCL#JAPFIsV@ zjGgreygZBf!b_4XzF2!fln&*55rRBTKyVSoiGM_$=c|>Uq+`inD@NqeFkN^{|E<4K z{7;V)@}$ofbhzE{%V3$U0R>ynl*!Nhgy+$hD87uI5z8R)7g=D!<=YQnn|PUrd1@}g zTg=j5jTmp|g}I3x<*C2?0GIwx+%`@!o^O|fY}_y6C>oO81=-a*c1wR3EPTH5HbHm= zHr`hULYTgDuvZN<&?xu9{|55Vk1mFq&QD1Pa1>|!!pnTV&C@kM_ZDALc;}{>K2H~6GWa`x2Y(8c-JfIq8-{MJEq@ecUc zGz2BT-o9G%Bu9z1^ z$y`|4w&S?U5r|^#5XXfhUX&&84#i|Zsc=YPBREF_3rHBFS~DRfGw~<}^6q{-`iRzw z7P{1e5d?|R5>&Y6Jg%)3R>a)usZw`_?WTN89z@NhON6Nx!O$ht#;#(zfCw?$9$R@Q zWV`2``gTx_RAA)s@`cSujy}ob@ZUTRpLo39Ussav?}s<+iE#~v8@h@wqF|&f^Zk0F z{PzgokTzDc2T)MvILMJoG9nyhOV`{DtYD#uV5S0KK3+-FzDCq9am^FOgCU$38dizo zJmX=o39^xOr=Do(%5qiR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRasUkhfhGvWpbMopLg@;|U;qFAuV+&L z@&Es?U`$F$NC`+tNHEwhyFu2&kb`Msdc*p~@q5x8_AH-2yM9l%u*aN6&JK_x|NjR7 DEJZLE literal 0 HcmV?d00001 diff --git a/cpld/db/RAM2E.lpc.html b/cpld/db/RAM2E.lpc.html new file mode 100755 index 0000000..fbc5ab5 --- /dev/null +++ b/cpld/db/RAM2E.lpc.html @@ -0,0 +1,18 @@ + + + + + + + + + + + + + + + + + +
HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
diff --git a/cpld/db/RAM2E.lpc.rdb b/cpld/db/RAM2E.lpc.rdb new file mode 100755 index 0000000000000000000000000000000000000000..adf85893697a16a10def32b9c3988ffe5b83d41d GIT binary patch literal 413 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRZULDF1nN+FA%x2?7s{_-oYQ}n>yUv! z%k1u^2k$Qi&pX*v5C_(z-&?;e{JRCBOZ~qyC(4bOf$Z@WJ*wL(5hQbe(Ma^ z?wfI9Uadl`^rm}KWwCj`bXgaO-I(X`GyP%J$vKXE_gsbZzb{3l295mHHVzKcchv{|xP3o44np1czk_?(VW=Az9olxH}=Z6N399S=<(Pf@>fIcZZ;&rEgoOifo4At51E;~@Xj?*9b*4|T2G-0fXH0{H~EdAa$3U{8A|DR5!PD1o8p36oGoy7QlB__8$Kt%`yK&hlI39`CmYK zkN>||N%z0#gM6HW5CEkqv zweiXcXN=9l%a;)u1!velt8?ux_0H=~%8l@s%n)$`5*B2g`$?Fm!~7NXN9r+3eCp8Z zKcClhq~>RhZ#*3t4Vyc1ZQ9!p^-KeA=BMZ9g{r^&TRHclpPn{nb586=Xt5{pQJEhS zgI(|A2KEJtz9SE+N%g#uYDZT+No>YAw6mAsZ45oZd_m3A;GGesLA>>O|)|F&F-E71VhB;)X9 z4y7DT6Q_tw{{I?orE%g)Zf5u}3eA+r^Xe?tl6OaSx!e}f!wl$sa5!FFFFlRqrn3IO z@&6%IC!^RkgY-}=y9bko%*X0-RpNY%%>Ry_R{O!95C1gtOSc*rny-Sj(`-ing^cWA z)z~awMpOzns^eWx>~wdupOzvf<0AdC%-dMf5R|%%!aQNsL{qVu$_ZcD5e@%IZO()( z!T^PMH0Le(_P{u+DPdw7W1aZPQ@jO()W!YBE?rudhbGsC-tzHwz*FXn+~z9 zU394FV`&LAb%vA9S*nxadm?Z~ZA%QewiWlTHuBsOad`ddx zHFslL0qQIR#qfnxgcfxc2NRC4ox`7BVTg}b6~e=Vm>?=F9Z&p{NO)v>h0f@JO=%E+ zoyV4@PsFHXxsgk@%1Pof@|yCe6Pb9d`M}f&d8(OW5(>@8s!4zi1#kH3#Gy#1;)DC!_!FCoA3WgVXvO6n8LT~TY_ zs&rCX_d(p1Sn|nWrAN|eLpb>Kdw9Lka=U|-RuDMsv=|C1ycv2207-NVHI?49eL(l45dD?dUbFs}? zd%x!FwI*rYlCSa09c{r}NMg*`fBQHPgdibS+izx^OMvvf#-QD;rf65VN1;_VIo(3( z!oA|U`BHPM!Bu=p?%@w@0@s<8ab>RtSp3#stMbok%=R0YkI56&mML9~?%!OS`F)&Y ziXuJh`Y(24QxOQa_pIFpM+~ZdHB!H}#GY21tSdxjmQq>BClOX7EvX*O&4Rz4m7UpC zbCRWnbChp1D~}CkwP=4`1Dvv}@&8WpGiS`WIw!``)wnGmTe6RyPW6}UuhR_PKn)Im zR+^6V5M49nM5UBgJOA41YbU8Tb1MiaUV=JqT z+wN+?Y$29oPuO>ZM{tjli?k85*aldSjhdmj7R}Kr*?AmgRbO8 z?3R9bvoDvhz*5R#G(gB7n$;pEO0G?TkmHqJH3_{iWH>8kzg)YqgNJSFGrh<%OG%w! z9zujK9{V~ZzVXvzCQh7$n$Xy1a85Mnd5G6m%MC8gENwaYEzjTyPdH{xTYu}v69!4I zX?d0@(H~7z^Hdn}&_2wF@}n4yIgWNYH7wej#(%2b4UAXM%ITP0-9vmpOu>4*xR#|{ z)!wI0&hCy)c?KI?olXn1)5S9yJ6RT~s2R3zMp5G%JMqu9?l^mk9tMh9`FXAoi$(Z6 zO!rp7sX>v2xVg$k<#B!y`zzXoq4WIBwcjDN-b!d;a`Dg zOe4^#_itcWjqZ%vUWTB{EgQ)4SZqgzvKVH@^zODNr2;~=>wV7tOZpJn zAw8ejrTeG#dDiFY4~Z>ZP0;PNPt9}FfctH^&fia^zt80cF3KUK|{``GW($0ayH1luVNr4{~V%>2(>L3>NQS z*2eL?$c=-icrpooA^t3%AnQW&U1e7$%MW_zm~Rpk>A}{Uq&P&U4$Z`z&{O&%jBsob#ULi&>Thy&KOOgLlYgGU z{Pola?}vHv)NjkeKb?tv-54@DAio;H+JzU^V(`eq`qc`w?_RBbUu>TuvDTF)Qsn1E zuHX!6MoR&_=C&&GKTl!pXVq@ixO5aDf;&ARY3j>YugS7v{dceMCj0kcH07&EYxSaU#Q$6wdzHclv~HHe6H9`ECH{o!1_e8m2K-R}8a~<25>arwO+GQ{ zRm8++7>M$&CqoA`{)#>9>60efNTEOPD5kN={1eF^#tOY;;HF}VsWeeN;;bTC-8wS4 z%=_H~IIF_COhn@$jJ=wUw_JP}F^>#)!XU~&-n6Z>Qnvx`9RP?4;jt_(d@yHLau85Z zOI*!ipM;)r;AcjPvPF1%TfR(JTpG0XW#zi|6Ke9zp!@ZqetyV=B9F?t6-)E8-ENN8 zR;r%6-cs}{^1pWsURyOF=^Kx9nbE7!OO2y5Q-=6I!HFue$dFM&kU}czj|b#^pM6-c zM8zv+J&Z7S^XP8d=)|c5q_D>d5Hk@7D2`RX4vE$XZ4{q*AS=}4N}>Rn4aHUI?TU74 z3n#{Qdt;v0K$^K(=ltA!8WoSmutx8TCskwkP<@3zT+l`wI_az}N`L&uk3xY1rj*`H0vh7-#fVE4q?G=hz zgi!?{BJ6UCucv7fg)3+3S;6Wb!?q!z3!lk*#nyP>Tb$)A&IR~QaL+CJIsj+MXpRgE z1)~xJq*%U7=g!>;5K*`IM$gAZ-3nRbl%q#Q-HYw?~pLD4TD#x17}tkqxBpp)@L9~p_-_O`KV>`rVeS}1-hL9Bq#HJ&{}*nsD{}aux?yMMuVA2l z8|++8LE}QSW-G~4vK|oiU546+UatWxdi z3sYc1 z1Z8Z_8toFeq^Y5h!*nS1YL7jTfGxe`3K{BTEwq9j;@9r#QKJ7oNgR*?OakwtR12YX zvLJ81KaVy$NJcF2B^pT#pFb)m%UcG9rt)s&j4`mh>dCYG>KgM^V~wvV&cMftjL_dy zG==<9Pv$`6Osd$3*>J>Tf7u^<=~Q{$r|J0(@l@@ebx6(W#O0XFl67|-{&tj@|LEi*=ek@4J|QtTUy{J zXkjK^OO^BBPC5{aKDcF!8B<{t&x?|lAd=!CGDaG2jKc>uLOD&x()_Rb-E7Ns9I=pH zlF}B28P+5^l^c3+zU z38!-AMrRS$gj(hXhrA3mf!Res60XVVgjzqL?gVB0pQarJzmy8kw=<}*$sA3h_5h_s z;De{Rm?q!%n>Tr)RVLVqb^v^Ps}_YN7=*4&;d7VyPO@RRS3 zIPkgAgMsJ3P-b;Fx+2sGZe|ql;6;4T{Nr9=@=An06_KCiyMqVtO@TC@4;e~c3PS@h z`_@%4eCQd!)UEc&*y$<t zHxqV}>LlF+q1i}b@5@e&niF|Gye}j1{XpWg!wAS2VxR4E#zbBMI)>qfeHoqp$C_+s)Lj6_ZOJ!-yXs%Ngors#!8CeBf8W5` zk<0X`zr{?b?A;kodP$qw3Y**$20R!79=M^;ub|Hi&}RYYGY%n@zJ#0e10b-{KYs+Y zg%*g5`Z=}a8;raj57U_c(@N$McjlsiG&00MMA%AvuY&wHnqW!FN&Y7$0n@)Av|v!| zL>_&ny`O|Wy~Nuow2$~S_(>m;aADaLZb^h_;4D!iW95&pMXgT81ouS6ON#h5&dL3X zz=}#Od1GUpD4igl9i&1+PZBpE=IP!>KE+rMU%IR%Z)Cq2KD391C{&CeU4TqETi8Qe z`Sc)tm5;B@vS)L0Az3=o$Dw&IRqhOE9!4|Cu2l1sW3YZouswN^6mjmsPL z693*I^-Y8Twvr-!cZdMKUm-zged`i{?QqEn2DS5{THhTJnd4>YVH{s7%r)i*$MkbZ zyRFZM$;%D^<(@e2VzS*vEz1I@hxcv4mby=g(0NP8BY>79%bJ zGzX|MUjBKQA&PoMPq*NSuPdl#>Z`)4YUWqs;pA@Y&;Vpidn1!yFc+ao>eBkdIi-T~ zCGA52{Q_iE%QVWWL_=Tt7KNgoXUV&>;Hi!Qdkj6%Tv=U+LN& z0^F$P?yWR*xzPi9;4S*YCqcNiJ30WNrFJxwE71Ul!?oGa18j9BP-}X}+-C>bvt%>r zVdAv8$RfM!;0+R(z~LXSo3!942g1z1N_bH+tsbMUMf4w+B9s=YjDL3siXPh4>mtRB zsE)vU9RlPRE}6bNJ}#KfK&a#1tQBKgTN$H;uX1Te7!x&1^vuF5j{#)&&H zIo-Zozm(Dsb-%C$*1Di<|f;nF-$c!(T@6C2C1!VnS`+9 zH5wIdr2SMoaEIV$3{-E|Rv~nELl4!==9bW?_G^ccRU$4qjwx?Eu;7lrq#JqGB!Mrz zH#K4P5J(7^*$ff@X6l^&Q(ynR<@>~St89|^ebQJyBZ*MmJzLx;-TdEFgw--H!wlW8 zz#=H&tItOCqE>c?&jhUOT7M`ruYXkkyb93eLE01_&SSL9`q)ho>Z?#;SzfBgq!|b* zA@wIojH;MpH*a_wWm6&&{HZ@Ei~LFzm+vejdP+}gFOcxrE1bY`=LRcl5h+dnx)2FU%_eoyFZSc zhqg9~+RCnZ88h77rSN#(@g0Lc*;MONC6JQQAhAeD{-6b|@AG<6P{GQ|2SJyg-8v%z zAjUt^S=$8qTL6W0I<{2~tgBO~Y4DFkv#yK)6moO}X12Zaj;ZViTN|Fx2EASm&I@*> zPIMHimE5xK35Kj+0m2P^)SMU0N>1j{E&5x|sXmcR>(A)k~d76}y#~KbqQPQc>9wz1}^DTpYk{L=8NjwWz-v`+oP6lq0Qr zwtyC*Jz)}=7E!Y?rq|))1ZISF^tbBl8uaBxskv*-Hl{L5&Sp*<{r)9s`B=3_89$pz zT_Plcewwa^qL>gAH=ml7=Q4gXG3>R2gHyVt8Wls{)`qfq{R{=ndwapIXdkW;s~S2M zjiZXcYDXo;d<_dKj-%W6k*_B=bO@6SCa11QSGG}$OsFEtyjGM*-X@-?T2 z%uJ_di!Eu|RhuI6IBaamf$hAt9R%9{E@(P>TBz37xWe+wXmB>mf6=EIhEDL<$?F6iLPyj{ zRCh}?lAaG({|Is?(lNM$Jbiadd)_`k=bij(f->(X1t&%6fID`Vu}aPb4%6_z2quwzixRd@X2}Wh;50-xyKIQE&MU7f+j8gR+Bo^aJ{pse zBq=8;vzo^9%!e&s9ZvZ*yNWC{szZP0;Lp=JjuEp#>}%?Obc8t zyG_6I3Ih%<^?oaZ2%i*5Z?927EDY5`G$4CT3rRGkb0(|sbhpT@Q1)Pnfk9`vlmEbL zVTV(--`TGm<;G$hCR!na#5aAty3B?;6K@;=`UN@9uEg!fjgJ4##SZ)GYCm_Z}oJN9%(icpjsq-W>0c1#7jz1>tv7 zsrLJQ4z6eqU<6^*sAgT*I!AND3;Ao>lMuQ1@(Rny0Xx1d(bI?ovW=$kgd@F~9l1S9 z%QAB8i^*wt?RMu;5r1KpT!MNiNIFWs$km;8G>v{nbiyAUx>Zl@9yH4r>{)_ly+}}4 z#k1367W>ii*cMW9_N8k%Df_GpZ(vghTvc%ApOz^BKgBh`)Hoh0a_PBaKO+;5qLcDG zE@m55%kAl6Yy38Ot-mXuCG%)E9T!R5;^x%7e&U3oQ2F&M$2MV|b=d2zlioBxnHd`! zfrEL1Yee)Mjj^mt5iWXwv2wRpVlw}xjH8?f%tu8y={aw(mmw}i&N+Q z-;OEcmV6I_P~bB+;E4e0V=E-TZU3%99SzY#+@v>@-oIv6d@=V%ejbf{d9JvFHW)kHR?^A7QA2iei>4oF<9)Y}bJctZ?T&B@m-fp`gG+{T&o5X14= z^r+o2WnK#DWjbM%aSC1rWEy%l16ZdL>1zfXfvpxTJSjV`c0$S7(!WHCzwN9AI{doC zRga4v2C`T_anpKx_4Fbh1iQfG%mjN4@mjw>BgHH*0EQI=!jW5|Jg+|zgE+&a z^|KwP&xLh)cdr{h7Ye^KPNilH`H|fhuH}B*)_o335DC=Lptlae?b%sh9Vk#cZRtXN z_awnDI6%d*v_8=e@j@xwo@5Y6EOCL&Q)M(4Cvi@jn7*LBDO1^eQeLH@w&s_+FL0ypwXM*~l+csBM1kY^e=- zMni#9symaWf-~hKp@=_Nx)5|&o%YxMi<`#pR#M#oY)GDK6RAkxgmx0c(&)bDBGAIm zMAt64wZAdRO_Vc>P-9Pd&-f{CReR;7<_GJi-x7C6n>W8|sr^iCDUvy?_j?9D1lzQy z?~23iC0wJw7$1Z1_2NUQp|bX7uB{075?AN%_tPduj7tz$ei68hTifG_|6zbKUO<1s rJC48O=D9#Gd8RM5a%#YXdm}pMb3J6*CAhu;FLp2T{@>*M<>h|>vkR+& literal 0 HcmV?d00001 diff --git a/cpld/db/RAM2E.map 2.rdb b/cpld/db/RAM2E.map 2.rdb new file mode 100755 index 0000000000000000000000000000000000000000..10491c7902c14afa1627830edee783f8f0ad6abf GIT binary patch literal 1033 zcmV+k1orz8000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*C0*00000001Qe00000 z003G600000000RD00000004LaoK#D1(?AezkN{yJjvNq&5eI0cB()s`v?oHXfYT5Ggltrv)5^J01ia5W_EX8-#0T^tyZgF__q4rV6YWItyulh z@LC(Ue%O?QYdHOc*N@=+6<+soHC0SDR#UON4ef-NOwy@#BID~sn{x0C)gS1(F`_(kw0*Ec$=+TvO5qTTZ(8!}B9cIbfEGoYv9~N$OH$RCtxO-j*V!a?;?!kky5|V1`qB)tk4f zaF(XC`J8HIoPuG95nToub{;V!kvLym{lTR9RdS$)U2D2K?d*+ znAHeadrU|eifEJC(7M36rHUzEu*`V_E|<+3N85Bn>8_%li-SO}h7m^l;(%_IFPe1o~S07hjS3igrexM>Y1|A?;bF!oyNHGWjF~}B( z7N}ZAc7`)R@h~<^UyvF>6g3bRFtRWl01ERtI!*>T7N*3<)!oqt>PSWou#%(So;dR{yr|QK`=F(3_E~wlWrabIU62yP*(r|00960 DH_N-2 literal 0 HcmV?d00001 diff --git a/cpld/db/RAM2E.map.cdb b/cpld/db/RAM2E.map.cdb new file mode 100755 index 0000000000000000000000000000000000000000..3798e779c0519a0546f8e9514f775092d63ec776 GIT binary patch literal 6728 zcmeI1i8mD9+raDFSBe@$NtPjNWJ~rfOV$vgtP>KljIj=~XNye9T9^vaf@BXfg-q75 z?+j)b88c&_88c&EzxVfNyzhC=xu54Q&%MvR=iYPfy${cc6DO)UPadbC$6|O)NAHkO zKX8z!vWkL|g0iS#xL?2{(Hkl^M3vMOm2W8CP*PSAwf7E*@bmH(z3buSFRCnRZy|c$ z+f(%RBfqd?%hjF#ba&!JF8}}HggV=QX?Eqmgr3}V3mgbEbe7*sq9G?*mfM*${O`&tLi@0F}>3>>VAR@K3D1gOyy7( zGwYbR#B)24CvkCc5xcut6#kf~8*@+^iV4McQ09GdVhAP7(GG zA2vFdWa2BKk9(Y4zgjPKj=uh*k&Hbn1VTZCIS`l7px-mN!`Uwr6A?(~_rb5PN4`$X zY}LuvEddJa+x@sypcwD?1L#`Py+9MW_b7-rt-{#!Mp3ffi~4KP7jM{fte9FaJl#kI zw*NKpUz+&S!OKp*=1tQ&#VxTcDs&St`#TZxN(r3Ss$nfu3GK&Px#oIZt>M0(E;oxx zX{pj(ThW4)v?#!|A-35WErzaQHNHUpl@AAnnS}1}l^;5!?%SGDD;$uNmJhM6>6x=$ z3N^e_8HAk{UjEg>bh++}E6)!gVhT@Stu5BB(lz~9>;E6%|Ci|h=q}z; zUQ78)b*JtX?H_W_kCu>?lTlw6?K&cVeN;S!&)kLzMa)3-4(doPi{QXSmI`dxW)jH- z9x0vJ#*<~I6OM|BhAQ+uYTs82{~X&>wkK45H# z9{zCzT6eo0Rz=LbwbU24=j{;I2cH(y(^3&}o>}VH))*F^;ns4{IKwVF%*Hp{&E|wP ztu`pW`1WL0zd%~+ezJya7L55b(Y;W*$$5u9@nwQ@nS|t@TB)uQ^=V!Wf1aEOe(Rh+t2gEHn1Gi>6!~bLj|noI4BKdBDGRvLfG$s6B#HFCTJh40u}?>#E%Jw&}%v0Zc^T z!+ezH+)-z1x3!BW=^D?QCh%LjWPVdX%uTf0c~$#374XJGFn(7Kg-M$i+6Z(G-TAe0 z4xZeaK*>+7pA8DQ`bWeUY>y!&Sv_{qh!?lE)Ufh05_Dh9jnW3R_=dM=)(x)O>xQVY zLR9M>vG?~k&0}YGo4);Ga{=g-ewi2v+&*k-@J_aAc_}Y`YlS!h*_I31w@t{yI(n(Y z*`HY!MXI_hq-}eK?vf^zY$Cf!v}RAYiQ0cU74@oczM&`ai7dIV!m^Xf>>j|bV$C=5 zZOcUql#3nTarEa=oc>>??>ojsV(}678THM6gD61zgFa8RRXfZtckq>OVbK{fKHEj zG2(ag{0BS48(hNL!vP^<_>aU{{@imDWB4umc(l5rs>*nbP!qibKVc8Q1x4XF8h1z$&MNK4h^w~{a6LPz|Tz}}2UcIFe3LWm> z1za<$xX*tF^TxqY+CC(-`N||#IQ2-ZzO6iEX5eq*o}z%n`^8JSX*UnPqlKYMvm{QG zYP74urz`KJQE>xNHCH?u9&UEOO3gHcgJBNCx+K^kM3qNnV77ZehU4(WI;VhCp|Q9m z*z=9Mp+Pz|slc?ZXwY*^!Pp1+tSbopJ`-B6H*&_7>*J`>4YPQZbPnmEmj*#uT4TN~ zz1YgM;!=`le&B|kB;nw86aFFzkp9aAY-3??axNz6BUttZ63o>hAWO+f{PW;+@7?BR zg?{scLPhgvdv)rs?WDZvGtJX7@={fa{t*71sWDQ}&9t7=Zlw8?p+}&by5}y;8m5^5 ze-V41yuIU4KcafNHtmmImhc^o^V93NQbW`*-m+pqqZdk<&SPHQ@4F zdq~!SYGDc(@DGbEdHU&RjR4Ko%K;C=4`=Xc*ON@ihiww^!(_j*EGORHVtv>s($F8~ z;S{@bqDE|_3L5>d&z@X@a))r{^%2K%D2MtNk>88N!e3)E3GzUomAx!+bk$_$u8(4H zD?ukyFWPyttp7Y(v6Di-9BtON!`wgDE=?mKuf=bz$hQ%R?sfMhA$ph3xvg8ouVa-Db?;? zY#jn74Z&8mSO{lnzJIkiRnzhE!!-U@>q0$?k~Rv06oT2*wKi%geO^fc;}>W~ed5TdOQz(|K3Wz@^Mes{23q*y+XzP|wF zY)W`f_thY-}^{RF$g_9;JBbgy3z|_-I*Q7VcD6 zRH(^?+y5!xmtJ#0B7f}HPvq>2ceARZ7Oh!hSeYo`3e#l=O3^=)ox}49(5z>*WNtq8 z*I4?nB;ZuWkok6qq4d%_J}&r6BCpG$elOu;EbMhP%?1;-RGMbPD>GrK2am?qyt3bj zUrF0^2S$e)j$N-IfHNlW8}7XSJIRD)FA3@X;I1aahu(IrucwN8ayAvMQwj|67o)U-f^|Agf-RvJusV+^2z#4YWR65d$8`(xNi zX^Bs~srStEw9OpMR!V+Yl;Cg~lhTd`LN>fPy#9g#oDsZ#Fp0AYwwSW9k!4Vl@p+3& zCh76=$`%NXZg2dXm5TUJ+|A>(;V{C{K0<~z;g`$cjI#ZnaAAOwVHcjmpy(r{YH5`7 zP%2*|?Q5@puT|$LEbVWO2T6^(to&p+63RgWO$a@44VOw|f9_tI?0xfLG`&S?H=I_g zw&wp7U`LVJJHE}>)p?nlV|HctV-a>h);{lC{k`vGjO@N{vmJ*27?pOwCf?6mM&}~d zknz6$*OQyES7s*(uG^FN;?XvB@hnXWSGve@ITFSmx@6b6J zPJGA~=pE~7G`?O>bs9~(_RRv*YY`9sI_|@4d;~-aeBLgo?I}_ywPgNMM^~XASz>?p z5zlGHAP2uIoFND0qFtv8;XHpcCDdOG>n-mDmO(&oDrs4JC;uQMvzfe?JA~+ZJO$gK ze4gGRr3m?+SKD{YF^aHFxxe%Ui&%2icw|fk!bNU>!TA$;N^W1+B$f=@kz~Zx+hJnf zamCJbh!x+Lj3rl(Q%Js;eMsfIax#e{R_MN}a`OuzWCq16awA$Ww(+3j1Y$n32^o%S z2E%`BSvN>T< zdIYW->C^J9Z!-;sie9@#)4v-Q7-%wtmp;Iye!M_XFTHRm(Xq$CQ4t_sH2gL?{!(UG zE^XY6&FS$s0D9VIlPev3p}$42Q?+5xCG=3nhcK=g>$>YqmyAU^djQWZY?agMlo7~j z=)yvx8ZBRm(dqEG9>1vZO)t@F6 z7t@X>eWhbceNUV*VJt(66U~p0*C}8?dHc36(xnvq94w6J2uCrLn;$H6^!Jx?7=jzw zdQ>qGBZkFBu7jWA{|$kfzxU#_JVFSBz!Qclwoyl0M7v0fUamtIaZ^w}u4$V>mu1HG zmr^sg=Xh8ZN0MZs5o?i;$;^N%{3fHz7|Ah8r9?V{YDL2R_;&%*A%ZeJ_=%yOrf@|2|=E5#9?(5A)l{)|;d8`n|DQT2Ag zE?MumNplZq2uWX3q&?T+`_L?e#L0!sR)`>rVH)V`$A;;llk-1>wGKhQu2++%H5WDd=9yknu(dQxJw-Qx92$5R3Df99hgJsMd51 z99@WWt?jsgaz&1S4u8eDvoSpp6Z$+fyh>yIr*FS&i?wFTuYJLJ&M z=dzRmb9mWao&pr)dhZD0ie`@>2cshjJDBPSHqX@}K9L3le zVEi++)XC`Z%cc&8RJqU{ZbTPhH4S_7Zw!CmOyt3$lV9BE(H+$7oE$ay`-SUM{ ztb2}%Yx_KRf%Mc!B|txXV=GPL5+q6*rFs{`qIa8f)fCs7-CRjEh+;56HoWp1@4NU2Hz2#J#BB zV@j@0ujL4Nuff}R$%|}G(Y}P_(RQ@YjYAJ|!8dD*UeFLw72`G5BdNKY9MYs9G6eJ! zj)~ms3XrA!YUJLRC)SQ|^(pj@^jvB6H#sOOSr*zVs{si$YVWBNf%9i)xQq@OZNa^u znzwp5+{fA5?vaw&bXgqrsGD6D*Ak)m0(%-G#yyz03|;bK4){$gA3 zyR~^-ba~y#P+i)bblSO_JbA<_<^}CA$;Kz%MH6oys=Q3M@%TbTOz2Ga} zqe>{0O17Mi{t%)44yc`b&dps+Lce-AEBc9V&&R-Xl6lsj?>VmVJ@KFinP+@Zbahd8 zFljD#va`42%WVjeO1Z_`cXG&cKz`t51>}++OVN@u%jCog>`jb}CD4ND^rTND>1xtd z7Xs$y_^F8m*Iy2Zn<+cb$d+?=M~5`l=b{dbp93tHU*#q8tanay{3YA`xv=#ruXAGIUK8K5+&}#% zt%hN8WaggJckayaj#tTb?6gUS(~Rp?Qj&d(;Y_D`1zzQ)d&$;GjC3;+FlKc&X9MAN z%~J7d?{A@BOD8SZz2IO)1-DK&MGj%nZs%(rZ=AImw@_^b@YD-cpMGC?8P?FHlr@&^!2ZEeeA_Uw(9F;IMnX`xJ-q598CmX zzke!MY)kydVbqPlp-p>^^D=`xCPn}LW*IDwoi_-I%kGRFCxYcN8_wHRs%WO@e`s%w zDLYNAk6l}Yn*EI6^AwD-pYK;LJ#r445R1HZxwZ8oQG;vFFQb}8zylf#TC%ctLGA-N U`l2^+c9P*XzVXM=9v16=06anAumAu6 literal 0 HcmV?d00001 diff --git a/cpld/db/RAM2E.map.hdb b/cpld/db/RAM2E.map.hdb new file mode 100755 index 0000000000000000000000000000000000000000..1a9c8694ffe9b4060adbb421a4e91cca67fcab79 GIT binary patch literal 14611 zcmZX4V{j%g*LIDqZF_6owY$aEwr$&Px7^ycZQHi(yEfl_-si_V-+aj=SCYxeoJr=K zYjP445D<_mIM9E!)4weI4^>SZoh8IegaK2O23KKObm&C8Cy92lO&4&&vGCjCM^F8AaKb4MKrGeqC4oN z4{WB+ucT)wQ4>836TALu)a~`i0(YLRtl3$q(<^fQC>h2Yk~nAKc@%j`Y-cecafd90 zo*B8%R0o`Aloe1EAxNBO1su`7=wDAhQl4Mm?JZYT9gBR=kMYjCOEuRO2L}r~If8r>_5jMxr0|zM1x(ET5`u^?Tkn5$Edp zr{F2HT+ob>9p?qjpc0on8wXLCKph{fke7e0>F0XiUzdzws&YIDQc7W{q1#B%dXtvT zD@$E)5y*Mn;KXz$$-H_mQh;%SH2#SQL8_epl!yexnYvP+-JoIS^bTk=_9PR{5 zGl2rXNj&9{22-&wYnE?s!xm=7qk%Lg1xx)+qiuWiB=15y0XrXVf}B9A zb3;@bfO(G1l$O9IWvx8Su}QB4cc$3ZWzA^?yuHU9VTyO5&@5U8 zNAifRhj6AE+8OWMHDhbtRJ^^gBm1P__N(oE)=EtuL$a%OfAXkyu@kGY$D=E)&{N_G z#3%7c|BOvLQSLk8KN==7VmZ#17J-0{ zrl}ZbNw=xk;f4Yk9m_3n7oly5_1!1Dialsk2-bKEHsjd+_J&h?>g6;8CV?;!;%N8q2Mt#GwU9#lw3{Q&N zi^g{7bHY-u+^c~9zj2ZR%`l!7P5{R5^oJnuHm_;P5Z>CBQP zCXw9{S}`U-YItz(hc@5U57R4KWA!fu{LSRmjSgP3H-}wUktj2Lg3kWl5iGkT%Ueansx936Sk*Bh+!hfS%Q8JTIz(K{EW|PHGq6<((Pw- zSfCTg5%Qm1dHcJD3FXPXf^}O0YaD_PQ|ScRX9dv*6!XUyw)Lrm$hXfH6+vfe)I6cj z{gHdHhUtx`j2_0vmq@#YFiNZsrQ3$rzAB_cM`;4gpA~V)#RR^%$i;(L#e`c{^3ZZC}d~fcA;#gjV%81!@x-BW5NAeaEl!IFDJl2^4Eu}cF-)451xemNP z#e&slq9$@|J|8m(cq+aY9%r6vcP_s#lQ`b)1HXj}00S19@e^HeT<{ZP6Bv(ot1msb z8J^pVLm%o%D`Yd#Cw3=y9ou+SJ1d9>a<|>jgP@+>@TMps=}EUnZ#frXIkexbN7Gf5 z0;%kQi!q1dCntgx#7V_O?{2ty@BYlf`QKA_?KUp&b+g+03$$06mv3Q=Z)tCkx^Rj! zCj2%V+fR^I9Bvq_8;=c0o_%}Xj(yc_URx`}A`Mc7cF@3B((=uwgoM--^<&67e&F=l z<>%h(q7GhQrqRz)l7i#?gfU_Jg9H&rF7P%dE~SoO_r-jT2&YFORcJHR{-=A#E4pH* zKc_cpS|ce;L75Y3R)^Prrx&mCJTGMR9RZeKs+?`BkPY!&UYt$}{NOY%@ z1RA=1kB8dWuQsc^!plQ=usOMA2C6}ns@ZKnxlX7ln{U%aiyU(vu+xboekekbvs}T) zWT3xN^&r-eflHm`D1Umi(F_`d@)BOX(iDb)*Uy}oJ39UB`{!ykCZz5t_?z21*OdbW z5dEA#tB=Rt?zM-X`xhSMXlpJ~r|x#J9uhu`4(tMA&EJ1>(~e{k;TM~4OxSy`D++96 zb$Hi^Uryit?_zW_vC-bcirJpG_V2M36!q|ker!8OlY~1!0oPaC_mNlpxt9KBhM#yi zJB6pH&3Ea333sv6b;i?sGkEs)Rbv4A|04ge3sGRCG0P+%~HXLyp z1kNwbx%g?tc~~}=MkxRa^%@9L%P*RT91rqZKvJDyz}2!1gKIs)hXYaM9%ENijniK0 zl<6~3)xgRz12o_KSs0f}3_Yl=pV{$xKBl3ej;wFE&m+ap0e)BUDu9uk0`vx%pGhlVjf`0ncGhp(y&EsnA`)4n>hkdIaA-q79 zNm>;SOi*BTtzAfP8rWnbrWjAhfNMML1*7O7#G8WJAwGz+y)!9!GhbmAtt-3)b}6OX z@Y}9&#V#T$Hz#-A(0u>ZQ#V8#R**>l$w0% zSe6y`;{h7*zIE(FA{md~{BTEp#BUG|YrW(u1z3=qK+7;LAW2!jMjH#uICMR|j$!IW zPQ9|y)6hto$QtUz!8Zj9d)XE9w-BP{oTTv2sMKfpYJ@4D+v;YqgA}I#*Di(BhDen` z`+?{L8!y!)!LR~WoRgq4GC|Gv@PAy^^pHmid4;BB5&+El40Xf(YqKowNeVa zu9!-y0<+te=i{-n`hd8lZwj~5NYdclfcb%OX=7YIgHaq=-3I2BB3YgrXWM5q{4s`8 zsh8z>+AMFM%9?d%2rM70dvZV-Sr^B*OE;fV_H&p?y3#E(C3Z~(Ox^UJ;Wi)#LCdPG zg}mu^Ov75Ph824#7UFXDl*F!biAyTU+;F}p)QQ;@MGlOv1=}t65n2RQdVl8baiI-u2mOHswA^1XZdSOAXZvR4C zlo0R`g|EyLXfeH7{f4vQHD)DOCf`EcLd+vw zlZM5p+vwLJ1~N{MZ2`LonlBJ6jSSz>m#xW)Y6^T2=$^@vv**)x)T?65lK8e)*6lI? z|LD607-riYON)2*1b+UYc=f)e9w)zG~STbg;q{t@3(G8PMO>Phovmy7at+`k_ z(4)%BlO|HWe8N`+yg3eXLJVK8oI7{3O{eypkR{a?TQ2~VQY2{;gJ3GHQfW6P+gg7q z=iPD^4E#8X?x)htS&=nCAZ|-iJMEMP#DYx~U)mc)2gL}wxc=r?3U;Idh(ixS;ANb#cbH;T9z#G0_T9SB zx=yiSO;8PcvtK^7Tt*G5tJ$=+o`<@7q>?dVK%evm*rKff7~!oXCj79|ZfdK8a$hK) zk^!`O%n9}wMY8q$@trA#-)#k%x^(Ncy5-qzdLg*c{6KW4_l54n>;ca{c6&B0wLHS7 zB4H>z6?c-SdIn{P-JDZsbY({5S96t>JeiN2Pv8ihk{nBj5u-OfHzdri`qQ?Sm{`u2 zfssYWN(j{Kx1WjT%cqn{sf| z34|xs`R)N&PM3WPpt)UTIr+YzJ-ngnLh<@!d!GH(N$peU8Af6rf$#_uF)a7N`$Yhs zyDEtg2{%$1bV8v;kl6Q(fKt)B(M=%Lk?~{b_^Z14_$~r+8}vDdLze(mJxWedzLRjI zkabr2YUh+h8rSwuMS1?}4A{FO*ygUjR)2Z*R1|j?KVR;~WSfP7*;xwy#H!C`{>bsR zy{U_{x&6U;Q^qp9Or`FKP})1MSa}_#y)1-{S)IMvic^}&(+Au7p*|o64}ZEx+BrKiif_Vtx@_6(#7rct2_`1l>!ogK+Eg4>>?bPYXImRkg6))xT8P6n@{u26lnL0nZyVNNFQr{fx zu)&saru?w_$ua)d4(pW5SWW?N5Wboae9YUUZ@n>RR;kQUh~2(D`^;Pwvn8801a}xe6(az;XWNGmRr>yg82cIoNXO_$7v2&mwml+5 zbbE^X%{-edZk}FpKpW78jlJ9i7fuw~sYV)G$$mpIodb5Si?1#r`vr0P?yw7HaA!J5 zbTcm?_94XRgSL<4afXMoKqLVA^-cO^hW~w3^bL9TN%9TA7bly-l3lxBV>==7K{c%M zQv5K|FYoQdp41aOPLikrqo?Nw`w#$I@qa-a6esN_xJ^OMi3I1!6?VCUlwSU1!IyXo zXs9kjyQVw+@GdMEA)R&4=>cVaXJk~p8mWt=`pe&n3dZ11aCi&KHr|0WB5rT)A;Haw zy~uFQDeEc?ezAxOKCxT6L#)cCOLybs8D31fl7|cx<9RI=_|p&CS}_7%pfRNnfBPXq z3gNqZee|ohw{tMJXI#SR$L!jBbfZVi(xX*o%o-&(HdbbOp&@?{0jWEV9ZJ5V#^vvL zq4YAmb}2(0Q;i0O1l#+Ph%$<)rz6h2&5>RYF~9Y>BJ!{LSc{BBH?kbjFLiwCt1!9T zoShi_QN$Q|eUIq|@t-sgh=>$Pnc@zUBK>)EsEcZNkA4#3-Grv2;19g-WG7;_Vh$hI zp+TS5ocO#>cOl}%%mWBABu(hS+?pcsOl)tHVyxpkuf{ z4~27K@}T&EGm~PtZ`t$TeTTnHg?q4?GkhXx6&*Uggy9)@ZgZ@(@Fa|-h^%qo`1~w? z#~`kR{`Lu9A@LR1zlF)>-^I_wpPxZzNiiEZ?5#g^1fn6OEPt{^D~tau$}6@!kdTsUD^j0AicJdrNlzAy`wkqbc_X(}D)Dfg z@cpLo53y_4w+5Yl)uKWI%@WtlT`0xp*SkIkuz2tF!>v>YK>U$`Ew*?EF?)&h;(&7; z5#VNY9+K2R;Ji!9%k$3TT;}Cfq&dbD57it$O3pKss;kq)WoUk(M4h=|nuG_04RgrC zN6XP^^YPaUK0;lr=|1D^D!d)pv6xl1GQec^0<*vQr_Rcznj|HCS7c)Yr(Ru#g?myG@y}+ zq=+h#!$!nLLVp$scSBed_1nX>t4bZHH>uMnd6*#oD1IZm_&0T(_yyXRMkPaB^S6k3 zs3P-@;!h4tt@5P0J?}hui>N01)hc^6K3 z0OMlVvNU{5rP4T(ZRV;!l_P_6O{p?{6km6ix7SqrULJdhBEOFB!Ky^jY$DN54wcZ^PNUZk2@C7Svbt3^?eW-TZ>lsU*c0k$ z5G#Nz-RS34TGiJ)S-CX%^(RVAyqJg#2y7T>Jty(W?eiCc<3Ewy^|@k36WOGcWq#Y7{D)Qs z3*NPEFgwa*)HoZlTQopGMo7i&L!@WiUci2M*e-E?Sf?ef=$n@ z`n$x@{CUG;gMCi;Gke4gYP0L#@o7L#``U27vwL+8ql~qa+l7;qjE%T}d~ww$`IobG zTDhNf`xT5%vE{(Dt}L#=f(2ZQkA}$fUMyB7olEg!G+Xutq4s>q63$A5Yr7+~k;$0T zNp}X?NTH1`{5Uhla#-ToBZ15PYMwqLw$)7I+u~4H0UQZnxnbTKnoc%-ykdr!V1Hp=X_T`ac^MovrF z;&qXVo{ajpZ_+^c8eCn)acm-~4_-CXj0su_S0E4n;lGi}#$ zEj^3XW}0nlyG2bq$`?`z8Yfeq{LEdhC*V2FT#9@Thse8-P1EU?J`HLNubX?zDZ^5> z8*F?F!$DrvzmwC3r7G;oI~(7cIqb>-g-ILR0N#}gsryIm{h}t3_0>!%Kx=y`6$t@f z9cgxflhvJfbJu6uaF|*vq;%MG|qvLwKyV~bV zI^k^H?H(Wz{YbI)h*c zQHhVEILXPQC&HpXuavP2hF6tv*)G@D{{v2dE)YMW`*MXZ2hYV{k@qo zIio!LjxT!MC;6#!SlRu458-X-QO0c2_s*hc3fkrC;d7vEJgdeR^&;cJt~d4>>u&AJ zb_2`Eh<`F=oa#R38c6iQu_mx^B=v4gI+EjlC8yqhTD&Veo5y-*SG0`yjd&ck1(7XtHabfc|=SvEB^(d>&uL zM!a{E{f2&`y3jkhaM`$D5Lg@8VUIYVN)^~Z9g*vmd%AYY%Gv4}`+^-GscGxKS8~h$ z4!Vo~Slg3x^Ie;Jv+H?VOaG7KuqL!m_1|u;zPsPX z@5K`-AFMjB4Lba@IYdL!8G7Fqs&97keva?bDLtPbCEYbgvk|&X*|+LM8+dt5jZ^Q* z$^UFo^+;QHld5pGSlbphlJny>P(kmbYc-eu5tc<9OSp2p?Ooa8v2CXI=DQJpkH04S z;6xgSy4OG$qb54H2gNicPay4>%n-umn5`n3BvC+GRC?jQ&*a96Yjz4cSRKU|JED&f zwc(d4T`1>L(%BEdyb!%65=#~hDW>O<_tLA1BUDbVPHFg`qtBP{wAq0VkhBE#NY4Xx zwXEn}$r@1J1w0#*d_Xxd zQNIs7b4PW2`BClU9{eOe+X?_UxcNVo!vNKC*+tD;f||vHpRmon#LQy@o7IA!V9dS5 z&5?pa{czCck4R>pqGt&qY5c$B+^mPY`C%YTo5H<6vohnWWiT|Yoszslu9$pb>IC!nlX=Wb!=u?M&+ z1uw&_$C5SvFrN`MXM_N*!61BBkFVk8b%8Y6py2A(y50yh2|w)@fkA(Jk%&$N02qY_ zhFVw!gg2npX-U~O6gFYZ(lGe}V>;JK=`VS8APa`{xGcmUhS*P_YiGuK;#OODkOAIkc&Pf$ILBAN`spy$fjN)`QQ6Os z=W}gzjZKwYES!tF*%&7s6q?{n<-iJ8t8NHA16FvlHFb7X8cSplrhZoaFAcKcdsf}O zl(hLjGeS;aMuYG$bEULYV7WPPE9)VDQbMbXRjbh(oPcJ@J_%M28#_hn_0D!{4VBLeCA}*n2Iv}+{dBEgWh@_2qZwqo`t4%WNqJy=kI`RwbXN+eC*~wGc+hmw z=La^l%cGGUc*L_fUQ%p`#COo#T`swF^7*p#(~8|emdx2LH(oZsxE*U9g^_ujVj}J{ zkEgl|QzMiRVc~C{S=iZV9Q%@4?Aamd@`fI<%(M{093 z#+u8gBP5GTBQ~v-GVwj^5=ld;Eg85l@?aagRZ?HfBK(v}Cn7dVm@uPoXCB8#`7Erl zptMS?YwsLb=SNTZen3}{X;f(Sx6VgXw)xk;$pta;S-GKv588L?EzDrW+_=VmnKaA! zM2eL5<({JriJf}X7q_ty&ArgRNN-XP)0WnD+Ob+aqet2DIrj32xhl^no``p_=X$K= z%^(*&T{7REm)l0A?*><|0XB*P=lrG50iHzvSEZKm>(kAj7{|LR)|vBCB~{U?JPU4t z^CUqNruEqR+G1U`#USReHQrv{dT=>;iC))zfZ9zRJK8-7St;1$ln&27v4z! zK;7K1{O>!8w}~$X%kOGQ`pWqqsf&iB&4)@J1CnFFEjG};)2#$_G*akzkwZb}aQx9? znXRf&t{qg&52-QP^k&VWrer+g6#S`EHhc@%Qm?LLe=fXJbv&>D>Pf zfb*@w{U-)3e)#<35RQ8$L%JlzIp%ahN(jjy=gdk+14dI%soxc30iT5+IGKs$JhHo# zQ4r=GEV%anfnF@@z#|1dL6{9NVRaFxb&M6h{x*D#Jn(SJAU|HEDZpd#xJExs#2&V6XK_Bs!Se-TbD|+14;nR zs{BesbS4);W#bn=SP_Wb9Fo~I?wq(S%XXHAX+<%r*_XA+cPHey7@jjekCm7XPKpFu zv69Vj7v=BVdC7z%uJ?yX+W*pc#svg01mXU!h6*mvJmnAzkDhGd6Rpt%6K|V*7WB^@ z^AtQyS~daik|dSj(ZjrV6}(AlxVS^AkF)WYPbpr`*9>g9GIwXbl&m?0A=V8vtolUA z;*&~2V1s{f$zBzh<({1*#3;UplZWuLpm$A#=h6(tCliT*B;V?F%A*lvw!yM+ay*Zm zmqdPWL(nw(oBtZVG9DMrVhk4N9K?_q(y4;(SVniqq5wl=`uh%Vl$$pw7-VfG;}>aA z-yEqUm$!^8sv{UNK73?~OUMaK0m7|AmngHC%nO4y>0sR5Gp0nY?Uf?V9YAnCh4W+hu0u$MC}Y0*XF%#l{H3V_0`MW zp|TeiZ|u}J^|`(C-P;sCRa;yCwi35Cwop6q=3X;#f5hHC^wPo(XeH-QJwJxyPy;S| z>RaW1W3g0J_4%1K!Grt8^Tw?;kdT=-M4J0rtzfm`4{uu`>Fh!T@i%8(n9J%=!wZGJJGJsr?DogJ|K1t_2jj_0dy)Ww;n5_vNj1tEs6 zi+tjEV8Gi=Z|JIV;)33e@7^}yTV`&O#_Yn6dnhx8L}s5tGh5W$oz#Tu>|O?=wW7a) zLN9gr`#roK3BO#vtkHytU9MBMr+I-b6tF%6caodPq&bsBasnE^?Xwad-sH%BkRKOa z9|wz5FIjmaBPbD>563I5WtH>D{Vc5iaz?6~;%2mGkp;~N76(kMXYynvK8wD=Bxa#= zi-vuv(ru!FD~hzj^em%$a1>m$6nrmgs+ed;yRX*ly@tZ}dkT5X+WkSc&w%6D0^cSc z`XLj#Nh@-dKoScUzwJh8LXt@AZi^(yX?urou&E>g?*&GtCw+M&`Fmq-od?{MNFSo; zIf>+bEDqdwl*Hd2aC%`5JinAnLqJS2A)pjNOd$~;jf28*EQHticj)mX;uCR3#-nvC zhnzT$o$y?s$R9=4B@D?Sbbm=EK)Em<$uE-tm8bZeMFzjbFDwwG>L6YA>x&|cPzPO* z1AEX-s6+=TQEqLCgZEwt6-K>&4PrR5Unh`Ur;rfElMtnlkeV7SYWgS+(CN9@vA|wYRnJvFPTg{kf#u+3I1rQAX%g_xSeoOCE1#=CA9hoscvIAiSnbWdVD@tULbOR&PYLys zuf8SD{PSWhnb%tDDBVbyCBO-HD(_$FC1*FVKV6Ne1HdJM!e0EH!5<4s-gOj{6oOAB zq6-VdPRQwGVV2=T;+kJNE&NZ>Osu9ia+JT&!Z%U{)Q3MbM&EfQwtbOq$^7x5;M`XT zIEdHZxZ8O=p)@<7Y~1{_dN*UoalI$On6JpbOCSxEo!N=cvJTUYgB1|IcCvBBx2nw8 zj_zN-df^LY5gid_WBa?>F9O4U-|QSM#`XJ*jFRz&zMY5|D>~_+jjrhjKzJUxhC#n< zVf*Z$lG*j@hs9@*Fl-rUi4IZ-;=`0lX>G__^%j%a4&G(cxf*f5^>4G5f3aEqQaY49 z({}tLgh+u@$JPppE5VvIZom!97;fHNS`Def>)ugm_k9RV$2*+w=wjamt z!v|?6X&^PTH{v3={X>guh1TWPM0;(Mt7(Q0)VEtM8g75wmj}u%B+BhClv@g7h#VbS zk?ItZo_}<)t7S~mG7-mPJi6sCpR@}^Hx}L_Je>a*WDoSEJ+7kt8sU=|kB+7?9#vw2 z_-<3N9H{ay^dkCZSfD&i*>0%v-j_S2^>(0WBczA;o>zjUStSVjhDi6OFEZKP2`dJ_ z5fHaYx5Rl#sl7uC)PdK|>(|8$Ke$D?MAzda$n*k2{e`t76%<_n04gspI_=Q zMkb*B&`$<0#)<(=X5GJ2TJL~@qo=2slCL9qXaaG(`rQ%az``V4(Tx}vtm+bInPn^r zGTJo0L3eQNkZ65bQ>+L0*&Qy@33SL5q zvssPsJ51@sv^O&aG^oai9D!Tp;+{|RhP!lraMmp-jQZ8?A;e{}ry$xjSRWiPTpq;bw1ylEVOt>|kV zfDQQmXOutRD2TOl-CXFdPwQo2dO-gG=y}KD2sQh^WvkBtf~e3D28%?z($+ZErQRI~ zMyIY}C9;iKQRQEkLis7BVlr<3XeH52BN)4U6G0+>4eFRb5?dtYoi*G8B-Po6s7aF9 z((|XrpFD=1d@^Za#(r2zAqXW@0Im(KD9A3e0eWyA@E$+2vFEomv>?#?NB- z*JSutMB>hp+WZEe-9({_rd_}B_-ZK8bN3bFUT+TYV@-{$YZGKA0w!3XKIiK@>Aok5 z130qq%zFU*YaaaTU-&kK&`oj?UBr^2cOWr}Lv8pfOz>`t)Grddgpf|9P;bFd@86-` zD9966iE~HYiLj4H(xo-V!anS1=K?Hw-KOGP0e{uj6o$#&c{TM;K{EQnDif*Hn#04T zL92>ZX}M_c@R&0F-+ zbYfw%cz*B^xJT3te90~n1xVS23PgkpM27c(fJQIa4t=YHZqh6}rG&R?iEfgSeo>NM zVW2!mgunk91Sj1WLb;6#cUKqX1q+^SkNqP|h=vjy8BS&VD;qt8m!32m1!d+>c$FXw zKMxL%@tMdy8$1o-ov?*Lcb=cci|T0e?ic^FAQENu*;3N6S_&-!Le)ev-35{PXo}vF z{3MoUuN1tu)T(BHf+5=crkjf$A5u(}uF{ryt;l<|A7qe{n){GtChrwQf-A?nUak-& zdN&9Fc&5vtsk6}I35=++qAbS?#f{O^-KzDRYHyH($%~#uQix;h^n8xRwYM7G4UO*s zP1F>9DuSOx>J_6s{(*2YFpQfT)CHGI@fRl*@ur`I(AeW93x8_FFiTgaZbl#5kO4e2GVdW4UOOB1ks)`!>%*h=sAy#+05x=h14OdsJcH1% z4{Tsv{!Yu0eJ7b@=P!xcM4XlJ=(F*t3(J296bk2#49Bqmz6}<+sq%~fd+>k;n77Q# zA}|yF-lTm*&vK}WmOLPxNxCX4CvRH{OgUE2q|oNf+{VR(edeAe~q zF;Jj>^=j)E7>(O786{vjjP<|ae;5q&&Io z3iW3XWl6!)3y*~v8z4=@iStTuIYI%-+i~u-=Rb^j&t}a6u+4@aF~b$+wCItkdU+vW zW+*M>#(W^DsMRs}-f`MLu*1nC13ewphJx*T#wC9Vw#bK1#G#Y|(2Ge$swqBrB^g?9 zTmZY&$NxU2c$djr+R`<%0qE(J)8Xhtyvwxdl794=srE#kg!tNdBVEJUQ)-!%B6}1< zvOFGe;x^R9#R7Y&^z(7w9Oa|2uZnH0ccX;%SPfw_XkX$8wSw3irV^32p;%58cxQCq zXm&LhGEHZ8*cuHC7lxNAfH+TEBa~Dvo#@O9*hq*e6Wmig*W6Q6C|SopbW7TPo8pEI zAb~JN)Bd5?{&V)wE%pXN*46X(IDEx@dW_pADn*QOqyK@&{^Mb7jDDAg)nnGx$GnZ3 zD4M+|ne%6mM?>dvMq^=P#DWC@)t+R+OS2<@`zVc<#?G*zu%zJn@s#M*BVbB%*?Q#k zy*tOOqq>dqJH9HxTV8K1*6ht}6UmP4&=2e`?5|nnMdCi;ZVyyvjLnN~0bz7snd*|0 z3Bu!9y~cr>*P|f`Mm?-k{`hy8!t+o4Z;aOlWWVv;i+0t(FN@ikN8|l5JZKS8c75sN z>W$)K<>?Om4d18*)Duksq9gHpPL+`H18JO}a(E4+UYv@yJyA#bZ>JyhC?4tA?7lEZ zdqzjl)(KqFeh7``xOeC}Ms;?DwQ8VvGHCBqay59J*m{AgUtHsn0ta(V*>M#wVeZT` zO^F4sVV=&}jFKbc(tGURXE2{MjNcrL%Wt~^vK4nPnT-RG-6cpST%+aB{JfR<9(N^4 z#oFCDf%o5yhm*k!E;vmseG%pzS#x%tm5Oe5^t=b3Gg_=GbSqjxRLQK5#}vYtJ49D| zoUzu|L29IxtdZF%KtaBi7QORFnJ&h=c7=1LZ#WQ;?{9a|LNzOEbQSb&G9<0>iq0Gt zp(^x0=*qu|61TIucz8@s`X=e>KkSu%piBRNz>^9jC6QpF3=~epMxfM8&y5gLK&3F3 zCngc|9yEUu%7-E<9ULTrV)C3$Yir1|$)ngjoW9(A&vKf1-*j2)vSu=}UZ~OH55uYm zNRy=SkxA`lath6vcC1ohx88YdO>wfQYcKG*Z*KxtXrW!K6WIx~;NBZ%V)kp=$+pI$ zPPnKnu8>{e-Bv{0TD39=tc-J&-z<2#f=Hi?qynBtl$+o;SVGPo8LtAdPu?yZkE<)J zikXSCpNHI+pT-g@EOK}>5xL%Uw=p^D&pyHR!}%RU&d-w9dX{0EB@C=Txyn9ZJGyOSo3A@rtMOmH;rLTu`e5{901Cu2SDtw5xP5AFK*HiV?17MH_eaab&( zUMmgnHrhoBa!ZD%0ajZtH1yC4 zrCuKO5+FfTnY`h33l}9n5)FPzqhnV5wwpnlYw^z*jOn{xaZ}0pMT#C8&eC2bO(i$^ z_IknEs)A_?>GI1$ANHnqj|&J@DgNV`U&6u|05bo5lr2uYPJ{$=G;=N)#^bSb>`Bs$ ziFTfsq_rdZ=PyY}dedT2w8y_RWRE?BvCijrgL=&`Z*!p@B4J#2t36)!yEUiL@~-BYH%*bw*XCO!ySUoJ_Gha@bx_5+|z}yg}|5Cs}P@+kis2#TDTF zxTNaD${_i7KO)$sDlKnid)@E$$9`eDgOdID_qO)N!2i?y0jxcI6T>a}gn0!CnGJCcb4YTZVk3HO_`yJp3nxnX5dPYtn1ul^w8BeOi^@|_h^&ZJ`)$m2#xYP6BFEyc;11owR;t1j&QMg_Zy z0?7fvDpQ;fyIJU2gK|;OvEty8NkXWFs3t?Nd18@7T;9S>{cywmAW#>w+0q- zuY&m8uEp0EJ{G&SBlSf%JrwACubIkBFYO^#huPviO`r@sH@&6__=D+U2mY3x#DMPS z7*-BW8a`Vl+VmdLtQbYLcK=@Nh!J_|a@_J+5vSXn(mne}W^Jvl#gtOmh+U;gI`+ae zb-cB!(oX=Ly*T4Jh?PlT^Nwpl;ued4{dJgS7T(6VHT^mQBb$glBDXF7_yMbz;&EnL i067VSoJN=yLz$Yg@kc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*JiS00000004vo00000 z006K700000005c<00000004La>{Ux|)G!e4f&?NU1Q!m7!<7CX;<1e5?BmehxIv`IW6%5ZjAu5R&3@y*)_kqjT7YCh z$`6W`Qkgkpb#2|k-7nPo>9xP0)-yaB2*NAPG_1q85@t#rJ+?(bRJE!IBg995h+OQD+O9+=87l^?x+!WBr_TY!_f#zqKrU6ZzEV3 zY1bM=R3NP>t$~bjsv%RdKn|W}fDRy&issUFOR&L2!fop4lwKkdP%4=#QWWk;Vl1q% zyiU*?+g$nxh}JS;#LyH{nb?8~<0Vm#3G`CR7oAi}}ePIRJJ>4agBD@vX7_8j*iUM*e?h2sX^rRIt-M(Ob; zWyx7WCY%DUwFk1axj?85!4)%8gcijL=5uXh4#Yq;zkGZYG4VPvEzw|Uu|j-N1gp^c ztMiy^D2T8bNsLv9<)oVD;VvDm)JH*sg~Jx>fLM$~vCj^Brj23~YoyX$fDxwz9V(h( zg)|rkD=98gs{_rfs%tK#hA^(XL2K<1cEg1<*c=5(luRh+%LjGWwThb}UZP}T$-C!x z{=0c%AZ)f#Sx4EiO%kk&%yQ`9I1zA6ClK`^j`w@UG0z1P7KOaB(k&u)h_>TXoxxM-ajI6}&|!N50m)q8lOS*Z|HH zoROk=5!{G59}MFoFZBeM3t4tJy`&tDgkh$-S=vp&V^T2Q%tr^$aPV$748yUv_qeuq z9n!avv$Nx+?k}X4Xghv?`wsvB0RR7Z0pez0WDsCrV2CobOa@X6+&~=U;uIg`8sHxk z0uo_h0%B$c3q}S8yO=;tAjKdF#2`h%p}xM3L6Pwxj!r(V@%~O;uFeoGtUv{93<5y4 zy}1d$L0W{MTHGB&-CcvBY8lxX9Dw3x8+YvoQVbG63^Ep~#>FwjG2Ye3)z{SzVJa(w z0#H@#j)$-KfHce@5C;T@1bOKA0nn9UY?i(t zHG)74atN}&7+Dx3fJ*or9VdhQ15@JT>h9R+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR+5-&%fdycafuR6Oe}&Q&jKBT^LA}En z2G*V)o<9z04r%k89Q=~v;<6?-Rj*L@5u2IDQV3H0|9=HzQd&aFgM@^H4x=qTY(YGC z9Aut65|Mu*^*~;PNhmRa>9MfGr5nd}X9;?6Ga7nKoV~xlJ3C;{{>jr<8$0P5ALd)| SXUS~$$_9};4joEv5JLfTB25DT literal 0 HcmV?d00001 diff --git a/cpld/db/RAM2E.pre_map.hdb b/cpld/db/RAM2E.pre_map.hdb new file mode 100755 index 0000000000000000000000000000000000000000..05c830d47fe44cc7f8a33130e58d61d52db5790a GIT binary patch literal 13605 zcmZvDWl$Zkx-ITSiWO($?gffF6xZTVVB_w^-QC^YedF%z;_mM54zK5(_vgO36ItJ6 zl9goQ`4S8W2#6|V$bY`$KO^!Fe;GSCn%mltva&O=FtL(~IGbAmN!i%hNLe_US=pG` zSXkLfRg4{6%#Dmm6%C9mNm)r%q)F9{4N1j;=1%`ore^+!4gw;X>Hh*mHQIlX^80`B z59FB_Vj`t@(!=QxEIJHO38&5s#<(#^um9owEUvDsy9CcN~^6R4;K449iPBDb>sv?b!EeP^h6B${FU-A5lNVFCn7Y>cR@$xU}~ zUv@@zT(1*6ZnR+F;k9;T@w#5D`PsrA4?dx)y=qy$k~I(w)axVtz}n=$^1^u|>3K*~ z{4=#gN6qy%6$8Mpp#Xr*3>b#yrWdv z4WjXtU{i!dwj_q8{o4BJH=UucZd)8avDu&8ZNFgn|4)ESfhVrw3wQSIRO)cKzdq%x z`Qhl12^^n!H??Rzqs#x~fHl6}8h`qjypL0lTo z!xoow5bT%tJzcP{k-~;-QL7)4iqL%XLGkc^BxccGz784rHO2xq-;IWE7R{>V|64D{ zYKahuvRT3@hv3&{>6{gZ9mR9O6axx<9h;`1eS*3iw2d!3z(*Arr ztoC$&@$R(lm4qr@#l1fP(Z4)8tul?)EOJE&V39oZ8wEQQ?*0K~BrUTx$jSMMte)3v zW)D9H^w12JtJ*A96$@Js$FUy>r)C@3!O@OZck^Wqi?x+$lo1P@=GR_WY3BMqcX^T! z|FpZFhEvBQNpna#SLs>oDA7K!hHaw(ja*85J{4Fo$K&HhUIoppuI+uU<){w+9q`^iN#zni$C@#ANWoCB4Qh@3+Lt>k0Y!-r+_CtBo#>AQ=Y znS$Y_qvpi!Ch)}cGaaRS8uYh(_tFm|u31No4!(Gg{lV)HEidFWN03kd$@(*7xKh!h zx^88EtSTuD!)MNz=f2?VI5DTh$6*6K8zAfYZs1X_@BGH!yhR9&d23yLixW5?KEXzr z&6+Uq#YNR?Nlz7xq_|gJ7fQ7Fyjv#Q>9+Tw&B^bJl>BRP)89pb38LsAKnl3XWZ{MiGHF=_eV zvuoWy6!j)^;3;L{m)*|so4!0{C>2*{3#zJ5!mAVUlju0#dD_iS-}b6W{=Wc94}sUw zn+}$vNc;sG@6O5K7?qw(A~^6V611J$U3zBQ2?F$-n&da%if9%Hbs__ZYMwp_9m;-R zqY)VSQF+?$sgA(xqjJ;~!<{9mVl5w9&LV>(a|cPk%nccuALIifwUVJZld%ZZ|K?y2G-#E zn?4cMC=Rxb%HXy_?yVM~$3XsxowQ!dEvj_HweO(YxMiSqxL_u;9x6_Je+>m#Ct(D` zMU8<5E0rd|A3{H=(eO@5e?@Zp$4iQ0>=E#gk|=5EBGDypX%^tZ*#%=)(d`(geg%P- z1(h|uGNbFYF8afPSqO2o+Sc%g5M&pfdFz}B&3RC*F_W<0FN}i7-thmhDjVKZ3VdN< zEQWq=Oh!;=y$T*upjJsmAIWpi>GLL&i5LAcvrQn~%0UUs3)#g3%VUJ!$~QUU1E)-1 z5G4nq2@{9>cG#p*UeDKT&Q%}=-ggnS6pRb<_mxbkO))|XbNkL;t*TdsF!Y}~BW|db z_TX0QHk*5nC)XNADX;o=tBRJC`ahQxkCt;!kyiYZl527sWMQ+EVGr098*^hY&GnJ7 zS_)ye%xP7GBY=>iGSm}~EF4C~=WLKl7|c@Q4MI~X$G$fuvq(4>C?MaNm7kXRdpwuWAE=}SUB3m~z*C)rBs_zD84COl<6*N-} zwe4xL{A8mSxucM;&*brEhtUXU4{+M@1S5RW!`zs6_8}7OA=0yAQ4fwy60gr%1@D&GN)6>o-*C!bLblx(5C?8rIqAv{ykH zLz6x4R9K19kdF=n%uW&jyhe4hYh;CcoJme3Tr=IV)El>K%zPR3E!4CQB)dQchpF8o z*%g!M-~F)ge`eDF3surMrx&=ImF>g!aayMpd;;EWB}4e!?hS6Ks+qXs zg-7UFWOj86I4v6H$Y%>bB)>ey8p=18vYT)Y8U>cY^;`h@vI7m}ruk7(&X-54R1iBPWY>a?yq{ zr=mfl+lMX*{pTLH>E;&4Gn27cGIf;h-+tCTrNtZCul5zGo--3~q`0EZ>EzWkZ6_~% zC*Z1N>PvTcg=wX78NmcY+nr}tRV?tD`p?ZJ>gcvFAcLJ%H)3nuJU#msMMi9{2j#bT zqR==&Q!);bHjI?*RakAESorkm2k5;IQVV-d-~snZ=Aa=;Lru<<UH>_7K%^H0Bm35c` z7P4Kevkqwig2*i6Ld+A%$n(6sZv2$fO1Ikr+!mEOQ9&I9x>m$2`pv|4zp%7LzgWTI zU$jwQM&PF{fA|=F@n@^-59hIqG2$j{IY!5oKEc_kmANj<+GKZ zeztLbLoq~{$pY(YWWx%-i^O^26gt2SizcC}JVQBjo%i)yKq4&rCMVuENB?jhg+*-G zHW#%?(Fy68MHJQH5pCX~(N?vc1N)S>HPzuSmWhE~3)h%afHvLviMeD&K9yYWf}GLI zjumwEl*Wp2yY2i6c}VqqXXTofFxS3EsNine0 zL|xu%c4h&m`WUHxezo#J+*mXOZ~P@S@DW6IBc8MpaQs~DiG+5<1?}~oPPjN2C)9{+ zv8K50-0X#YnA&y_YA5I)j)uszm9^QxeZlQfY2+0mfIfFzx=Ew5+8KCQylL5=Dt;2|d~O2p)WU^%kxrM4wX)K1Z+bP6 zLO&6Gob+tf)+BraiqO0L_yLXA?G^kzPnLzj@Ort#TP+#}BukjP`!)UcG__vBf9*My zqIUS84ykX1GL3W+WX69gwZYxgbNtYqRX;C{`rz&GW7`{H}k@e&v zw;xBIzVx^3>^gq=1QN-yZ3(95}8gZYx?suPVC zlP(;Wd(4ziWL=>kw^X8NX81{u!`70?GS%$$YE&b>L~P2NTf%RjW#3LfCiiZ)6RWk} z^2Q-`Fj^Fl#^rf#Ta~IG2~)m;N^D~qi?_`Te8)>M*Mw8CG@1Lq9FccX&>@$4yc(!es`Bza8x)oFtA>X7#x<7rp)~h`BQBx1nXR zVy`zR09{1{{e+p1(r4mW#ESS%)`i2#uQ}6GM&#AE9UVc(xIE?MU*+dV@MD!+!6o1c zvdHVcME(*D9r9{8HIcZpiF1Bd-dY_BGq|tr zlDE}s<~tLW=ij1xfU$#pk-RDtQnd26J#U#pl`MQZqV}M=lMVwNvh`LcndYmA&j-_@ z>HX5gFY=rSb-EI?y`@pxies}~vNR@wGTlGDwEC0o=k(>a<;L|{-r+8L&C18ALGKV0 zS^iFLoO-kS3MM=By44q*NR4(@jL$KMZylygRV4gAB{Q8~ubnOK3POph`bgkX@q)_j z6gyAr0kHx>F)!gL*`h<)D`fA-t|XVyLDT0QFIami zL7a)S$X>~l>Z-pusB4-lOS^J%d;3;p>p{5J*hxVj47MI|f7kOE?!fP9w_n}q7*^x7 zaaO!(`kDW=d*nyT<*EB_Q7H4={v)h=`71AU6$L0^rlEA*nE&=&nZi+h_`+$46x`uJ zJ<%o8q!c%aZ@j;2^?0p$iK=lKO6k1XR8ZM58NTzPTnWk>}RWHY%|;`^{>AHEw)E%4&WxgLs0>(5nlW2S~*9&_NiOhM02t<&~u&- zGXR@Jt!;tE&mW#$i9zp|dpg~LU0n=|Ie^UhPm zo?WFxA_VorbQbbyd247uE8q_|(GROvt}*IM5|H+i%cij-+*_Ek&?nXY^T%N-VKlfZ ztxM*kP-kb7*(b006ZlSjE%PDwa%hT&1L>1rxI<2>ucH1b_tbC-6G`&3`;de2hOT^yTpTNRbG>I=tsx$=sBbc-HTN9jiX8D{`tdKb|->-wQtu znSJVCJ`;X_ripkS3RVCHbAX>fFy`m{A<55AF>mkBES`^;1CRolPs5bJ^UKed$;=zH zgO!{k*N@0XLGv8XPr=Q9(GOR-mK{a~6Oshi-@-n$1E1bQ%)>SdKi(eFte&fH^`97s zH&wmO{@A+hGq_`gAs0fjj}17$ymOK@qr9IKev1Q?l1FG-!{se_$cahJIx3D~E%r6@ zvkeBKAa8yd!6Ih^jf5McQwOt&_DTqpiJ$iN<;uh8%Zy-ERO?UUV>TjVOB)pAd}GCS zFZ~gLlc^oy-qwl^08fE{sxgRkASD@DZV`1vdgz0uCRRf&gq7r$owH#;R*woSYh)#?#3-!z2L&az{Bf5K$B zX*Avnw-4lJA+LlmuKb$nvYAfycS#c0hQi+n&Ir##GHYPKmoJ6xzz__|fF=CQo{8+H z_IpJ`ZvNWx*=R;D^eTvRt~&KGo*(7^@I7Jye#IE43bzr=xbibs*I-&6=3F{r0eYpK zVP!MmfjHtpV2Y{H3^%}~Nn9Icg^NM!OQWq;qs>%xlUamN`$oP21hP$|8MUuVg185} zS+hnXmmjEPUcyJ5;C-}@2xd(H=Yn-h&u}^$&KfNOMAW#9V-0WC4LadQa_*SxWVW0@;(@$6%ym;wSTPOl@L8VHycWPqfb+3V=*bRSiePOLj*zVMII3#!e0O>E9((Ees@n z`P4GPag$)y=lzwSr&8zRS=?v{AHu|F6hT;$79eioo*lLaZN=Xu4Jfu3^jTrMNKm>P z7J$_%I`sc-@gnE3@F?B(SFB@G0*KE$+aL%e2vN~aGPGo;{+br7Y)i;>-;*B|4n#sq zY&@dIDfg0gN5?K7j}$#Z$anEmW_=0!KL0_=ABr?jB|!*Oyv3*&bgEQQ?PPO;PmhdT z21YCAvaby-jDbcFh@7PDvYKRrirwY8@c4n2If9|5QNPi4T>L)lPhG{~k(-D2T+CxA z7fDVhNpdEzDehcdZM!I$}MbKiAYsgiKq!h@(;2 zDdS6a&cp;7Sg4|t4(s>t@+*<>KaQ{@r&Ke|M+-n_Xqqam$-0u+egrItKM{2`U0 zz)w+Fk<8zPI_4gaKX+q;^wp?HBcdxJqsRR|R+82YTYh2p($QK;pr`g~_b6S`h@d)^ z>y731t%uXxlR&_1K!_X;pLHtKPXdL*@OQbPkU})AE!~Zlgwg7M6+eHS(BAAGikFjc z?W-KmPj=O<1&j=T4eBIMSrX{?kh>*~<&UJ37}R>^`5YrN<=dGzt;A3}_v;G$*_dBj z=F~YAmj@h8RwuhOIf#GD8h>ZKw}4P?`L;g4u$Xrdz9`bpV}!~C?Hp61@9aG}V0K%! zq~suHflTZ?uB`1?XU&>~%bmF9SEWt*t*R}-P=P^SeA5?2>g_3d=X&Q$+xzpV%mln0o|_lg z z@K-x`TE{D@Ry!JPZ)dv3D@>c+#Vsri=uhHm$0srM^vQ8(GJ3bl`_v?vyixW+;%~Kl~=`!vrU#18wzV(g*?25xA@3?%m)0BO~uD;yZQW z5!BKMxPqfvctlW2X=`=I-n|jfIerkJyBD?n(}63%m>-T9Zonf5U^g>erUacq1hzT- z(w@i4bY49!sx4{BUxctnUn=jH<9`nTOQcH#yC0y&s7hL_gIGER;TfK=nwF;7_L|uC zzTr7AkPgCiL8n6{PUCFn&T5YA2|N7&of?n8;niyfTR|2s<=z4Tml#1h49KlwSbdTL zu-*LRqNt$~7_O#uy3M8zPsm3UCmU4jR}?z2WPLkv;9tIrh9*E_t3H?C|jH3A8R z9k{VOCLiGpdoFl4g3tFbE7VD2uD2M7xi_7jf!oU@Q1+zUFYv)H<(+c6&|U%jo%33*fRnOfp z?r)+Z1P5ElB;WrENq5f-Ipzx3PD1S8n(B$qv(VR9_>8BjB*()ktha^4)h`Ps2l}`= zm-4Eaon>x?dC=R5JgGr$ug3+abo4m5=mtgGP>^fh3L23OtB6jZHy#g6@FM3|F<{`J%E1XJE+4-Y)*h5|ZpZy3JU_6n7k!evwB7fFD} z(b!kx5ooqO1-89Owmnv%a3j+ahQO~dlbtB&4;cr=h}E=v#a_N~3RDkK6xdZ}oomny zBrN_jui#i{;D{gFo}CakKFWM~Cx1U|K`%yqr8+p4+<3$iD3yTnLpu0x96%BryEqnW z1RSYj+jC~yyJy?u7P_L)|zIoZ!{OLa#x-uP0l@FBKUir zKxz|+?unGRPDTa_4~>BUI1R^yiwIDW2{5TUmZ3aL;@!~V-Du+7FthD#8jtLng`?^Wqm9^ zWE|D7huiOmhn}-tzvV^fTL-ki-o&Zz{4DSgyO@BQs{x{;Fy0YNR~EY`tie$Ljj3YW zZeYy;R$zy8Fd>~NY62hxx(_hWO6&;(!7=Q0XBlt#gYN6j!{{jxFgzOD9S67!SN(Z$ z=x<+kmyHm&h8s^eGyzMt^Hnp>b|lskIP!10;K6g~L}`VL-Kp(T!nt9@b4WmG)eK&P zlBxqWkBQj82Obh$?>q9M-hB|&S6+_B=0Nso-*MIG^ST@?%xkrDb|SN&##Ml9mNf!B zq^hqK3B&)`{**cGh&DQNzxyx{$R&r!zj%k1i|}x_OkdpS!e=Q}sq)+8M$*P*Zq7qQ>+!wGku`e7$;fE0@`BD{w}R$@}<$p0>EQNab*~r@}-rT~W`) zSIhix?<{lIfl)JM^U+apxlKSm~G9mT`y2*^Z9fUKv4Ps{O(L ziP3ZXEdECfCS&c+O%ZLtwPmG*sqT^SbZ};6jOh{K12)$Yzc2Pf9OIf2<656=2P}jj z(5@G}Mj`4ACso($Ix6B#E_#s+c!U&Di!V{BO^|*xs`+*efqtiL+2AP>ocZ$y9lEcrUK<3ji$!1<{o4et4z z!hyazY+{mYicEp(v(asA=}yr`;Z|E&ghb4DuzFgg)(LQT{2U6i-}Oa^XGJIef)yhI zwnvt^?1^WE`SmhX2@#~k1E&!k3Qlo(jBaAkSt>@JTR?xofuJP6F3DxmH?OmWr6^H@ z*40zGr2Q*Q;VZ3H4d-K2-gw@_(YGaQ+)l zUSeS!Liyd+hO129l3|P{w7XCqN|LRdLv?;uO9gr~;rJ{tJ-tC;_zC*q0zD~6FwFSr zlYB-bDSXEyZ!v}dw?;xzj!`ilznR8sMyDPyh4#ipzZeUoF%PgDsh|Sj_I$vxlM(lx z!Zx}2sYc^7=kiOz$XsrApF%T;r%zx&28UroIb0NtX3ag zD9;$AnYoV($&2o@(EfQ73V!)=x6xdMCB;|Wu@x_rq{`IK&VjV)aGyiaj;@9B!o2SS z{!1mL!OM>j@w15%Z#HFV}QSD{QCgv54XtYugFJPEbtpxZZ_y*PxWbJu9WyIDf$ZX(5LOZm9BUNmBoOllHD6OKw9Z2%@536}( zJ1iJ=cnH0=xDg^7^vKV-D9?c?&zUIC%tC|}Dnp77#>{g*9(i3mK`-UFCzg7p;I&dx z)*A<|G47R4;womCo*v4efZ)JyV_pN z>xL#nLu-qP$pc@DV=H`xY+ZBG)&n84=#k#P^9^V?3S!=CZ-r-UyOQlZlI@@g z3GL>~T>B&f9z*Zf=*ccHF&-mA;l&1W{#o&X0J0rsvK;`%b#3S$F)==*z^S&FFcD&0 z42958YGd&aIwUtOF+Os#Y;25GKXgwQs9z)D?FT=Pl+X4Ut#8vag5hUVL?V5<4)Bi* z2AsRfjCwOxc+=C%!O08+#O4+@K1M2*j$o0*eJTOUv&x>WrEtDQ-F}%#qmmkJ!LDVR zp`sYzWz2}fk@TcSRKPGlP2oE74%gIg>ZGnf!DWpOUVI%+sUH4fnW z9sAM{5ep|%Uye?TyL-TpR+jnXb{CsJJV-sOp8nf81SrX+E&l#CuM34jEjq}I_Y{kJ z(l3PV@f<;uT%xBALFrUN4@p~na*AO%LD;{d`asv3UST}K1swU#wx@;X5P;IE6znMz zyv87ElK^N2$MQlb&8GXsv94v$WLXdy9l~d~@b1%H#sdUX0NpTwmWCZkWiHa{)^>rm zKQ!lNYfOq-`r%RHB|sf}fiT%4IsCJQ-Kk))!Xh);5;r+AQ79+iw$Yj6}#47|Odk$Wq7p;o$R7>mpm^wLRq08d4{!DHZm}~f_z)`)4fPXYbTz7#;b6LB< zDR_D3#O}bobD?`dUw009x|2F;+gYLMgUPs+ymAD_P48IGkan1zwu3skHqBq*xK8&$ z0qN4irl610g+I%bdj9!6iZ290?vU3!RTAB5mj(O=;B~Rt^^0}W(M5{xeSm)2 zxH+4!&V^NZF6w-#*gx^|r~reltnt>ko}F8Ng25TwS&OTDeCEon5tHI2d=0WITy+A^ zqeldT=DyZF+;giXaovb@4OsSt`6R<)*t2S)N)V%b#`2DwiPwq5>vf zy`xKqzZPk&H=+2d6JXs>`*MEI6|klfm%6hE-&QN!zSzV>oD5oVs=*IQHN{l;vUcKX z=xl$1t9?%wUUbev;9=T;qbE;m84i9gbbuVvTvxbDeuov0+Pi`nszb6t+U3>=8*2RH zLE@Pr*=+AlBKeU>NDM9K4eD&Ic|JQQ$JvC;_5=}j`lL`Q%z8DW1(4L0y!n4$^L+*j zHQdIQ9N|ZZ%LR23YVazYU6OU$M1%gwwcbolPd|K0I8{;a=6HrkY7^tO)PQ3`k5ZlJ zd4??;GQIp>(?3)jKZP3SU-$^lcXbbYg?k!eB%EbOT`o9AGNs>j>hpi>CqDI58j+dz z)23K2%#J8n6VB%FU9`NF4?`y3R*nR*A5XhU9dmDmCD4}8*q&f#6<{s60x2?fC#yg7 z{M%fev!Y&Hym%EB4nIjCAU;3;Ko+W7T4AYSb*T`864l)VPyNHO!Wl~w1!y{XJzQPK zCIZqlfzQ3Usx+xs2Xn&F9F;!cJb@>$x9BvhEk ztfuif+^zXj+8EDia^Fs3NCX5Bd1Tt(K# z4Tb-XG&#R&`xx&aF~H4$f>zjdN;PfGHWA9i+me%Ob`ZMHdA7oPyW*59m{elfWePa; zWuGZ7F@3{~)#?A$=UMw46!7#N70!^AoAipopfE7cqEDENA35|9&&z;VP_j>`tEb)Q4ZhhV$S)dp;YfTex|Js2?;rJm>^<_N zWdM||Mm$L{@1VMG8?zYE=}^dp9^}pjx}Pf}p2rjh2RZp?4;@&C%#2O})04$SoQ6Zum4k;ai{k@p+qT+AB?BEWkDwFzBxU~7q!o4wdZ2tke zRkl#}1qUdPB>y%K(5|J0%!Ej@0Fmsg`Qv&$|L&E(1oKgqBv83DNz;EpX1;tDSRmCpPXE5sw{SK=D>$e?C;;` z7+{UUkd<=Xp=}T%fJLzS(IZc|ijcTm7vXR^cUf`Q@oN}SVMjS4?3(R{>aP}i z(Qa~U3FBMb3j22VZL^p-!+XPLmy|wShlav!UW^W`j3{mr3XB&|nJ{YYE1ItntZcx? zg}i@n-%6hncvZikdk4xF>%M|O$#%Tw@!B`Re_xh9KmQM+=BM8P literal 0 HcmV?d00001 diff --git a/cpld/db/RAM2E.pti_db_list.ddb b/cpld/db/RAM2E.pti_db_list.ddb new file mode 100755 index 0000000000000000000000000000000000000000..89aa9b430d177793e191e5a385085595d88cff2d GIT binary patch literal 191 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRasUkhfhGvWU=5}BL+J{}U;qFAuV+&L z@&Es?U`$F$NSTn3kRTBA^P&EPqzUYg8U)T)h%c{*cei&hzrNrH!}SFT=N|}!@1IcN QZ*N{dL5odIfeow~08m9Y0RR91 literal 0 HcmV?d00001 diff --git a/cpld/db/RAM2E.root_partition.map.reg_db.cdb b/cpld/db/RAM2E.root_partition.map.reg_db.cdb new file mode 100755 index 0000000000000000000000000000000000000000..1c5e7e3554eae855043ee538c558e450cf1d4c6c GIT binary patch literal 363 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRP5~MM0*4_K12f2UAjpQ&6^y_B|NmdF z#>UoG@9;}sz?kW#aN`gD31``s6f%Y_aG9`p{X}gKW{zfub_14X9)?cawoCIHO^g^$ zHZyqeS_>>!nWl0q{aIs+1IX0>|0@`iQWFw25)u*&G<|3CFOf)TxN-BSrrnLZhDS{A zoxOPeGQZ2O_O>I{zjpp?o?>9oxRHIroVBu+vjsi8nGBu63p`eAF0YxedUtw3N47vf zS7W1S$4p6;hLpeCt~g8(vs7@)XpDIHSW1TH`tcK7Vf)onZk8+vVv7{{`j1g@-oAN( q>-0FD_V5@tc=2&h-_bnf^kNPEqOV{1or7d#-aldqV*SPib|(OA#DIeU literal 0 HcmV?d00001 diff --git a/cpld/db/RAM2E.rpp.qmsg b/cpld/db/RAM2E.rpp.qmsg new file mode 100755 index 0000000..2052a01 --- /dev/null +++ b/cpld/db/RAM2E.rpp.qmsg @@ -0,0 +1,4 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1564962135442 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Netlist Viewers Preprocess Quartus II 32-bit " "Running Quartus II 32-bit Netlist Viewers Preprocess" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1564962135442 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Aug 04 19:42:15 2019 " "Processing started: Sun Aug 04 19:42:15 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1564962135442 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Netlist Viewers Preprocess" 0 -1 1564962135442 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_rpp RAM2E -c RAM2E --netlist_type=atom " "Command: quartus_rpp RAM2E -c RAM2E --netlist_type=atom" { } { } 0 0 "Command: %1!s!" 0 0 "Netlist Viewers Preprocess" 0 -1 1564962135442 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Netlist Viewers Preprocess 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Netlist Viewers Preprocess was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "201 " "Peak virtual memory: 201 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1564962135927 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Aug 04 19:42:15 2019 " "Processing ended: Sun Aug 04 19:42:15 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1564962135927 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1564962135927 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1564962135927 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Netlist Viewers Preprocess" 0 -1 1564962135927 ""} diff --git a/cpld/db/RAM2E.rtlv.hdb b/cpld/db/RAM2E.rtlv.hdb new file mode 100755 index 0000000000000000000000000000000000000000..f162610bf866f8f005c0a6e4a520926201150d71 GIT binary patch literal 13531 zcmZvC18`Q>k3wX3Up zo$hsN_pa`J(7?dJst~~c)sFv)@IO>Bc5pPewIN| z9BW;OP?Ru9{>xEp=4SGF<~C-6lOZ&hjEp4rp!=p{f4F$G+x6+oD-JI$E)EYwu1l}P z!8q-~NN(%-G~C5uBO)foVEc5r)#19QDddja4P5G8UKbiYhpIbI5sn^oCHBmL`Rb_k zqQxX)Z5|&(5@6l;%c??y75>f}c1v}Aq;|{M{2H+}{@wGS@01y{7>*hdxs2?JH{%Pm4fk`;+d;A+=Ve*}8gp7Rm=>Mi&7M^-9lenLG( zZ9x$Wms=hXA?E{I|9Eydd;P>R{*%J#nDfG`(S@rS<9@X2`Pi);(`b9U@hI6@?J(ZS zcw5r=(3j5r+jv{NWo(&hDQ|*GGp2%me6~yq&yy$_P%Ips%vW=3`imE=0NR5cwtT!h zX}l|UYvCFDRgc?CWG%{e09FwV@_asO@mg>I5($NsclQ4?T6wSKjz}vZzx(b#SjukI zI&XmvGL}o5`~+)IH=|e9C) zUE!QY&k4s|kj!?I+f!A8=pkM4MezrfFh-@~wdWyO6y$cL@?)}mEmWmCLZr4Zq#I)e z1Cw&m8nr6cuxm&hI1ML+KpQtKnsDE<;VMSw$86hpw18N%La_R80@tk;jMKmi{bUM< zG^x8O5j^WK1+xMR)DQ-_Om4tMOw9%|bea>0TJ$4%KFa>uYT3HoI^}R>!-fS-G5&H! z>*fCb!+pa)21>ex@pQ?W^7YASgJG;@g(Hd|llXDKFxa8+z^yqYX^pu7DB~x*aZ%5e zJ@PWpOFdMsWV2FLEM!3x$MQ!gHQUe*hGwj~hc|OXq`ge7jHt>hi5>w{E=61`l{x+v znKvHwH1ntcsT#l!IHKjL^{oL3v`lKkJIPzc?Ib;4i?7N3UZv`Phxq zw&%H1Gc44*yOlJihqIfxWGmN`p+&}RSJjc(DI;N5Q&zb-i?`!()p(gwL^5Nde;^Qp z881G=c*7HK_Nj^75Z&^zsSSDkE3Val!M|`y+;E$AJM*9``#z9hL0;yD@}&5o3@q+^ z*Kdr$j=o5o;4ot0Il2Ye*c8&F*p8aGERW@Ud%O7bsF?F9a7Mc*&UI8Ty4^Qp-0bFD z@Ui`HK>tc-UZ?do_slf+29DTyzKP}c5LGmOO4P_WQ2GeVI3&=BKV?0BTDE+lL_V5+ zxPZ)L4R%=6rw?|FPEEhk5qsvEC(946IzvY_t7(%!G%t}}J1@YKz1L$D5BQ#ouj^DS ziy1bR4z0E(0MC9*yL5?nB45_+-M#EIK|f32-)aFX*@ueg9vrMpLpy^@Zrg7_fBU#W z7?;G!+UPR+0oK(rsa#sbvxW~>QQmHo2fD_sSiJQD?~)&_A?z|hwd{w8HW=20Ps9z8 z512(X(#X%m-(@Ggv$g!%m5-x1*|KV8i7)Rk7WHCVSU zSL`dw^F6PEw`;7wNiy3v`AQpB6|HiHU09r*sVRj=Y@eLcm5_AJ@paozQSf1yXggB> z>|~N!IjJE#&GE%sucfh=b@2sIMjoGHjI~9PJUI_JTtXUP@g(EC_*zn>wUE5 ziuMpPBDQ9|7_^Cg(8^irA9rUM(wuju*^cj)x=D5-B3xFLX_J{kwYNwbOn1p@6Sa?r zFp)W~U%6MF;AYyV{o5W|QMf?HfZ}?zy2K!EhO8>Ct%Y#5f123#Oi9^HkN_WWnaRWn z6J*k`0UE0EfiM9CyRKltY!y5N3O$+e>Cf_M$l^N1EMxqSCIF(F9--Fuf)xzJ#-g-e z+kofbqC$AsF3XY?DW<8I%&%|#GPe`%(^fx0&nA4pzc=)}+1dUOEU5|Zu?Vdrka@o| zc@dSxH6@9p*fPYaGjK9E+4Gu39f;Ytu9Y`l}{Bocqw#=C1KI7YEIlMv?T89byN z=srEO{S*#zQCS?reJ$Sy!{Wsa=Y7(l=vF_yVju7$m&C;)O~s`++sJr zV39l4Ow#$4uE7SLFH(3WcM}OVyx|)sC}{l~TwOxSF>I_$xuHGT+&hi$9)t0xc9J@+ zcVdzeH@-ulDa%0XaDhxl9cb+Mftnu^S_z|QE;4k~n5onO{$RREjRyDfy6fV5T(72b zu_s2y6og5umx(TUtB#~D4Bb$66+L%xs@Gt6SrA!s>+{-PTR<)cM$vC$)wTv)g5ce> z=5326)E7au#?^v;Drg0fec}IMRWP`%!$iSxkDJuW>j5q1E%_o7M~`}z z0&zk9zTzphDTXLvAU3{gC7nXJ;s31p+qQCPZ%Wlpt+D4;bFD#?!iH~;60oGyKghIX ztekU}q~f2IQj^;t4V|R`{f9-aF*gRoTo(bewGevOoJLV7!U!BFMK%4z#A;Z40RWdr zWBeuh*v#FBY1xeHWSg{D2ZZ3Yv38&}t0?e)1YkF=$P0<5!E+bFyH!bcB+&<7>g zXIo=3%(f>oAKmd%iKJBb5&}S2yKw4 zP0Okv&vz}^D7JN3)2PP{=lRKs|PR4YJIZ|%XU4trmySjPo)hfqm{7cfIOfz}Y zNvrS?I7KAiEnpzux1scWkME7nFsnPxU>(|~(^B~?z9!}j11w@$CzwjRF0Q|!_wU(D zAY3tylt_N7Invq@Q#;V2QdM$cwH{EGK-l-EEBg6Vmhr%89kdZzg1wF4_g<<^#GOZH ze6Qt!ap=8#oCNRKpoBd?Hg$|!sxi#?K18$<0-rE2b3qxh@$`pnVR61FhmRWj`EdeFv-mD4m- zSs6`RyLT5(@>yvoss7pXb6`zS?ACrnR z<=Efkum>GA_$aBtdMgHc@hA7!UQlGrZV-#vdYrsZoGemZU4+-Hl5UV&gz9F!>g~P> z*PKpYlG#3%_MR1Lit%{aK2}5+txjKRZ&yCEA5Jhixo_At8v&z+Krb!PO#N~=mECe8YD z#6QFT$@1b?OE0+`c>-UscBAft9j`^S6`wQ9zVuCf2hzBvK3lms^%F*t=ste9Aay!e z9n?4ufMvJ{yc0cq_iN$YW+}ycPD0IsE*}W~j+w zwf-**HX~&)XQ|4qCM7+qjG(6+zU@iiPG*S*WiabB%0%;?SG4yR#3=uG>=g4<^2Pj_+%=KGwFAY( zpDNcc?~rcT{NL-uMZOW#56kk&Ge;o-c^Fja^6+l78Y<0!KC^olpVF$k@YT5mFGwQEx<@wFV~F2XKY9Asjc!?OvXk})6VEkl3bqyq)@Vd8=+INXy9 z^?F#(zI{pmphMw=%4Pb_-nv<-xZZk|3Nh)NbUh@Erf662&7%oB$Xf5;6b$=yjmk88 zZRN~q>DX9zZ(_m%cSq#KE(+<6st}lE%S9BiWZ{#;Avx%jjA^=oalL0i)OhH+TYx4c{wkJ)B#3|2FJ z{N}H3_Or`)Z-om4?-r3=MH1;^S*a_U8P!jWLVQ1w_+>a+zThW|dgXRv_9qwuqgZZY zB4xZaVH+Y}RK*?`2Od>-z3`gPrx4Mz;j&|RV@%m|+P(;qZevjX*URcrD?G4^6L7NU{3vc&9 zAKGB}SHF9Y*qW^Slj|hCKzHaE9}cXKY*Dwd5DyXbve}G-5~$|X{7lPWIbl>*VIN0UpEvBj!&~X1I)=+Ak+X}} zzl#usI!0PLlyQMet_bQRQ|tuFN$TJ9_T54@GR?v_x~%@U>XWjO;rjG}T%X zcb)23H?xSv`jkN#$z#tsdr$dd`oxZcc!vZn!%Mip=oC8X3 zM9KOCw2xNGg+3ETdwnZN;3m{ovNeY#z_Qa#cwt$8NCtbMTtd;$LQgL2uNir`#V76{ z=Xv$yzk>VZ8?9agTv`Qjm}DhLcWNY%pD`!`t^yHvw0uu+Qfw(Tb!v8~G;2@dg@3&e4UjojPTk_=>C$Qs{9Kj_M(_~+*PF|Ew zH1m$O3<<9@M(bo&y37BWTv2bybp9$eEy6}P6``oJiF1Bd-ew&l<3xYm6;GSjJR1Y0 z=VZ|Xsj-87(QhRP_-KVad!91cDq-04Z<<4@PFi%d2-dq^r0Q?NKA-$6rVp#rD&#p4 zs{q&+n$k+M-#WJH@?HY;oX6DrKWPy@)VCkW(ma6bCn+9)V~TqvwdS8Kbd4Xsf^~ay zsPR4+cUB&TrO zjQ*_^vf1dMopn-qV~N}%m#n!9`t0MUE-AH}PydIC>VgK{@!hLcYT~*aDndgQxs7PXop1%w!?^H>$E#6^Y z_#d!)=puDvQQ@*HL&V|?CqQZ{_zZ|Z)w zeEsz)y_7>)*%zac6jP0_w2GW6_0MN^^^d~OV@99) z*RKS#`;(FDfV(lN2bmx#CbR~Gl@({ZybnNP(m|I4ey>rD2o>+yQd ziR)Npqkwsi=hxNWqp+{Liwvu_!<@149Gg#(FKglV&wpEtt%8sDn{>11pLZPoh>@0f zV!Ull9j+WA(R~At-bg^*ccGrBQF>qxH;tGP-07%+N`_GBgAPXA+`VujV5sSODq5PE zbfEtU3@{WLNndY(H~2SiQm!^m)?&`RmZ=n@PZbp~RDQNnHw<+l9Hg*%LM0|0L}W3Y z4}H9xpKZe+G74y<$6GS=54T=K$B3R&?jQFBSzAY@V*58!R39PQ^1&gJHLec=8jA~I z%Bf#L!Ki5##t>M@WK~O)1Hu-$;rTg;27th}X1R~%W^`e3qzef09H=ZGpmBLo=NNoe zWaK1Rg|0!$94lq%TXY%wq)NHvopHYr>q8C2vLUTTPqc+8LBz({seOEZF>l)BuR7VK zrPx?XD4WT6uI<~Q4rylLCrMEy(K*@3(dT<{cCv+4JnWC(UutF*^uVt!>B!mT;lKl{ zWJN3C;&pDgbz=J_4Va$@`S?r4P-Kp*Nqof>Q~#C*P%K#yUH>N%Vu`&?@_|TRIW{il z#Pe34uDdOC$PrlOd$2i`Nv|)=CPDYXGfxEClehZ;RXEz!7x039DD*?+>;7ygRQ7W} zS+gPZ4M~&X;&qIexbHJ7b-?n%yf4lzW_SVHT6fk(qtQ!{r?)nAVg&mlDfeSAzYC%2r2yTg z#qQ@qeig*Ums(?k&>KetJN!Bhod&U)ZlhUOuD0>qG}wg{_Jz}Ib+Z{?Zr57=2cMa3 zli3y61t7xZAHPOpQLfie{*vHZx#$_p1$RU|4U6V^W)Z1O(-v_C{*~NHax^Am zZdOrIu|X!NBce-#O-2xu0|2bRNPE0I=f-Y2Rz)eoz{xU0lln}wba`n?BO_^OA^x$f zaE(MnHQbOz^0>uRCgY}D$a5rNmc8A-B)tZAPoQ;teQcS&lijiroq0qSLu*3FjY(?C z6$RVOO*#LoYW9ya~5S};-{Nk{jB%3)o zvK+CPx1@cHkxf!Lzn(2Jwu(Dob*vqgo?(geEUg+jrWs_t#Cgu(@;AWo<_!N#1#6U? zf$CeluXwDy5_L)ob1R7&Wpybw?HOT5E@`G6S~mIp1AtVt&1rM$CrWUn2oImwctV9; z?j`Atid8;U2|R(zckxqTehp(=`Xu2Cg-c1#p5*kBn?HidM*F z*&JRTZytpsbdt2oYLX5rcK^+R$7f{u^nLI_tQOY3L-4Ehp(8&aXv5HIz+?<9_1v&U|TW6NaK`9#z7zx0oG z!vhkABkiC1K%I?eOro(0rDT!icie!Zh7T!SJG3CFiY0H}GJ?z7zPsu_)6IJtj1KTZ zJ}ym-6-ht)PpmFu%2Xj^`-e`do4b*nRXefQm+&0z@Jc;A57MRFDaA5ohNI&x6*R9) zEtA*NBdjCJI(zdDk*#)M`plNLFcZkA;uQ}?oWe6li)Fl&ffgcWVU{s)VTGZwadS2e zPOE`I?0GCQC+wTW7JrGF?oa;LN9JA+6OrM{e3&vC{=DVHOJ|W&@goU0!}o+`BOXqF z@;`UH@yjcTjfA~)l4;2O`>cJBL$MbKsPo-mr2i9cpfmJ}s$k*FH3fg2feUM(pw7Gd z#x6q!HWHB(KBNlT@*%tqMgiuO376lT08f@5>B37?79SD&E=qwy0aQN_DTG&(G15Mi z+34fRM@8x@o>iCT+3uYgzd4h*Z4@J1k~j*z;*L|B)t30sb*IJnv90Klg<_*GmhUL$ zzw7zZQd-2p%Oxu$rzw;wMKLHvIFL%+8JQp9SU&Q`s&tD!kfoI3F6~iB2{dF?BquLv zly-_Wxp6fa=cVYp#+4a#b@I+#9)-CucCB~aTRd5eAT!puxUH@39lg2K$X#5AhXv`l z)8F5!mZ*wro*XR&=~NN^<}c)5+@q*iKv6F3A_9ZYkPqnO-8o%a{&(}!;<2=Pi8W)b zW4-mK$SWh|(OQSEW9g@YCW5AXOrW)Q_VukrNXjEZS7-Zdg{iCiOZURWaF9+tw)@yA zbz%`uNJ@vs$M?OE+j4vPe`(~SE`!=BMi_*o6)bn>Tswzk4z=4XCX4c&jin-#vlRl% zh{C-kPEQg69E9sm=EKtwui3!J|n%Wwd|e1*iL3nYn>vG*n} z`~Er{b8d033(eCTso?A(gy2ciX?UC;FIu5Cbp!~OWCb1<`Xp(w0lB~ds^|x?u>Mg^mwl#?!GDGF2ET$ z3;Gqzn_QEY_jVvyTf&2aK!=5yMh?_L7jlPH!AmNsAAukvlG)>PVRy-g6oluFQ zJ1bhDfjxM~2=pB%Vg&vMgUSh49F~Yf>@dW4rjI9$0H?V`sEH4HXB5;4byr1PNw=dT zD5uw)(;CzBXqv~x{<4g4^=oAR5!*`NilZQRu7)5 zNAy7mj!$@BNioIyS+UGzjJKSqYGJ*`z3i9wZokKC_pR$83UKHt7(U=y5W4z9m4y_3 zjTT{z64)H^(D@C{T){~`@NYRX*Nh`0Ot3B8J_tlinLTZPjSjZ<8ycg415#lV&K5j> z)9I;7z6lzmNJ4u32rG^ngMmYW)Z7RogqB8!FSgr;a3YKlB6n!T!-hnIt7j1^V5D#p1Z{{{ihI-whHXf9DsqcYqqlOT6UVtKfnr zWlSa&ACE2gFX`ayt6_;D!KUnqKhh61q9X!Zy>9>cH4Wg<)@U??Tsi)&O;3xqi%s~) zQ&3@eonu*OtFX%9Pr#ui;E)^d))~*C3-JYpWJupDfbK8@a43f7kbu~R7i(bMLxIqS zg_`X+m@;$0Is4W!R^Lqp^hSoWo!e=*|5ycWtXS+lKDzXBid3(4TRWm%dbbUe+f(m% zQP5W*aQ|*Rn|l*~@r?!#ZGS>xrN8)FWv=7#hTfa2GItFkBN?K1ji)<20vuxb${oZl z1@LKKhOK(aXF;QpwQ!l-tjJZ> zEDI=H5ISmuBcnSC&{|$I!w$CIzAM8HmY!JpV#1C&zrYpXzw76mihC_JaA#y>gkZ45 z^3bm#*tua=FKxwc#`^ZmC~Cv65LRirAwDn$j&}a(W{3-*%5fkK(@BEd^$V!2snN_o zj=~)(J2ysEJ+CDs+qV|{4qg_pRLzoJdlHIJ*GU<%l)5gr?jg4H<)<-+nRLWAkxX!? z^k^m?K#b?dG?>uY>uij?UDc(S%b0Fv_om7 z31-(%YjQM$-kK4b@7QxUok&alD_g+&EBHDwyDMGwzFm&uR!2qdLS!u5T|s7M>-vj} z)Q-vIKsgh24=nLJhjrPCGA1vpxVDL3%YtVupa$Q|Mug6UhJK-=30M?&VlP1LiZN8P`{!#@W2w zm=^`rBSg(VKR$FI>Yo+ncKD%~qbMWj67&Ts)0JQUqWP}$z30D>V$!)m1tUfXs zxKg+h3{h59U@Q3kr^X!b{af2sOWs2hwp8Y_h7P>hHNQ^=VvW<>ha817S6t@M}<&F_l_ z+o&kO3?4R-XbVO?bD3NX6MY%r%FT#ic{QIZ|f}bsjFNJC|B}Sw<&Vq;u zUmM`e(x3T|2Dna1h%fPoZQTBtle<0t0v7l?wm4t#R0|hL@YIfrAYw)jBhVWPj_RbV zDXD4u-dC^mjwRP@!Cw*SZyC)y<59Ucbm*dlH->(AabM3v?7C#JJdT>J%@X?M9?a593vmITa?Nc6+r9GfXp;hehwQ* zVk8%wNdx3(1a?FHs%uuA5bmY}R>zU*f(Nc(sysNw&=EUkBX}z(-?Ir8_I6A|-Z`U8 zKGbvXT%$TdG7Vi^%b?j8gtmTzBfYgrCf$iAZ5fT#G#<4E91huO)a^uLqKSuvRtds+ zcW7bWx~PwK7>|Y+jZztn`hf4NWdVZgXWa8XHxM4U7#EMb6e(aCEZ3L*=( z#>~7(!5DyCMp&C|^+1tM8Ieu`I33xuv%Ue4XSS17?v5Aa8!S2KvZ8_*%kn)n^jRy= zuBOt5U%1s4z6}QM-sLH6EedzGEq_*b02s;k#3J7VS>1}~%}Dl1LiPy<#mdl*`9+22 zP@*=YO}1}?W*-yUsw(oXF7hravPnhuNlSK#gN70s3M)DY6ojjKtEE0fL%S&rb(2;( zw3i=EAls)wyJ-wv{T8^}uuvYpyxF$;zABjcM?H$F?FELsosKOcgJZ zg$a0eAjpZ`qN<76_U2>d)5kv%^KFb^xXKc)usNyln)fx)gyZ z1JLOMMQ-4w6C25pvKN=|cb`suYg&&et*Iu!{|lE$cn$%GFU5#$62Y5fK$|4e7V!LH zHb`>AR=y&hCGO#A6kdCQq>4`M;CJERcX-t5up5=)b#?8gKD6#5BMI4_^rU@c0O!S5=;o1{Q*Sh$)7+pT8fw|47|mdFxTHkVJbiFVoG zcje$sl+io+n_W314)jOH3w0B-xN&|G1P{4jUQD6&D)L(SC0C~DqbS*7A@@;m=0YtJ z;5IFg2rN)MA;060KLir9l9uz;iy)LY0@1l<9B$8DSuWJqrYtdulw8i5c8MHVP2nXV zQRIMkYSrJ;)Yeec)K*nwJ=ecHgusK-=tO6szB#|SyuH4>9N%g3aMr4OvNFd9^z3i% zXs$1*U~g_*Us>pI=Py5IO7ZBRKIs%NC2EEYAm{VqtAX6;olR{|mHfS)lg1Mlq)5yQ zEzsy*X$fj7$x$QHcq{+-Svr{WiwAim8RXROdrZwe6*mvcW@nDE?fo3%jfUr0 zeQ?UoQaVOqzWoltnsrq;2SRR`B(Af3LMDPs8(Ava6|ve0x|))`+6LEPO441g=+UU& zZfR-noLo-s^GeW7$!P5C3|jzoO${VaCUrb(IgPVS6nbHtCFDeQ%V)x@!bAeQywG0= z(0C@$#5F#pt(jwI+_G4VGn8ijWOckW2G)N;I+kpscm;b-zWTG&%~Vw@u6M(@X;C5E zF9!)sm5LiO@yR{t`Tx<*`SY-qjlLAIW>td{Y-rCpp?^aN#~<4Rk2J*E#2mJ-MUOJSd9-8esYnnf9`}W zloWV%p7C-bx;@U7M$@cK=fI>XmgPvPu$E+^6Q?>$|FGsfs-JSle<_PHd`%c3OwoPd z>~+Y-YO|x{<3^z&gyppd9AO^GbmcoH_P<6)89BbE{k_5}^(a2GTI9I9;8oB0^7;3# z_t%#jc%iDL6{a#~w<1w%s(7698*b>IB`3xi-*VfcyE%f{#{Rw7E>A?>Kg@k z9O@5TbRklUNEi%ync2Zy>M(Rx>R41res8m9p?nzP(!oLEVzc{97DrPa&0Gp;hpY3= zyDi6=x6P(CNGlRUs{=;O9$%aazc>jBFPYSCCdc5MX@@F#HmjY7))YtcI(Nsn;wH=W z95b0pYoGFOEpsd!!{*?X4}SuG($p!bihA+YcVqRWPjn5)Y2=a>g?=K$Jkf*(3oDfx0~R9?7!$mnqDA*9M~=##V1I`cP2LWRoJ~si4o_- ztL6B%0B_6Oeb}^-RUIeiV0){pa{b~DR+2}*$2nTZ*!D=Gl6rBcxObgDGF}Aw8Q7s= zvWNdN?L2yJ1#+_VWwh&?`r-4UG#FnG?65}hi}yu#NZWu-OKW!LK~s@{z%{?!^(Et= z?5}X*h7Rre_BI5ip%<4U;Dp^TqF=EL=YRK(4)k-|QfBGjWuAsy)6ea8`un7RZJ+>u zXG(14kA<~JKvri;WtBG6? zNTJ8iT>=gn73J$kKu6H^hY^dmx*mb4cN!9xr^BY+SJw)F2xTDl)Xa^F$Afe$YecoX zLf}z8b5IPxZKNsK7+0$ApUmmz4=KEj#XM|O7(uUnJ2`iOTt=|Ccc@XAu>vdnn&68O zTqH#CgPF_xwZ>QZ(5}2w!orOA7_BD{HT?Y z8iVgQVTBqAScbl<$hz~nER!ICG@#ZakeC%c6aNbM3E)+La9o{9YcGi;Qop@vYYMFh*dZ%WwM<3od4A4U~Z;1r#W8@#KT z77CFM(k#S~sD>ZlFO;n7OhfA3&$2NRs(Q zvPRYB^spOK1!^1;jB`!O_U|c8XZyW;{CudQwxK{=q`Q|C_xfwYqY(`|b5_JgrX|%igaGsy-trTTyN^HZ5>wX{N5SKLWg0M|7}Swdz8$lO z!i*}-GeA6}VGnNB46w4va8x(v_;;pFnr`96F0@lM6n>{Nc(f-GxK5F=)c}Q>jC9_! zPq={jU((~_6nS|;o|h3!sXOx)+*+{0z4vg}Gc6!fDFsLTnds)eWe=y+~3#FARK((NY7U|fzujHr=tBYoyibck9hOK-2jWey;OR1a=3cckE z#n~f`OBW7TBi^YnzzI7iq{cVE5_)Y`;obJb{p$nIuWBbg^(-Zl=Y~WP{aRj*a3WL& zuf5oh9S_7Sam{YslIN>%?y zeb?p*;!y7SIj0;8VP0K&xkmAbGmEtGj|{K3yi(?^ZsN+%m%ZqjG~w``>zhlC7GD!H z@_{8!S-QLTQVFOjEH+a&>spDAyE~mQNiZ84;h#xL)Wc1mLfAuvVu?hIkrcJ>0{<0! F{tvCnbQ}Nx literal 0 HcmV?d00001 diff --git a/cpld/db/RAM2E.rtlv_sg.cdb b/cpld/db/RAM2E.rtlv_sg.cdb new file mode 100755 index 0000000000000000000000000000000000000000..88236f4f85c67998ac7cafebec57ab166e32cb3b GIT binary patch literal 9309 zcmXYX2Q(Z`(0C+4lte_LL??)PdUuzQ5dDV`(N4eUy#;qc5S{3vBoZZ2PVbyvqFf@; z%c(h>b~p}K{=V<~&%T|Ro!Qy)X5YMdyUTd(+O;Y=iYx4QRn)JjnUk-diW;^Z6X;^4&dpPhpnj}(uI4$mtmd!FZxF8)`R z_PDE2uE3Y?|ESZA|D)EA{*MMz>{`>b2s{sf9^ZW0BPYdIuA2J>|DV5n&Qr=u3MTJd z3THTFpBN9W}p1Q?S0#fah~}2H7coxKmn;WDo-%a)AW&B`*aTleBx;99(NF6 zQ%d26uU;)JjCQWKAMS*Qw_ltn!3vboF9WUs@%;*ZGZt|@v#I0%re-^lM$+Oy8poui zfr3xnDPAm|;K@(WgASg^p4Rr4dYey!w72i9LQ}bPKA9y?*Wl)*<>V+d9HZvlUw`k| z$>R0nK51uRKMQOZQZxe|&F`Hlc3H~*Jekh`ZSbpoiymsS${KN460PQ1@YDIzc_hNM z{S9k=CeOXovwm0OyfN``_NeTre=6&QZeu3Te6Fv5j9G*GB?qo|>Gzh#GKxwWtLkp_ z0jEVN9rPtRYLOMaH7iKsxXfY5bS8R*S9CRyjPs6uBiqsjJJw=C*WNcA=BN^vq10ng zk6r2yQ*JPH)N|C(+O{U%iJ26bcaqI}n8=g782wLScHMh}adyAENxbRCKMC_lPNso) zVFA61z}d$gzBUpjT4C(*fWO7BgQWsQ^DemML1;MtPZ937hY9Eg#=?ac__S1upshOW zrua98H;?rl;3~d8#~)LyI?8iLtg2W5a+7}tN;AHKqHRk~7^%5bAkj>=PiC-7lN1Ip;w$a_(EpwK%fEj#W>2+7^xAUFt=p zdaioCP&hm*EO@JMs9>3HUKN2*N-aI9({o^)<004EgsQ5W zW^OB9{8vhr7Y&svwiCTyQ->t)u~*qKr8x9u0^S@*R=>KTW14C93BcyXB%bQY`2Xu& z@zUkn#RD%CJ}~op6i2TEJ`F!OKBIdgbYOSPo(!04TNozUCJ###B_d*e#3gg-205A@ZXR~ zQ!srFD+R`XSnsP(y|B};Rm;eS5SEM;U3ravOYXJ9WjbBP%0Haeha`T0ZvAYZ#X6k1 z2##-Q%=tNu=~Y!cOp2~(q51SfKM?f6E9ExvlCYToj>$JP-BePEV!Ojlh;>)2cR68^ z)KmMB7ZFC87=s0OOWwB4l6_ZXh>y9O@W^6cW5t1E-M(`sK1nD)>|$OHlAM|^rfB$Co>AZpu3EE?{kpf#XGLg zZ{(-nVYwowt1xX=W>%P}nlhJs$_<{-1u}5UTH65DKkFP%#$OXNe31I@?6%8ORH}vM zv91y9R9K56cz8`U+3ypN26!M$!_Vid*K{Q)KrWAhNn1i&W=mkAF1yCPG`U8_e`qy0 zM)g*#0%$v7lUW7868>y$xp31F0@&MsuP48}s2t_S~vVGG=Py{g2ao z91o)f%>SZSRJDMWduxB>E0vulhS$>q;-;pDUN6c-JC|E9?eyf$CPmkT*-u)n3+4aH zZSuWu+4iqz>k*fo)nr8yf=-~leWnrqj5Ru7OJMvSg79>bdY0obPtY zr-}XtaINXEyODdRZUT{Be$&{rB9^p7Q?~<_;Rwhf#ojwJT-B<6(%F_$1iC5a_TxZe zZRsI*NSTIg!|!v7i&yuE;}`QU>`0H?6Ynn{75|o`e-Y?1dC+#-VA!rdWR~$vgP^%) zli}UbS)Uxg=}~fGp;xtNZtMA0>`55hv)X@#mvI-}rZ2@D-C?T|;PS<4DTHW}oe+`e z_tH90gg>3&vfMLE_n~#~?DKwh$sFAaCXmDpP0yTjQ~EzfJR0)Mq6|V0!$0%K8@HSP zH&6)daGCNP&#CyS_c^VTfB5VEnyOd7@Xo-3oai07qpi6LSmWkoAw~Hp23ozpd^Hn8 zC)H;OUcUgAQ$uTs7jfkaDFz9w`LrMBdXviFYH7``cIC?I3)KmqTSJ&}NL4G7`%(-# zqf80TfT7MNXw_H7J*%AsIhp0+4Y{SVAbsxVmj>#$zHj4C`OCQ$MoH_Zj15sIpvnU^ z=ir5^v$h+yp2ZFvH+X1$F zpN~5)v0Akv(t2{ZHf>(;mpqYSV&C(e{Wl9;gV%UHtK`*wIr;}ZqWzN3T@sXAcO%6u z{bF?8^Y=uKA17yFf3Bdul>Sox$R8LM>i>AgzpeAcI($Ktg+<4IQTjuBS%c+PYjw5+ z;74{fboOLES)V$H-=x8X+Y9?fQrT@J;u2uvYTwD7b^chaFR2Mf%|u8M}qcQ zfy0lC(`{DX@C5c&P3m(c;J#bR#GIN$N#ABK&Ks;WF>0(iK)754BL?Flp615vY3n;M zU%UNRHoT*Coz6t+&tJ9}t84T&YEtdVg6CWti+YRSnxBd|7e)Tjt*P}FdotL!Bh|VS zqC^TzH!V|VP8nk#UDI}Pc&!Te5KAZjtF3ciQJ$Avv@}~etx69@~@tDh33yeRj_Q06~7rFB!xw=N8+m$8=d?LE* z_u0>b?5d}JWt-&gL4G(lxW}TbLYAP&!7PaaX)ZVppD@Q%( zy7}UM!F?QyY|NVl?VDZtC5?OXN`EKP8q|lj-0+v9jl$>=lZEn##M3O-)l5jITMM(seC(X*JrL8im2c z<|JTda{y4j{23igEs)%ODvG|l72BP4_{u8!y z^RJ{2`M!e%7-MHNvog9aYiS#3XvAF(d&&e#`VN5dXs$EIg?G`+#R~z&_vehyKDM#b zZY(|WpbJrWx~RUaZ_u0?#Izo;dG6dfi`4%)lUe5Rd|B=88{PvhP1(#DYF_aT_VXf# z&}lIDwZ_MYH1ZVbe{fP5KlxzpJB**~b{S1dBEXOK-OO7Ys@!1ws9~~Z*DsRF@jw@d z7V_<-;lv=mOBBbaqWkM*iBOaPZQ4r{Ci5>TdH3z>N(E~m+;YOtg7o!mkw91DH$rl9 z5o(v^+RJOKuPRs_>6>1wDq~Vim|A4@Qis>4@_Zn_F;1tuZ-3T``o~ez@r+_HdFeAD ze9&lN{x9ZyN>YN}IsJ{Z1s_ME&mMmG-nq@jiUAz<5Fd(V&-{U70nU;w+(d=PuvZC3Mj7~AsxlD@d-Y=nz z&d$HzP3iI)v}Op=Dl^mQb!+5CDu_o13TH`E4bK_VR^iHKe>N7I0rCVbGWjD5A6uk# zL9>2m2U5Abx2lj^=ewT&V7+=*G^@9z9%y`MFZ|u+s};+e9HUXwoAyF04c}CeHID|l z3_rRxI{Q}VNSNx{`MWuuea&bYkUUt^`BGY$ZuZ5T&r5pck_RzH(%ht7HL1sNemWi8nO86k&FwDc-2*SEb z^wX1XPx|cJDd4*-VaQ7O3^F*;B^2{2H12F9YoX|J(XUCc(f}y@uG)VdP$S%}|~p7IW^6kUNJD zzG3Hf94o&853kLbj6IN>Sxv!ybjFe&0BymV7ms0lBS+-^vtMj;TYa}eDYy+SheyS8 z6-R%jKNh;2t6V0SakmaSYkQ|)444qKi22pkUgUwnpC^?7!5JCHRsj?1%gtg;q?u;J-H44C#gv0w*_kZ7Z<>L`REj7&Pzhro{$wzVv!lA#Xb_= z=M(efg%}Xzmb7I$idpRgCSkyE(<9tOHEROEFY{EwFP94xfTbSyT1Uk0>^DrI3m95d zz9kA}?B|T7Ox7ZUwR&rL3`Lh}+A1eUypUf!+5%l&#{2|732&VJJ@T@KLc?>uivU52 zRgw<0uDAhQkC>bwrV2fGH9jPaP*ZL(XR)q~F!p$o9$WP1~3(-;IL-tuo8u>>g&?;Yx@d!qT z?a*Fh8`3MuY5#SIa-0VY3)nEEk*ALU->7^{6aC5>@ z_0yzK1A5ySoUz$Ys6KYXwJzdy_o;O+-s2%t-H37uQb%!k+h8BV=&A5FGP6Oi0lohD za(sD+U%JSox5#bHF6b}?SA%F20*Yi`iaHsVC2k!fma}pv?L*zjOG#?c&ELqVVVdbP z_7#xUV6#BqEpEh%VX<=r4M|HUJR0#RMoBMw7$%NqNDIE}tIZA7O+^orNApfs)4f0V z>Xuq>(qlol!zTdK&EFV6gey0o=%KC7qQGJ7GpLFUr5??K&PT+4@Cxz4+S5@U5>GYQ z!T1^$9*H0^$@9@%IZGMQGkeT2Y_%F*c?P!mNhn4H#o@QUN^^L#uY#Tq8pQLKdqi`4B)!zR`0lil1A$4-Yi-(L=%$G~2B zjgCo~{SsP3eFRP;K<^|FJ6{+ESzAeTt~(|Jz-e%^dbUdFmW<0u>x1><`f$B1TtcbH zBMjU_pD;{-jQ|PnSShyPBE*q*d%|l{q*1d(*(_pTDrmE}{JD{zL@l z(Fare$DNElbD!_hIc>mm-z3-eg6;2L2cZVUhyil=6ikE{5l3+d--_@$M=_Ar-44N& zq)at@09WD#f`I_v>hZ#b0PwG-R)$zQ>$!(<274UyQ)?+~q*TKcadP!awp4YY#NN5K zxZw2J=r1y*+Q}=m5f~Hxtg46n{18!2MMn34Y@*2ZE1=KRAW&Qhju_pFnt7|_@C<5> z5+QzymtTcFk0$+ELq;1Y{+pID;!f<8k@Usn4wDJA1lIMwX5`Mff*BRI{X?5F=0B8w);s(s`F+6&9@jX8Be5nrJJ|HsGl&~IG= zp%w%qcvD7)4l>&cefiqqRb zwF-iOA{i7pmdIyeUE9|+JxAUHrv;AufN1vK6o*n{g1gk|;=nAE<57H0x;Wu3NSXvV zoQ)DBxOMF34rp)2k!SM=R@%2!J=K`7L#{`o7C$Q46>a8xBVhF) zEZG&0FI$&ee$> z*V)v~EWH(g}yXW%xdp|?(W)&Q*9CB?V%&ma2%dvZ;=AnDk34Nw^e zDib6v%VDwZQU~xKvk-V5z9mR^&5NA9Oc}KHFhix!aix5WRH3*B27oSGNB zqk1g$4l2W4mUFv9AuMpka4J32QmVwkMo7mHJ5y4WtkhxN`Zdk>JEB_4h;7Pbe;_me zvIOj3Ff)C9YXqiNBt%-Te$&3GZ=F^w9w$JYel%@@$u`rmH-z2m75=zQ4so(MI+ zi-2A)soWW;v?k&f@m+XUF(K?9TiNFjM*iuP?1zN*e$Uk5 zVD91C*|#fr^5%}uQEzIG)u&QDnMJZ|;GLJB*$dw#KRmzxD|4~d!yxYH($}Tvogu>? z|6C14efLM#M}p0sAP%xgO0HRGt5OHU>Dw54K}VaHbNPkj8_J}l?96f8Oz{1P>*4Hw zsu`$bA}8#hooBejTrR1Ycq-kw{Iu{)f5$xWU|F%_V1U?Z>E`THxompEt4TkU?DJ#A z*5iGjU3eAH9UZi~m|cr>izYCBPRkxS)jbOHd{2BoUo*ezM-F4R z7PsZ%b0`s`%Jqz0h92u^89ATe&VeGNA!ghamP)%$X=%U~)5E=#pKl6arBuIFWaABGhfIk~yD! zCCCz9;kvdmm*N(y%gDs6b!pI4D-!t6kC+p#7|26q@*sbYNiq0rawS-m;2d&?EtGT7 zz`KQHckGTFz^?`j{ggDkpo;REfMiEN<(roZXbr>bx?+Q!(8Xc z@aJt_EU5uRks0Y4kfeDsNofleV?adS7}TmE8V^<($Mk_zrO6KdPnyWMOri2-f=?%5tQ)OLwy`{e0q8Fs>|fF=EB+Q+g`e+Ruq)$WCMg%bV#U!}mggz{rc| zXh^X8p7*y}cw?VucMIp)dcGyMrb>6~+}|HyH!nkLJ&vu->4kTxohgwsj^j`};GXAfOdZ(o z_42c)?9GB z^W!)+npm5~V=as)VK6)72VesOBH*durIqlM!B966;s73kvMvZ?*tB1#Wm!smMq5#Y z>liwA6dtv?4Dl;&SM%q`x@*Lmu_Xerj_wwpck8WvJnIyPw&&^KDwh2SO~LdtQ~Hr+ z0CXntz%1ELMhHjb(5fR&>e3hOWd~B;f$v$?z_tdpJ$cDxPhn|Dalsue_cuoe(;V$!_4|qP!Z$v zzqYl2$Z6wopo7Yk14ipob*yQ~`d$5PM`uF0**X++p5|xKRAxqV0NtiyI#7-N4Gn;)LXdFg z?vU0)6t$R&=M1{4Y^@`_i|jo7xN8m*ijUbCa!H{beA}B!?5b>Mr}ivbK?wCA4?-T$ z;;833uhM%#{A=>v*ubm<(de?e%Q80d*Cdqb>m687FIa{E1YSCEpT@cdE&U;Z^k-o) zs0BoPxI3uSVQ}>(y9&rtfqG6x{*QIHlD@^C z2tNp#+0JxQ3hGSG0LOU_^_>zqRg&M*?zBGK?Bcw%DS;t-qr3mFg_Mf8ufu9Wq_0LQ!;lule?OH8~z4&@1G1S|PXTx1cqv19Xr~AZ1#4+D zChvVwhB(ESGXCzrrc)9%s^x0-SaT*)R{c)f{4aJKW;>FjQSP$p&0XT&TEUxPW*ayD zFPj>MxEx(hGKDu z8Mzp!PKoR&4GX_dGY#OrPV%#ZOzwp%Up@swtn7bM=mrYm5h+B$EyvL%X4#2XcZae< znef^Ub(=PP9qBE}3qH7^9z%Di%<@_oZ-k$)H@*YF@d`{$@*D7Ej>T>?3h(%_dCNb| zzKj0Ti-g27$GQpFD;~VqI#yam*PA9B*WR4i>|Q;d`>%+dNinc+asIp@Qp4J#ef=0b zg=%USq9HNVa%TlXP@p!Q9XaNHp=#FO5^cG}Nq@zTi zUPJS6fbaJxfg6#0MHSU?3A%Hj}|0O?{dr! zHzlw{l08;Bslxkf8WK3iLPNkhf8D@;F@1w zYLAw}wd~E|U@zH#`aR6IwEf8S*OIqqqkLte=%#k@R_!&Cfm{5l7HC}oJVgt ziw;>(BV7M5(&)57DX-&@zkR2%ux@N;%h|(eDD-(RI6s@!8OE7iK0_VbVKXGSGjKj> zcbaXT?X@ryTVlguetTW@UA1A$LsS5plR(%{(jA=rscfZX=zlRu97XwJq|V1H2o3_K zdsMD8i~ML6@n{7mgg1;kEP6~}p(ea~NB(<@EXs7@T_{R z_cYK-clf|E24~HW*PfE(^vP^5)5yUF?<9oFTXp%8kdK! zF@v{2sF6=MLGT4;vYcXR@+qvncFCvUr@GZp$i&VFMrB6AhqSonewX7CwE5wf!~K|F zQ8c2j@g?s>ZlnV_o71N-VK0O+fBi~k%{#$%C5z?qQ3R29Z%xpycvrpt;McaY8+H2J zQj!tE)fC!|bZ{$Le|LPKPKI6m{~(itDf~@T4DTD=2ePEb9+_v!JrvNfOT9*UH&OJ% z{=_b)^T(xDOhNnVZ@qHaCzR0zwKY7oskHL7was->gYIFn%x123s|h8z>c;wYCAe79UpgF&X-#GdE zms8M$LGndNq5`De+TCR;J8C$;8WR1PF;PYK@E2 zQm4?+lPvMbQ5N8$4;{@Zqo}OnUcSjUiHyl4NDxNNfFG%MU010oi!gouUe4xlMNO^9z&y*VX?Iz*H+a literal 0 HcmV?d00001 diff --git a/cpld/db/RAM2E.rtlv_sg_swap.cdb b/cpld/db/RAM2E.rtlv_sg_swap.cdb new file mode 100755 index 0000000000000000000000000000000000000000..bf4c983dbce6d8f9b2f2cbeb84db2bc3a5c01dfc GIT binary patch literal 195 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRiU17(fi?)mU=5`YL+J{}U;qFAuV+(8 zU=j=fiT(dy!I+eikg_2mAz_7C@f^M-5>Fa$+&rpjcjK<%5mUPpS8v|ew@}fzVyR+x Wz+OY;-gQHUC0&i29Uy1_{|^8lH8}JD literal 0 HcmV?d00001 diff --git a/cpld/db/RAM2E.sgate.rvd b/cpld/db/RAM2E.sgate.rvd new file mode 100755 index 0000000000000000000000000000000000000000..b892750f9ff5d7376c9c1abce4948942c7f943d7 GIT binary patch literal 7013 zcmV-r8=B-1000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*CcU00000008tD00000 z001@w00000003nh00000004La?45hiZdF~!_jAfhyMbt>RvZ+>u&Hyn9kh%4<(z|p z0*6;X8q^3d^#!9WoGGm%9fA~jDMhr+}H>NC`h7@rU$!ZMK?pAc6 zp1z;oTED&5TF=`1+50{3%V6W0S69kTbI4|#M83~c{x;>k%E*de+gN^BWis{u zuH)WV|8FYa8tZBIdd0<78)2w-7zN^%!$9B5z}&A~@`b+FtJvYn_gxv7J0dQ!rF^~q zUj=_clt;1Kdii0&ds%ckn4-RuAH5ttg%RJaeUbhU408TTl=B}FI$tb#QajY>r?@HK ze@f`2_WX2GpTehf{xN|OZfDOFzAWDw<^NQIo5K8UQh#Ei*A#hDT*cyAo50uYnac37 zL=ykZ%JDn0H#dR2XiCmYu$)&3?b_^+HVt9YO zO+C_s^C2DoUinK^eugq-+BBtYX?olG3e`dT9+f!~avc9E z9zPn7F2@ISP8V}|aG#gc<6W_y@cHBbjKe$Z0}<}m&P(b`DLOhJ1;+% zcg6;vku9fWmR#Sg%o2?Jt;!xl&r_MD6#0B*`PcGAIx606X>^6kEYrxZQdVDBl2we$ zH#pp-3r`%-uxXmoTCCHZSZKCUsCbsRCOpK>(Ekk;WSD&7jHV3-^3q*s((U%<7Z%hF#kjFSN-KzT6DdV-6S|rd2WwwNtdYNo_^}d%K`_5P0 z_=@Xpa=>uBMu(RxyGK+sV?iOMqCVOj-Q0Nbu^V4;{XUf~QQ0&w>(e;{nkyiPi-dZmS&`BUTGN@BV1m3ves zp+?ihnPi!Gtn&j<5XX9y^Mtn&hkm6_HFXRI2B1e?aAD_xo>)gHNcNK=0#qpxP5}vL zZ1zDMgm_SmT1$2bZuGz4lWSFav)b16D?;7+)&Bh|CFy=4pQZD4(JE&SMC)E*zk7lR zUDrN0VXxu|%GWC&>Z@Cd7|;9N!2=glm8Z_Sp_)bD4=AtGME&lZGAsiHA_iMbH)i2; zRDsBlDy)q(gq&BPsn)c`wK3rq!hM}Ga?63bGRVRxuuhrIEoVFLa0LGbYb;AYSLjA{wLnJ&&nIo~{@d6nAxoKXh(i`U3=s7}2 z5;kIz8M2Z2`^dowW6TVHpIaI!2!t$eo1@E_0ndNIzd*S(a&^*?N^WJx*O4ChYs%|_ zR-QhquEY^hIaPUb(4LpDR}m#xsSY`WP|#3ajDf1`f`hWs41Wv^CbRuf2nGIgmDib~ zAK43s;*H;$=8ctU8WV(}>}nm?g#;p8b=85hUVQjPp!EqIPE#Io#~!s^#)w-a@2RnR zhBH=1kU(Ou4y=yLnU~-Og19)knJ(_AB^9Eek#?^J*8|!jPi9OI^xRj2mWvT8n zV|qyzr7waKETo@7p;(P=gTguBI1zn6Yndd?L_hQR(9b$P^s|o- z{hR{*i0tr3^8E99dsHot=Z>;It&AY_+R6Bt=bMJBF>MG>=jOFa~c{XOJoKN9>CA=Zt;gU$Os8 z3Kb8`q)_-khM6^jON(L_`9@J7u%`@Qwq*p%J|RANB6>Og%|tK9znSRe_%{>19RFsb zm*d||^mB{hb0+$M0{v7u?RNFODZRIHIrsz%fp>|(Z58j=Hl;5p{TI~QRx-aICXOE!OWPi+|}4F9r+JfBk>JP@5?ParTt9pDk##n4x+(clUjW0kN$`RDsLwOww^M#|qLXU0?x&!Uf zbZa~jla``fwFN`M<QX*!c|QEm?q)L{PwT^Me} z4-#ezfJO(tZ-nsm04h1GHXmBfs}gg=ghmG*^=2tD&kqc#$8w$IgtcgBIj>6LBZvd* ze)6!9xv2pq2mbvLTW|w|cQ&>%c!=_-1Jq7-%F(Y#cl>&dwj@jag zk@B8M@x(F8?Bu0l+%u-2sV-=@oUV*okdNPgKO4nxnYCmY>`^zvQpu&D$8uhkf{kAq z9az(Gt8yLqWFVCs_;1FHQ4ZxF8WGta)N#U!?LqZ!2{Lp-Y>uh-_Cme8#w12>l1t&o zs^Lmt_sk^Vr$}Z7%SpgeVe9`DF#DRRou4q~!P3rH1LUgduAxQAJvYlKj#Q;wit*V1 z?AJ9e>!-&|$7Ku2fn|l3^Qtx2AEeQNKR!lTPO#*_qfRNs*g4=*jAx7~Sk68y)CT(? zdv$2&3hG|eaEO*-Xpuh*EQ*$#GRHwNN?=Sk8F&`uQDEN=1_o`^esV4L1fZcR> zaL^jJ7g6`nSctv>GD=RlTxE-sCEt7Er1TBAX*^N(#6}F|bvOh834VT=Tf+gy1IGFI zh7WBuoFUnOx3u_3MAP+&^*K(F;?TzB8y8-}8C^Nukyvz`)YvMUcdl1oOXp(BWh((?-wti~c8x8Hb7*tOu#i^WeGw_89|60Oz>`stPXL>X4x zE|d4xb{eT|DTp{MC@pC$gl*Yl(GYdxsWFfBCmX9r0>@61RqO3!PyUpN`0mKFE+`vO z5g>#H&*U`CudcOThLlECOUpbrYb~UH#Z!0S)&=d_OvcO5l8 z=x1G^RRaut#Bl_wNhjIwQ=(+fWYuju8T5Z=-0m{YL)OsyENuh`wtt+R{ph3WHk4j8 zr(-iEHx8%02YgpKLU15O9EvSJi3@xcO?3yhY<{6ojpm+>Y?eodwqTU*w%=a1RmVku z$f@HO#hMq!VUTzzl9WoVQ||@U;MCO`H>SF#xuwutRo4De}LQqb7B){cSASL)MO>&2K@~4$PpuK6dg0&>bHWm{$n$q$J3n08$ zMiRT$3i|0~lcBrXM4(Hf73!QGtaG|85~w5b4z%-6IGqsd>f-s06MzgUEL7i7IB9nS zgSCPN+Cn={dpq7+Gs);O>C~D%mfho|b$jTRaqeTyj!KU_s`lW%b<@1je*3uET^~q6 zc$&8Pl*90J;U)X-D=ia1qtiHPLrv&p7hbaecA1E}N4upLowp;RuvYEfa~>3ti;n@d zRNc~)iFlXzu;W0(Oe?AnY)iy2fseAwM0~IK@E*iAM66yx_nZf7!7K<|ewyfx)`7xKaMfyxc-6q_U5w~MSh489~i{{Fnnz4gr9GRXMLyf9Vz|m2o z8ch9ICL%4wNRfwp)Y`dzIv!cEYmTE|mB+HNXJP^o!_d8uWG3a#h?DnY0M@UimS2A^ z=hDMGh%sVl*Cglib>cE1=yEP25jV_bLhsADjC8$WE)#~KoXf}~ZgQ#M?+kAW!5mVyn+89*>5temc6%4U;X4X!C)~kE$v?l1)X2 zG}w)dT|#e_?e+L_<;Fxy8!6$TRgCxR*4p$FyVsieRe%M?eq91~RSAz|;Ov?skAchZ z1i8T2zj7hjSaJ*<4P(XB4&cHF%d1p0oXpgEkZ8)PwYD&MpG;jD$(-GeiMSyV_egk> z6VHn+O+zA1n4XT?iiqf<`EiDbBe5=OrrnN+h?z*+5K;ZdJ5Zp}G`|=NE@Hl5;lVM7 z9O2hbj50#4=Q?hki^6;!mx&AhTo)}?=5bjwrqp%OVr3qe>ax4{Zk>x3EAzOhi_iB9 zkEk^&F9bVu` ziY$=0r8+Z1isEz2DvQhtxCW`lGJN{v5~!x7wq~GI5MV-bOdVTlGTFJLDTNp}Ri+1^ zjt=cUIak%R)NWtid{}cGnSR{Z{S~!y8~0~_&0e*Mvq0=Vl?r8ccr^W~k1hIG(7Jd; zc6iu?p~XE?J32-9ZjYI|DS*Q!nrZpajPH;rDrX+s&Qxp}t$#KtXw+4BRmTP-fl zUh1)0d%)K(fWyS<3zKwovWI?JD1?;CXD>;0vqjVBDbnOjV(h@#Dbm#B?S~)<5|$gT zG9S@g)jzD;xnc;n6wb)E5=Xz z7FKw8AxdTQyZz9tWU@;2UaGJ>+cEDLmlcjy>%C)V?9gdLNUrurdu(i%INIgmijH=v zv`ZZAzUc}_zNy#svK_XnJzllK(T4n8((y@EKibnLyTsA9cUE+?8=gDMQHI;q;`9s#P&({7x7%w@7U&pF8o6X%?K{A^8LhNL*n zs6tX(;s&N^ZzC2fC$gSw5e&?+0AsVkm^4_3q={EBZlN$cQcwGC*d(X3}Z=nrwD?V)JXb&dl&pZ`{ z%s)2VeTdw*IN^pXI`gA&pJ>(x%3Ep_z&>W02K>eM6FOS&Nw+sLdQ*+SvTZ z(E^}l;c}ImLk)IGmVQfi`K~ysK^*`%eUICkiagOAwhqF=@W)c(UH1ZKXHQn8a5u8V zx9j&bN3Apu}wPxk3!7-0EPI_BuSU zKjM_Q;(3X;02(Q!U+yX?eXYY2{y*rHbpK|l&&Bk{h?LTgc$Jh`HJMpa^_WxA_`duu zZ%JfIzvop_daA?Ac+E{tN&Z;g!tHLs=+L0U+Z~yTY;a`cH01QZCzkIMS!f&UAXK}cCsd;VF{T#Jj{>CE8#lTDIJPE0 zz7Sj983lNDO#o>8kXwKVj{?L{TwE9hxHqxD%`O1a*96EHklo)w?W+lZ72oIr&@rL_ zF@hH|o4G)5*LN|X*K0!n0b3Iw|91NF_Gp0vH39JNYh3^Vx&ZM@cME-+#sv7iM1VKB z07P(2fc#_n%lAY9Sa=AdLFq0CsmNxYXo2{xyv3zzN67T*=`Ah*eYhq-@$U_LBMmWb zRkX$Ht6ga-vYCW07j)Cg>CVdW`hRCYDzfhi5D(lj?QpYMs2g`61WE^n!pRrKoh(Zq zU>RAogBr+UIxdYnWUeo|6K&B9oMa4ndAq~OS~@;3=C!&GEHlb^DIfY!_fH}&ye}dV zULX4kbWrp$COr1^Z{@q}so^`>X?%>yPQ3O1kmJ&OG;;pR)K3wdJH1K{?k}C;s5iT# zaOq=ASz;&o!n}*GTYX(8>3oHzk4f>nPxZU_{%#@$?-olcH;hzW-|KDy(gJArhgnX? zy~z1lDXN*R|8E?J_m|HIe>QJBRvBMI?*DdyTU8MFc(gfXmIfWN_RY$F&SFLZ&KXjE zW-6fJX{{*uLxpyM$w_FG4Fg#a4WD%Pgdj2uHnD|3(tp88%E3Q^gAb~6v>znjlqyt! ze_iT}Y^NuSCX3laXQ2N_A~`5<4tq<{|1Bq!Uy}wscd_M*WBZ<~cgV~mfK5qUz8G9d zKO5EPq5Asuxketx*V3rCSyZC0J{#MGaE)40hlvN}?5=}Azz%$~cfK9K{yzW!0RR7Z z0c2B6XcIvcep79m#x_aqpFcTNM1&%xsE9}pZg;22;AS`MZeoMTR=j8l;z19hLVL3( z1?$0+BH|AisGuG!cn~al62*%mo{I_|0@jP*_a?h(YX;tYnfJcD@4dG(S=vlvM0E1{ zxDB8T%qv@=XO=_THH|=e?4~wYAN6A|+@Af!p1m+;DaQ{C$CRPrSmC5Jf|4gg(=8NT zM>;`RmL{|H>ZGHy4+829DK0Ase~6wXBN zVLfyM`{mTid=kht#k`4-x_)RjeYUFIh&8BY$*nbQ_ijKJ_OKHh|-8O zRpF^k9Zs-7T!@S7PzcvcWGF!V)XdUrmWXH9;6)-slt<{!aP}R5I30^2&jM^Z>{r2ik=A7i|i~7{a(cncg+sH!b$irMGpeds%B1w4Mv7KfS`0}~NB{jzdf=JLJJVVFF_jdvLnQ)IqPZd<4%6J=| zXH-NNEg*8W{Nyd)sDTz%B(sCAA(T3}d;-uMN;8>gqo45qt-pB2ucHUXV(~i^JLv*k zzxIrL1vKv*zPhdaVC`j!tnOg-?!p=7?SchvVA$)cHa*w(!@QE7;hFgg^Yr=$00960 DS`3Wd literal 0 HcmV?d00001 diff --git a/cpld/db/RAM2E.sgate_sm.rvd b/cpld/db/RAM2E.sgate_sm.rvd new file mode 100755 index 0000000000000000000000000000000000000000..3c94984a04f2b07be91e6d456761814de99daa50 GIT binary patch literal 231 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRiUJJ*fgT9OkO`%qL+J{}U;lxi-r)=b z%NhrnCoi&}Z~>+M|F2+7N=iscNK8nm`1N9j%!H%~ERPl#O#hK^zaqWZEH(mN=< zNeLx%2sNZ$p5J|S-`zjpx1X6kGdsKI?CgBc*%yb2ii)c0I`zdIe8K$-YwI2q?CI|( zAg3TLD=jB*Kh)FbnSi{4ynw8-jGVlTysVspfR%etn5Ub&fVqpCw}700m7##0yQ_fy zGtZEVlNIOxx}%~};Q5cC@~8b@dzt@#Z3Oj>6a8;L8yoGjbo4bQeYTHWPC9FcE3`TT z;GGQjcZ#~>&t3!|-Yu)9+S-neAA8Ct(n+LRJyIbWqQ_kMIt?(Zsi)U3A!`CZ!-36%q z=KG9ZU+lEKj>IN4^nh^2GOf6qh;zv#A?^7fNR@|;{*J?j?9f?0sMN<(!0ZQ zTyCT$KVM(gKri->U3F~SDy*}!rLz>{*O9sE54Z`b!2NBWyr=BDb1F>!>l7Wrcd0dJ z|FKq8WG}HCR;R{--19BcqR`zq%ZW7pK3ELB7iRW>7I>#5Wi&9&NV9k~f{L~h_QrBY zz}Ek|ZODAeictCo`E}Gmpx9{v*rEITxS3HoL23NSv&mhvWT3~Fbx5h%0QlC#U4*zBw6JSt2arlg0YvNaPW#gOh>6L2f!jlp{y5zsb zXQS!DLtZxx7-B5+Ebn{A&a7H8;wb_^qrZktZ`tWTur~cm`}YgI+#oLBQHN+$;@B6llqa^r%X|D9d(qRySKSj5|9BO* zUAIab+YI0+v|ubNeZ$k{He`DUDpHFO=bLHdYbN7bL#IGjp0MR zIV0ix1?8Sgr6(`-I7jqCcLNibIpQ~VOFDNE7b@3ziwyr?HodIndw zWGGW6sFc-YJ2l`ooK;9_8vY-5P}sZWJ@WL@pvUTbyddScz!5_XTSYUefOL^Zs!jn^!}N;nd`pW;($Eu9XsyPuz>g zQD0b6Gy{$*OL^XR2HUHSFthxlerxf5pZ0(!>p3{CWAwaL@2g^!>o}Ul!&lBT;A?rC zY%W(E2Vu;Zp0yFHc{kw8J?46~?#KN&bj`s%1$(|$27{1*G9`l7*Rcc|)ScjC|vtuuPZ|%B?jV#r1#I7n&>_y;s~8WtK5& zUPb(MXLoN1!hU};_fX5mq*vvwPHlqndgv3)At>MmUkLXPeBi@EgZ)xB(7!r`b}O$> zU{v+>J#O$;ytt44RY}4o>3DXcO!0&lz{NEox4uMxsK@E{?(Bk{&b=a$A+IGR9Zyr{ zKlj<`hi2(=#p%>{-{{vaBR^!`lj?;=()WATd|ft3QP#e3`{WnA4i=HRRsZ~Um|{nu zMu9}IZny5pCUyPDc&VB3%QUrn3?235rk}u*u);86Y3DWfJpLikq;8{U?C#L$ z^G0z}QYtihqOtOHpo?Noa8J>`CFAeM1ewwGV`vCWAuWgmq2*2!+@(uvBD7%f8^ExZ z`8FP|ks9(A_FFPma}rwl8Oy1$@Jy#poaDCqMA7-E4=KyHP>es@{j1g>_2DYR25txN z3bIZ{IIuII6Ge3 ztO7StAntd&b)kzXYZBc8k}jc~H_AIN&6i)Amzd25yIvO=DIA?vTK4SoK6 z+B??tIbz9i*6zSS{G~MiH?q#zgQM#c4v50~_xn2=GWgWT>#mo&MbV&Sdzme%ZnQpU z=zbfqspH5Yk$<(z3(aUrT#@k-OilkM!K2$^-IXhee|!J?$_f5J{dg)6iRwfseiQQ8 z38z5~tytyn7@=4oS_fthR}d!{xuItv!oSk?&}|hhU6fI*E9E*%`^Wf+LDwR0q$m zfPD{dvCVKaiV6td!j8%;44JpT+B;?;g zu!SB`MtH@!@TmS7s^ylkCf~a{e{94bt2>E3MH6f?TJ|X@S<+EMBmUOO@+;`@0?-d8vsm@Tk@&$h`fZwlH;s9 zz1}&UaB3uNYGd}ozd9$v2y14j_Z(Y%b{xcT!Vyv>uDNY&G!D2d!#{U( zvh_`Bt>i=#D#t|OdIo`(egOg*7pl91`@lmKv-aqiK&iPgfA0W* zNv#KM%{(g4P5tj<`b__)pCS!p&S}|D|{k+FmfmQRI^gq83M>*#}<2jPGK!9uBEj9_WH;ONp zqo9h;NUEpNiWJH8A`=i`x9kc|a{t_lGU4Pi`_RQD9#;?vaeoo{1CFDi+qg0;ine2W z6+di&&*DYw+ucaSJcgW*-sx}fdyhPBK?3DE$u%ohi-^Z>$Tg>Tx&GaP4flbhbnTlT zkuEai*ZEt_tHVU6<)5UIqpbMmlkS_~%&ECP|G^-D&U)HLx}~%0?Nh(5dN4@*n|Z&+ zb|-+2+{>?!NinD8nJ znHparH5>ekio``3qQ1OLE=w*<4=G7J6f1^Oa8E0#1}H$}tiI2!Qa%*ET$uiT&x#K?!oo zL9_ow4OCOqw4WvehIN*~J`&`H9_H>2tC$|r_sl!b3RWiKE(QM<-NKL#47R{K&nZvO zzxfSbCOnHh?W>bEScf-Q6j1&*i>`#}0M z?H^q+a6&|b4v@Ixt^|29@VhpVQOqrd18o%Ls&=Zm;QI!yR4*FE2UWt+R+E^K6%RFG zpgS6FS~^-%dO{1nB7h8db?R~vn@;V199dG%FVYcY%(aSdt7bh4Jhp*J%;X^9=iUFn+Asz*}7Zhxdj zk$w6|QGRVQ^GPA36a9<rLlWcbrz<+o-=7Rbh}sPSCr57^;vnC3=Dy@B7|}h z+h4|2M4tt4lGMwW*cVzIy3RQF3i{oTw`plnI6gsy#eww9XtFK{44@99Ts|!C2N+Le z2A}LNO+8p0$9D9>U-Lztz0-WPddv?!R8TF&ybNz3OdO#}ztXP1*vDa6YMa7H&2%^4 z#@Tb<^rOiPFaMZQ%p(FgSnrGCJ8>$IDI5o5VMEVXfw_H%52Uu)&f#;MXrLPfe>NH& z%Rr!Y}&rfRM3QHMsj!k4ild$8t5Hpij$Wa1~u+vImG-eqI4hSZCF`X*z1 zscz)FYylBi!{vb$(=d6%y)U=EwbYFV;x3({=!gZ_R;hRhPxNd;{^@eg-W`}*CEBT3 z`KL!wP!&ZCWA~zM1|l+>uV0N(@-3cZze7?#ZDM@eAGkOoiY6VQOl8mMNulZQxUtbN zxtKU9b`Q&jOu9{p-SO0~=ymW5j5iNoQi1j2;jFw0mAwth*4mp0X zF=Q2qF>faU&F*QKPLpaK0+JV282oDfpP7z* z{}SY#STe-Cr#yXyg0apkgr6SZ0JkIhBM_@}q6WP2COxb*^_O~TrnQ6%j`z=2raQF- z(h@LSKm3VHkj0<>x!uB*J#K*89*wTM5nmZXb5YHxsr%dCJm&LG1iubQ+pUGO$$IGW z;tX`WSOosddYrM7j{@Q*zsRsjArqavP)NkmRD282 z=}k|!0$=>q9&>l*ff~F`GO9^+xejB?R||Mb$SWkyB7TdrlCEd^LX>+XJea@c9k8Q zs|ph;LMu6Dl20=jAQ-weW(Z87SE3x;(v#wyFtav3a&qIO8aG=1ryrRieG^S)IZRr!dl z2{a;+ERb>o!bJ|HcBpTqH%Mp41IKprp}$+C z+uxEfWB~0o?d%I;Kmxpwt>sqM{xhqo0WwI>!|>o*!)@`_2I;g_eE$AJBuCU~gn}m& zsXajo5(^+c$V3hm0f~fnZG1Bu{YyIB104$jjeP$2{322mCs9!4AS#Lvd?6%S$m<~Gyrl#xr%*dMY7Mi#o!QHPr?E%EuVzMqx9KmGPJXm(kRkfAm^bmhig%(;u~!maej{Ig40ucM|0*Ef_yKco#XsX1^eBgDUGbp zBjM#?=91s77Dt#g;F||5T0&W)1de0ltZ)Vvg&i=%d#50LBj5B6kvY(VqUSsc99_}) z)#Fm=q3%4#f7c@H5El|z33&~lrW`t6v3aX{wrTcE3s>z_*K@KM*y|6S3&fO9RSHk7 z01=eecE1~KHYZl#!3A%zO*T--`DAQQEnlm)c2H0;t23A?>J)dDu`xAZ-7I;{45r4O zb0!|hJMuiD78Fj6qIvARpAJ*KucT2PoTLK+9jje}7{T zNKDzvxeyf4AgQYv{s3Q)4cL8`wU}f{*1fVaa##GRNMoRCO&JS|WR&=y2v@>ERKX|g zDqOooo8qO3P$3-PTS~D!S)ho5NV>x%J^vR9P!OE8SZ zmf3EkQ5$UPDj(*dFC{Or=Unb5#s>pqL&G5ih zsr%jVZAe`oRwyWAk07pP82lwkXSL#BHC8Uxa?CaEgd7>Ts(ZAiJKIbn=}Nw$m10 zxpm4j)$8tRxSWlB;E$-@?Y{i}VW$`jK682?=i0)ez1)i= zT^?oG&phnc3HmE@$dfYFIEzSMX2(nmz8~q3Ip==5uyFB-5k!ynN)mxGkAqOE{G1)* zi1hW1DVN_r=juD^-rJvXgVz^X#nMgLyHU^&oEsu36jHX${Q67<0f;{1m>ftq`4091qD@=x`-iIw*lnf~GFPryoe zHOr^ElD$W{6yhj`b$1HTj&MlZe_Xg9cntb+=1~)D;bRtSHdiy5-C3I+tQDS+wS6*r zMF67?7w6@NEjsY51ec{Gdu*OjPJLCqKaFJ}vmvP9zoRO!NHBo2N4d$533ZHnt#JJ; zX*+Y^B|Zsr=6;&AQZyn1Tg;k~sdm5<(dVEX61u76|11@NK{uv?xYs&RZ(-q`jx{$I z4pz6S7bB~>Nqe9rCAC`%W(^Fd8%OQS3rM486yxT>)6L8r4a@$EpKa!L4=G#eV2x{G z?r}5Cxdhd+HfRxb%Pq9UQsnBV_uc&ofDC{XL~OHfdj9R$J^%LIg6EUQagxrG?KOUd z9-J@IjmoplTLfo#D9QX<@HqJuF?Ebu->De5K88(zNLhkhFPEzsPb?;rLj zgWFy6s>7kitB$w`OV9CrUQ4rlMyKXLPO^td2vL}R5;Q>>fyUrsP_ffl4}?kUa- z){cf7#G#3SaTn;5B3&G<0qfnN|8m}ig>gaNT1;m+yeFEATSa5-p_Wpmv{P)rR ztF-@D-h>oYdr~escoa$m^&2arht@8)w5JTbw7cz|JQowW>M46Fpg@noF6N;2<~0^x z8hF?OVl1ELdAa0$(vP{7aWiA7RKLQ0Lg;nz6=~t9yY_k&Y%VurV)A}%Xi!uYQWXBg z1&=^R&WE=NBfF)%w?qn`P<;7s?ZzedR4%)W1;$~Z8^MMT7}b(XH%75LSs2=WHG~FNMn6Y7z(Fp3KkYn+q?s`zaQCtR>EsFs?3Y~ZpJ-< z{uceDMyRy1_F-cTXO)NV#MS(szknG_ZJov^oK-9wirrJC?h_QcgK`?yzC4DmzQHGyszneMO&5-i9y8bz-EuJvwRDIn} z+yR$)98JGE#m3cMb@y10!+;>Lf-Gs5&|qe_aM!SqYqx26+-o4Kea3i$E_Q~#f+p%V z{W9n{z?#~~UkhvOEEi`e8aQDhtoIKqq9kACk< zF*Po-)qTD`LF+t;W+*K69QTIofBZ7Ge-WxA>{ARr*Bks$wR_kWl8Kh&~xbGLPNB4guVW@Tn06Zf=rupndSU?*ec zW?^GzVP|FIAXB$=^RhL!BvUapwxwlpJ?vat2|_oPAZf9@b4nz{csKt!Sa z4{{3q5Bfl!2O{KBNo6}vj>P)7`;Zl&!1s3`YZ?Z=8chDU{E-dp`FoiSDdX_Fv(mJr z+&b$L7ZQn<|(F?m7b(vdcWOh8c7*d3Px2jEBonF#>zrk+R8#w z%kybM^iORi`)%B(_aL4zF+p}lckt)O``a}g**iekJfraOlrni0$!rTPf;etE?7AHd z%rbmqWZbsQk4V!Bo{5F?YSALr+1qiZJw8rxSrX#qzJ;_mwkqywu- z;CgrV{)KXE0nPQ4@6NVKnymwnFgE*g?{1iMVQ{#7X1~vsp?*aAC3r27S!Z#mbfR-* zsY*BpRd=h3gy5-++qG~W7ta7Uk{u|n1Vq(cs+tD=FkV|6x%t(9alO&{bg?}N#D*e> zoZ0^VxV}U)4>x{7Ip!3owFDRc`PMl7?fvCL!@9+kp;>U|R&*SS39ae>F@({obUlZD z_nV@@%ug(2>*JNNR5@41bVDj%qHjbpEJ$2PkP_Q**EV&(?3F|~`4$|02g2!**!+J+ z8_0j>CBiVG-!uS-C;)xe?D(L$r~2!fk5xFzbM)~i-tLa=blfO~1GP^;z?h2SR5f9B)JZ`Q^O!dpY#bu+#aD3saCg=~824zmqHztq>gK^)PQqFh_o0K0TNOFSHAvWqHeB4@A7slBE422F z_+d9$u~NrRA%y;#iVJ4?t3P8G!C&vogz@5SZJZ#=L-fcJUlCSG-Z{8vXO1C`B?Uvd3}G0Wd~86;E@N+E;}D z5b9YMz1CDiAZYtd@sq8`N0$r_{z$6_S>E#YjF#B04kqLAf)N{k@;BPv!?hH*J~PSy zTc%@tn3d=HGn&=9Lko+yZ*h-qOqSkd2M=?w?`u%8V;^ZQrxBiUWdcZbU8^W~-NE?s zRyuJ0RN~_l`Qz>wc~^EIz)OT--rVZx<9&J5Gs384%r#F0Hhyxbqo#A`R}RCdm1oXN zF%Hr~v`z(a>#18BNH9dvl4am_Lt}_PYwS5pP@Mn|RMtR|Spg$bmW`D9Ye1XB0mA`S zc;sG@ojf?H;#{BLRYTyx>-jPNDZ*ZEic9{s#hDhnOfVqIpI9Nc)^F(R@dtdjbd=EK(kIXRh{B@^_Fh|Sm@VEgPgnh7${XMP!X3~s#D zF8c8M>=ru#^`SLea&=ukMD*{6aeO{MOMZ6mrm2DzhIVM59p9aOUr5zv9vHY^_t%fF zP=~$wwB+83jff64KB5T^32C3eeAD?+ggbC?ok892pukpx&X?B*x9vRHkME4f;N|$B z$6BlBj22U^31=BNNnrymT4F%j^oqNo$TNvkz`M(@>$8uiW5#X2j~RUyJSWY)w-1A< zj%tthqBGcFY+a}KApclrwhtpWpIx`$KqeVGkfP)uJ&^5qIEq#b6gL%biE?9F(YanS z%^=zGSGeQUiDR1@E#~>lo3Bd+l*!O5lYO@Rdz&zCcC#3Lth4V|CCN9x{Bq}&7S+mK zD(!c}i$YlK;4^lS{MpB8jWwf%iO(_3D1!iexOhjFjW%}I`eTBK@g!vAV{4( zi4pJi!WD~g_ZY`etD4BR)eY0z8VMHZkdt}-4eM6cGub9>V;#$yfS*8!eClHem4ArZ z5BzO2OTp1emRB{ZuROtn^lmlayeiTSq|eCF3{qr<{rnkrk@*G|4_Wn(ZOZj&Qho8l zIZz%WngTeUaod~@j(=WQ8E8*Sw>`A2!8eqCR`RiO+VuScNn+u^0z|{BbEk8`wWX!I zvtsrB#hWMqFeJ9I%o1kiXn?GyAueW3&B8MPuJzjWl?8nWBN4FUTc4uV?Pf zm%1=0cRI54>vNI}@VoQyh zYoSm){wS0;f`c{SGsJT_^w8@)+^I?T^IW0ijHqlRuc?V_>$oroXr)?DkP83h!FO{#5WLv zb@n&%dl4bLgF2V*DZ(A3IvpNXpLN_~D2q}8VgBAr)ZZMxDdywco(gM5;hvYflM`AM zD1o`K++hx-aCX-lR;^XFF}_TB?kE*4(p;0)?=2+$q*|M}E)Q$%*S~_Qj+06sz+9~2 zp2>NcH5f8U+X&`_r!VFx4*@P*Gk1N9qSJ8NP(q{zDEI$EWHcT(<~ztEgOv}0AhXgJ0R1tV$)bMS}X4PjmKd9Xvnf=~>$X+9#%%NI&>m7QJ6 zRL*!|!q~SFkaVyJB1O)9*Q7Lgi-^@v7B5^g?R^P|q+55`+b@^olxZ{^b9x>2zk(U1 zF5^_i8&0qmiOJANQ%VfRSmS{*_mN|K>jjyyNoe55GHLGS;DyOqdRekpI~s+% z|1tn+UGJa2aabT?d;G+si8E6U<%BUjKGdH1DI?bU4KklAZKxhr!a%ljNi@WL2|}<` z5K+E`khRHT&ks$4Lu;*!zM#V|a6Q2!R#P9jrFDH`R^p4GmAx8vI+4muN;xb5h4$}6 zalw39$h3uQYr~(JO^$#;-1*s~uL=@5`%EZ~sKLw~rPSvP_6%(kV~Ct64o+Ve6Ga>N zY}9(4KH#Z3+bJP(y8D1>I2I&#Y&Fn^8|1S`g=*DBlAY~(MVkRkvrbb$8y;tcrkqad zwR2~s_}`#a`-$cQ){^2$5=Ds|eHs);CtsY&0?eGmGFCBP8*Y|K=x1tyGrXO4dBj-i zBx|}o{{&T8*pm#=fGgjry;bQC*y>SyP2pPi01qdaqhvYt5CZmbv0!QIRL>)L?mV`> z%BlN$EA>R^*$qV|Z)u&UT`IV(o zeJL}>Rj!l)+4w)z^lZ59h6~jiN>}sit>0dV)YMve{caUsfh&a{DZeXn&}+?xiR*JU zD)Fv&S_;o9_2KC5VHx`>@(@*sN)vs{E)g6{IGy~NyG zq0wYIMcV@e*Xf*WnXPf~Dj=6C>>Fw)llYbBgjZbS3y55vw*HuqXYyS9>%wtg)Eg-1Iu7d%LX63EBR0RfLCfbF#V?b0AO2RU%q zJ`ZBou-0fIB+EplwqNy92riDFeS9?jp=xqkajB@{;oB|u?rcHId2oRz4`0~9Xgsqm zeJb{rOMrnQCa;7O7Yj8Tgq2-ftXyG%zbbZi347&BR>A6O1a@5FxFtrn0f_hO(Ja|+ zP}A2)^e41IzjRD0Wvh~Rhpsj)X~Lk%P~{SsOyc^;-D*YP*q|8j5<7<~s8FZA~Pjo-c=4 zB>!EVU_FfZ?NE>m_S=Lxt~=(ngZ)3T{m)+uK{qZv$$^UR(zYj@TmWRCFxk`6mTw6YwGCt1`fsgF;zL~SUcJFqds}y zAcFWS%K^J@-=YPxby+Jv&&d6wVYX9oarTP$*V6F=x9n<8Edsk*%)UYIJB*lvZ#xV= zN%_nCu_^6`aPemG31QSEK?wdIIG>aIU5k4lAghx)7F)R_HA&Q{^cjQ}8bG$Y2R*gk z>{bJi`~HoeHtdsCl65Z*%}h%sszc#IXg@4a2w|mc2`f-{f${l?uVm@1|k688f zYIKBoqJGXIG)5RfTP=#1l1#iL`1|?r2v$}S27RkT+7#~l&Z$=QBQNiI*gIy9W~b$L z0M)BWU>SFNo#%Q@``X^p#d&%}YjL@oFEP~>vV|T~2RoAUIZ3~vM>`R-OP4lNTiM;E#;2J?ir@U;6o?%LvIdB(-8|{wvUyA=cI7!_ zNtS_=YXKM@H=!?hIh%?A~!@#Y30_Z*Nl)PrLO?>dWj zI?1JyH_9HWf0MB5Uk8X5XXI;(I`_V9~-%!wqxIH~y+@N zzlhtiNdS~-^@c*x0Rkx;Az6(^!>>PY?6i-rjM~ zlx4Wj5$J$rWWY9ZC(j-q%|;XaE@M0k#0^mwF2f;C4J z9A5Lsv+Z3pUM%wheA2nf?2)GO;rybcL2Su=PaI@KUt2)w!<29Fg5@mt(}XiVP#yTh z>n;|p<=p|eF)=}=cp02y61RgqiRWo}msn47g6S!j0qN{bl*$nXO@Ew@h?37#;j#7~ zQ!fNqFlnA&`A#YCs`tnILT;Ajna~7h1j&Ypr`FMC2HCpM?}L->HVDXE5~&g_wn^;*I#g_gQ^pDgn8o-hC#m{~(^Hqz0L61nKN>RUL{4J57&8>sc;$g(T>kg` zMr-t>Ot)?6WMV&^O3Ah+P0ZBkD)pMYz7kPg3X|4gFY~bubmD+L+)Xzzru~?c48C%Z zglgW!_*ib*)mvqbJB6-YXP^E(zhw4QUM?3HZ@%wxqtDZ_BRW2wX2KXEt;{Y2lMqX>C@M z{H3|SN%hCL3z4_pWzDcsQbg5v-9_!ZYB9F-aW${vWa`mu*`vC(xVUv`YkfBvZ+Nt zjP|X#p=q|B@QmG`BnYglhf17%WCgsdB8>E z=HaCgvxa%hZE2hx2S*xGXBi_RKfm4PVMUEveYu8xEzbDQpMb{3pUo#HQ+~?IGX9GE zO20xRrtbgcC$V0Klg5X{iq-5@J?nN2MhU%k&c(Qy(~BR~t;^#8A=|mqm*<3=#5JY3 z;GImjZtIR#0|}1w^|~L+3E(!Dz^3jg^AdlDfW6Jus+nttpuqg*;+Bvm z^HjP7O@#!)Fl{1)J5n4CZcxCL(Eu5$hM+HJVwzUrwG+?>jF_q>y-=t>6sPE6fqG8D zfJs#vwhubrIEFPMF^Gy3I-ZuIz#Zj=NRT5|m} zcSj!ueg98Vc8Sm=P`yabOG~%W&+vH_w#>_AcluUeYg6ZFyU(;{=Y~O6UVk>!B74+G z-mZzUG7y|4-^x)rVFdEX4g&O~>UpRAylLq$(KXBU$_6XE>mIhWjNY0T^XD{=F8h1h z31m4mEY3TFUL@aEo*VA7_ZUgAMs*r9(gqfoxwj6P%N@&lW)!opjv3U}!JjdF-rhAW z#iX?J3qdWnR;P2nf)!gx;PnZs2MVXG&qOAhBDQ-LRIZS-4yxIH4*@MsD{Os~M6XGyP-Cg}xdn5spmCh^oEIke3aIY9-nxQq-JX_ z{hDsDc%5!=*d8WLER<)D(YYUA`BB}_%fJR>^bv%khCFX@Mf80l)$zf z)=X#l@#D&betwu5lB_vs^X~pPR?MT=-3Iappf;c17VW6Wy?7)-u{N1)GcsXX?Ri13$u*b@!pntvhPb zzUqU0MxCv3x82e$U#e6Cb=mbT;er)8moI%LD_n`O(HwoDq2;ob=ildkF-_jMwy-4} z>RP^>B`=bK+qn&`v~=A)r)ycpfrqr6pk-&ifR;J-%;E%~gcZ=Z;q}OEWiD6ohN>Jd zzS0bArtG9-^{V3%oSePb5~L{ThGUn1ZLQU1k->G^$S6R>G~RINPw>Ri@Z2y49AMFX zMa^K}W@#-o(tfdgQyT}r0vKL-L7^6g3S5LNx&b{*ps~6;=pV;0+_7Db1`THlq?v?= zRE-;Np)L?#PJY zTC$k>@uZ)tlG~-tLAtN+1fcUF$qC7T(N_|B%lNku=XInGc0pkiYNk4+ddjl+xF2!=T2;(mNi39%7p} zZ6y(Xj#Us)A5{I>e&)RN!J~f~Tu9wBpKsszC%^h*5-9UwKOw^XM){KPAmaV8T+*U! zu^n0(ICs%_BH}Yvt5_Xuv26)w`Cj}e_w-q4MDili9W)7C2hUf5508@0jhhCqDl@5r zDBn*m<{e(fURciB4*@aV7)wA;q%D}E*%vXjOBSj?al|tX+A5lj|5iMq$Ac?B%D_Dw zyZ@qnb$e{?SY)98^X!J|v$PE6MOqCJ{R@mg*=!2gwyPD8-1r5T0u!3e{kI(!{4N?! zO5MF#I(vj3$yvTkI5d*+`5<-!)u|Z|<98n*%RFAy0VUHMDy|<2#S(Nt;Yq+Wv$||D zGq$8Ec0fdQX`Mu1aAxLjDX^K|ZzZV}%aIN$MKpw>D{((rUZdZVOhQMNjuL|n=oW_J zOxAqsVg+~wf5_9xnWz`Br23X2#i7y?3vZdy_`+{B zSJ-{o2h7DI$*d>{P3n;xVN>u;UVw_^Gb`T*uRI~-7XB!qhekZ_F!Y(pQ0YvBm)Zob zB24O``h~BS@3FCC=P-Ex*izYZN}__5ab+EsooEfv6;vOg@Gm8cE!ts_q{;;Y04X-- z|E66wijA02yMc_bN8>^-zQiq=OG`z|uC$DR(2Rm!A|l?b2AkpgUD|czyX-`nSCU*a z0uwoTy@#KdiD9(t2&>lAgja<09JuK$|dLR$Np@JKSlZQtY_=9MV@hBh;`X!D7>*2uL6`raZ{{O&wM zQaJkoy;jV*1}FfO&IX~au@PQ)7YJz;Z^EwabFDEIXmYJti|Uup3Ztz38xw_1?UD^r zLAP4*#g~f&!V8KSe$KUJv9mcFo=~j?o9!>vhQ)zUoM0V(+AC%Xs1T8A;Ox7eG`({8%+W?+L}Gr+Mj}M>Ea9M3yOqC z=^3Ni*_Q8*{0S{kO_f}0UNfvi5$1nO`$F(AG51|UT|7h)bTJvaoecl3^6#SX?^69t z*07f{D5J#842&7y8HCXlucj0O4A0iQA?+XmsLtilS!?ys5pY*Xt@{q$WuNU$>}26L zFvy|5QzF6K9VjP#JTo9&^#jpvLq*MY`T9O3ErP(uG@H2z&lhh;TiFRdleNEDCZq)q zHm(da6MUwVdi*3X`&z9=JHSPsouINm?{$ur3G&m zQ--RIG%=ROc6{BN1gsMWyM?ojbR;q0M~Z1}b*|8l*#>OjScVvf*NVOWU$RHqzROuU zioTCJYiH!wAXy)Y8B}tyrAJY84Ta&yHEBA3Xir(ZoxgN1Bcu&2j1J^Q<(@xoqPh+B zjLL(x`4ROm+{n7NVjrM(J>SL(7KuX`42?Bys5Mm^N+Xef*+b8D&MZ2N1QzhDT<|XcmJ)XPR#0AW zckl2Qr~K&Hviz%_Kq`5M+|mB+NwGWLAI!RgG`+N0b3pkF8FFjSTN3eOWQ6;#~D` zhQu~AD7~d6@&9xR6qB?CJ}_wEq3-9M{c8|tjzGG$m-O_PysQU1u;6qt&fMnXGwSEt z^uur5h6vslE^R38ajXBUwK0l-CxeXZI|YpZl1j<=+JU90^=Y#3VTs3Sd)0^~*GHoN zifroOk7#Jbi!)Tdw$?@C{7OS^R#2%AiBh;hh8};C1Nhg%qlN=t$!%m>vOt49MkvPS z8y$soBGvFn%3plG)Jc96P3#KDLTo!U_>_{6NujYQx3C3h3$723PN3|C(zn!7H3Mmo;G9{vrimSVDadO=}RM$G<}T;*Kn z8u^s-^SjxKoo#~zd;C*lqSfAu+jhYE*Yeh@AI4Qz0c$#eUT(*G8x|?+N9iqXj>Glw z6ikLSxgZ>0J3;_{9&&yU)ill+45XL`q}atRfVE$hEK*MDAsXH)rA8z&))pCtytqid zfB38J+_TYWUTGawN48vgm088S91!dnY=yJVk$}d<&)2+gXpsqiuxl|?%KPhbD;bq$ z2vG9!NJuvkAXr}6x-7?sY}XCrnpdDGN2-cLav4L>p#L`Jv?I*eb&x9reDx`S@2FTJGTD~t?@tKbD8UaP^a6kifU zc45|;l?fRN3S9+$TH!UIp|8yu+Tz)JoG#7p{!(r!qJeZpUZLN2gc>L&qFMVo1UrSF z|4UKh&C~Q2OjWnTQu#-zMIz53D%UJ37g5#=CLc}#QeG#{CHaKHVvekVc$iPXA_vf5 z62)v!?ELfps#$1TEeL{p1AU~P1-)&C-yGE8-FgCG%68Y3|JnoLl(9IAh+&S~Ey zAig(?nvJ?M%Lz&{<<=Mly#i*K;XFC>OX}u?&-TyBcAgdTPa4A{Jl(h_6g|e5GMt;> z@4SQ*Q8Gi3^kI&HloG{IVHq)y)p9s29~i{InU^#`=o6Nn!*S)(%6!877X_xsss;%q zc(O3c9Lnh-cV*~7Zcjl3{2IuZu?S%XJU?_B1q)=z zTbHJX-s|4MgWl`G5ubcag!=P3!?kDtyH!;DIyqJd@i;obnP`=_kIVXVrT42>*Mm7F zQB*>pU9sW)SJ8&3)Jf3;LYk@3aTh0}Nb6(EmDEhgD}>3;kzl7F;AofC&-~0n;P$VI zF8;E<O+@dW+O7HDp;WyH(dz zH#UCHdi3(4gpLp4aNjtDg@7evyb*+~7iAYZeAHnLI|PVUC8u7+&(*0=dnICT7bBR9 z>|Kq3nDcV5iyiG*6Jme5tFDKj6iDJ42XLzdxa9zD^#u;Ry+$s)MhrtNhNpr$(dFxW zE=@z~wz1cb+RKxFkf6rDo9$tIFR21l%kZ0!z{LOgE3-~6vqt_;4@36PnpEi#5aUnd zqa?PD^Y@|p76ERl1WG1R`DRi1Mp5}zQGFW#Zm|Hj-+)_efdfu~0}s*b%=(`lQ_L3K zfChwQ^+FoU+9t=l-oeP0$;B~U$KsVxe)D}ByL@O@f$$xcffXqRvrL>DdNRh%8!j#K z+GYwPYi;*tz^$^^2+>7y>nizGoy5l^>PsWw7Vn?$*%9yc2rv%%CD+ba4L`R-&jARI z7xli>Y<&jy<;u^6L^&7=(LKqxzXuNjY`GFZ8p|Zsyi9K)f?l=QLH4IwW2#^jrgaRX zm5^7fcHJlEL@Y5C6$&*tMgTGPA{dW$o?8@WFziB{EQ6Xwplz<7zZisIQ z)R8J`mW+T&BJ>coc%s`N;38UJ2;&KV=Qt>F0HsQ5Wd9=B{34lV<-b=* zKG;SYAP7;F==*5jXwS!nZuU5;elD)mD)F&}>MLdD$wG!Jl z<}_k`o;&xT3`EE(4!y%Yi5x&gP;0te$E7W!{EoykNHyIe9pZw5(F zzCp!?OFS3Jq!9hq&v-{xUs*P|s3XpXNxuw{H?-Kh`r}?Ec_j>+(eE`&x;3RsVpc(ah04t+UZXRI5 z^7~T%9Jgx`LiLg|cnLxkI}kPboUEN2Q22`vt2JdcDU$x!1268+%`d_cAxi!`Rw2F1 z#XxdDvO+Ai)l!#jRsZneI7uVq6x+!_M=I-bNK6DeL2QzpILm`Th5IgqQeI#n!l0#q zLo1FeA9_;6!mRwZuH%ZwV1mw8+8-xHPsU3jsRxh*!;hjmQg$IvO8XnhAs z%i>qai@ioxy+(M+=x)lg*iG>oMj=!;8u$#8_SW3zFk-2X^)RNn@r&SBF-2i{2(|O3 zA;b5fNQTa~SE8(EE6pWh!^QjJrk)kthT@r(DpuT4l4WI0=TP~!Q7a(($DtUT=?4Oj zXpYN?UFQ?q2xJU4F?i0RJ0W!q6PB&^Ppfq znOEuIYNut-JYpB|;G+K?7xZKPF#;DmlNS?z6tOrla9dWvg9m)cCFYCZ*Ko*+NX_XY zDBc1X_AtrXhFA&xY{@8I4{K@;8bl?djL4>q(W6Hz-=<&!2bPvF0Ol@LY7G;}|lV5v&!aL8Nn!)ePl5M}L}aV9Rj*g!lns^{-etgDY(mZ?Sf?#dn5 zQfS7F<^b`- zA^QChbKqWSxD^R&VMOdR@A*U>zI#_g9r43WkaY6pd!ygMn5eCZx!&ScN1s(kvp|~0 zbg`_H8`n$p*hOuaL~Yna36dy{Kd#qn4PsSA!;mosb@tOAlzNeCuaVcbN^IFi1wfz= zT&82Xk$Q*+jvzdk(k#g2s*rk$2TsJ_7Rei#OVfqz*xCdtKy*PLCTH9nj5#svxiRd? zllh1^+CYd*?O(~!$V5(})q$9kt$R9OaVv*f^)N_L(T{#UBtgU9Ns#A%`O>`^VCf|U z>v2hotbIc{^!tTJ6$HWDNf2Z!1s;oi=0dOIJ<|d^pC{*3`#IH9I5kr^HBtoGOM$0i z+csmLyK!#d81|C11_4@wKeYz)L+Y9?4YkOFTPQxvq&6IR4r>41G*VnPQ(QJuJbp2~ zS>>pc0B&+ki)WhAuw*P_uMf%Ww~9YXJw3IH6>29w2Tcs5Dn9;#R5KO zmW&u5iWs?WwELxD5Qmu$+OJNx{qk}*`L&HzV$I)bKw^+lHP5k{*@8~eOwz z>hFWF8-*p-txw(e^;>_cW)HkU+B=pz{=VAaZ+#D)H@7)FU@$pAGYWf?actu%Ngrz1 zhD+DVdMfRSH)}F0Txg**(v_OR3Pmb-Sc6xDgFb14XrS{`PGn3S-iItK+fCX1U2-x^ zL&)5Wy`g@d%mDQ*X4!<+tmaE(T;X{pa#ugXgM2|lH&_^ZJ=2I3Zfx$SFr;kDZ)Mo% zG$l1VqVb@ynxlEI|C?L$TP1o-q5@`7Us3_LngR!~0teEfgAG;|W|&Fk_rrQJd@n7O zm;PQEB25xO7Exem^vjqV)v{B*z{OdFo1_8 zG)ae`BlJ!h^Vt=GQKFj&rPvXdslr`AW8;#&EjsdJb(96%K*AK$Wz66_=+>-)MwI+V zGw+IS9p()Gjy3V5y>mw^AZQ1flOCt4N6iAuE&p6Xx zH#@*@WM@U;bVXRgNNwRK&DYtq4V^*9%@}vM%JeB#eQg5ejoQpd?I;F&IC8)1D!H!9 z3jPfmJ12MscGm)ef15toIeAy8r-WPj261yaA^l=tyPqQ;-|Oj(EIHT}IVJetTdD84 zcYVY=<*5w++zEH7Kr3VHFmh2}8ST}tT}PN&5QMTyM3hLrp(u^J)2te$*!ft59bL!X z_oI`P<8SaX14xWJ;BNW$)I2QJEnSMQ$<6Ke>ui4jpIv3Z#ZWKBl;&A)GH){6abJZH zEyVg|MJ9k-oUAFYk)e__=8g^g1kiD;Dt^ei6GD;5ijgu9VDTFv2tK+2`;eUsjRFrJ zvj+O#bRCN-mW;C*BNCB)V|pI3rw2aUJNT2Fapjb=v}ps2lWA?}glMm@Z>NJRL-eRI zgG^05&Bw@!iCWiHzBqtTdfp#sNs11=VSNaRA=g3S!Z6G7H*gPYYhbZ>))-w{9IPjt z)nl%B;mg>*Wc*AIhQoRR{;%J?2Qj_scFeub6Ov?Y7h`tkAqcA@mWhjg{;L8FXLtD~ zUjA#ZyD->juJb&T|5@OV_t6#nc{#i@BDjQ2(rRFlx1TcM?vd<^D#bp%8s-}ynAkI6 z-q)E!`D?MT zpP3%7J~K%JwRwvKpHrVEWy&$NlMZ3OT!n$Z@gA$5HUXBufZ%+5Id|W%g)qY+5@zlS ziC?K|&s@J1;EnSYD%_eXVjue)QIzDPFfwm+BM^%e%ur9m|0RWaZ~s1#S;*o-407E5 z*yQyi&N;ks9x48nflSh?quGWbyvK&$+E4lQQ==m>?N|rhWdix7-YD*-@nX?!w4C&6 z;W^RcVE%CAj@0g!YV*Md?is_s$Vj3t;w9;O*)h5D47C?)uMt#L9?fIscSB>u#AgYYwdGEwkP(2 zxW1E)adcClCpQU{6R1CNTLZ;32zaF4s^0O}2@yz2wBWBHSTX20hDZY6XQ)zXl2i%Y zDSU77GcM8uF3bqVZ2|augK%xE%0Gfgb_SK`?)n;f-#-5xA^ZIFfh^Iscfi)f?im;d z-qK%L8>*y;r-aI%gw1s}dRv#D3+84^0Z9|(BSPiAo0!X~P(dRML90X)7Jrviz~W@1 zl`n!uLBu!f9Y#YDkzLq<%E)>iz)r|>x4Lw&*0(`O+Yk_V3V7PM6ZUrWLL=(OU%wv* zvh4i%ZEB$=XN*8Q-P$L)tZ$jcPj zyp`)hO|A9!_peHcC7wNPz`k~{VNgwmyT)$$!#PA2b3B{lX;Ot9L5n5g{F(8F6x)>N z^5KM`_Ohb6sNhw?P1Q*njrP2-cN4MOeRmg=lg0cSY%hA?0pj93Q)6&7mP1Vc$}7?o zH+teXcN%tG%}vORycgqHed6!Xz0iNL7=i<-xe3yIr3d0|1zgT5voy%y!?pFF*Jf!Z$%ban*iXD_tMvF`I$w?`@6Om}R&4Ow`Wj~K zKM)J|=mpTA&IPmTInK%vp8u5fiIQ1)I9EcY)T@(yT6hr$0oF7bw(=1nf@94R#0T`#!hHoRJ{cuD0H4~gS3?SH%8yM zmyv?1X%-|ZTY6#yq$30ts!ExkG%HO;*H>T)99P6K8mg$85gjO?hEsHQ=Dq?+_r>0bsck^^c!}eNQxhW_ug+GB`F-F_3 zYk&K25t7Et%`{B=CDD`sg7>772DQ&05xFUWJif#wwxBrX*!ZUeS`mj+vJzFF8oWYu zB-WeWt|mOpxCUU?l>PzRn~DK)=C6Bo6b57Q=A=#{o`n&84D{G(cKG{w^U3-w%N$R- zdscq4E8G3MK_frr$U@;5 z;=kFVTz}W1)`cCvUsbTGC{NbAeIL=-4Mi-Dr*UTSc~yKk4oT}MWJ5$nDExNd*y4>f z$|h_TCqKT3ep2ny^fuYYA{XNAu*bwD;57Z}eEQ^UZy*<%_LjLn}j++2yy5(Ldm zi|)*<>PYa8x>f|fvq~yZVi&&0PYNMF?GVTl)^y*0bkcTK*+mG2iyz&M_9vwS9EVC> zS!en+j4fG^zHrZle@;5gl0xTPn!;A$WEPA2uheI5tLISQrs- z8OxfrJ!i*n04LsC1%Hj;l0r|-G}4H!Di_T#T-o8;sfd>&pwce5ODiXz)^f?x8A7}` z=5j}M>ygK8l{Jj^Q+iw)v9{FAeaYz6e zMla>UpsH~SQ|<+h!TFIfT;VhbAERE;ok<+@NFOwMY7kAk9p-~Uf?2Uu9{o_iN$-_1 zvh}@)TnnSbS>dG^5S{W-zT*z#y$}yFz>d`>a9z;W&XYlt*ZfR; zEpAg)_8WNOx{0h#FlzM~L~EzWa|LH_64Z9+UY54U63}#)AXGrGHsZpyjm01&VuvZ_ uE;V_g<(T$*jN5~m0kNSLu0{V#MbY6Uj4@U&fmX-}zdrK)-%;kz&;JDxYPAgj literal 0 HcmV?d00001 diff --git a/cpld/db/RAM2E.sld_design_entry.sci b/cpld/db/RAM2E.sld_design_entry.sci new file mode 100755 index 0000000000000000000000000000000000000000..1d6d60ff385eac213bc3fcb4244fa82d1a8f7a3a GIT binary patch literal 216 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR+5rs#fldg;5Cf%eK qN!xihAG0P~rUIMhC;o!3#ozdgdinVZO23tSE-B;r_{BW~VjKWu4?;r# literal 0 HcmV?d00001 diff --git a/cpld/db/RAM2E.sld_design_entry_dsc.sci b/cpld/db/RAM2E.sld_design_entry_dsc.sci new file mode 100755 index 0000000000000000000000000000000000000000..1d6d60ff385eac213bc3fcb4244fa82d1a8f7a3a GIT binary patch literal 216 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR+5rs#fldg;5Cf%eK qN!xihAG0P~rUIMhC;o!3#ozdgdinVZO23tSE-B;r_{BW~VjKWu4?;r# literal 0 HcmV?d00001 diff --git a/cpld/db/RAM2E.smart_action.txt b/cpld/db/RAM2E.smart_action.txt new file mode 100755 index 0000000..c8e8a13 --- /dev/null +++ b/cpld/db/RAM2E.smart_action.txt @@ -0,0 +1 @@ +DONE diff --git a/cpld/db/RAM2E.sta 2.rdb b/cpld/db/RAM2E.sta 2.rdb new file mode 100644 index 0000000000000000000000000000000000000000..f15a6d029071e6b3462a82ca05983b3bfec4237d GIT binary patch literal 8112 zcmai(Wl&ws60U&|+$BJ82pb9Rt^tAvcW0x4;2VeF1b26WySv-Q-QC?CHe9}Q&ON8< z{<*cPR(C%$t5?t4^Ji)>p`f73kfGn-4)2fXyHx|)J6Qa(A!A`wrWH&Po>sE$*Z~3_B(T=ES|AJ# zG0jiYq3e*|?ryCH&`Km48vM~?olLPE#Ld{k>93vmsyTYMSJqrJM6ZDqCC6sJ``j_e zlOs%Qc6I#mu}Pz@o16EbP^4iwf~my$p3JfsVpsrfF?J$9s8s>k;@_#RtdjC0JY^dt zQ0)WRgJ;9bPOWE=pZw4eQ2PU5cdFYd4~R<6Y$piOX1r$5jO*6VNjC9z&c5Pg-L*h2 zvSeKKT?CKVmwP@{Xm5Ic7rlL2_4BgLOU*^r%gHs=R-f?Ey#neWY&9;2`HCzkmDxDB z;5fqtV%>`q$Jv|2luADsR*?4FJ!|y!xUVCmesD6Jm|YQ$lB_aqT|BL&phO)m!o9BF zlv;)JBmVx-eRiR@av~{!RYC|4VK&)JOkZi3s5MXdVXbxf#HZZ5F*V3{aJ!_));N!b z+wO$;dqDP!qo%G41}Yl4Rur*#etDu|kU>_2?zSWbmk;e;BXZ{&#%H6rEm5u7{KK#j zV<#NPz-JK$<`c1m`v_fug#+P9O}tWi8tnrSW>{*-L28^Q4*Jt%+h*2sWMcf1O%OEF zNzn5I#@B7+B07NTbl4gCv(~=WCRy;(<<&=Pq>4_7_TUoH52`Iaxw%>1rA4P5`kkN} zQc==7IOY>{^9A>+f@hYOc7#-|2Yq~sw;?$w9X8Q5Zya^2Q=4muhS{Pw(mDhKj&H&0 zh;&wo>A?TAP2LuVPT`F*OZ&y*#UX6$8u9?0Z8W}d?mYo>C}g7O=op?V*%eeBz%^+P zLd#y$GWo$Y#G;m}&9yf92WJiac|dv?x4#0lle={|O90`C!6j$Gm8=o`sc_vRIT4rKG?)^Y zchJAx*>fF5%e)+gP9{2QJ*#J(CTl;VqBKnZxijRx0PIn_9Q8sM`eEp)Kl6DKdQsA) z@p{OGdQcOsA;Y7K;@~bc^V}0Vk>%s-9os8`^Sne#DYmno6=fqwQt$knQ|Aqjp)%6? z`bM)*EnrU>s}G~tr+^Q$d*nwS&3cFG0zU+wH6r4{l2(Mpir>O~Wa$||_4T`m@ePag zMF=VELDImRM(@HVvi!>FVrxTlCSpr;{5o3uDUD+V?HA|Rva{}K)}vPr(NC5;SD97M zxRG{&h^^g{iOO1nvs1CvwA{g@ot|2XjE(w_)+sZ37BQj9W?Ed(D22~k3R~`6L@4e` z>(LbhbZfcU1pJAYS$z=(v~&0Qkij05wEdLQf+oGRq~C-GjOo!a*?oPI>=UUcIQBXh z>X*x~f#|MQf$QuVhbN4-GxZ+=rq0NNc1OYV%A~ia1oc#frk%T=U^( zt1S0t+R-t^d%=D$o|RICp717Z=Gfn9e-itcvMS38{V?aEmq_X-Ejozn490mpRx?KC z70*TLbq9pU7f0d%by)gwhvVDN)+mB-GFC*c3stRmg%7};n!zYlB;t*};uDZ<8aah2&O5B!zsCEbLsiK@>+7=;iN5#vGV+NmOcn_a=Bq^sJ>Vueh z00WBkqUV{-N$IbX6lueoKO`a?xn2(Vs1v^&Y{vxAbpz7jJDqY9`}6#G9JfLc|8lP_ zLaY;FJ(P&+3r0|qGy1<|!Dggsqmkn{jm>N-_D zj}BL>VU(f|NaH`6Qk>))2u)?@#J zj?I||b?jM+Br#;>UK7b}IGZa%p{ixsz>K*{R?}N*vf|Ft3_>fFSh+tL6jcfQU+r8G z6|I$)a?|)KV8oM3x4|Y<3Vj^{#alM^_CpbVZ20P;WgGSAe4x*uenueO$9b`WxTlb= z$xOBEEgIv}nDa9EyY1VF!BXLtNyAl{bVVkPcvXg1q(S=xrYkeDA}`qM$Tkvnr8|;? z__)J8Vq+QKBB0r)Bq#n2qoA!)N{}AR9$tH3hqyfIst?V%J3X{&HGuiLN(-%yC=Ghn z+0ZoqdU&$~;F^@u8Qh#8#sI(X^`Lt!mi*)+GIhYYFWR-2l)AT_zjGbbSZxIaqC@da z^NwDe@95e)p?Vt!(W~TC!Cv#-f6gwosZQ8&jWIcG8NOLHPS;>K(webf@XugbUEKZw z-B9kD*GZJR1?OxU>DC`hvLZVTFE@pfS8+_r1Go7}UW@MV_-Q~(wEy>P3bPcpfy7-; zx+h7_d;y)+uLSRiJZHYTa}Gk=IskuQ%7#ZWPr*&i_mt^UZUsoQYh@|@z};|XxqG5i zn+!v?mZ<5Mgu0O%PFL$}n=>VC8EXd8^;RmYY<{zVf<*VO->%Gd0zcuCgG|kI*34%h zdjs>mb$&&}vSps&k#dG@1%S6SNuq9w44^oF!hikik)Dg@` zeLfneK?BFC*cRDTrqIA!INH`7S+OKvBOYtsKn=p(@BRHp+2b0(oz%on=O7pQ)ZgqW z9spv6UdBUYIJl}>z^g<7-vo$pwTJD2*CzOxz)nCo=feNKG8|tDh0T8hp8jK%s?Qic z*}xHrFR2sh2CbDp#-UG=qA~{dm)ma*jG%Lz$d>EugTwe2p={K{j*;|3QkQV*OxH#| zLCs|OZL_n!ixBY|JY_Cu*hFVxO8M{7t{&L*vOi%Y{Fj8kGhu5ZPEP3zChhJ5>xao) zDuW(i@4#o74l@#6p!s?HXZY+Yt>el9dIpkigPV*Xbf)q)FZW-&TVSyIMeTzflL~LH z-DS!5R3A}*nd&}2!dXz|UrOLvi`(SO!&V>mR71|Q;@1^17cx|Pxpm(QGrz)lLHTO^ zxy7)7nt6#Ra^zWae3W!jQi*3UddXf;t`Bs`$@WPgxa2df!~wHEbG53458h}Pc;lLypvJ6$uf6ZtS<%>3H;Q2kxY=ah(vzhT--vzu-< zIiGnC2q_U3E?8K+7sXnsuR~e&BqfJZ{IO&MjI8|g#kA2P@6N;#d_f<_FAGHN1#n)9 z_MKGK81;Br41ouEKU*;iXDV_GE=L@(ggarWHhd3{1$u!?ldq!zbefSEa)-igOOOi& zj33i~43v-(dwIV5Vj!#$5F89kJQPy((46=OV;NJ1|JIkdIy|P&{j_3U^`+$iBPDF9 zN}@u6Pa2ySn7yW88E(H+`vDDLC1IE^i1@|XU<*c>3b}$EU8hI5*IVnN!ijr zP@Bg2c%7(@*jB-<;PtrAVkli&=N}87xogIN;Vb*N)|~)l3y%iA-U+ zxzQ~f$rZ{bUxVcAnuqoX6Dmw1j%GjH+zP-(ff%@Z9g zrE?k4dV2d*ZrN~PgBtG>W$J6*0v_I*lDmaDcU<1xR9#|uTkVOe6;rxnZjwaLT4 z!D+=dcrWQxE*z9R+R5%kvHY>RpigYBr+wqAMv|x5JdQFb{4GlyeWLw1Uv9Mqey3QM zZO0e2sZRMg=lq(|iAWv0mzTUHd2p>3xDlV}Ebq&oGjK?#EC9R+kPXdRHWk`{Kurrk z312r=`a0Wi!kb}C$L~V-jFhEXl=u&Poee#bh{rJXC+N%8e8bG=rLIZJO;JIvtkcRS}lsmGpRx;Rj$C_&6%>R-OAW=#TWj?qDSKBE58k< zQi$$9^j6UN5iK%I@O`Va&jq?w#w~qxYc%HE)(l*Q(rf!})gV?PL!DC?8Hp)4*7ec8 zgTe1`a6jr0ZrrP7hl{>w3~bYttQ)G9SfTgFwbSy(ra{8sOTVWV8!U^(NylNIMU|QY zv@dCUL?8K|G9Q@)+Dw7K8udSRfSQ7Y#-hd{pus4W9%W9ZC$u+?Q8y_}ef@*%NQJiO z18eIqSOV~!iiDQ>)mi$8TsPdBRl+cwo^U+yga35(<~xHMxBKzg%552m2?z1n<92QX zUuo0Mjv7~YSK!39Y2ABz&DPZunN7IvmBQE`CzA%eOmV+IB?#Mpq^h?aUW&_GVK@diYUwk}6V6q0lAqr1LH_|SbA0g#m5NP+GO+1SG>8_BLY<8sRx1IK_^EL$gw|t|Dr;U%P0d*j zZJa|(6un;_XzYHhWqiB4x@^UyuWNZxru|T9>keg(?4EB;2nh}NwdI+?{~;){Yo}2d zJ6RSD7G!5BkS=M~g59@?Y~jY+IngW4hxU_=(vDp^)3(m`Ft;2D`P{9cack&|Npur~`wTZY z52fC$ zH&$PvEU$G$I-He|dNm+Kvbq#%d~`A@{zkyu*6`G;_9urkeo0%Ey0qDXww+@(Z{&MK zMbKPO2n4;AL*ew2_a-hv&)wqjsrZ+~QR<-mAHzS|?D`LxVkxn^%ff34xRWo*q)9XI zKjmU9YknX0agNg$^HrQO#-KyEeJzy4BslaeOWpc%KhblP7uZ+7;H^{^JKayB2fIo4 zyM%I)#!~c#X3zqZ`^kZ<8BcL1JHsL3jBSl5e(WfpS=u~Cuaj_N^E>Dc2~*a-hajN1 zLuDF62nqUT%ofy?KCbQ5&H z@%yA-PA`&wj79x8Ta$RSXeA$TcL1MSWO%;43i766$?TA8m2h53k{dM>JC?HWc7Xe9 z{pBy@mLRS^Iqpu~?8nl4%+%`@ige3+h-dagOKAZnF<^wcBw%sIgZJ@VD%`wCHSw-3 zkNr+wHL)MWlf-(H65c*C#F(0*5Yx^sPdGvynkhG`p7N_pfx+6g|#`FP4rxXxuqv;u~67)oULQU*6Ll6`uCI5wn-BS+T5&knv=-=r1_|P5x z9Zkn^c&?NElZ<{{Z~7kPU%yECtBo`W;C# z?};3TYuYW1M)w2JkFza`{cCcJ!-Kxp&F7@7#|!hS{_6a;q#0Ud0T^{c4Y8@kZLq z&5H#-PA3i+&RY{*@Br-ssa}P2?P}D zvt@}#=Z8L_Et-^cuR`g$<6%-3@d5n%hw7nF9OB=v3U$>W~XSU#-EzRfqRoh zF$zHcc7s2T?Y?GZSL-5%u7!_hbs(@m4}wr#)_&pHNx+zvYRcZpUqMCH-QHK)q9f&* zVkgyrWnA!%NG~2WvoglpvFhI<g^?gm1hfqIEl zmdt6MGV0E`7sVk5^*Yit{r&Op0qDi#{L1JrTyz_t614b_zV6vZ=5KB3`Ce#?6V-x-WoJS>lCL` zEz7obbX=atpLyNZLpL<&uX^%c^)@SznAK?VqBlht@C~=Y6dhTGO5jQ%ifbL~&ewQy z{W6qA>$La^LMGMz8a_;IlJTVUyxSUI%*Jw$T{3^$m3F9xG z@A`-TeuaIMlfXM^=0RAfLElh(QTJ?xjy7R?W(jXi>~KR(=s=>0CW=g(+hnx^_eOwj zfTGwk(97W=#huP$?MOfO&q_L-(K_Cpt9M8K-Qf}}hFK1e8tTnIbZpIJB;~!F0g4Kl zvNch)at*cJ`pwD~9AZLvnDSpt!n;ZNVr4$0!zI;jo7rDe@MXrAMeQi&4*u>c3AVBvlPsF5e>?e-%Le#q_Qibw;!7K}`l&QJT+~ zYh0MoJI25fbV49aVl6>bjQYfw%NwBPiMp+e9J8ICXZO8?GgVe&4 zrM@r<-PUb`c7W^bAY753S~3u}9fp`2`cHn`|F?i}7F8b8oi2!W`kHOli^!V-<@xO< zSt@w7DV=y)?BH0=yDfQWz4o!5&*_>M&}UaAVfnE?$n8Si{=y1#RcDN%IWO;y`&D{M zw%cMN=oiT-c%O!IW^Rw2Qe{A+Yeu*#3;MVoqEg}pbabJ`8X~)yfwf*?>H7Y zX|kUcifu>(&Oich7;2=@h`@(Hj{XC4ZZHta^yVVYXQp+m-|B3oM%A$(Skp;cuI+R9 zQKG#2kjJ-zkPLZ>!P?;s1q4|AUeLfg-ZE)BkszUXxUr z@U7hh9Q#i;5}7&43yo?)m?{%bSR?QgLa*x$i^KPjQGcG|>xjP7Lv6LGolPFyxLT4v zeW?_F{(SIF->FOfwRZ1&z ztK!Qj0?Ezdq5h>VR-f|TCJ*FV<;pAhF3#0O{*6DrRX<+)o^50?Gf5M;dVGY^d zSY~>`tWQCj<}M7cy7p%S34-Ab=G@TtuBcR@bcO*Eppz7P-&^PAA}w5OFF?-q!MY%{ zoiArKFOk^fy{h3!+T4Oz1lCj9Ys_>`H{KAk=?qrV$)f*3!T&&byD@Te!JO-`|10~q z{m%mF-=f}E8Tl^0XavQy8HxN42}LH@aQ)3^~K($zpKmjLl-}Pfwvx=lHNb%zBj~` z&b8xx1N=iXq}Q0K4%ov(RAmp@yBHaQRG$23m~>ON)$sOx`~_-Z|RZ zI)ZVcrR37s+oHYqGC$@3K-FajL;`dM;3Ap$)f-92Yb!Pjw6@_2e z*it?r?gQ<&C|@zsqaPB2fo#G}%$zd%QJ+P~;Nba`P*_;mh@g9w z(do?>I;A7L-1i1yRA8>47I5Z+F_Mq~f!M-|#-t7AQAip$&5zHGjc{Ev7i=d`Lvqco TI6FUGYDK3IDc^6;-`@TYTB9X% literal 0 HcmV?d00001 diff --git a/cpld/db/RAM2E.sta.qmsg b/cpld/db/RAM2E.sta.qmsg new file mode 100755 index 0000000..703fa55 --- /dev/null +++ b/cpld/db/RAM2E.sta.qmsg @@ -0,0 +1,22 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1581910345759 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1581910345759 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Feb 16 22:32:25 2020 " "Processing started: Sun Feb 16 22:32:25 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1581910345759 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1581910345759 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta RAM2E -c RAM2E " "Command: quartus_sta RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1581910345759 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1581910345819 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1581910346121 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1581910346129 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1581910346130 ""} +{ "Warning" "WTDB_ANALYZE_COMB_LATCHES_NOT_SUPPORTED" "" "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." { } { } 0 335095 "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." 0 0 "Quartus II" 0 -1 1581910346147 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "RAM2E.sdc " "Synopsys Design Constraints File file not found: 'RAM2E.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1581910346165 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1581910346166 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C14M C14M " "create_clock -period 1.000 -name C14M C14M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1581910346166 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1581910346166 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1581910346167 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1581910346176 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -46.000 " "Worst-case setup slack is -46.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1581910346182 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1581910346182 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -46.000 -954.000 C14M " " -46.000 -954.000 C14M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1581910346182 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1581910346182 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold 5.000 " "Worst-case hold slack is 5.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1581910346189 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1581910346189 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 5.000 0.000 C14M " " 5.000 0.000 C14M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1581910346189 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1581910346189 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1581910346196 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1581910346202 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -4.500 " "Worst-case minimum pulse width slack is -4.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1581910346210 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1581910346210 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.500 -351.000 C14M " " -4.500 -351.000 C14M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1581910346210 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1581910346210 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1581910346248 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1581910346269 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1581910346269 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 4 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4525 " "Peak virtual memory: 4525 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1581910346337 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Feb 16 22:32:26 2020 " "Processing ended: Sun Feb 16 22:32:26 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1581910346337 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1581910346337 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1581910346337 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1581910346337 ""} diff --git a/cpld/db/RAM2E.sta.rdb b/cpld/db/RAM2E.sta.rdb new file mode 100755 index 0000000000000000000000000000000000000000..71eeeb40b499c00862ca55ad8f20ee9daa688c89 GIT binary patch literal 8695 zcmZ{IWl$Vjv^9a?7TkloJA*@Tf(#HGE)d+^h5&)!?rt+kkip#(+@0X=?kpWb=TUbs!ts(7#J8R>btkQ%iAUS7B$VBU924JDLA>=IM_HTB;BlRO)0pz zxhOdJ*g3h_xi~nvDb&rJ-L1ZwQK%Y!wV~joP*r~hKD+dD;Me%Qd z0mA+lZz=zap6`wf=3Qt8bW)CZ=imsxW(<*z8l;{@6Iso~n|sU>_Khk)QaK?yI>e`h z>?F=g9`Z~M|D2S3gbHV`MImK4epvT^^{%vbAU((`s8BIZXQ0zXt#RQ5FoV<)HezH=w$gPaLRfS%?IASgTJ;|8a~y=U-*9mWchzDep2Bkdej{v}3MkJ>Orw8w=ODg1 zLwCj`M5+w@#UM=jVQfrkMdNchWt#cA@xS_P z#4clgYebJ`$}ak%50=A;p9togHW>i0Ho!81uzEu&l7Jss4C}H+{QhAh=P>?VoIFwt zJ%EHdN!Nf~&O`X;bBS@~Uh1=p(J*U7WHJWf!^|!CbA0a)k-!AtmDk2M+_w>o3J^tZ znDx3h8^Z)Z&R~eCynIG2O%`|zCj!qy5B2Fk2mB;q&)FxtLHzt4wyh@ zXMKGp6kUXTc&N5W1hJ$ragai;EhdHK%Po)2N0W{J;bAH0(tYu-)3Wnap_z-Q3Oqf>~28&URL0ILQNQLaYEw#Ben{9 z7m#N!_Pdj6Eb-O)h}_Sw7yhQ#T@--uc}^cs29ZwB_rDT|0(G&kgT&rTr`jTsfh|0x z2c+F)CYCEzcE;Keks}i5GIly0!?X5a%+dd$ZD!A1gosAq>2TfBH(H;PuxRkJ26lDslQ8^wh>#JsOe5?TN|&KR?5ZxWarZdaQH_^$qUtIfmKk z3OIq8-(mwyN_#%vU@gFF$wwVV;#8jGqen<$c1scX@4%zk1|up5be+M%#egJ!NKF?Z z$RP@#0O=bam08aE1p!DR;M~L~4wQ&$(8qCPN{6@W- zD#s)JgBPBFaV@9F={H+@1;WoPr)VOs35c*qXfH};xa?wOy7T{hO6(>BD1ZHWXD{;{ zy$3AyrqiBTU~NU@oeMH|6dEgDpFJXuH#zTv>Ne-L7Dk-HEscEV@jTfWh)(gsl=3}5 z^m6kKY#}t+nKrMHv+JHxHe>0Rbkli4{;(MRK-hmhH`*9c_3QX2T-R{%pQ!ok1N0a> zPUY{SSsGzp`7U=9_%95i4iJb^GM}X|wFUAL&PK*?Pu*N5zE2?LHF>OwErR?9@*v~i z?p}RHqEBeplPf}2oDuscQvruOs$2s~Ew9a~Zn0{%sxia%Q31Yavi8@DL}PG>bPDrm z9u!h;{YGE#+UfegkylHB=AlthBKea;6iKyKFYwWIYRB`}W-I?10U>+m~4Pqa*T5GNB$r zk6qh-peqMf!#`G=ZnvDrRmNA%Lc4APUm`m$3fqvp94f!8Y}CSTMfA2G4$Vta9!wHzn-0KWC{tiAJRjJF;p63P1BmyVfVCj~ zYp!dK&}$I6jM~#jR54f|`S%7thdzkH907QLcRgT8MM6IUlq!!8i()kn=T}Cj3D4qi z(ksTl?yA@dLGBbntGUrIq(j3;DoM0|87L^5?OC)K0zL|DpHW>>fu#Bn4SC6>XK46i z#Hf7`d+yY!`B!Vk`$ufbEdo4B_NmhN5gjn#hbb3pS}}E;i8*16A~mPm35A z|I}T2GZG3cy&)EeO>tR^`JPD3#5wikSF?_OVdJ6}mVm(zcbP^Tya#tLuQctP_kE9{ zGYr(r&!J4L)2tnF=WU=}e#7Rf4(FGb=NKyQl>rV@VTjwy zSGkDJekzNkT~caOJ{E9ax69#fPfE(@YSBg3p8YiPE!IwIpl}~~+`D>}$)h3Rj181i z4=ffP$vR!wz}-IC35kcEk~=Vgs*xGdii(DF7IhEOc(m$pH6!bEkd}<;DW<2~gEr7h za~2mEek+}5f^p3vOZ*Wipq70uJSKj(Mkv7zUzc=APHoZgYcrR7UH`^&Zr#Qb0TZe+ zfooQ|l-fQoyJUTij?*59c#s{0jVl?)8n8z(iVOZ?^pu z^hj00)FpyM$6vS(sIfC!FsG2r5%+!QAtnys(3BVvWH1+ zw%|R=9lY*0Tkbk`|7}s^kic>hb=fb;C*>t6yT*WDI$n{RZFq!VNLiW~p(N4&c? zxyo)HTdY+2Dr4`EK@-a3;p(`dH{TS-Wdn{(YEbqH_VZJ?fWZ+*fjriBMWCu|d)>FT zj^!@s&rS=rypXLgme$b0Mv>OxXG>PmZ^xL%$_0MHh*0}@?i?;pMWElTVnEbvS>Mxl zkWYAIMf>Xo=2tQMFxz=+H&P^)Sl56+sEv}vq|p-Fs4hjeU09%};L)tV(^G^eq0-`R zLeRHz33cAJKy{|!K9}<#SZRg_VP240QWJ*Sx0ZLKW4~B_^s$84N*jW!>*;1hZFQdV%(O?M`xdt00dMxPK> z<_2~#b>FiElv8CeS1^myAJe3rQ!@L>pkSm?0Q6*wr<|FRtg~>bboI)nEe>qUary6= zNK+vBq#bIl6FU3xYXwQ>9W)cGIY$xnep8_-FW3uqpeEWCFDB%OcdvRA^5pVSsl8;U z5EntbQwV!!-N+x=4vrB69Ry(==?K88Nr7nK!}Kh*lH)}8MA&ou%uX3j_Ho`AoIx~Y zRMpqf@fOEl{~&;c2Hol5oWFz%TCH1nI@qH%p2LVu102H~o*agq&THPZY)JPOHz+yp{jeZCI$AJSR*3pZ zV)2xOzINO(Ek1sX$J4EyH$RqW;bI?6iaGb5r#H2FX%UZER_ar^W?42p)}^QiIi5yftj}3x_`?A@?Dcvo^ce8qG3v<5dq6@w6vji#(W7H8q z&f~c&X%N7oR}qpydy#tV{UNS5iRo_}JPY^hIqVUCEi3Z@o3Km2JHD^eF{!Dum=UmK z3%*a>)fBjdi13uuS&BMKxx_=UO|;luX)#8WmuGpvUp@GQ7qUiQP4DC}D~ zrjpPxpjpjXh~SV)_1RV4CTPV?(a)HOvT7_Z-!6_WVW&CCcT&6Dd%nEFSI{7dP0!+# zSJI~GYqk2NA$8wWM?q^Zy)Cnb;U^Z;6yDBzK$ObD3~!c99TOY@!LjdSH=)hoW~xEQ zs3AUL{Y3@7VRy)|5wvbQX&WEpM7q8-GE?`Z{^E!mI%9FSzYSUs&AD#-)R$L3x6(I% ze1-w>=ofhWB0dqZX^@GeT=c6t!xNRIdcSY@NtoZjT0N7b9q$T!64viaAnJH=;=;_# z45A7#{5=pr94VMoDzrFOr^$Z0JlELTJ`LWyaKv(I(rt?}o3kHw@ycvtB?T+8+d3kD zCMGzOq)I>t0L?KN@5I$CM{S%D3EY?O9nn%ryzTk2x5_9hzZzs;vRv$uJ&ib>Mhj+V z8#0FR^u7=C3`3H+4!FU>D8(CVMJG?`VXbo&^2}1vQbj!4+}q<~byDOdfWVC8c~G3< zuQWtpYlM;cqE*RFWu!u}$ZD4z6i27vrZs^6YSZR%RInMYXD0|`t;`olCJ7y}eNA0d z5uU&QD252EXq&|^dF=HxFnr?m3hTJRw+d(q_qZ0Y5K)%G2X5+suF?&+;tchRxP#9} zzifRN=kv3um;yb0X_Hg8T-5VXAn3^2RZZB-Z$Hg1CYaI%(&`PV3ciqKaW(o^1~U&a z+deMrN`J(Y2xg*+PtnxOrbwDXywGe1T}H`!b_aqY(>qVp(}LjHXi2$P)J*cF>6kiG@*K*^8@b{Jxa4;#t!oeT&I|*q_2D$m)pdKXc4%_3XRb>#rZ10l3sbL~3^HO1tv~_*}UW%)G?_3DJ ztaF_X;4O>|kws%1*YUnE_-QWJSdE#7D#{Lo4S`18y{FhJgJems=9FAXs3JhI-{T~v zl1bjteU_EP2NQa=kvtWI=;8!im4Qi5Xtk|qqd1hYhWg1PiAT9Q5cR7Ss z->KAaI?c~o7X5Sz7IVSPs&ysHYMq=!YZAj->{C6Wl|X&9gLN;VYFbqpc$UQ3dZD7d zjic*-)=@ZtD8)`eTR7RBOM@|=TWa0>l2+yijf>1n2Mn~QSJ^p(&(8*R=e@b@XsodQ*MxNn4&W(Vv3)tepDXR{FfXxhse#pKhltP zE@f=%um!sbF*dE*1T1}0Pm&qdA3CYXFxnZ>aQ7R34;YcO`(A&rSR5WaURjdg%42_Y zGgllA4eU%m!rDrNwR_A+tX%#m^rgzp`2x||Z|;3laGI5+oVQKQnDQ6X!jo;wbZWML zUs`U={Rf)RVJ881{IA4jgkWZf15aKW&j`Vv4t|Lfk?@7z9lR3JbX^-4!?=gqQ@cCr z7UH!utmO`TltOk$7C>$i^Bqvq9{x)m^1M~WWN2EBoq0QZ(sBn6rO+{$g!3|(x5n7K zT`XytKRq^!?}yCrlP!0`idE=gPR4(EtMQ0!YFaH+RsVJR$5AaMkI9ZjMq2H;y8i3j z-`uAS+4<@~P2X?vJ)rO>s}B^}S*38Rec?Uep%~J5wMYTtvoB&A9pMF3FeMcwTqVFc zJ%7U~0S|b6DP|EuVWlkhvy^mC&(um9tcJ9)Z-8r>yR(jIk2R!oic{8}F09PvC!)!n zCaSzQMw64XN*IKcMoSgmNOxwZfzT(6?*eKmuKUKA1Xk)){zBuC8UJVk-MZp){_62SU45#> zlSpWB1QnJiaw_1U&U`*nzVK?Ro6NhWXM_^$Dj&wE>1MbTUH36Uqnf4`6d3nN7P*da z!#c5I6Ea!Y+m81+i=;Cq^it{!U)-50H%P+C%8BG9`Tr?unI1f%=UXE~9tCUmI;0dK z$P7xvYvSv@J5HP;qz9DR>7rj}ML86adeQ?T((U8y3;th{oUsyYy1B@RxFSCaF1#-~ zwe=C&?i3o(nbuUN>fy&u`7^-v7&@d5($-b;(a>zS)3xv6$4V*EG{&vb>%bo`OU~ahUz&qfsVjfY+$yWLI{m3<^}php=8ukEtc*3FM&UYhfE9zRw~gYU zG9bV?zGkZsRJWlQGUQUNb2w|q+)lZppDMW++u0kCu{EU@Jdd}DBP**#!5`cq~&iDYPd6Bd;75I)?|TyEzmTlcJyl6 z|H9ire1J44KN_F0lBDNjVe$5*);+f{DMjwdbyBDOtnH;W!t}gu$<=l>t#s@ihyD9`t)2>3r>3&TCm)EY@cA z>&XrNTrG|f>X1T`7RTbQCMxBM4l=iR>OAf%1rq{^K?oKV5y^eG2H9d}Ze)MUmXjAY za3Av%jU$$YG>aqFKPi*uspgcSJl5Q_QFe{BY9LlYVUu2~`u^W$iYaP>0p78rRVuPk zvzHDOD9^>AK(lT)L6hzDLZw63X`d-uJRlbiil9T#l^RY*3kS<0^H!He|6=h=4uD@0 z9s5t3&BEz}Z`7;LPz#oCdieN7$i9qE$Ic_KC1r80s7fz0jfWcZ14Ftl9k0dQZ2b@V zu2=3qW``*di*lZ7dQqZ02AVURlT^od+HNVrSw(WQ1|>`&P9lB?{Zfv|1?BJKnIrt3>9hPVjsrW8Wf)Upl zjv77zQ3! zuWZe2m5qbLG!UA2-c{;Y!z(~{HKI0?aF+2;Tm7m(G0zkgPCvB9687qtM^QI#>%AHK^hE82|h+jAyJJWY4(3^;pSP*B1p4KM^`IiwQ({M^fP zR160nJ?%Yf9G1q3o_=_LLvyN&>E7-KMHE`?nhg|@PNV~IcZZ(|tqz&zauG>aUOw9* zFePxJXS8SV*!IJiaTQXkkO`Q^auH4CCgF^c3!460wp+M_6M~s$ZO#=w4YD5;aYBkK zHm8Hgwe99DDX3h8VEy+<$d4lK`=IIPu57`?HMxS8LB_x^>rN$1`k(cLcF<#kw(mmv z-KwDF+`1AW6*YKnk%;F#L3(!cRPmyBI}ZX?>h5t%SG7#cTRs)rR89L-{r6ri;ru>V!`wKV6vpG&U|~l*TqLhg@9b#LGJdr-c!=000__u>s0=UdkBA{VvXO z;@JtGU@tOBv11QCZWqnJT=ozlhLGVe(}8hrJ|EH?r+;?vt3x%tV1*5@*PaASA3 zAa64zhu;F+GrUR3zDbFq^j|+Rh*@%FR+IBI86=YPKpi5KCD18%w_=}iQp5(1d;%$ zZ6GaJK~r2qff4IVH7xi7f(@i)reOM#7|Nvc4rrApr7?gKs_^cuC|M#OnCv^D>`&;Q zelW-7-eI{o>mfDGl7MPy4bjo=+G~;YFXWnqwLCCk^)F<41J-{5)n8`a>Ye=MgO-D# z;V*hN8=unJzF91nd?B4nD8Z0a{zfMsrpiprpN&MgZDC>cra#u5+-@xx!s>O0o!q>C zLDd_$Zsy9KSQL7&mGiEqGrNNKRCqo3t^S}zkUiPae3tk!6I66n!6sbb=eExH%pBPF zd~jSkSP{-IWBupEv|&G%3n7*#{C0@6IwPH@K!P3;>0HeyB+NJLhf-EOl5U(7Hj7ru zQfIDhR>|AN)B+{aOmoPplwnunJ`%pdugeNqf&JG=uRT_y-Mj|>zeIibiXgS!o2!{x z>0KVj$k$|AHjvf0P=&XHP=)3K-spChAvvjnv^P(eCy8a>W=jKBQwaMRZ*LU^IbGs< z`wKy&G~^pjD?E+i=pT?VW#ldMpuw^buU#RUI0k^SUZfw#FP zv@jW$Y+CJ>Hu)Fwz1hq3_04CtH&F7|aJs+DU+^&-KUQO&-6hnFP`!y+rId4n@Bizn z;e6_@BfDL`n|#M`9%5cPGh4?}En8ZjSb<-2;$v&ZEbZl+#rtX}m-f0T!(HkRMT$*h zEmj8ck28WPfbD6hejt=@S|FJj~k4)leCo<4|&j5bGDoEF1Rjwdq?ClBKi58Owv#1 zfA~SCyxQ=hqF8Kfc=k9qKi_&#p3ZiT%rBb>NjT%8wyUQ>KaZYh7Dgt0RUA_Oh8n8U znlZi_^daMF-_W650uZAf^-2x{^ZM%fP9si85l-SmpT*b6iB_JwP)$urcLZs)fX0-J z9|_Bft(hAM%q;Ki;7P=SZoq9x0dqQX;jX$!3!!}Y$@sIQC(E>koI)Izi)pXJTNLk< z5Y8^{T)7co21%#RmP*d_?UAmCdzmy1P~dO}g4g0#Lb^t=0R0Kl=OsK}V3Yd^(WY7q z%8yFr!arAG@R3CS!y%I=z@qlLyQB-!rKDnE4{3YtwR60f^hkQe1N zXaIy^wb$(354qw^EW5j}Sl`{)e$Sv;=0897Y-&i5$wu@k@za>7f>&L@^^nlB*1BMh Y3FI_VnlJ#!rU-dIn^*N5@vqbW17S)JX8-^I literal 0 HcmV?d00001 diff --git a/cpld/db/RAM2E.sta_cmp.15_slow.tdb b/cpld/db/RAM2E.sta_cmp.15_slow.tdb new file mode 100755 index 0000000000000000000000000000000000000000..ebfcfb55bc1fcf2fc9368b9e936395ba184b1edb GIT binary patch literal 15500 zcmbumi93|<7eAg|*%DILqzEC&zD%1GMUj+klr7n_Gh-}8Sw@K@%Tz+vv6E$H5EEjO zWh^nnVC-gWGs7(3>HYcr{($fGz2>^++;i^x+^=(9&w0*sp67Z-^w6P0l>!{>?_l=l zDqBAAcpmH>;D1U>TjPR;)~TzZ-oEaqE^1#qbwO8C>!Rkx3tHNzY(1WbdAoU>y8GD8 z=akkdTZ>cn9#2l0xqFAOE$d_dZFlHUm%)GH&;-x_WUBH1WCX`rKF{C#W)!q!b*s^3 zGhZG7LY8^;Z^>4!RsqNJa%LQ@M%ArgyW1$#h81eVzncMpPzJw8?_F#?!1n>)J~tZ`ic9h3{xgEz%kM)oxA!J&0zR){nmE{+qF z)T~A3%#6KFH$Qt&u(>W}TD(5K1)nviA@t^kUhxrkhoA>q0|ErBp+8Hw9U@;F>C-UV zX1C2a8&IO95d)$-2lY<%Qdm`tpHnAs`^lD;GxpnUM=?XtP2&Q2FY;?Lvybexx8+w2 z=s_1vc&BP2HJY01>t%G`L(Txl{-=P&<1 zsFeQ7{6^N))Eadf%to78#^#pkG$W8_W>WYM&s4a4u2!^*daUAdUigI%&Uz(hmOoRy zbZ)aVg$z$(IacFdYDPpJyz5*jw|?m;14Ph|QKfle%9UL+L2J=32i! zqIsHn9uHd}dtUD2y`P5sJzylbp&W-S&kYxjkY8Aj9WY~@ipOvbG?&`h z^52kicvJs7_-mY1gdVETX`EQ#``p>-x0_J>YAo&~saFQcwUA*D@0Zb(dexw;1+0}FfaT-6v^6St{!5hT_kJZ$PQO!h|8hFX;q-hkUe|B_G z+YnM9O)bH<(U8j22@XIkj{w}$OLNDI2QjGb=@l@q%~iEsGkfK;0`_ohIMJ2ya>PU4@DZwu!J$kE@#I{uA4qI_3GxEY7DM1}KH zD}E+-ePd@xQT-GT{l?`K%q=`0Z=0n*MDwzjmKAjkJ~O;00(xBL_wmTfVQ-2M^$f>< zzKKO{#)=%)zcYL389Ww#J9nJVD51p~<>&vYb7I7VYlE`jM4DElZ+C>J;U(iYWjZu@ zaa!>r+&I&CATPoX#XvX-&uHUgfE%1ZBevj;gG-QNxI5+o&r-)Ya0X49O@(#iXXw?M zj=YEqqq=vT+^i=j8M_nNk$#m*ZA;+@N_&S7Jibw1tlIX$`+XT@FUH*Y+^_D5o*{!WB~OU z2SBSy)B4N*ke#`JAD-nFUwwSeFFW=C9C?Bc;J|*j1Z63V6vxV2`cSXo%ent9@~RqJ zIIFN@kmCX>bV4{mG=8QywN{jVl2oKf4aUnVQU&mqyni?QQ}CADY+;TM{wI|2bvz)m zc-Nqalc1Vd-216)%I`ffyK&*1!)yC@1f5$=$9 zxc()=%;!OQb|_sPQ)X1f4q_U9GXW#p0pNyd$3p~7qq$k)pfR@VG(ph&e?qJ+l+FAZ zj>FX3?0`u;pmxZxxJ&qWMQQ~ec7rt0 z0bpl$wgC#jKDv@7+C$r-mED{DHh2sl8{P>o&n;8q3p~zIJ$}=kAKf2q5Rcfr)Tja z^r@Djpj#x#(-Gz*B4>>3MaZq?7bIU)(^rX^GL_MZa+ZH&c{2lgQjtA6$L zv$Sn(AJ8R|9{*m^zQ~ItE`PG<3=iljH&6l;$i2zSyvz-hr6Y`IDo!4G89L-W*#DH) zPj6EuHI>{6ygx0vF*wBm&R;Cc^)<)!$+7e-4}e_40mbFMV%cq_5p#a@4qK!M`X3G&A} zthoW_;$ea@m;dv~U}?T&FO$7m7O?V+B_{I-J&A}k!h6MU%64FQaoX`P0Yo%6KpuoO zp83U{De}7)^YSUplHQiGO|*(*9rsJN> zZ++){oEwWI2DXPUfvvtqmL5hvYQH+GVYmgvRI9b>=4j29>9a}MXehO1;6w{Wee*+InI(?I)AbQ$O$xl!jd4FDN=p# z0_G$=l+krk6Kcww6pkXM=VzKwM>t@^0|)BVdJdNUFUR%$Z6oS1x9QGW1f2s^ z_P1?xjm{70dzBAFDGM~GV=(x4e1FkIcI803&fxIY8L%dU=>%_sw@Xf6qOF-3+eBhT z&=(Yz0gf-+7yHbvTSTeXaj^%ObO$#o=H7DTCZCtrX%c|5>iYn5J|sq%`a>9YjE;^y zAOsnb0ys6KJ-v8I@U6XEg}aM4(7?yQXPv~Db#u_cY0~cZw22&^Ij=~g)LbF@JAP9> zs<|jggCugAYK-4BBQ@d`w<5H~Y>%6gjJ-T z#=~!rx=}zV-ZB>X0iB!kp_bE-d1T7AorU3JdJM9xj=~0}9{;_Fw>Bp&pkS%^{0{ka zyjr|S3SKP%knx{|65FDmZ6U(Lv>jwAy}Gs)b^zR|%Is#Jhx$!{x4n200ldh+& zubJ99WGwr{Arz4ybc`km{NL!L!4U~%4(xAwA zQ?xc~*LS52g&UwD`F;emJuBI~nkUgg;Q%PcQ#b+Y>3VLjp6eSjGceR&^7$gxhe2Su z5BR_x=eO^+*k>v)KmpIBgU(T7WBU^)3^?iI{4wHGt;mA`!LNBxD005;`S!LY$VZs2 zm)FHge5nUA5_90bC@bDO1B}cbDHMRu0)%~ZpG6shA0N@b~To#w#m z6Og=!KvcIDbM>bH&%foo5lOKrZgjo3l7h`WCt2#GJq}6p2ts-tAT%&lTTUtB^EfLZN%;*gsA-Pv#Ohl7}=U_QK}3_wn^Q#0yU3=g_@w9 zh}?UXk3o4IZeGjDx9;!~qACv#5`X@y2mk7X2j;)JfI>2Rn0liiL18iG1^Qkk zp<bU*nFmdaLH&V@cAm(V4TD*`KF=JLJaBu{f)qpf@124bTH zS_(>phIHUvhPFyt9e4+Ox^tkoC%3}uQcUXXew9Jld^BU*5CO_$?ORcQUO290Fkdvh zy%M%F0A1^6O?>NmE0hhUu?~mEpzVzpSTZBwGC=ak%qq<;8jam=phV3S5tlt7p8I`B zk#Djxz^JKmJsDtgn%OV+XqU#=%gI6F!HJT%VvUu+h19vaQ@axG1Rs_-Os_P>2(fpc z{OHww2Em7sj9DEyD+|=J2Tj@l13|kIFwqg{PhB|laJQG~N-z}ec9k5N-BM3jjkpgw z{@Ij3tyRc}=6aNR;v6a;jqZ<41`DpLnHn}Wu+ohVhKI2=L(njuGBS8|-SWZPH1mAf zg*Ir>$zy)K(5-8*B#@c&iHS8;K&b!2xBF7Hv&nITLbcd#vIL*Rv`7?0JbKm;_cL*# zCipc}GF=VRjdh7~imci?w11GGzKb(|kow?W(vv+8dz@C}E5oL)EHA^&kT1_F$f4(# z+P2S!s-v+%-`wh78~!ARYGnBHhx)nK6>evQ^xxYx$eDFOK6ltfLg94#dm9JMXHRJ+ zm-~K@^$8XHL1M+>q(W-7*p0=nk1Kb5>7bvJ*ywMD$|snr;Dw0rvV1PlcWv2Lo0`(L zX#wkLOKq&=A}Gt_8&*-;npQ~wZU@}ZadNZ!u^ScwN1TWdSL1#I!^+;zM774gj>>ObZ-wkZnF;pyM70rn7Q{k7Zktqi`=9UgN6IdAE#yAG%VXyW ztnGF%#9$4sjaL~s5oNQs9bM7r2X1ZPcP1JY$K?uE|014P@To9j9eecR>p@0k6fM#1k2 zADP0;_Q|$Ou3t8hm{>a(UcYGh&0HS=Rj7Tbn_|p4AcHcYvE6dTfrE2|Fdl$dt>;6U&JeA6za^V`rO&>~Gsy znd>5EM1EL{x1BImtR)v|gKlq|6Q0l%|N4%(Adx?a=u+p-pXWX*3f>{ z;VWSGlsh5d1hu{6sJ2mZiF0cN2=oi)^S5QjGrtd}{mrpizrGMopHPV^iUBeuN4R|cSPirE5&HX?8wX8spcT_^oNdVhy=k?HCn^T#?4g+LD7Mt?t;a9~zK`*dl7Ja6;iestcGSBjK{ZO-LV1`P;SuqUvoX zpx@T~)Rd{*Wgu-^yrGf+y9%V$otMxe$lqXVQvW4VdIkN_$Nh{hN->-s32o7@CGRqo zW6V{bcoK1$0AOpVGuki<5MWah9`yTw9b3mgUO>xhJBcOq$RxwUI_+4tHfBWxic4Y- z^g~tMQ&?JTV%EO_446#zK(W+TpIOQtY}-JB=(0;{keL#zMBhEWKlaRD>{DgWelxod zCc?u`8g>v}c_SEEgbtf3^hnOzG`Np0*{kHVXBhJiOp9tXTx7 z=VTh@6IL14v~8nZX*4p6z1b7hC&!Zp*sE_G{_3i#f+d%Mo))gGtuk)Y6cr2e7(Sdx ziKrjH29YX|#n7N7cyqNCBNy>jLpTao;#dzMdXaZ%+D&zJC!H2BBd!zS3(G)jJ0>W- zhDQd7&Fh1Ccg%!syBsd2(~kRIL1T5Qx4fdN^<;tAYke?yNA2c*f=-+Y#PbEKg&@LX z%LE-x{II_H(X|hjGh6uMFJtM=ws?+7O$t3u(kMsLCwk!>pDx{Y{^^4t9zEg#&{hgOf33uHgpEVYbG#nq?RheWANVdYr{(>svC0iw(p|E}5${ z1ZDjecM;kG8!$Ua-3Dgv$>d!@Zo92kC23A64VDCKqjIOtpe!3@^#< z>N_XQEyP(g?g)a!Fe^R*q`gq3Il~HK6Z;|EUShHR`G75$KbhC1F_`Xw5{C_-G(thn zI5ksj+&Z2r%hsr)G=f0RSXEPO?D{;lE?&jdG0u6O3S&EC{|^YmRZR8e0-n9}QY0Q^ z28Aeqf--@sJvg3|c0r;ma{mL0jt$QH2NZNlGui;L@kksd+cEf@p4}l1OX=7PqBpV` z8+7&3XWqQfO}tv|0tLu^n5$D8_lC06KgPF@yG0K zZ*`aa^C3W}n7YGZG(wgT?i!#6vvInQEqJ zjUZte!jIKe@6ZdA=l-vz|uotWp8V9q)C-lPw5M3V#ehi&~g}R z@ABm?3GH460TGTVO2M^@fqF3Hz-gSusAIMF;q_5d1o``V(j z!QEC`+sN)>%pr+ZHGIGt)iyx|*T~U2F_HbQuW7hLH-!FRCoA9}etL!aE>>mCE534* z+9E$TvnCYQN?=(4DYjk-m8;ZuL)ghZ?gJQMm3iIANOBDc1xSOZt=EMU4O5UC9if0w z)2OW9XDDthfJq64U2Gz|$6^fm9dcBVt|F`&QCH)pQ+%5@no3?m_)t<%&CaH!sMzUE zYSiMV=rW@Y_WfSzGK32vTg@lS;@ThXLP}SZ6IOG2up~f{a1dyrI(hFGu?}>CPFxM1?Y~4!b0}E_znc8 zd0o?u5M!6rTBK2iMhMV|gC0lpAF>BTHv}7}PGq;<&PJ0Z|42_xOHZHe=awGBYRl82 z>=hG4_+Dm8c*BF+5bx@o}d-HsPfq6_vk|gi7{BCnH@C{RX ziCwS$Vk|e_5YqW|*$?cdhR~oO{~g#VzR<1K+kO4Bhw5I|d^Bect2YuBw9ybKVvr>t zKQKqlUs|ODl*c96?McZXOR>ZAIemi5%jLp=xtw^Ik+ z7veu-5mv8MSE{A7%DB4qnNuZ^EgO07LaypMZGVK>j%dN>&ga5-yF7tGV{LU`Sh5qO zjvbxN>_cL$k*$A99P{30--EJv!Ida`3ik zze9)#$$&Q|h~B-l8g-dCrXmB3`S#7OAyQrUy?LVJfo-)P*jZqyof(BqhH=zuTm zR)e3B2Zcy8=08d^lAuUa#=MlQ$)ZKjCpZ|!A+7p5qgFS59$u}0A{BkG-AZ9oi;PVz z`$oL6o(BN_&R%{LadLy6b27Cw1r|53Nu30C2kTac%Rubd@bnkidr*KNV$OhX+!2aA z2I}5ctQLksL_poa8r6C-5Or!Yk<^x*B-YoM(M0U^$eT0fN5JF=^WIhhn0)coFB6T_^ z)1Q3D<$V~PZ&s~9AkKt+m*8I>fe>!2AgJNQF=nWO=b(k$IhyMD78G(4)NPBTkzNo2 z_<-bkT->KzaT5VM7&A-zJCc4c3Ny?=t<7jG%3|f~$!P@Sr>*jHk7W+=Mcno=a$}AX4@I?ab9WK-PzRq@s67M%pEn%<0a<=x8qdV-4`Qkng&IQV`kB;Ae#Ph|^w?3yU;{|Za zMIQ9Q*bkZoSEf5@+n2yOF4@^Wd4T@-$7K;l;}8A|9n06+M{Yv1(JR}02~>Ob&U)Of zo#du}=z@)v|DmUKX#B@Pq~iD<6k^PVvaODTLe8;MBkID)BN;0;3I4)B9tWE}^EV(r zC`(E=Rq;!2%BeyN-^~^JJ&=2pk;`B>^PK6owry>h z8dX&mvB4eINRa0NlH2$NLl;X5($orCQTAFa3He@0cK;ZD2}*1#N>Ht7hiwx%*ZOzl z+VWQD6B3Hwl8Ldhcxsez)$U5u6{|2h*X*$R3gxQsbGqWJPy0b^{t^|9!bUY@C+Q<- z!uRhsAR5qo(qpV+(#h!-056EreqYn|juf&4WWiDMWXXvczAIba<0R^}@e5FN>+dUB zfd~4mERl=gQ<~;RkW7(p$;xrE>(ulFm4eo|-5|OIYDo!+{evZ^3y_UZ{9?f_nY#kX z#&K8B>{Gx<%`_=qc4JK>7EWDq_>Lq@ImCS-OR^ubRaCy92nS1To?N_7w-XLwhJ1w+ z7}o{!Z|fie{Djl8DxT=3Qgn4QZB^Uz7<)URuncyQL6{!=W^~`{_%xfGKJQljSu2s! zlQ{3i=}J9x;eK~fO?cxr>)eH$7=gKhK^VgIZpwP30hWEyr;*Olr)7bA%g62GAqavz ze=%WImfC8_>~-x+qRj%AsQbQGX%`OpdZDqwE(=|sT_ueWbA^l*zQ#fVB?-y39!0YRXX4j03&5-G+mjp#6GoSZ@>MCRY32Bvi`7Y!3 zP?!X-)TSVJZ*)f%M^}SOZ#I$Ypt&I)-H8;=Cx<6$uAFW2U0iHG5wCAFzour|3I8== z4|0e2Q1uKB4tNf-<0n{tJ)}-=(mjc$1V{?O$2t?BDyus0mQhJ81#*n9Ks65lg;PB2Du9#oJrW`r7aB~r z?1;JABsT7f#uCrjerc8SwL=36cA5OZXzdYl+&j+u?=c^3yZ3%aH*SHM~Y%1-#1U`HK+je6?1Ql>(VMvS)61pqK{+GtV4!MS8EU z;Uw3Z`X^vT@nA6P2c7*y8>l`Gk@wZ`Vs*GeHu4yys^hGo3D%DZpw2ipKx|-fO+;wV z3p0VG!0&o=yMti^bP7_d-lCdiu;=xBPyX=UdU)!u!A^-a& z10By;4rU%eu6;HeZ`(J`ps~0b1vh2ZAR@Y1bpf!$R{3?fns^q*=m4pIun$V{pY?K4 z3f>EqRgzc2OyG>haSjRR7KsyA5Es!+o0@0c(YT)8KRNlUs#N4lLIiU_f+}r~w{O{e zT82U@dI5uz;`;{_6L5QCHw-U8SSC~R8m+&0{9$nf1i++b(A zbkWSL>7%M|PzKj~$rc@nnVkK=H?0b4;V;UUZ0_=$>#{k+crEvCyHcZJ>mec_`}BUq z%<9_FOXqRycMHtJFW$)3iW(`rXza>#D;%}4+ryP5`cFhXxcIw);?_Usm3_k|TqsYu z(@EDoQq1Y#l#Fv<>C&GISw~(LpIRC!c>FAzoPSCruCQN8!kJlQv+U(s5d0yeWnfS; z|JhE^$7EA~%d;Aq37;&Zx<*$10Dy9FZa|MAY|w4wlcO#{A3m9-;U^yl?nuZ;fX$S% z{?OmiTe?PoH#NV1$;&r&-JgV(W(#yW3X6S3bJDiX0rE$k{Y*>^LVRud*Oz7Chw>(K*LGz6ENvC;X1 zqEW#6!1SRh8{{Y9M2e9ytuSb={dGmy)2BKcZtfjP39JX%048C$eB{$V6tFjkVDTLgQj3XlL+UQ5>-WxZCNIXu#Mf1rrGKiT-?Y`dJo zlJ4Dglg~KKozQkgr-PCQthLLPh@P)|KYmN$b{Ooppk7B{v|$cl<0Uj)HbOVu->9gG zCM*ITo-)3}(S&HA@ciwmJhrd-k|u<0KrAkcF6zyEiUmlK#IE|RHbh*pOx{aE&PzQf zbH63FWSrl<@RrW~XrFZJWZue}%tyJxmE~`5tL(NuSYWePviv{U7B3E)T4D`zWINL8 zXg56cW=ij**}mSL-<7$N-L#Bm=qBohranbzk-ITi)h0x!oy@d%8|gn* z)8jSII-ftLd#$(l>G0-Kqtjn}s_MNp*I6JggAY{7pYFL`mds=xA0!Zv(`T_$tS= zUgrI>ubH8Jk-;m~`A^FhY7U33o_U1i_hf%4q*&A-U?(lMmn&ShZc`4Qg}1(64ne+I z@!cCqj%o@2rW>u}+*`-jZ#)b(@710LXBzvc4liqb&G2ebEd6g%d%7$0iEik`T1$ya zWO1Ecua9%CJ$o;MQBQcWT4Zr~7kjO_>OhrI5qp3*zZ`cnDu+MG(ST&zh7p)>oEA?Sxkua1HZjzEg4>hOt!YYnLWK?Q4p#zyu4AB;U&OOFIb+b zW*c8-&&CwbPdxW9oYsD@Rl&f`|VRQ^m~J*Wa%FfzZoeH$4TwT~8(^0H5YKs$8Cac^6ZO4}2m zKK)e%^5mjGj!T+78Nuag z*3!^G)8c`;otu8QthbAZM{CeG2JN@a>R1js@yzo&-eKV;Ed%Ul&HyF=Vc(mro8#p$ zWZi3DztLOe*ud*Nm01-iv1()OX3_rKInuf!Q^lz$WZK~gbKB5Fd0#DN#i3CAut~T2 zu6VZ%{8EC)&0F@#*MU9XmTq0%|7+=MqO7(%UQF7+CdIV~ScdMG)ouh0&)f|Jm*wm| zFpEkq%U5&eDQ;0X6~#(jzGQ_dw#i?dlK1Q_F1VH%6(#jy?^5fRx6pSI(+Am$!?yAD zNxk!cteepel?7w>x6CLbXVo$+Or}*zOu);uVc*0g6rev!WLQlrJ_Iu9V&t9aIve=Xd(UPy zY3278Wy4iVIo5_&k3K1zds`bW*h~&T+g($VRaWb&EBIij{qAOOMOiByRm<$VD=^VXa<$}xDy+Mh(HePh{Op&fchmEkVcHsNe(hpg+ zt|7U(9=E$~b@H#+s1&St``)r&@lNco-F;mOw$54DzJ{!FXf=3peT`ZX1AiHrAwSu@~_>XI2?aM_%UKz5yIQP^4%%5)OdJ8$wN5q zyQi*|T1!o*e&tPT(x0G}WnMQF_^QZq!j0E$V0c1-grthdf8ZrU>N?sh+u0Ljr`TgYAmZDvB zNw{+UW+pCbznoYR_2u>Vr$gFiEWfwsRQR6V*&E26&lNP}xBFTR7e1RD!c+RB_r)>9 z!KvcpN$q1QUw*e%TRgG2onzZt@y_UFx5pVXxPYB?sM-4pn;XCR3LWD4Gwk~KqY&QQ zy{ZJsE0(L4ffcfTJW-07Hg>fZ6UQ2|Zk(HXz@>iKqxV$N9;y}y?p4i+j0@wmE6-4o zE*!o?%PlNEp|*jx_~cH0V16VYa7OtEWa(qxxsd7}A>qg|CZlR~&UMh(c*{>-5K;8l>{lGM5*$e3Vc27K%Po+6}Fh zweWNpe`+cE@ZRUhodCPkuMhNYA_7hXdP_)s+y3s5(&hA%78f>%0gW_Xe7uKL*yrfH zm+d+90C{=$jHYEt#v|;Z_PEH5>|wno7KKBz=0d;MCe(fTX&;spn#J))hxcg-^3*Zr zP4ed~|N3*&f^)ZA-dioei5X6hK6tl}emHM6aof;SGft&8>z9zqx|5dGISCrn?!AF( zL{6n^s)z;8^Wr1gOJhIcRiR4#o_|oArQ0@X;y&H?dU;iUrr$+__(JT+^2BoFWUqB4 zu-&$|cr+(C=2^kH+h-N~0Qr~Ci=HXZ6s5los29tpSA-ntvj%(&6Q9~FP&;^92JUxEth!O)rzisux*goymn8Db{27i zbwuOG{F}gr2gB*Me^`}QS|n%HdqbXOe*woeDpn$_rd~fl?w0_s55qf_vVovzP6A&Y zp;kMukxM<(UE}e-$LU;(TMzpI{s91N_sG~p>RzkrZpNu{_=@$5#BPU)T&2{vGmExH z#^d+@(VEJN2?cJDQ4h{x)fU8dkhlx$3@xxH7~4=wv0_2zRLXUA~R~ zsSmV2?-6ukHF|5lwfl?b=Opzr_+C0bOZP$8o~FCwff3#w;rf$b^#VtHpMGh)Pji>~ zQNPqAV175$54^(b~$)Z^tTTkY#G$GZ)_mpQ!aSte)|ro)nWpAP0tg%Sbp)+ zy-=4{LqZ!L^U;BWdBc|m`(f;#NBj13I;)})SzFfVl4Kuso6%O*E)>4t-oA&XkkhF5 z0WA9P!PGb`C>ru?!Mu`DUIY(i!o!*9&5BJ%@!hm0P`@#mhK&TAzG)c|qP{*p1M49Vv41S! z#}SBf-Ehm0G(uri=CgGmVZUsj@u(dOXET6kZD{zXPnANOgUO|O$W>Y3^ugq05BZ+S z4fX_rI+BZHuiF6o`LQA73fU7t(8|DO6xyDGXbf`#nD*>#6Cjf@m7BQHTzRp5>ZJK) zaOzp$fUELAqt0C|r5);6D|7z~Fgm22 zd1r~>W8Q4q^Fj_6g#`k4eA26SX@i<6_nYpm(r)Yy(d9-SGAYh((cs;Epbw~$Ix5W~ zo0v9?0o4#`UgA`xp#ipNW-*IgsVCSYSUZilB{vLqHVd z3?tXKYvuUPW_cOo;N@X3s1gHswqRdLh58-rZUEI;N;?>@XN-d%4zzo#bY~yXZeeT8 z`U?bNU-9Ae)k;RC9zcpvjzrqw^7k9k7?pBeHTkenFF0^&9Jiw7yS53ChLKGG<>v61 zb*dbUJk8ndRMKOpyo8N=lA4d>5yS7~0Ae;1N zNU(yYSr9{{@(#^s0iq6WCzpFboUm9!<}(^_^}xF!M!s4L5%mHcMqgo2(%I3vF;@k_<>#K4r3$veb$x-W zFM4S9-h~Vk2`3BBbHETDPta+zrq0;s#PZ#_;{NoxrkKD^}zf-Qxb^zchyXC;@G$ zB}Lxfx2w=n4ZlEx2j|b-`>XGK;)hk9%2UY}g0H4N3p;i<=2q73O6{Zd4}+ehRvX5} zR*l6I82i4XO|uL5r@C2|qvsa5%66|VU3BX;b#K3UCcftUOGZyx*s06~(2s`=X+Aks zTGuSCB*k1Z`+^afmTt#iKUaH%A=00gWN77no&E4%+Zs!%%c?h2)~?Z-k$A6u#d`?Z1wvowf=~KN#_Ym|Zj=lM zYcptK-@ybAei3lu(e%WUIsl*#0&>17quAtS^O=&mbZ6x*i@EstIX)MU(AWD2(Z`W!FfNq zJH*wTy|CM8S(ubhwysafKvwC7=*O&+JF1GuuLSF^7u2W!uDV@rfQb)2U)P=v;&=|6 zr@SHFQdcNiIRCNz`S?lKgZb*qnt89Q!+G+9R=g$f+GNTJy6g-3i7lyLO~+QJvzWmn z%5T#PO=RetlWL_RaYN#ANjQU_E9gGXfjQYGvtxw5YMsx3Je9dbAtmA9^GRxPXEzkR$r_2H8IVry5>?I7;kC@7Nnh+EUXUFs`M4CdQ33z4S6vvu<>r> z@lW&Ny^fx<^|fSIA!$ulw_Ey#=L$ZlD&%_S*3_6(ZY{=1>AH0G%mwmxW^JaQqI@=y zk~aDS{W=5e>QNhiC_Y#e=s|cqU-&e=qi3*Q@f&5dn#`+fh@x>;LE|b7p`B{~x0rUk!&^pDYW z-50Ra733ilOKMG~y5W%}`iKuDEEFnt_a#;u%u?O&`b{bS0+3+@+3iGe={F`bZ|;E0 zm#oc@!0V9H)GMMg&4CwpV~-dv+ugW3HHLrH#CP!A>J{VW{&u5lY7eazpi8w}ky&%p z^!;lIBvSaEwhqOZ8F8(H>&u5{tXrklJ?f|a{1MkE*%RE7_&LQ*Q+1c!y!6uNnP zaDE^j#I>b#1#vjC@YE{!vi*^g-y7D^oa-I+%0qHDjZ(wRCbOSPmM{S9KUA_<5ge(R zcbNuIm)n*sy*KCxLs<@OHJnE{?N(eLy_hxA2th>|Ul zpY(H|d)5;NUtM0PS7+SidB3Wb-w0Au;JiD68uNU?hmoI1$`RG&*DfgWvz}C0-><8^ zF=EvvIMcT0CVrZpxb(F=lYd36ap+#LT-*0hj^xi@P9HT?(f-)LlJ_y1_FDY2@Yh7{ z8%)SZoMzFSlWlmei_u3&JS+E9MA}uY+?eFUQC-`Xw+Meapn8(-=D-{5g{+W z3tAs*pLf-HKdW8CA6AZksJVM#;}?s@dGZfOx`$VdKVd#0#{GG93O4AWG~a`ZmG2&W zsCa!tpxspi+rZ4>`{=56U2!(?Rm5ZiSE=mmBZK_6e}mLi5SI?GQo-i&#pacIFBKz|tLwclKHu|WBu4En z_bA+MsOvxm9^=Fn3!QL(LXbXEoO&weW$1?EvMMDU2mz;*y68rbUvBBkO&%{uitMY~ zJrPi-p7KtTR-P_&?jq-T3(fH>EAt5L=ru`^1t~L6W307zl~V7JmD^CgLf4cUlKgCC z%U=T7b-#xmw`r{!=~RH3eKp)U1T8vGke;rdWyOG)^%Rcm+CvCe17-i*44jn{E|Bx z^G130LuAbT#l}(Uxw9t?8uV~SXb(l3-aP_zi{^+mW^`OKz8o!+>h0#3rQrJ3H#|$w ugCCo__8=?!r#YzH^x1O>+N+_78yc9vSq1-u&Mt#wom7?WO}faxME*ZPMwUSU literal 0 HcmV?d00001 diff --git a/cpld/db/RAM2E.syn_hier_info b/cpld/db/RAM2E.syn_hier_info new file mode 100755 index 0000000..e69de29 diff --git a/cpld/db/RAM2E.tis_db_list.ddb b/cpld/db/RAM2E.tis_db_list.ddb new file mode 100755 index 0000000000000000000000000000000000000000..91bbe104745429a84af8ec3fe7aa1f8ec8927ccf GIT binary patch literal 191 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRasUkhfhGvWU=5}BL+J{}U;qFAuV+&L z@&Es?U`$F$NSTn3knkg`;v9pA;R)u#j`V^(-NAd-FP^`6cXmKcLw3N1^n#An@g94Y Q&!27YA<8T)zzo(50BJBclmGw# literal 0 HcmV?d00001 diff --git a/cpld/db/RAM2E.tmw_info b/cpld/db/RAM2E.tmw_info new file mode 100644 index 0000000..1afeeea --- /dev/null +++ b/cpld/db/RAM2E.tmw_info @@ -0,0 +1,7 @@ +start_full_compilation:s:00:00:06 +start_analysis_synthesis:s:00:00:02-start_full_compilation +start_analysis_elaboration:s-start_full_compilation +start_fitter:s:00:00:01-start_full_compilation +start_assembler:s:00:00:01-start_full_compilation +start_timing_analyzer:s:00:00:01-start_full_compilation +start_eda_netlist_writer:s:00:00:01-start_full_compilation diff --git a/cpld/db/logic_util_heursitic.dat b/cpld/db/logic_util_heursitic.dat new file mode 100755 index 0000000..e69de29 diff --git a/cpld/db/prev_cmp_RAM2E.qmsg b/cpld/db/prev_cmp_RAM2E.qmsg new file mode 100755 index 0000000..6c53a08 --- /dev/null +++ b/cpld/db/prev_cmp_RAM2E.qmsg @@ -0,0 +1,64 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1581910144159 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1581910144159 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Feb 16 22:29:04 2020 " "Processing started: Sun Feb 16 22:29:04 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1581910144159 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1581910144159 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off RAM2E -c RAM2E " "Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1581910144160 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1581910144370 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "RAM2E.v(39) " "Verilog HDL warning at RAM2E.v(39): extended using \"x\" or \"z\"" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 39 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1581910144402 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "RAM2E.v(44) " "Verilog HDL warning at RAM2E.v(44): extended using \"x\" or \"z\"" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 44 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1581910144402 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "RAM2E.v(49) " "Verilog HDL warning at RAM2E.v(49): extended using \"x\" or \"z\"" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 49 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1581910144402 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ram2e.v 1 1 " "Found 1 design units, including 1 entities, in source file ram2e.v" { { "Info" "ISGN_ENTITY_NAME" "1 RAM2E " "Found entity 1: RAM2E" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1581910144403 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1581910144403 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "RAM2E " "Elaborating entity \"RAM2E\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1581910144431 ""} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 RAM2E.v(60) " "Verilog HDL assignment warning at RAM2E.v(60): truncated value with size 32 to match size of target (4)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 60 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1581910144433 "|RAM2E"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 RAM2E.v(65) " "Verilog HDL assignment warning at RAM2E.v(65): truncated value with size 32 to match size of target (4)" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 65 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1581910144433 "|RAM2E"} +{ "Warning" "WCDB_SGATE_CDB_WARN_TRIVIAL_REG" "RA\[11\]~reg0 data_in GND " "Reduced register \"RA\[11\]~reg0\" with stuck data_in port to stuck value GND" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 58 -1 0 } } } 0 14130 "Reduced register \"%1!s!\" with stuck %2!s! port to stuck value %3!s!" 0 0 "Quartus II" 0 -1 1581910144488 "|RAM2E|RA[11]~reg0"} +{ "Info" "IOPT_INFERENCING_SUMMARY" "1 " "Inferred 1 megafunctions from design logic" { { "Info" "IOPT_LPM_COUNTER_INFERRED" "Ref_rtl_0 4 " "Inferred lpm_counter megafunction (LPM_WIDTH=4) from the following logic: \"Ref_rtl_0\"" { } { } 0 19001 "Inferred lpm_counter megafunction (LPM_WIDTH=%2!d!) from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581910144516 ""} } { } 0 19000 "Inferred %1!d! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1581910144516 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "lpm_counter:Ref_rtl_0 " "Elaborated megafunction instantiation \"lpm_counter:Ref_rtl_0\"" { } { } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581910144550 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_counter:Ref_rtl_0 " "Instantiated megafunction \"lpm_counter:Ref_rtl_0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 4 " "Parameter \"LPM_WIDTH\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1581910144550 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION UP " "Parameter \"LPM_DIRECTION\" = \"UP\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1581910144550 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_TYPE LPM_COUNTER " "Parameter \"LPM_TYPE\" = \"LPM_COUNTER\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1581910144550 ""} } { } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1581910144550 ""} +{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "RA\[11\] GND " "Pin \"RA\[11\]\" is stuck at GND" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 58 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1581910144620 "|RAM2E|RA[11]"} { "Warning" "WMLS_MLS_STUCK_PIN" "DelayOut\[0\] GND " "Pin \"DelayOut\[0\]\" is stuck at GND" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 18 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1581910144620 "|RAM2E|DelayOut[0]"} { "Warning" "WMLS_MLS_STUCK_PIN" "DelayOut\[3\] GND " "Pin \"DelayOut\[3\]\" is stuck at GND" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 18 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1581910144620 "|RAM2E|DelayOut[3]"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1581910144620 ""} +{ "Info" "IMTM_MTM_PROMOTE_GLOBAL" "" "Promoted pin-driven signal(s) to global signal" { { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLOCK" "C14M " "Promoted clock signal driven by pin \"C14M\" to global clock signal" { } { } 0 280014 "Promoted clock signal driven by pin \"%1!s!\" to global clock signal" 0 0 "Quartus II" 0 -1 1581910144623 ""} } { } 0 280013 "Promoted pin-driven signal(s) to global signal" 0 0 "Quartus II" 0 -1 1581910144623 ""} +{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "18 " "Design contains 18 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "C14M_2 " "No output dependent on input pin \"C14M_2\"" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 13 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581910144680 "|RAM2E|C14M_2"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "C7M " "No output dependent on input pin \"C7M\"" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 9 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581910144680 "|RAM2E|C7M"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "Q3 " "No output dependent on input pin \"Q3\"" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 13 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581910144680 "|RAM2E|Q3"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "PHI0 " "No output dependent on input pin \"PHI0\"" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 13 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581910144680 "|RAM2E|PHI0"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "nPRAS " "No output dependent on input pin \"nPRAS\"" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 14 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581910144680 "|RAM2E|nPRAS"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "nPCAS " "No output dependent on input pin \"nPCAS\"" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 14 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581910144680 "|RAM2E|nPCAS"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "MA\[1\] " "No output dependent on input pin \"MA\[1\]\"" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 32 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581910144680 "|RAM2E|MA[1]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "MA\[2\] " "No output dependent on input pin \"MA\[2\]\"" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 32 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581910144680 "|RAM2E|MA[2]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "MA\[4\] " "No output dependent on input pin \"MA\[4\]\"" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 32 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581910144680 "|RAM2E|MA[4]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "MA\[5\] " "No output dependent on input pin \"MA\[5\]\"" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 32 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581910144680 "|RAM2E|MA[5]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "MA\[6\] " "No output dependent on input pin \"MA\[6\]\"" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 32 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581910144680 "|RAM2E|MA[6]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "MA\[7\] " "No output dependent on input pin \"MA\[7\]\"" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 32 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581910144680 "|RAM2E|MA[7]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "Q3_2 " "No output dependent on input pin \"Q3_2\"" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 13 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581910144680 "|RAM2E|Q3_2"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "C3M58 " "No output dependent on input pin \"C3M58\"" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 13 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581910144680 "|RAM2E|C3M58"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "AN3 " "No output dependent on input pin \"AN3\"" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 14 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581910144680 "|RAM2E|AN3"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "nCASEN " "No output dependent on input pin \"nCASEN\"" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 14 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581910144680 "|RAM2E|nCASEN"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "DelayIn\[0\] " "No output dependent on input pin \"DelayIn\[0\]\"" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 17 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581910144680 "|RAM2E|DelayIn[0]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "DelayIn\[3\] " "No output dependent on input pin \"DelayIn\[3\]\"" { } { { "RAM2E.v" "" { Text "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v" 17 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581910144680 "|RAM2E|DelayIn[3]"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Quartus II" 0 -1 1581910144680 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "123 " "Implemented 123 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "28 " "Implemented 28 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1581910144682 ""} { "Info" "ICUT_CUT_TM_OPINS" "12 " "Implemented 12 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1581910144682 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "24 " "Implemented 24 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1581910144682 ""} { "Info" "ICUT_CUT_TM_MCELLS" "55 " "Implemented 55 macrocells" { } { } 0 21063 "Implemented %1!d! macrocells" 0 0 "Quartus II" 0 -1 1581910144682 ""} { "Info" "ICUT_CUT_TM_SEXPS" "4 " "Implemented 4 shareable expanders" { } { } 0 21073 "Implemented %1!d! shareable expanders" 0 0 "Quartus II" 0 -1 1581910144682 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1581910144682 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.map.smsg " "Generated suppressed messages file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1581910144720 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 27 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 27 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4586 " "Peak virtual memory: 4586 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1581910144771 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Feb 16 22:29:04 2020 " "Processing ended: Sun Feb 16 22:29:04 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1581910144771 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1581910144771 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1581910144771 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1581910144771 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1581910145727 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II 64-Bit " "Running Quartus II 64-Bit Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1581910145728 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Feb 16 22:29:05 2020 " "Processing started: Sun Feb 16 22:29:05 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1581910145728 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1581910145728 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E " "Command: quartus_fit --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1581910145728 ""} +{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1581910145787 ""} +{ "Info" "0" "" "Project = RAM2E" { } { } 0 0 "Project = RAM2E" 0 0 "Fitter" 0 0 1581910145787 ""} +{ "Info" "0" "" "Revision = RAM2E" { } { } 0 0 "Revision = RAM2E" 0 0 "Fitter" 0 0 1581910145787 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1581910145821 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "RAM2E EPM7128SLC84-15 " "Selected device EPM7128SLC84-15 for design \"RAM2E\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1581910145823 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 1 Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4697 " "Peak virtual memory: 4697 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1581910145986 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Feb 16 22:29:05 2020 " "Processing ended: Sun Feb 16 22:29:05 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1581910145986 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1581910145986 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1581910145986 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1581910145986 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1581910146811 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1581910146811 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Feb 16 22:29:06 2020 " "Processing started: Sun Feb 16 22:29:06 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1581910146811 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1581910146811 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E " "Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1581910146811 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1581910146931 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4533 " "Peak virtual memory: 4533 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1581910147081 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Feb 16 22:29:07 2020 " "Processing ended: Sun Feb 16 22:29:07 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1581910147081 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1581910147081 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1581910147081 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1581910147081 ""} +{ "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1581910147683 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1581910148038 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1581910148039 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Feb 16 22:29:07 2020 " "Processing started: Sun Feb 16 22:29:07 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1581910148039 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1581910148039 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta RAM2E -c RAM2E " "Command: quartus_sta RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1581910148039 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1581910148121 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1581910148210 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1581910148217 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1581910148219 ""} +{ "Warning" "WTDB_ANALYZE_COMB_LATCHES_NOT_SUPPORTED" "" "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." { } { } 0 335095 "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." 0 0 "Quartus II" 0 -1 1581910148238 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "RAM2E.sdc " "Synopsys Design Constraints File file not found: 'RAM2E.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1581910148254 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1581910148254 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C14M C14M " "create_clock -period 1.000 -name C14M C14M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1581910148255 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1581910148255 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1581910148256 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1581910148270 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -46.000 " "Worst-case setup slack is -46.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1581910148272 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1581910148272 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -46.000 -967.000 C14M " " -46.000 -967.000 C14M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1581910148272 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1581910148272 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold 5.000 " "Worst-case hold slack is 5.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1581910148275 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1581910148275 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 5.000 0.000 C14M " " 5.000 0.000 C14M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1581910148275 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1581910148275 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1581910148278 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1581910148281 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -4.500 " "Worst-case minimum pulse width slack is -4.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1581910148284 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1581910148284 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.500 -351.000 C14M " " -4.500 -351.000 C14M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1581910148284 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1581910148284 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1581910148326 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1581910148351 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1581910148351 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 4 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4525 " "Peak virtual memory: 4525 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1581910148419 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Feb 16 22:29:08 2020 " "Processing ended: Sun Feb 16 22:29:08 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1581910148419 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1581910148419 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1581910148419 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1581910148419 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1581910149343 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus II 64-Bit " "Running Quartus II 64-Bit EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1581910149343 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Feb 16 22:29:09 2020 " "Processing started: Sun Feb 16 22:29:09 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1581910149343 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1581910149343 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E " "Command: quartus_eda --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1581910149343 ""} +{ "Info" "IWSC_DONE_HDL_GENERATION" "RAM2E.vo C:/Users/Zane/Documents/GitHub/RAM2E/cpld/simulation/modelsim/ simulation " "Generated file RAM2E.vo in folder \"C:/Users/Zane/Documents/GitHub/RAM2E/cpld/simulation/modelsim/\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "Quartus II" 0 -1 1581910149471 ""} +{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit EDA Netlist Writer was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4505 " "Peak virtual memory: 4505 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1581910149511 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Feb 16 22:29:09 2020 " "Processing ended: Sun Feb 16 22:29:09 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1581910149511 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1581910149511 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1581910149511 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1581910149511 ""} +{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 32 s " "Quartus II Full Compilation was successful. 0 errors, 32 warnings" { } { } 0 293000 "Quartus II %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1581910150117 ""} diff --git a/cpld/incremental_db/README b/cpld/incremental_db/README new file mode 100755 index 0000000..9f62dcd --- /dev/null +++ b/cpld/incremental_db/README @@ -0,0 +1,11 @@ +This folder contains data for incremental compilation. + +The compiled_partitions sub-folder contains previous compilation results for each partition. +As long as this folder is preserved, incremental compilation results from earlier compiles +can be re-used. To perform a clean compilation from source files for all partitions, both +the db and incremental_db folder should be removed. + +The imported_partitions sub-folder contains the last imported QXP for each imported partition. +As long as this folder is preserved, imported partitions will be automatically re-imported +when the db or incremental_db/compiled_partitions folders are removed. + diff --git a/cpld/incremental_db/compiled_partitions/RAM2E.db_info b/cpld/incremental_db/compiled_partitions/RAM2E.db_info new file mode 100755 index 0000000..1f88ea7 --- /dev/null +++ b/cpld/incremental_db/compiled_partitions/RAM2E.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Version_Index = 302049280 +Creation_Time = Sun Aug 04 18:02:39 2019 diff --git a/cpld/incremental_db/compiled_partitions/RAM2E.root_partition.map.kpt b/cpld/incremental_db/compiled_partitions/RAM2E.root_partition.map.kpt new file mode 100755 index 0000000000000000000000000000000000000000..d21dd4fcf5d05ef01a941fff9ac10735bf6a102e GIT binary patch literal 322 zcmV-I0logL4*>uG0001ZoUK#QYQiuSefKLad0*4i;ZrSCaL{dvvt>Sv5z_X$1+{ib zDhOlWzSwG4m;;eL=AL^_&dDMEsx&-Lp%5D!l6g4s#?D5RJWUB4pdBhLx5bb!hY|Rw zgff->nLafGh$0x=-i)My=LQ1ScU*_TsFLd(yuJtSfHIGIF6%>#Y9}*u}l*50Q?p1dAXH^(OjbevoEbZg-cXeWJus$qOwRiB(x@UDIjy}m$alesST>* znq53(4d&qsW3OKpb$wb-POvaW$+R-4`Pwp1^Dc6HF8(k}cr;D;_%WLBI0+L@g6W-4 z+r?Ito>lc}Yx-;m@1hX@>pu?Ns{?e7b#H%HX_P2*(|4!KPjZFIa;r9&UntWu#NqBn U>o@VtR?xG0^=Kufz literal 0 HcmV?d00001 diff --git a/cpld/output_files/RAM2E.asm.rpt b/cpld/output_files/RAM2E.asm.rpt new file mode 100755 index 0000000..d06ed91 --- /dev/null +++ b/cpld/output_files/RAM2E.asm.rpt @@ -0,0 +1,111 @@ +Assembler report for RAM2E +Sun Feb 16 22:32:24 2020 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Assembler Summary + 3. Assembler Settings + 4. Assembler Generated Files + 5. Assembler Device Options: C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.pof + 6. Assembler Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++---------------------------------------------------------------+ +; Assembler Summary ; ++-----------------------+---------------------------------------+ +; Assembler Status ; Successful - Sun Feb 16 22:32:24 2020 ; +; Revision Name ; RAM2E ; +; Top-level Entity Name ; RAM2E ; +; Family ; MAX7000S ; +; Device ; EPM7128SLC84-15 ; ++-----------------------+---------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------+ +; Assembler Settings ; ++-----------------------------------------------------------------------------+----------+---------------+ +; Option ; Setting ; Default Value ; ++-----------------------------------------------------------------------------+----------+---------------+ +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Compression mode ; Off ; Off ; +; Clock source for configuration device ; Internal ; Internal ; +; Clock frequency of the configuration device ; 10 MHZ ; 10 MHz ; +; Divide clock frequency by ; 1 ; 1 ; +; Auto user code ; Off ; Off ; +; Security bit ; Off ; Off ; +; Use configuration device ; On ; On ; +; Configuration device auto user code ; Off ; Off ; +; Generate Tabular Text File (.ttf) For Target Device ; Off ; Off ; +; Generate Raw Binary File (.rbf) For Target Device ; Off ; Off ; +; Generate Hexadecimal (Intel-Format) Output File (.hexout) for Target Device ; Off ; Off ; +; Hexadecimal Output File start address ; 0 ; 0 ; +; Hexadecimal Output File count direction ; Up ; Up ; +; Generate Serial Vector Format File (.svf) for Target Device ; Off ; Off ; +; Generate a JEDEC STAPL Format File (.jam) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; Off ; Off ; +; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; On ; On ; ++-----------------------------------------------------------------------------+----------+---------------+ + + ++------------------------------------------------------------------+ +; Assembler Generated Files ; ++------------------------------------------------------------------+ +; File Name ; ++------------------------------------------------------------------+ +; C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.pof ; ++------------------------------------------------------------------+ + + ++--------------------------------------------------------------------------------------------+ +; Assembler Device Options: C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.pof ; ++----------------+---------------------------------------------------------------------------+ +; Option ; Setting ; ++----------------+---------------------------------------------------------------------------+ +; Device ; EPM7128SLC84-15 ; +; JTAG usercode ; 0x00000000 ; +; Checksum ; 0x0019C601 ; ++----------------+---------------------------------------------------------------------------+ + + ++--------------------+ +; Assembler Messages ; ++--------------------+ +Info: ******************************************************************* +Info: Running Quartus II 64-Bit Assembler + Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + Info: Processing started: Sun Feb 16 22:32:24 2020 +Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E +Info (115030): Assembler is generating device programming files +Info: Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 4522 megabytes + Info: Processing ended: Sun Feb 16 22:32:24 2020 + Info: Elapsed time: 00:00:00 + Info: Total CPU time (on all processors): 00:00:00 + + diff --git a/cpld/output_files/RAM2E.cdf b/cpld/output_files/RAM2E.cdf new file mode 100644 index 0000000..08f0124 --- /dev/null +++ b/cpld/output_files/RAM2E.cdf @@ -0,0 +1,13 @@ +/* Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition */ +JedecChain; + FileRevision(JESD32A); + DefaultMfr(6E); + + P ActionCode(Cfg) + Device PartName(EPM7128SL84) Path("C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/") File("RAM2E.pof") MfrSpec(OpMask(3)); + +ChainEnd; + +AlteraBegin; + ChainType(JTAG); +AlteraEnd; diff --git a/cpld/output_files/RAM2E.done b/cpld/output_files/RAM2E.done new file mode 100755 index 0000000..8eafff1 --- /dev/null +++ b/cpld/output_files/RAM2E.done @@ -0,0 +1 @@ +Sun Feb 16 22:32:27 2020 diff --git a/cpld/output_files/RAM2E.eda.rpt b/cpld/output_files/RAM2E.eda.rpt new file mode 100755 index 0000000..7fab918 --- /dev/null +++ b/cpld/output_files/RAM2E.eda.rpt @@ -0,0 +1,92 @@ +EDA Netlist Writer report for RAM2E +Sun Feb 16 22:32:27 2020 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. EDA Netlist Writer Summary + 3. Simulation Settings + 4. Simulation Generated Files + 5. EDA Netlist Writer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++-------------------------------------------------------------------+ +; EDA Netlist Writer Summary ; ++---------------------------+---------------------------------------+ +; EDA Netlist Writer Status ; Successful - Sun Feb 16 22:32:27 2020 ; +; Revision Name ; RAM2E ; +; Top-level Entity Name ; RAM2E ; +; Family ; MAX7000S ; +; Simulation Files Creation ; Successful ; ++---------------------------+---------------------------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------+ +; Simulation Settings ; ++---------------------------------------------------------------------------------------------------+---------------------------+ +; Option ; Setting ; ++---------------------------------------------------------------------------------------------------+---------------------------+ +; Tool Name ; ModelSim-Altera (Verilog) ; +; Generate netlist for functional simulation only ; On ; +; Truncate long hierarchy paths ; Off ; +; Map illegal HDL characters ; Off ; +; Flatten buses into individual nodes ; Off ; +; Maintain hierarchy ; Off ; +; Bring out device-wide set/reset signals as ports ; Off ; +; Enable glitch filtering ; Off ; +; Do not write top level VHDL entity ; Off ; +; Disable detection of setup and hold time violations in the input registers of bi-directional pins ; Off ; +; Architecture name in VHDL output netlist ; structure ; +; Generate third-party EDA tool command script for RTL functional simulation ; Off ; +; Generate third-party EDA tool command script for gate-level simulation ; Off ; ++---------------------------------------------------------------------------------------------------+---------------------------+ + + ++------------------------------------------------------------------------+ +; Simulation Generated Files ; ++------------------------------------------------------------------------+ +; Generated Files ; ++------------------------------------------------------------------------+ +; C:/Users/Zane/Documents/GitHub/RAM2E/cpld/simulation/modelsim/RAM2E.vo ; ++------------------------------------------------------------------------+ + + ++-----------------------------+ +; EDA Netlist Writer Messages ; ++-----------------------------+ +Info: ******************************************************************* +Info: Running Quartus II 64-Bit EDA Netlist Writer + Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + Info: Processing started: Sun Feb 16 22:32:27 2020 +Info: Command: quartus_eda --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E +Info (204019): Generated file RAM2E.vo in folder "C:/Users/Zane/Documents/GitHub/RAM2E/cpld/simulation/modelsim/" for EDA simulation tool +Info: Quartus II 64-Bit EDA Netlist Writer was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 4505 megabytes + Info: Processing ended: Sun Feb 16 22:32:27 2020 + Info: Elapsed time: 00:00:00 + Info: Total CPU time (on all processors): 00:00:00 + + diff --git a/cpld/output_files/RAM2E.fit.rpt b/cpld/output_files/RAM2E.fit.rpt new file mode 100755 index 0000000..b4c46cb --- /dev/null +++ b/cpld/output_files/RAM2E.fit.rpt @@ -0,0 +1,627 @@ +Fitter report for RAM2E +Sun Feb 16 22:32:23 2020 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Fitter Summary + 3. Fitter Settings + 4. Parallel Compilation + 5. Pin-Out File + 6. Fitter Resource Usage Summary + 7. Input Pins + 8. Output Pins + 9. Bidir Pins + 10. All Package Pins + 11. I/O Standard + 12. Dedicated Inputs I/O + 13. Output Pin Default Load For Reported TCO + 14. Fitter Resource Utilization by Entity + 15. Control Signals + 16. Global & Other Fast Signals + 17. Non-Global High Fan-Out Signals + 18. Other Routing Usage Summary + 19. LAB External Interconnect + 20. LAB Macrocells + 21. Shareable Expander + 22. Logic Cell Interconnection + 23. Fitter Device Options + 24. Fitter Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++-----------------------------------------------------------------------------+ +; Fitter Summary ; ++---------------------------+-------------------------------------------------+ +; Fitter Status ; Successful - Sun Feb 16 22:32:23 2020 ; +; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Revision Name ; RAM2E ; +; Top-level Entity Name ; RAM2E ; +; Family ; MAX7000S ; +; Device ; EPM7128SLC84-15 ; +; Timing Models ; Final ; +; Total macrocells ; 55 / 128 ( 43 % ) ; +; Total pins ; 68 / 68 ( 100 % ) ; ++---------------------------+-------------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------------+ +; Fitter Settings ; ++----------------------------------------------------------------------------+-----------------------+---------------+ +; Option ; Setting ; Default Value ; ++----------------------------------------------------------------------------+-----------------------+---------------+ +; Device ; EPM7128SLC84-15 ; ; +; Optimize Timing for ECOs ; On ; Off ; +; Regenerate full fit report during ECO compiles ; On ; Off ; +; Optimize IOC Register Placement for Timing ; Pack All IO Registers ; Normal ; +; Slow Slew Rate ; On ; Off ; +; Fitter Effort ; Standard Fit ; Auto Fit ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Optimize Multi-Corner Timing ; Off ; Off ; +; Fitter Initial Placement Seed ; 1 ; 1 ; +; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; ++----------------------------------------------------------------------------+-----------------------+---------------+ + + +Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. ++-------------------------------------+ +; Parallel Compilation ; ++----------------------------+--------+ +; Processors ; Number ; ++----------------------------+--------+ +; Number detected on machine ; 8 ; +; Maximum allowed ; 1 ; ++----------------------------+--------+ + + ++--------------+ +; Pin-Out File ; ++--------------+ +The pin-out file can be found in C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.pin. + + ++--------------------------------------------------+ +; Fitter Resource Usage Summary ; ++------------------------------+-------------------+ +; Resource ; Usage ; ++------------------------------+-------------------+ +; Logic cells ; 55 / 128 ( 43 % ) ; +; Registers ; 39 / 128 ( 30 % ) ; +; Number of pterms used ; 111 ; +; I/O pins ; 68 / 68 ( 100 % ) ; +; -- Clock pins ; 2 / 2 ( 100 % ) ; +; -- Dedicated input pins ; 2 / 2 ( 100 % ) ; +; ; ; +; Global signals ; 1 ; +; Shareable expanders ; 4 / 128 ( 3 % ) ; +; Parallel expanders ; 0 / 120 ( 0 % ) ; +; Cells using turbo bit ; 1 / 128 ( < 1 % ) ; +; Maximum fan-out ; 39 ; +; Highest non-global fan-out ; 39 ; +; Total fan-out ; 350 ; +; Average fan-out ; 2.76 ; ++------------------------------+-------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------+ +; Input Pins ; ++------------+-------+----------+-----+-----------------------+--------------------+--------+----------------+--------------+----------------------+ +; Name ; Pin # ; I/O Bank ; LAB ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; I/O Standard ; Location assigned by ; ++------------+-------+----------+-----+-----------------------+--------------------+--------+----------------+--------------+----------------------+ +; AN3 ; 4 ; -- ; 1 ; 0 ; 0 ; no ; no ; TTL ; User ; +; C14M ; 83 ; -- ; -- ; 39 ; 0 ; yes ; no ; TTL ; User ; +; C14M_2 ; 84 ; -- ; -- ; 0 ; 0 ; no ; no ; TTL ; User ; +; C3M58 ; 10 ; -- ; 1 ; 0 ; 0 ; no ; no ; TTL ; User ; +; C7M ; 9 ; -- ; 1 ; 0 ; 0 ; no ; no ; TTL ; User ; +; DelayIn[0] ; 11 ; -- ; 1 ; 0 ; 0 ; no ; no ; TTL ; User ; +; DelayIn[1] ; 15 ; -- ; 2 ; 1 ; 0 ; no ; no ; TTL ; User ; +; DelayIn[2] ; 17 ; -- ; 2 ; 2 ; 0 ; no ; no ; TTL ; User ; +; DelayIn[3] ; 20 ; -- ; 2 ; 0 ; 0 ; no ; no ; TTL ; User ; +; MA[0] ; 45 ; -- ; 5 ; 1 ; 0 ; no ; no ; TTL ; User ; +; MA[1] ; 46 ; -- ; 5 ; 0 ; 0 ; no ; no ; TTL ; User ; +; MA[2] ; 48 ; -- ; 5 ; 0 ; 0 ; no ; no ; TTL ; User ; +; MA[3] ; 49 ; -- ; 5 ; 1 ; 0 ; no ; no ; TTL ; User ; +; MA[4] ; 50 ; -- ; 5 ; 0 ; 0 ; no ; no ; TTL ; User ; +; MA[5] ; 51 ; -- ; 5 ; 0 ; 0 ; no ; no ; TTL ; User ; +; MA[6] ; 52 ; -- ; 5 ; 0 ; 0 ; no ; no ; TTL ; User ; +; MA[7] ; 44 ; -- ; 5 ; 0 ; 0 ; no ; no ; TTL ; User ; +; PHI0 ; 75 ; -- ; 8 ; 0 ; 0 ; no ; no ; TTL ; User ; +; PHI1 ; 79 ; -- ; 8 ; 15 ; 0 ; no ; no ; TTL ; User ; +; Q3 ; 2 ; -- ; -- ; 0 ; 0 ; no ; no ; TTL ; User ; +; Q3_2 ; 1 ; -- ; -- ; 0 ; 0 ; no ; no ; TTL ; User ; +; nC07X ; 6 ; -- ; 1 ; 1 ; 0 ; no ; no ; TTL ; User ; +; nCASEN ; 77 ; -- ; 8 ; 0 ; 0 ; no ; no ; TTL ; User ; +; nEN80 ; 76 ; -- ; 8 ; 1 ; 0 ; no ; no ; TTL ; User ; +; nPCAS ; 81 ; -- ; 8 ; 0 ; 0 ; no ; no ; TTL ; User ; +; nPRAS ; 8 ; -- ; 1 ; 0 ; 0 ; no ; no ; TTL ; User ; +; nWE ; 5 ; -- ; 1 ; 3 ; 0 ; no ; no ; TTL ; User ; +; nWE80 ; 80 ; -- ; 8 ; 1 ; 0 ; no ; no ; TTL ; User ; ++------------+-------+----------+-----+-----------------------+--------------------+--------+----------------+--------------+----------------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Output Pins ; ++-------------+-------+----------+-----+-----------------+----------------+------------+---------------+--------------+----------------------+-------+----------------------+---------------------+ +; Name ; Pin # ; I/O Bank ; LAB ; Output Register ; Slow Slew Rate ; Open Drain ; TRI Primitive ; I/O Standard ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; ++-------------+-------+----------+-----+-----------------+----------------+------------+---------------+--------------+----------------------+-------+----------------------+---------------------+ +; C073SEL ; 22 ; -- ; 2 ; no ; yes ; no ; no ; TTL ; User ; 10 pF ; - ; - ; +; DelayOut[0] ; 12 ; -- ; 1 ; no ; yes ; no ; no ; TTL ; User ; 10 pF ; - ; - ; +; DelayOut[1] ; 16 ; -- ; 2 ; no ; yes ; no ; no ; TTL ; User ; 10 pF ; - ; - ; +; DelayOut[2] ; 18 ; -- ; 2 ; no ; yes ; no ; no ; TTL ; User ; 10 pF ; - ; - ; +; DelayOut[3] ; 21 ; -- ; 2 ; no ; yes ; no ; no ; TTL ; User ; 10 pF ; - ; - ; +; RA[10] ; 24 ; -- ; 3 ; no ; yes ; no ; no ; TTL ; User ; 10 pF ; - ; - ; +; RA[11] ; 25 ; -- ; 3 ; no ; yes ; no ; no ; TTL ; User ; 10 pF ; - ; - ; +; RA[8] ; 41 ; -- ; 4 ; no ; yes ; no ; no ; TTL ; User ; 10 pF ; - ; - ; +; RA[9] ; 40 ; -- ; 4 ; no ; yes ; no ; no ; TTL ; User ; 10 pF ; - ; - ; +; nCAS ; 27 ; -- ; 3 ; no ; yes ; no ; no ; TTL ; User ; 10 pF ; - ; - ; +; nRAS ; 39 ; -- ; 4 ; no ; yes ; no ; no ; TTL ; User ; 10 pF ; - ; - ; +; nRWE ; 37 ; -- ; 4 ; no ; yes ; no ; no ; TTL ; User ; 10 pF ; - ; - ; ++-------------+-------+----------+-----+-----------------+----------------+------------+---------------+--------------+----------------------+-------+----------------------+---------------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Bidir Pins ; ++-------+-------+----------+-----+-----------------------+--------------------+--------+----------------+-----------------+----------------+------------+--------------+----------------------+-------+----------------------+---------------------+ +; Name ; Pin # ; I/O Bank ; LAB ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Output Register ; Slow Slew Rate ; Open Drain ; I/O Standard ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; ++-------+-------+----------+-----+-----------------------+--------------------+--------+----------------+-----------------+----------------+------------+--------------+----------------------+-------+----------------------+---------------------+ +; MD[0] ; 57 ; -- ; 6 ; 2 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; MDOE~1 ; - ; +; MD[1] ; 61 ; -- ; 6 ; 2 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; MDOE~1 ; - ; +; MD[2] ; 68 ; -- ; 7 ; 2 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; MDOE~1 ; - ; +; MD[3] ; 73 ; -- ; 8 ; 2 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; MDOE~1 ; - ; +; MD[4] ; 69 ; -- ; 7 ; 2 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; MDOE~1 ; - ; +; MD[5] ; 65 ; -- ; 7 ; 2 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; MDOE~1 ; - ; +; MD[6] ; 58 ; -- ; 6 ; 1 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; MDOE~1 ; - ; +; MD[7] ; 55 ; -- ; 6 ; 1 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; MDOE~1 ; - ; +; RD[0] ; 33 ; -- ; 4 ; 2 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; RDOE~1 ; - ; +; RD[1] ; 34 ; -- ; 4 ; 2 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; RDOE~1 ; - ; +; RD[2] ; 35 ; -- ; 4 ; 2 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; RDOE~1 ; - ; +; RD[3] ; 36 ; -- ; 4 ; 2 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; RDOE~1 ; - ; +; RD[4] ; 28 ; -- ; 3 ; 2 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; RDOE~1 ; - ; +; RD[5] ; 29 ; -- ; 3 ; 2 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; RDOE~1 ; - ; +; RD[6] ; 30 ; -- ; 3 ; 2 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; RDOE~1 ; - ; +; RD[7] ; 31 ; -- ; 3 ; 2 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; RDOE~1 ; - ; +; VD[0] ; 56 ; -- ; 6 ; 0 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; PHI1 (inverted) ; - ; +; VD[1] ; 63 ; -- ; 7 ; 0 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; PHI1 (inverted) ; - ; +; VD[2] ; 67 ; -- ; 7 ; 0 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; PHI1 (inverted) ; - ; +; VD[3] ; 74 ; -- ; 8 ; 0 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; PHI1 (inverted) ; - ; +; VD[4] ; 70 ; -- ; 7 ; 0 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; PHI1 (inverted) ; - ; +; VD[5] ; 64 ; -- ; 7 ; 0 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; PHI1 (inverted) ; - ; +; VD[6] ; 60 ; -- ; 6 ; 0 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; PHI1 (inverted) ; - ; +; VD[7] ; 54 ; -- ; 6 ; 0 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; PHI1 (inverted) ; - ; ++-------+-------+----------+-----+-----------------------+--------------------+--------+----------------+-----------------+----------------+------------+--------------+----------------------+-------+----------------------+---------------------+ + + ++-------------------------------------------------------------------------------------------------------+ +; All Package Pins ; ++----------+------------+----------+----------------+--------+--------------+---------+-----------------+ +; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; User Assignment ; ++----------+------------+----------+----------------+--------+--------------+---------+-----------------+ +; 1 ; 0 ; -- ; Q3_2 ; input ; TTL ; ; Y ; +; 2 ; 1 ; -- ; Q3 ; input ; TTL ; ; Y ; +; 3 ; 2 ; -- ; VCCINT ; power ; ; 5.0V ; ; +; 4 ; 3 ; -- ; AN3 ; input ; TTL ; ; Y ; +; 5 ; 4 ; -- ; nWE ; input ; TTL ; ; Y ; +; 6 ; 5 ; -- ; nC07X ; input ; TTL ; ; Y ; +; 7 ; 6 ; -- ; GND ; gnd ; ; ; ; +; 8 ; 7 ; -- ; nPRAS ; input ; TTL ; ; Y ; +; 9 ; 8 ; -- ; C7M ; input ; TTL ; ; Y ; +; 10 ; 9 ; -- ; C3M58 ; input ; TTL ; ; Y ; +; 11 ; 10 ; -- ; DelayIn[0] ; input ; TTL ; ; Y ; +; 12 ; 11 ; -- ; DelayOut[0] ; output ; TTL ; ; Y ; +; 13 ; 12 ; -- ; VCCIO ; power ; ; 5.0V ; ; +; 14 ; 13 ; -- ; TDI ; input ; TTL ; ; N ; +; 15 ; 14 ; -- ; DelayIn[1] ; input ; TTL ; ; Y ; +; 16 ; 15 ; -- ; DelayOut[1] ; output ; TTL ; ; Y ; +; 17 ; 16 ; -- ; DelayIn[2] ; input ; TTL ; ; Y ; +; 18 ; 17 ; -- ; DelayOut[2] ; output ; TTL ; ; Y ; +; 19 ; 18 ; -- ; GND ; gnd ; ; ; ; +; 20 ; 19 ; -- ; DelayIn[3] ; input ; TTL ; ; Y ; +; 21 ; 20 ; -- ; DelayOut[3] ; output ; TTL ; ; Y ; +; 22 ; 21 ; -- ; C073SEL ; output ; TTL ; ; Y ; +; 23 ; 22 ; -- ; TMS ; input ; TTL ; ; N ; +; 24 ; 23 ; -- ; RA[10] ; output ; TTL ; ; Y ; +; 25 ; 24 ; -- ; RA[11] ; output ; TTL ; ; Y ; +; 26 ; 25 ; -- ; VCCIO ; power ; ; 5.0V ; ; +; 27 ; 26 ; -- ; nCAS ; output ; TTL ; ; Y ; +; 28 ; 27 ; -- ; RD[4] ; bidir ; TTL ; ; Y ; +; 29 ; 28 ; -- ; RD[5] ; bidir ; TTL ; ; Y ; +; 30 ; 29 ; -- ; RD[6] ; bidir ; TTL ; ; Y ; +; 31 ; 30 ; -- ; RD[7] ; bidir ; TTL ; ; Y ; +; 32 ; 31 ; -- ; GND ; gnd ; ; ; ; +; 33 ; 32 ; -- ; RD[0] ; bidir ; TTL ; ; Y ; +; 34 ; 33 ; -- ; RD[1] ; bidir ; TTL ; ; Y ; +; 35 ; 34 ; -- ; RD[2] ; bidir ; TTL ; ; Y ; +; 36 ; 35 ; -- ; RD[3] ; bidir ; TTL ; ; Y ; +; 37 ; 36 ; -- ; nRWE ; output ; TTL ; ; Y ; +; 38 ; 37 ; -- ; VCCIO ; power ; ; 5.0V ; ; +; 39 ; 38 ; -- ; nRAS ; output ; TTL ; ; Y ; +; 40 ; 39 ; -- ; RA[9] ; output ; TTL ; ; Y ; +; 41 ; 40 ; -- ; RA[8] ; output ; TTL ; ; Y ; +; 42 ; 41 ; -- ; GND ; gnd ; ; ; ; +; 43 ; 42 ; -- ; VCCINT ; power ; ; 5.0V ; ; +; 44 ; 43 ; -- ; MA[7] ; input ; TTL ; ; Y ; +; 45 ; 44 ; -- ; MA[0] ; input ; TTL ; ; Y ; +; 46 ; 45 ; -- ; MA[1] ; input ; TTL ; ; Y ; +; 47 ; 46 ; -- ; GND ; gnd ; ; ; ; +; 48 ; 47 ; -- ; MA[2] ; input ; TTL ; ; Y ; +; 49 ; 48 ; -- ; MA[3] ; input ; TTL ; ; Y ; +; 50 ; 49 ; -- ; MA[4] ; input ; TTL ; ; Y ; +; 51 ; 50 ; -- ; MA[5] ; input ; TTL ; ; Y ; +; 52 ; 51 ; -- ; MA[6] ; input ; TTL ; ; Y ; +; 53 ; 52 ; -- ; VCCIO ; power ; ; 5.0V ; ; +; 54 ; 53 ; -- ; VD[7] ; bidir ; TTL ; ; Y ; +; 55 ; 54 ; -- ; MD[7] ; bidir ; TTL ; ; Y ; +; 56 ; 55 ; -- ; VD[0] ; bidir ; TTL ; ; Y ; +; 57 ; 56 ; -- ; MD[0] ; bidir ; TTL ; ; Y ; +; 58 ; 57 ; -- ; MD[6] ; bidir ; TTL ; ; Y ; +; 59 ; 58 ; -- ; GND ; gnd ; ; ; ; +; 60 ; 59 ; -- ; VD[6] ; bidir ; TTL ; ; Y ; +; 61 ; 60 ; -- ; MD[1] ; bidir ; TTL ; ; Y ; +; 62 ; 61 ; -- ; TCK ; input ; TTL ; ; N ; +; 63 ; 62 ; -- ; VD[1] ; bidir ; TTL ; ; Y ; +; 64 ; 63 ; -- ; VD[5] ; bidir ; TTL ; ; Y ; +; 65 ; 64 ; -- ; MD[5] ; bidir ; TTL ; ; Y ; +; 66 ; 65 ; -- ; VCCIO ; power ; ; 5.0V ; ; +; 67 ; 66 ; -- ; VD[2] ; bidir ; TTL ; ; Y ; +; 68 ; 67 ; -- ; MD[2] ; bidir ; TTL ; ; Y ; +; 69 ; 68 ; -- ; MD[4] ; bidir ; TTL ; ; Y ; +; 70 ; 69 ; -- ; VD[4] ; bidir ; TTL ; ; Y ; +; 71 ; 70 ; -- ; TDO ; output ; TTL ; ; N ; +; 72 ; 71 ; -- ; GND ; gnd ; ; ; ; +; 73 ; 72 ; -- ; MD[3] ; bidir ; TTL ; ; Y ; +; 74 ; 73 ; -- ; VD[3] ; bidir ; TTL ; ; Y ; +; 75 ; 74 ; -- ; PHI0 ; input ; TTL ; ; Y ; +; 76 ; 75 ; -- ; nEN80 ; input ; TTL ; ; Y ; +; 77 ; 76 ; -- ; nCASEN ; input ; TTL ; ; Y ; +; 78 ; 77 ; -- ; VCCIO ; power ; ; 5.0V ; ; +; 79 ; 78 ; -- ; PHI1 ; input ; TTL ; ; Y ; +; 80 ; 79 ; -- ; nWE80 ; input ; TTL ; ; Y ; +; 81 ; 80 ; -- ; nPCAS ; input ; TTL ; ; Y ; +; 82 ; 81 ; -- ; GND ; gnd ; ; ; ; +; 83 ; 82 ; -- ; C14M ; input ; TTL ; ; Y ; +; 84 ; 83 ; -- ; C14M_2 ; input ; TTL ; ; Y ; ++----------+------------+----------+----------------+--------+--------------+---------+-----------------+ +Note: Pin directions (input, output or bidir) are based on device operating in user mode. + + ++--------------------------------------------------------------------------------------------------+ +; I/O Standard ; ++--------------+------------+----------------------+-------------------+-------------------+-------+ +; I/O Standard ; Input Vref ; Dedicated Input Pins ; Pins in I/O Bank1 ; Pins in I/O Bank2 ; Total ; ++--------------+------------+----------------------+-------------------+-------------------+-------+ +; TTL ; - ; 4 ; 0 ; 0 ; 4 ; ++--------------+------------+----------------------+-------------------+-------------------+-------+ + + ++----------------------------------------------------------------------+ +; Dedicated Inputs I/O ; ++--------+-------+-------+-------+--------------+------------+---------+ +; Name ; Pin # ; Type ; VCCIO ; I/O Standard ; Input Vref ; Current ; ++--------+-------+-------+-------+--------------+------------+---------+ +; C14M ; 83 ; Input ; -- ; TTL ; - ; 0 mA ; +; C14M_2 ; 84 ; Input ; -- ; TTL ; - ; 0 mA ; +; Q3 ; 2 ; Input ; -- ; TTL ; - ; 0 mA ; +; Q3_2 ; 1 ; Input ; -- ; TTL ; - ; 0 mA ; ++--------+-------+-------+-------+--------------+------------+---------+ + + ++-----------------------------------------------+ +; Output Pin Default Load For Reported TCO ; ++--------------+-------+------------------------+ +; I/O Standard ; Load ; Termination Resistance ; ++--------------+-------+------------------------+ +; 3.3-V LVTTL ; 10 pF ; Not Available ; +; 3.3-V LVCMOS ; 10 pF ; Not Available ; +; TTL ; 10 pF ; Not Available ; ++--------------+-------+------------------------+ +Note: User assignments will override these defaults. The user specified values are listed in the Output Pins and Bidir Pins tables. + + ++----------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++----------------------------+------------+------+------------------------------+--------------+ +; Compilation Hierarchy Node ; Macrocells ; Pins ; Full Hierarchy Name ; Library Name ; ++----------------------------+------------+------+------------------------------+--------------+ +; |RAM2E ; 55 ; 68 ; |RAM2E ; work ; +; |lpm_counter:Ref_rtl_0| ; 4 ; 0 ; |RAM2E|lpm_counter:Ref_rtl_0 ; work ; ++----------------------------+------------+------+------------------------------+--------------+ + + ++-----------------------------------------------------------------------------------------------------+ +; Control Signals ; ++--------------+----------+---------+--------------+--------+----------------------+------------------+ +; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; ++--------------+----------+---------+--------------+--------+----------------------+------------------+ +; C073SEL~reg0 ; LC17 ; 8 ; Clock enable ; no ; -- ; -- ; +; C14M ; PIN_83 ; 39 ; Clock ; yes ; On ; -- ; +; PHI1 ; PIN_79 ; 15 ; Clock enable ; no ; -- ; -- ; +; S[0] ; LC52 ; 36 ; Clock enable ; no ; -- ; -- ; +; S[1] ; LC58 ; 38 ; Clock enable ; no ; -- ; -- ; +; S[2] ; LC50 ; 38 ; Clock enable ; no ; -- ; -- ; +; S[3] ; LC60 ; 39 ; Clock enable ; no ; -- ; -- ; ++--------------+----------+---------+--------------+--------+----------------------+------------------+ + + ++---------------------------------------------------------------------+ +; Global & Other Fast Signals ; ++------+----------+---------+----------------------+------------------+ +; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; ++------+----------+---------+----------------------+------------------+ +; C14M ; PIN_83 ; 39 ; On ; -- ; ++------+----------+---------+----------------------+------------------+ + + ++-----------------------------------------+ +; Non-Global High Fan-Out Signals ; ++-------------------------------+---------+ +; Name ; Fan-Out ; ++-------------------------------+---------+ +; S[3] ; 39 ; +; S[1] ; 38 ; +; S[2] ; 38 ; +; S[0] ; 36 ; +; PHI1 ; 15 ; +; C073SEL~reg0 ; 8 ; +; RDOE~1 ; 8 ; +; MDOE~1 ; 8 ; +; lpm_counter:Ref_rtl_0|dffs[3] ; 6 ; +; lpm_counter:Ref_rtl_0|dffs[2] ; 6 ; +; lpm_counter:Ref_rtl_0|dffs[0] ; 6 ; +; lpm_counter:Ref_rtl_0|dffs[1] ; 5 ; +; PHI1reg ; 5 ; +; PHI0seen ; 5 ; +; nWE ; 3 ; +; RD[7]~7 ; 2 ; +; RD[6]~6 ; 2 ; +; RD[5]~5 ; 2 ; +; RD[4]~4 ; 2 ; +; RD[3]~3 ; 2 ; +; RD[2]~2 ; 2 ; +; RD[1]~1 ; 2 ; +; RD[0]~0 ; 2 ; +; MD[5]~5 ; 2 ; +; MD[4]~4 ; 2 ; +; MD[3]~3 ; 2 ; +; MD[2]~2 ; 2 ; +; MD[1]~1 ; 2 ; +; MD[0]~0 ; 2 ; +; DelayIn[2] ; 2 ; +; BA[4] ; 2 ; +; MD[7]~7 ; 1 ; +; MD[6]~6 ; 1 ; +; DelayIn[1] ; 1 ; +; MA[3] ; 1 ; +; MA[0] ; 1 ; +; nC07X ; 1 ; +; nEN80 ; 1 ; +; nWE80 ; 1 ; +; ~GND~2 ; 1 ; +; ~GND~1 ; 1 ; +; ~GND~0 ; 1 ; +; nRAS~reg0 ; 1 ; +; nRAS~8 ; 1 ; +; nCAS~reg0 ; 1 ; +; RA[9]~reg0 ; 1 ; +; RA[8]~reg0 ; 1 ; +; RA[10]~reg0 ; 1 ; +; BA[0] ; 1 ; +; BA[1] ; 1 ; +; BA[2] ; 1 ; +; BA[3] ; 1 ; +; BA[5] ; 1 ; +; C073SEL~9 ; 1 ; +; C073SEL~8 ; 1 ; +; C073SEL~7 ; 1 ; +; VDR[7] ; 1 ; +; VDR[6] ; 1 ; +; VDR[5] ; 1 ; +; VDR[4] ; 1 ; +; MDR[0] ; 1 ; +; MDR[1] ; 1 ; +; MDR[2] ; 1 ; +; MDR[3] ; 1 ; +; MDR[4] ; 1 ; +; MDR[5] ; 1 ; +; MDR[6] ; 1 ; +; MDR[7] ; 1 ; +; VDR[0] ; 1 ; +; VDR[1] ; 1 ; +; VDR[2] ; 1 ; +; VDR[3] ; 1 ; +; MDBEN ; 1 ; +; DelayIn[1]~1 ; 1 ; +; nEN80~1 ; 1 ; +; nWE80~1 ; 1 ; +; MD[7]~38 ; 1 ; +; MD[6]~36 ; 1 ; +; MD[5]~34 ; 1 ; +; MD[4]~32 ; 1 ; +; MD[3]~30 ; 1 ; +; MD[2]~28 ; 1 ; +; MD[1]~26 ; 1 ; +; MD[0]~24 ; 1 ; ++-------------------------------+---------+ + + ++-------------------------------------------------+ +; Other Routing Usage Summary ; ++-----------------------------+-------------------+ +; Other Routing Resource Type ; Usage ; ++-----------------------------+-------------------+ +; Output enables ; 3 / 6 ( 50 % ) ; +; PIA buffers ; 64 / 288 ( 22 % ) ; +; PIAs ; 72 / 288 ( 25 % ) ; ++-----------------------------+-------------------+ + + ++----------------------------------------------------------------------------+ +; LAB External Interconnect ; ++----------------------------------------------+-----------------------------+ +; LAB External Interconnects (Average = 9.00) ; Number of LABs (Total = 6) ; ++----------------------------------------------+-----------------------------+ +; 0 - 1 ; 2 ; +; 2 - 3 ; 0 ; +; 4 - 5 ; 1 ; +; 6 - 7 ; 0 ; +; 8 - 9 ; 2 ; +; 10 - 11 ; 0 ; +; 12 - 13 ; 1 ; +; 14 - 15 ; 1 ; +; 16 - 17 ; 0 ; +; 18 - 19 ; 0 ; +; 20 - 21 ; 0 ; +; 22 - 23 ; 1 ; ++----------------------------------------------+-----------------------------+ + + ++----------------------------------------------------------------------+ +; LAB Macrocells ; ++----------------------------------------+-----------------------------+ +; Number of Macrocells (Average = 6.88) ; Number of LABs (Total = 7) ; ++----------------------------------------+-----------------------------+ +; 0 ; 1 ; +; 1 ; 1 ; +; 2 ; 1 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 1 ; +; 7 ; 2 ; +; 8 ; 0 ; +; 9 ; 0 ; +; 10 ; 0 ; +; 11 ; 0 ; +; 12 ; 0 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 0 ; +; 16 ; 2 ; ++----------------------------------------+-----------------------------+ + + ++-------------------------------------------------------------------------------+ +; Shareable Expander ; ++-------------------------------------------------+-----------------------------+ +; Number of shareable expanders (Average = 0.50) ; Number of LABs (Total = 2) ; ++-------------------------------------------------+-----------------------------+ +; 0 ; 6 ; +; 1 ; 1 ; +; 2 ; 0 ; +; 3 ; 1 ; ++-------------------------------------------------+-----------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Logic Cell Interconnection ; ++-----+------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; LAB ; Logic Cell ; Input ; Output ; ++-----+------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; A ; LC3 ; ; DelayOut[0] ; +; B ; LC32 ; MDBEN, nWE, DelayIn[2] ; MD[0], MD[1], MD[2], MD[3], MD[4], MD[5], MD[6], MD[7] ; +; B ; LC27 ; nEN80 ; DelayOut[1] ; +; B ; LC21 ; nWE, DelayIn[2] ; RD[0], RD[1], RD[2], RD[3], RD[4], RD[5], RD[6], RD[7] ; +; B ; LC19 ; ; DelayOut[3] ; +; B ; LC17 ; C14M, S[2], S[3], S[1], C073SEL~7, C073SEL~reg0, C073SEL~8, S[0], C073SEL~9 ; C073SEL~reg0, C073SEL, BA[5], BA[4], BA[3], BA[2], BA[1], BA[0] ; +; B ; LC24 ; DelayIn[1] ; DelayOut[2] ; +; C ; LC42 ; C14M, MD[4], C073SEL~reg0, S[0], S[1], S[2], S[3] ; RA[10]~reg0, RA[9]~reg0 ; +; C ; LC46 ; C14M, BA[4], S[1], S[2], S[3] ; RA[10] ; +; C ; LC43 ; C14M, lpm_counter:Ref_rtl_0|dffs[3], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[0], S[3], S[2], S[0], S[1] ; nCAS ; +; C ; LC45 ; ; RA[11] ; +; C ; LC38 ; MD[5] ; RD[5] ; +; C ; LC37 ; MD[6] ; RD[6] ; +; C ; LC35 ; MD[7] ; RD[7] ; +; C ; LC33 ; C14M, lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[0], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[3], S[0], S[1], S[2], S[3] ; lpm_counter:Ref_rtl_0|dffs[0], lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[3], nCAS~reg0, nRAS~reg0 ; +; C ; LC40 ; MD[4] ; RD[4] ; +; C ; LC48 ; C14M, PHI1 ; S[2], S[3], S[1], S[0], nRAS~reg0 ; +; C ; LC47 ; C14M, PHI1 ; S[2], S[3], S[1], S[0], nRAS~reg0 ; +; C ; LC36 ; C14M, lpm_counter:Ref_rtl_0|dffs[3], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[0], S[0], S[1], S[2], S[3] ; lpm_counter:Ref_rtl_0|dffs[0], lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[3], nCAS~reg0, nRAS~reg0 ; +; C ; LC34 ; C14M, lpm_counter:Ref_rtl_0|dffs[3], lpm_counter:Ref_rtl_0|dffs[0], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[1], S[0], S[1], S[2], S[3] ; lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[3], nCAS~reg0, nRAS~reg0 ; +; C ; LC44 ; C14M, S[3], S[2], S[0], S[1] ; MDOE~1 ; +; C ; LC41 ; C14M, lpm_counter:Ref_rtl_0|dffs[3], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[0], S[0], S[1], S[2], S[3] ; lpm_counter:Ref_rtl_0|dffs[0], lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[3], nCAS~reg0, nRAS~reg0 ; +; C ; LC39 ; C14M, MD[5], C073SEL~reg0, S[0], S[1], S[2], S[3] ; RA[9]~reg0 ; +; D ; LC64 ; MD[0] ; RD[0] ; +; D ; LC61 ; MD[1] ; RD[1] ; +; D ; LC59 ; MD[2] ; RD[2] ; +; D ; LC57 ; MD[3] ; RD[3] ; +; D ; LC56 ; nWE80 ; nRWE ; +; D ; LC50 ; C14M, PHI0seen, PHI1reg, PHI1, S[0], S[3], S[2], S[1] ; S[2], S[3], MDBEN, VDR[3], VDR[2], VDR[1], VDR[0], MDR[7], MDR[6], MDR[5], MDR[4], MDR[3], MDR[2], MDR[1], MDR[0], VDR[4], VDR[5], S[1], VDR[6], VDR[7], S[0], lpm_counter:Ref_rtl_0|dffs[0], lpm_counter:Ref_rtl_0|dffs[1], C073SEL~7, C073SEL~reg0, lpm_counter:Ref_rtl_0|dffs[2], BA[5], BA[4], BA[3], BA[2], BA[1], BA[0], RA[10]~reg0, RA[8]~reg0, lpm_counter:Ref_rtl_0|dffs[3], RA[9]~reg0, nCAS~reg0, nRAS~reg0 ; +; D ; LC60 ; C14M, PHI0seen, PHI1reg, PHI1, S[2], S[3], S[0], S[1] ; S[2], S[3], MDBEN, VDR[3], VDR[2], VDR[1], VDR[0], MDR[7], MDR[6], MDR[5], MDR[4], MDR[3], MDR[2], MDR[1], MDR[0], VDR[4], VDR[5], S[1], VDR[6], VDR[7], S[0], lpm_counter:Ref_rtl_0|dffs[0], lpm_counter:Ref_rtl_0|dffs[1], C073SEL~7, C073SEL~reg0, lpm_counter:Ref_rtl_0|dffs[2], BA[5], BA[4], BA[3], BA[2], BA[1], BA[0], RA[10]~reg0, RA[8]~reg0, lpm_counter:Ref_rtl_0|dffs[3], RA[9]~reg0, nCAS~reg0, nRAS~8, nRAS~reg0 ; +; D ; LC58 ; C14M, PHI0seen, PHI1reg, PHI1, S[3], S[0], S[1], S[2] ; S[2], S[3], MDBEN, VDR[3], VDR[2], VDR[1], VDR[0], MDR[7], MDR[6], MDR[5], MDR[4], MDR[3], MDR[2], MDR[1], MDR[0], VDR[4], VDR[5], S[1], VDR[6], VDR[7], S[0], lpm_counter:Ref_rtl_0|dffs[0], lpm_counter:Ref_rtl_0|dffs[1], C073SEL~7, C073SEL~reg0, lpm_counter:Ref_rtl_0|dffs[2], BA[5], BA[4], BA[3], BA[2], BA[1], BA[0], RA[10]~reg0, RA[8]~reg0, lpm_counter:Ref_rtl_0|dffs[3], RA[9]~reg0, nCAS~reg0, nRAS~reg0 ; +; D ; LC62 ; C14M, MD[2], C073SEL~reg0, S[0], S[1], S[2], S[3] ; RA[8]~reg0 ; +; D ; LC54 ; C14M, MD[1], C073SEL~reg0, S[0], S[1], S[2], S[3] ; RA[9]~reg0 ; +; D ; LC55 ; C14M, MD[0], C073SEL~reg0, S[0], S[1], S[2], S[3] ; RA[8]~reg0 ; +; D ; LC49 ; C14M, BA[2], S[1], S[2], S[3], BA[0] ; RA[8] ; +; D ; LC51 ; C14M, BA[5], S[1], BA[4], S[2], S[3], BA[3], BA[1] ; RA[9] ; +; D ; LC53 ; C14M, PHI0seen, PHI1reg, PHI1, lpm_counter:Ref_rtl_0|dffs[3], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[0], S[0], S[1], S[2], S[3], nRAS~8 ; nRAS ; +; D ; LC52 ; C14M, PHI0seen, PHI1reg, PHI1, S[1], S[2], S[3], S[0] ; S[2], S[3], MDBEN, VDR[3], VDR[2], VDR[1], VDR[0], MDR[7], MDR[6], MDR[5], MDR[4], MDR[3], MDR[2], MDR[1], MDR[0], VDR[4], VDR[5], S[1], VDR[6], VDR[7], S[0], lpm_counter:Ref_rtl_0|dffs[0], lpm_counter:Ref_rtl_0|dffs[1], C073SEL~7, C073SEL~reg0, lpm_counter:Ref_rtl_0|dffs[2], BA[5], BA[4], BA[3], BA[2], BA[1], BA[0], lpm_counter:Ref_rtl_0|dffs[3], nCAS~reg0, nRAS~8, nRAS~reg0 ; +; D ; LC63 ; C14M, MD[3], C073SEL~reg0, S[0], S[1], S[2], S[3] ; RA[9]~reg0 ; +; F ; LC86 ; C14M, RD[0], S[0], S[1], S[2], S[3] ; VD[0] ; +; F ; LC85 ; C14M, RD[7], S[0], S[1], S[2], S[3] ; MD[7] ; +; F ; LC91 ; C14M, RD[6], S[0], S[1], S[2], S[3] ; MD[6] ; +; F ; LC94 ; C14M, RD[1], S[0], S[1], S[2], S[3] ; MD[1] ; +; F ; LC88 ; C14M, RD[0], S[0], S[1], S[2], S[3] ; MD[0] ; +; F ; LC93 ; C14M, RD[6], S[0], S[1], S[2], S[3] ; VD[6] ; +; F ; LC83 ; C14M, RD[7], S[0], S[1], S[2], S[3] ; VD[7] ; +; G ; LC104 ; C14M, RD[2], S[0], S[1], S[2], S[3] ; VD[2] ; +; G ; LC97 ; C14M, RD[1], S[0], S[1], S[2], S[3] ; VD[1] ; +; G ; LC109 ; C14M, RD[4], S[0], S[1], S[2], S[3] ; VD[4] ; +; G ; LC99 ; C14M, RD[5], S[0], S[1], S[2], S[3] ; VD[5] ; +; G ; LC107 ; C14M, RD[4], S[0], S[1], S[2], S[3] ; MD[4] ; +; G ; LC105 ; C14M, RD[2], S[0], S[1], S[2], S[3] ; MD[2] ; +; G ; LC101 ; C14M, RD[5], S[0], S[1], S[2], S[3] ; MD[5] ; +; H ; LC117 ; C14M, RD[3], S[0], S[1], S[2], S[3] ; VD[3] ; +; H ; LC115 ; C14M, RD[3], S[0], S[1], S[2], S[3] ; MD[3] ; ++-----+------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ + + ++---------------------------------------------------------------+ +; Fitter Device Options ; ++----------------------------------------------+----------------+ +; Option ; Setting ; ++----------------------------------------------+----------------+ +; Enable user-supplied start-up clock (CLKUSR) ; Off ; +; Enable device-wide reset (DEV_CLRn) ; Off ; +; Enable device-wide output enable (DEV_OE) ; Off ; +; Enable INIT_DONE output ; Off ; +; Configuration scheme ; Passive Serial ; +; Security bit ; Off ; +; Base pin-out file on sameframe device ; Off ; ++----------------------------------------------+----------------+ + + ++-----------------+ +; Fitter Messages ; ++-----------------+ +Warning (20028): Parallel compilation is not licensed and has been disabled +Info (119006): Selected device EPM7128SLC84-15 for design "RAM2E" +Info: Quartus II 64-Bit Fitter was successful. 0 errors, 1 warning + Info: Peak virtual memory: 4697 megabytes + Info: Processing ended: Sun Feb 16 22:32:23 2020 + Info: Elapsed time: 00:00:00 + Info: Total CPU time (on all processors): 00:00:01 + + diff --git a/cpld/output_files/RAM2E.fit.summary b/cpld/output_files/RAM2E.fit.summary new file mode 100755 index 0000000..75a2f95 --- /dev/null +++ b/cpld/output_files/RAM2E.fit.summary @@ -0,0 +1,9 @@ +Fitter Status : Successful - Sun Feb 16 22:32:23 2020 +Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition +Revision Name : RAM2E +Top-level Entity Name : RAM2E +Family : MAX7000S +Device : EPM7128SLC84-15 +Timing Models : Final +Total macrocells : 55 / 128 ( 43 % ) +Total pins : 68 / 68 ( 100 % ) diff --git a/cpld/output_files/RAM2E.flow.rpt b/cpld/output_files/RAM2E.flow.rpt new file mode 100755 index 0000000..85c8964 --- /dev/null +++ b/cpld/output_files/RAM2E.flow.rpt @@ -0,0 +1,133 @@ +Flow report for RAM2E +Sun Feb 16 22:32:27 2020 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Flow Summary + 3. Flow Settings + 4. Flow Non-Default Global Settings + 5. Flow Elapsed Time + 6. Flow OS Summary + 7. Flow Log + 8. Flow Messages + 9. Flow Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++-----------------------------------------------------------------------------+ +; Flow Summary ; ++---------------------------+-------------------------------------------------+ +; Flow Status ; Successful - Sun Feb 16 22:32:27 2020 ; +; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Revision Name ; RAM2E ; +; Top-level Entity Name ; RAM2E ; +; Family ; MAX7000S ; +; Device ; EPM7128SLC84-15 ; +; Timing Models ; Final ; +; Total macrocells ; 55 / 128 ( 43 % ) ; +; Total pins ; 68 / 68 ( 100 % ) ; ++---------------------------+-------------------------------------------------+ + + ++-----------------------------------------+ +; Flow Settings ; ++-------------------+---------------------+ +; Option ; Setting ; ++-------------------+---------------------+ +; Start date & time ; 02/16/2020 22:32:22 ; +; Main task ; Compilation ; +; Revision Name ; RAM2E ; ++-------------------+---------------------+ + + ++-----------------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++--------------------------------------------+---------------------------------+---------------+-------------+----------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++--------------------------------------------+---------------------------------+---------------+-------------+----------------+ +; ALM_REGISTER_PACKING_EFFORT ; High ; Medium ; -- ; -- ; +; AUTO_LCELL_INSERTION ; Off ; On ; -- ; -- ; +; AUTO_PARALLEL_EXPANDERS ; Off ; On ; -- ; -- ; +; AUTO_TURBO_BIT ; Off ; On ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 207120313862967.158191034233144 ; -- ; -- ; -- ; +; ECO_OPTIMIZE_TIMING ; On ; Off ; -- ; -- ; +; ECO_REGENERATE_REPORT ; On ; Off ; -- ; -- ; +; EDA_GENERATE_FUNCTIONAL_NETLIST ; On ; -- ; -- ; eda_simulation ; +; EDA_OUTPUT_DATA_FORMAT ; Verilog Hdl ; -- ; -- ; eda_simulation ; +; EDA_SIMULATION_TOOL ; ModelSim-Altera (Verilog) ; ; -- ; -- ; +; EDA_TIME_SCALE ; 1 ps ; -- ; -- ; eda_simulation ; +; FITTER_EFFORT ; Standard Fit ; Auto Fit ; -- ; -- ; +; MAX7000_IGNORE_LCELL_BUFFERS ; Off ; Auto ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; OPTIMIZE_HOLD_TIMING ; Off ; -- ; -- ; -- ; +; OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING ; Pack All IO Registers ; Normal ; -- ; -- ; +; POWER_USE_PVA ; Off ; On ; -- ; -- ; +; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; +; SLOW_SLEW_RATE ; On ; Off ; -- ; -- ; +; SYNTH_MESSAGE_LEVEL ; High ; Medium ; -- ; -- ; +; SYNTH_TIMING_DRIVEN_SYNTHESIS ; Off ; -- ; -- ; -- ; ++--------------------------------------------+---------------------------------+---------------+-------------+----------------+ + + ++-------------------------------------------------------------------------------------------------------------------------------+ +; Flow Elapsed Time ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Analysis & Synthesis ; 00:00:01 ; 1.0 ; 4586 MB ; 00:00:01 ; +; Fitter ; 00:00:00 ; 1.0 ; 4697 MB ; 00:00:00 ; +; Assembler ; 00:00:00 ; 1.0 ; 4522 MB ; 00:00:00 ; +; TimeQuest Timing Analyzer ; 00:00:01 ; 1.0 ; 4525 MB ; 00:00:00 ; +; EDA Netlist Writer ; 00:00:00 ; 1.0 ; 4493 MB ; 00:00:00 ; +; Total ; 00:00:02 ; -- ; -- ; 00:00:01 ; ++---------------------------+--------------+-------------------------+---------------------+------------------------------------+ + + ++----------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++---------------------------+------------------+-----------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++---------------------------+------------------+-----------+------------+----------------+ +; Analysis & Synthesis ; DESKTOP-G62HNQS ; Windows 7 ; 6.2 ; x86_64 ; +; Fitter ; DESKTOP-G62HNQS ; Windows 7 ; 6.2 ; x86_64 ; +; Assembler ; DESKTOP-G62HNQS ; Windows 7 ; 6.2 ; x86_64 ; +; TimeQuest Timing Analyzer ; DESKTOP-G62HNQS ; Windows 7 ; 6.2 ; x86_64 ; +; EDA Netlist Writer ; DESKTOP-G62HNQS ; Windows 7 ; 6.2 ; x86_64 ; ++---------------------------+------------------+-----------+------------+----------------+ + + +------------ +; Flow Log ; +------------ +quartus_map --read_settings_files=on --write_settings_files=off RAM2E -c RAM2E +quartus_fit --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E +quartus_asm --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E +quartus_sta RAM2E -c RAM2E +quartus_eda --read_settings_files=off --write_settings_files=off RAM2E -c RAM2E + + + diff --git a/cpld/output_files/RAM2E.jdi b/cpld/output_files/RAM2E.jdi new file mode 100755 index 0000000..bfc3a37 --- /dev/null +++ b/cpld/output_files/RAM2E.jdi @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/cpld/output_files/RAM2E.map.rpt b/cpld/output_files/RAM2E.map.rpt new file mode 100755 index 0000000..ba4dc7d --- /dev/null +++ b/cpld/output_files/RAM2E.map.rpt @@ -0,0 +1,278 @@ +Analysis & Synthesis report for RAM2E +Sun Feb 16 22:32:22 2020 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. Analysis & Synthesis Summary + 3. Analysis & Synthesis Settings + 4. Parallel Compilation + 5. Analysis & Synthesis Source Files Read + 6. Analysis & Synthesis Resource Usage Summary + 7. Analysis & Synthesis Resource Utilization by Entity + 8. Registers Removed During Synthesis + 9. Parameter Settings for Inferred Entity Instance: lpm_counter:Ref_rtl_0 + 10. Analysis & Synthesis Messages + 11. Analysis & Synthesis Suppressed Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 1991-2013 Altera Corporation +Your use of Altera Corporation's design tools, logic functions +and other software and tools, and its AMPP partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Altera Program License +Subscription Agreement, Altera MegaCore Function License +Agreement, or other applicable license agreement, including, +without limitation, that your use is for the sole purpose of +programming logic devices manufactured by Altera and sold by +Altera or its authorized distributors. Please refer to the +applicable agreement for further details. + + + ++-------------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++-----------------------------+-------------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Sun Feb 16 22:32:22 2020 ; +; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Revision Name ; RAM2E ; +; Top-level Entity Name ; RAM2E ; +; Family ; MAX7000S ; +; Total macrocells ; 55 ; +; Total pins ; 64 ; ++-----------------------------+-------------------------------------------------+ + + ++--------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Settings ; ++----------------------------------------------------------------------------+-----------------+---------------+ +; Option ; Setting ; Default Value ; ++----------------------------------------------------------------------------+-----------------+---------------+ +; Device ; EPM7128SLC84-15 ; ; +; Top-level entity name ; RAM2E ; RAM2E ; +; Family name ; MAX7000S ; Cyclone IV GX ; +; Ignore LCELL Buffers ; Off ; Auto ; +; Auto Logic Cell Insertion ; Off ; On ; +; Auto Parallel Expanders ; Off ; On ; +; Analysis & Synthesis Message Level ; High ; Medium ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Create Debugging Nodes for IP Cores ; Off ; Off ; +; Preserve fewer node names ; On ; On ; +; Disable OpenCore Plus hardware evaluation ; Off ; Off ; +; Verilog Version ; Verilog_2001 ; Verilog_2001 ; +; VHDL Version ; VHDL_1993 ; VHDL_1993 ; +; State Machine Processing ; Auto ; Auto ; +; Safe State Machine ; Off ; Off ; +; Extract Verilog State Machines ; On ; On ; +; Extract VHDL State Machines ; On ; On ; +; Ignore Verilog initial constructs ; Off ; Off ; +; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; +; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; +; Add Pass-Through Logic to Inferred RAMs ; On ; On ; +; Infer RAMs from Raw Logic ; On ; On ; +; Parallel Synthesis ; On ; On ; +; NOT Gate Push-Back ; On ; On ; +; Power-Up Don't Care ; On ; On ; +; Remove Duplicate Registers ; On ; On ; +; Ignore CARRY Buffers ; Off ; Off ; +; Ignore CASCADE Buffers ; Off ; Off ; +; Ignore GLOBAL Buffers ; Off ; Off ; +; Ignore ROW GLOBAL Buffers ; Off ; Off ; +; Ignore SOFT Buffers ; Off ; Off ; +; Limit AHDL Integers to 32 Bits ; Off ; Off ; +; Optimization Technique ; Speed ; Speed ; +; Allow XOR Gate Usage ; On ; On ; +; Parallel Expander Chain Length ; 4 ; 4 ; +; Auto Open-Drain Pins ; On ; On ; +; Auto Resource Sharing ; Off ; Off ; +; Maximum Fan-in Per Macrocell ; 100 ; 100 ; +; Use LogicLock Constraints during Resource Balancing ; On ; On ; +; Ignore translate_off and synthesis_off directives ; Off ; Off ; +; Report Parameter Settings ; On ; On ; +; Report Source Assignments ; On ; On ; +; Report Connectivity Checks ; On ; On ; +; HDL message level ; Level2 ; Level2 ; +; Suppress Register Optimization Related Messages ; Off ; Off ; +; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; +; Block Design Naming ; Auto ; Auto ; +; Synthesis Effort ; Auto ; Auto ; +; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; +; Pre-Mapping Resynthesis Optimization ; Off ; Off ; +; Disable Register Merging Across Hierarchies ; Auto ; Auto ; +; Synthesis Seed ; 1 ; 1 ; ++----------------------------------------------------------------------------+-----------------+---------------+ + + +Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. ++-------------------------------------+ +; Parallel Compilation ; ++----------------------------+--------+ +; Processors ; Number ; ++----------------------------+--------+ +; Number detected on machine ; 8 ; +; Maximum allowed ; 1 ; ++----------------------------+--------+ + + ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------+---------------------------------------------------------------------------+---------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; ++----------------------------------+-----------------+------------------------+---------------------------------------------------------------------------+---------+ +; RAM2E.v ; yes ; User Verilog HDL File ; C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v ; ; +; lpm_counter.tdf ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_counter.tdf ; ; +; lpm_constant.inc ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_constant.inc ; ; +; lpm_decode.inc ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_decode.inc ; ; +; lpm_add_sub.inc ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.inc ; ; +; cmpconst.inc ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/cmpconst.inc ; ; +; lpm_compare.inc ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_compare.inc ; ; +; lpm_counter.inc ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_counter.inc ; ; +; dffeea.inc ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/dffeea.inc ; ; +; alt_counter_stratix.inc ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/alt_counter_stratix.inc ; ; +; aglobal130.inc ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/aglobal130.inc ; ; ++----------------------------------+-----------------+------------------------+---------------------------------------------------------------------------+---------+ + + ++---------------------------------------------+ +; Analysis & Synthesis Resource Usage Summary ; ++----------------------+----------------------+ +; Resource ; Usage ; ++----------------------+----------------------+ +; Logic cells ; 55 ; +; Total registers ; 39 ; +; I/O pins ; 64 ; +; Shareable expanders ; 4 ; +; Maximum fan-out node ; S[3] ; +; Maximum fan-out ; 39 ; +; Total fan-out ; 350 ; +; Average fan-out ; 2.85 ; ++----------------------+----------------------+ + + ++----------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++----------------------------+------------+------+------------------------------+--------------+ +; Compilation Hierarchy Node ; Macrocells ; Pins ; Full Hierarchy Name ; Library Name ; ++----------------------------+------------+------+------------------------------+--------------+ +; |RAM2E ; 55 ; 64 ; |RAM2E ; work ; +; |lpm_counter:Ref_rtl_0| ; 4 ; 0 ; |RAM2E|lpm_counter:Ref_rtl_0 ; work ; ++----------------------------+------------+------+------------------------------+--------------+ + + ++--------------------------------------------------------------------------------+ +; Registers Removed During Synthesis ; ++---------------------------------------+----------------------------------------+ +; Register name ; Reason for Removal ; ++---------------------------------------+----------------------------------------+ +; RA[11]~reg0 ; Stuck at GND due to stuck port data_in ; +; Total Number of Removed Registers = 1 ; ; ++---------------------------------------+----------------------------------------+ + + ++------------------------------------------------------------------------+ +; Parameter Settings for Inferred Entity Instance: lpm_counter:Ref_rtl_0 ; ++------------------------+-------------------+---------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------+-------------------+---------------------------+ +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; LPM_WIDTH ; 4 ; Untyped ; +; LPM_DIRECTION ; UP ; Untyped ; +; LPM_MODULUS ; 0 ; Untyped ; +; LPM_AVALUE ; UNUSED ; Untyped ; +; LPM_SVALUE ; UNUSED ; Untyped ; +; LPM_PORT_UPDOWN ; PORT_CONNECTIVITY ; Untyped ; +; DEVICE_FAMILY ; MAX7000S ; Untyped ; +; CARRY_CHAIN ; MANUAL ; Untyped ; +; CARRY_CHAIN_LENGTH ; 48 ; CARRY_CHAIN_LENGTH ; +; NOT_GATE_PUSH_BACK ; ON ; NOT_GATE_PUSH_BACK ; +; CARRY_CNT_EN ; SMART ; Untyped ; +; LABWIDE_SCLR ; ON ; Untyped ; +; USE_NEW_VERSION ; TRUE ; Untyped ; +; CBXI_PARAMETER ; NOTHING ; Untyped ; ++------------------------+-------------------+---------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + ++-------------------------------+ +; Analysis & Synthesis Messages ; ++-------------------------------+ +Info: ******************************************************************* +Info: Running Quartus II 64-Bit Analysis & Synthesis + Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition + Info: Processing started: Sun Feb 16 22:32:21 2020 +Info: Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2E -c RAM2E +Warning (20028): Parallel compilation is not licensed and has been disabled +Info (12021): Found 1 design units, including 1 entities, in source file ram2e.v + Info (12023): Found entity 1: RAM2E +Info (12127): Elaborating entity "RAM2E" for the top level hierarchy +Warning (10230): Verilog HDL assignment warning at RAM2E.v(60): truncated value with size 32 to match size of target (4) +Warning (10230): Verilog HDL assignment warning at RAM2E.v(65): truncated value with size 32 to match size of target (4) +Warning (14130): Reduced register "RA[11]~reg0" with stuck data_in port to stuck value GND +Info (19000): Inferred 1 megafunctions from design logic + Info (19001): Inferred lpm_counter megafunction (LPM_WIDTH=4) from the following logic: "Ref_rtl_0" +Info (12130): Elaborated megafunction instantiation "lpm_counter:Ref_rtl_0" +Info (12133): Instantiated megafunction "lpm_counter:Ref_rtl_0" with the following parameter: + Info (12134): Parameter "LPM_WIDTH" = "4" + Info (12134): Parameter "LPM_DIRECTION" = "UP" + Info (12134): Parameter "LPM_TYPE" = "LPM_COUNTER" +Warning (13024): Output pins are stuck at VCC or GND + Warning (13410): Pin "RA[11]" is stuck at GND + Warning (13410): Pin "DelayOut[0]" is stuck at GND + Warning (13410): Pin "DelayOut[3]" is stuck at GND +Info (280013): Promoted pin-driven signal(s) to global signal + Info (280014): Promoted clock signal driven by pin "C14M" to global clock signal +Warning (21074): Design contains 18 input pin(s) that do not drive logic + Warning (15610): No output dependent on input pin "C14M_2" + Warning (15610): No output dependent on input pin "C7M" + Warning (15610): No output dependent on input pin "Q3" + Warning (15610): No output dependent on input pin "PHI0" + Warning (15610): No output dependent on input pin "nPRAS" + Warning (15610): No output dependent on input pin "nPCAS" + Warning (15610): No output dependent on input pin "MA[1]" + Warning (15610): No output dependent on input pin "MA[2]" + Warning (15610): No output dependent on input pin "MA[4]" + Warning (15610): No output dependent on input pin "MA[5]" + Warning (15610): No output dependent on input pin "MA[6]" + Warning (15610): No output dependent on input pin "MA[7]" + Warning (15610): No output dependent on input pin "Q3_2" + Warning (15610): No output dependent on input pin "C3M58" + Warning (15610): No output dependent on input pin "AN3" + Warning (15610): No output dependent on input pin "nCASEN" + Warning (15610): No output dependent on input pin "DelayIn[0]" + Warning (15610): No output dependent on input pin "DelayIn[3]" +Info (21057): Implemented 123 device resources after synthesis - the final resource count might be different + Info (21058): Implemented 28 input pins + Info (21059): Implemented 12 output pins + Info (21060): Implemented 24 bidirectional pins + Info (21063): Implemented 55 macrocells + Info (21073): Implemented 4 shareable expanders +Info (144001): Generated suppressed messages file C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.map.smsg +Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 27 warnings + Info: Peak virtual memory: 4586 megabytes + Info: Processing ended: Sun Feb 16 22:32:22 2020 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 + + ++------------------------------------------+ +; Analysis & Synthesis Suppressed Messages ; ++------------------------------------------+ +The suppressed messages can be found in C:/Users/Zane/Documents/GitHub/RAM2E/cpld/output_files/RAM2E.map.smsg. + + diff --git a/cpld/output_files/RAM2E.map.smsg b/cpld/output_files/RAM2E.map.smsg new file mode 100755 index 0000000..5a44da8 --- /dev/null +++ b/cpld/output_files/RAM2E.map.smsg @@ -0,0 +1,3 @@ +Warning (10273): Verilog HDL warning at RAM2E.v(39): extended using "x" or "z" +Warning (10273): Verilog HDL warning at RAM2E.v(44): extended using "x" or "z" +Warning (10273): Verilog HDL warning at RAM2E.v(49): extended using "x" or "z" diff --git a/cpld/output_files/RAM2E.map.summary b/cpld/output_files/RAM2E.map.summary new file mode 100755 index 0000000..5343c42 --- /dev/null +++ b/cpld/output_files/RAM2E.map.summary @@ -0,0 +1,7 @@ +Analysis & Synthesis Status : Successful - Sun Feb 16 22:32:22 2020 +Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition +Revision Name : RAM2E +Top-level Entity Name : RAM2E +Family : MAX7000S +Total macrocells : 55 +Total pins : 64 diff --git a/cpld/output_files/RAM2E.pin b/cpld/output_files/RAM2E.pin new file mode 100755 index 0000000..bd990cf --- /dev/null +++ b/cpld/output_files/RAM2E.pin @@ -0,0 +1,147 @@ + -- Copyright (C) 1991-2013 Altera Corporation + -- Your use of Altera Corporation's design tools, logic functions + -- and other software and tools, and its AMPP partner logic + -- functions, and any output files from any of the foregoing + -- (including device programming or simulation files), and any + -- associated documentation or information are expressly subject + -- to the terms and conditions of the Altera Program License + -- Subscription Agreement, Altera MegaCore Function License + -- Agreement, or other applicable license agreement, including, + -- without limitation, that your use is for the sole purpose of + -- programming logic devices manufactured by Altera and sold by + -- Altera or its authorized distributors. Please refer to the + -- applicable agreement for further details. + -- + -- This is a Quartus II output file. It is for reporting purposes only, and is + -- not intended for use as a Quartus II input file. This file cannot be used + -- to make Quartus II pin assignments - for instructions on how to make pin + -- assignments, please see Quartus II help. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- NC : No Connect. This pin has no internal connection to the device. + -- DNU : Do Not Use. This pin MUST NOT be connected. + -- VCC : Dedicated power pin, which MUST be connected to VCC. + -- VCCIO : Dedicated power pin, which MUST be connected to VCC + -- of its bank. + -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. + -- It can also be used to report unused dedicated pins. The connection + -- on the board for unused dedicated pins depends on whether this will + -- be used in a future design. One example is device migration. When + -- using device migration, refer to the device pin-tables. If it is a + -- GND pin in the pin table or if it will not be used in a future design + -- for another purpose the it MUST be connected to GND. If it is an unused + -- dedicated pin, then it can be connected to a valid signal on the board + -- (low, high, or toggling) if that signal is required for a different + -- revision of the design. + -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. + -- This pin should be connected to GND. It may also be connected to a + -- valid signal on the board (low, high, or toggling) if that signal + -- is required for a different revision of the design. + -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND + -- or leave it unconnected. + -- RESERVED : Unused I/O pin, which MUST be left unconnected. + -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. + -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. + -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. + -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. + -- NON_MIGRATABLE: This pin cannot be migrated. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- Pin directions (input, output or bidir) are based on device operating in user mode. + --------------------------------------------------------------------------------- + +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +CHIP "RAM2E" ASSIGNED TO AN: EPM7128SLC84-15 + +Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment +------------------------------------------------------------------------------------------------------------- +Q3_2 : 1 : input : TTL : : : Y +Q3 : 2 : input : TTL : : : Y +VCCINT : 3 : power : : 5.0V : : +AN3 : 4 : input : TTL : : : Y +nWE : 5 : input : TTL : : : Y +nC07X : 6 : input : TTL : : : Y +GND : 7 : gnd : : : : +nPRAS : 8 : input : TTL : : : Y +C7M : 9 : input : TTL : : : Y +C3M58 : 10 : input : TTL : : : Y +DelayIn[0] : 11 : input : TTL : : : Y +DelayOut[0] : 12 : output : TTL : : : Y +VCCIO : 13 : power : : 5.0V : : +TDI : 14 : input : TTL : : : N +DelayIn[1] : 15 : input : TTL : : : Y +DelayOut[1] : 16 : output : TTL : : : Y +DelayIn[2] : 17 : input : TTL : : : Y +DelayOut[2] : 18 : output : TTL : : : Y +GND : 19 : gnd : : : : +DelayIn[3] : 20 : input : TTL : : : Y +DelayOut[3] : 21 : output : TTL : : : Y +C073SEL : 22 : output : TTL : : : Y +TMS : 23 : input : TTL : : : N +RA[10] : 24 : output : TTL : : : Y +RA[11] : 25 : output : TTL : : : Y +VCCIO : 26 : power : : 5.0V : : +nCAS : 27 : output : TTL : : : Y +RD[4] : 28 : bidir : TTL : : : Y +RD[5] : 29 : bidir : TTL : : : Y +RD[6] : 30 : bidir : TTL : : : Y +RD[7] : 31 : bidir : TTL : : : Y +GND : 32 : gnd : : : : +RD[0] : 33 : bidir : TTL : : : Y +RD[1] : 34 : bidir : TTL : : : Y +RD[2] : 35 : bidir : TTL : : : Y +RD[3] : 36 : bidir : TTL : : : Y +nRWE : 37 : output : TTL : : : Y +VCCIO : 38 : power : : 5.0V : : +nRAS : 39 : output : TTL : : : Y +RA[9] : 40 : output : TTL : : : Y +RA[8] : 41 : output : TTL : : : Y +GND : 42 : gnd : : : : +VCCINT : 43 : power : : 5.0V : : +MA[7] : 44 : input : TTL : : : Y +MA[0] : 45 : input : TTL : : : Y +MA[1] : 46 : input : TTL : : : Y +GND : 47 : gnd : : : : +MA[2] : 48 : input : TTL : : : Y +MA[3] : 49 : input : TTL : : : Y +MA[4] : 50 : input : TTL : : : Y +MA[5] : 51 : input : TTL : : : Y +MA[6] : 52 : input : TTL : : : Y +VCCIO : 53 : power : : 5.0V : : +VD[7] : 54 : bidir : TTL : : : Y +MD[7] : 55 : bidir : TTL : : : Y +VD[0] : 56 : bidir : TTL : : : Y +MD[0] : 57 : bidir : TTL : : : Y +MD[6] : 58 : bidir : TTL : : : Y +GND : 59 : gnd : : : : +VD[6] : 60 : bidir : TTL : : : Y +MD[1] : 61 : bidir : TTL : : : Y +TCK : 62 : input : TTL : : : N +VD[1] : 63 : bidir : TTL : : : Y +VD[5] : 64 : bidir : TTL : : : Y +MD[5] : 65 : bidir : TTL : : : Y +VCCIO : 66 : power : : 5.0V : : +VD[2] : 67 : bidir : TTL : : : Y +MD[2] : 68 : bidir : TTL : : : Y +MD[4] : 69 : bidir : TTL : : : Y +VD[4] : 70 : bidir : TTL : : : Y +TDO : 71 : output : TTL : : : N +GND : 72 : gnd : : : : +MD[3] : 73 : bidir : TTL : : : Y +VD[3] : 74 : bidir : TTL : : : Y +PHI0 : 75 : input : TTL : : : Y +nEN80 : 76 : input : TTL : : : Y +nCASEN : 77 : input : TTL : : : Y +VCCIO : 78 : power : : 5.0V : : +PHI1 : 79 : input : TTL : : : Y +nWE80 : 80 : input : TTL : : : Y +nPCAS : 81 : input : TTL : : : Y +GND : 82 : gnd : : : : +C14M : 83 : input : TTL : : : Y +C14M_2 : 84 : input : TTL : : : Y diff --git a/cpld/output_files/RAM2E.pof b/cpld/output_files/RAM2E.pof new file mode 100755 index 0000000000000000000000000000000000000000..5ca8c149ecf13619459abec155d4592c5eab701b GIT binary patch literal 8022 zcmeHM&u<$=6y6XDM1TaR94evZ#3@bels1P}t%`~i1R^K`4jfEqEM(C-mFpm+DjCFq zzk@RuA0rMhY5o9u<fW zcxAP6eK{=77Q>ly(}m)6ai%aEE|zOwR<4!9g{5m>goSYNo$%xGXW`Z5N*$5GGr=n; za&_Uo^M&Hv;=6Cnot-MY8H@)L(0*90SL)Zx%fTtQkzNhHf6X!&ntu?dIW9_IWM_d! z7=(7jjyiC{JFpkyZ*g9W1?A?ZX&a->I;6INgEAekU1$6+qb|y4rLwA%n)m3|I?=i4-qOWQBBS)7!Pg7Vfke_M5Y7OxbD*8fr<8t5Irj zaMhZakxWqrrG?!ljKgm485Ow$BnBCWX@n4iB$^xvIDPk|i_n~g3row1Fqh1Zeyugx z9?EJ1g~cs_ug1ljM@z`YKor;rSC$f#emH(DGsM;6Wl=6Er9<52Sy$E-*tc=J@Qb{D*2F;+Jm}nU$q^ZJg-`EOdbu$ z+-gq-c~I>cJdXt`d&YG;G?3nE?yHc*kb%#oCP{}w1?kESH|BwK-!&xk;qvBq$JCICJTdHW?#(S+FUX^)Um{A9wz5~;Lo!Z!)eDqCe_r>))5cGpY82g8odt0znw}o4Edt&bOjookW zZ+-IBID{X@-uV65U$#!)9ItLp%w2x|)8M7=C~Qw%xV*6&+_x4cc$|8_aQ?yMy{}KB z&L%90uzu&|SRjH;Ay}Z+trxYYBbvIdi8y&l30n(q3_8iXBmO0?5z7CHL}_TmKaG_W z?OPwKaB|nQG)(U4#-{+@4RrBiT9LIwLlZn4ky(pVJMUIkp&Y^h0=_K<L!GA2`S6`C}JaLvh5)YfU(LMGLoWB>5L2S2oz72SD7HF z6fWF6CoiSd_ggzr)4qr4!f@&C!%ODj1u(`uGT_^qouUZ(31aL3(~fGil-ar1*ul8Q VqrRnow&8cx Location: PIN_83 +// C14M_2 => Location: PIN_84 +// C7M => Location: PIN_9 +// Q3 => Location: PIN_2 +// PHI0 => Location: PIN_75 +// PHI1 => Location: PIN_79 +// nPRAS => Location: PIN_8 +// nPCAS => Location: PIN_81 +// nWE => Location: PIN_5 +// nWE80 => Location: PIN_80 +// nEN80 => Location: PIN_76 +// nC07X => Location: PIN_6 +// MA[0] => Location: PIN_45 +// MA[1] => Location: PIN_46 +// MA[2] => Location: PIN_48 +// MA[3] => Location: PIN_49 +// MA[4] => Location: PIN_50 +// MA[5] => Location: PIN_51 +// MA[6] => Location: PIN_52 +// MA[7] => Location: PIN_44 +// Q3_2 => Location: PIN_1 +// C3M58 => Location: PIN_10 +// AN3 => Location: PIN_4 +// nCASEN => Location: PIN_77 +// DelayIn[0] => Location: PIN_11 +// DelayIn[1] => Location: PIN_15 +// DelayIn[2] => Location: PIN_17 +// DelayIn[3] => Location: PIN_20 +// MD[0] => Location: PIN_57 +// MD[1] => Location: PIN_61 +// MD[2] => Location: PIN_68 +// MD[3] => Location: PIN_73 +// MD[4] => Location: PIN_69 +// MD[5] => Location: PIN_65 +// MD[6] => Location: PIN_58 +// MD[7] => Location: PIN_55 +// RD[0] => Location: PIN_33 +// RD[1] => Location: PIN_34 +// RD[2] => Location: PIN_35 +// RD[3] => Location: PIN_36 +// RD[4] => Location: PIN_28 +// RD[5] => Location: PIN_29 +// RD[6] => Location: PIN_30 +// RD[7] => Location: PIN_31 +// RA[11] => Location: PIN_25 +// DelayOut[0] => Location: PIN_12 +// DelayOut[3] => Location: PIN_21 +// nRWE => Location: PIN_37 +// DelayOut[1] => Location: PIN_16 +// DelayOut[2] => Location: PIN_18 +// VD[0] => Location: PIN_56 +// VD[1] => Location: PIN_63 +// VD[2] => Location: PIN_67 +// VD[3] => Location: PIN_74 +// VD[4] => Location: PIN_70 +// VD[5] => Location: PIN_64 +// VD[6] => Location: PIN_60 +// VD[7] => Location: PIN_54 +// C073SEL => Location: PIN_22 +// RA[10] => Location: PIN_24 +// RA[8] => Location: PIN_41 +// RA[9] => Location: PIN_40 +// nRAS => Location: PIN_39 +// nCAS => Location: PIN_27 + + +wire gnd; +wire vcc; +wire unknown; + +assign gnd = 1'b0; +assign vcc = 1'b1; +assign unknown = 1'bx; + +wire \MD[0]~0 ; +wire \MD[1]~1 ; +wire \MD[2]~2 ; +wire \MD[3]~3 ; +wire \MD[4]~4 ; +wire \MD[5]~5 ; +wire \MD[6]~6 ; +wire \MD[7]~7 ; +wire \RD[0]~0 ; +wire \RD[1]~1 ; +wire \RD[2]~2 ; +wire \RD[3]~3 ; +wire \RD[4]~4 ; +wire \RD[5]~5 ; +wire \RD[6]~6 ; +wire \RD[7]~7 ; +wire \C14M~dataout ; +wire \PHI1~dataout ; +wire \PHI0seen~dataout ; +wire \PHI1reg~dataout ; +wire \MDBEN~dataout ; +wire \nWE~dataout ; +wire \MDOE~1_dataout ; +wire \MD[0]~24_dataout ; +wire \RDOE~1_dataout ; +wire \MD[1]~26_dataout ; +wire \MD[2]~28_dataout ; +wire \MD[3]~30_dataout ; +wire \MD[4]~32_dataout ; +wire \MD[5]~34_dataout ; +wire \MD[6]~36_dataout ; +wire \MD[7]~38_dataout ; +wire \~GND~0~dataout ; +wire \~GND~1~dataout ; +wire \~GND~2~dataout ; +wire \nWE80~dataout ; +wire \nWE80~1_dataout ; +wire \nEN80~dataout ; +wire \nEN80~1_dataout ; +wire \DelayIn[1]~1_dataout ; +wire \C073SEL~7_dataout ; +wire \C073SEL~8_dataout ; +wire \nC07X~dataout ; +wire \C073SEL~9_dataout ; +wire \C073SEL~reg0_dataout ; +wire \RA[10]~reg0_dataout ; +wire \RA[8]~reg0_dataout ; +wire \RA[9]~reg0_dataout ; +wire \nRAS~8_dataout ; +wire \nRAS~reg0_dataout ; +wire \nCAS~reg0_dataout ; +wire [3:0] \Ref_rtl_0|dffs ; +wire [7:0] VDR; +wire [3:0] S; +wire [7:0] MDR; +wire [7:0] \MA~dataout ; +wire [3:0] \DelayIn~dataout ; +wire [5:0] BA; + + +// Location: PIN_45 +max_io \MA[0]~I ( + .datain(gnd), + .oe(gnd), + .dataout(\MA~dataout [0]), + .padio(MA[0])); +// synopsys translate_off +defparam \MA[0]~I .bus_hold = "false"; +defparam \MA[0]~I .open_drain_output = "false"; +defparam \MA[0]~I .operation_mode = "input"; +defparam \MA[0]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_57 +max_io \MD[0]~I ( + .datain(MDR[0]), + .oe(\MDOE~1_dataout ), + .dataout(\MD[0]~0 ), + .padio(MD[0])); +// synopsys translate_off +defparam \MD[0]~I .bus_hold = "false"; +defparam \MD[0]~I .open_drain_output = "false"; +defparam \MD[0]~I .operation_mode = "bidir"; +defparam \MD[0]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_61 +max_io \MD[1]~I ( + .datain(MDR[1]), + .oe(\MDOE~1_dataout ), + .dataout(\MD[1]~1 ), + .padio(MD[1])); +// synopsys translate_off +defparam \MD[1]~I .bus_hold = "false"; +defparam \MD[1]~I .open_drain_output = "false"; +defparam \MD[1]~I .operation_mode = "bidir"; +defparam \MD[1]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_68 +max_io \MD[2]~I ( + .datain(MDR[2]), + .oe(\MDOE~1_dataout ), + .dataout(\MD[2]~2 ), + .padio(MD[2])); +// synopsys translate_off +defparam \MD[2]~I .bus_hold = "false"; +defparam \MD[2]~I .open_drain_output = "false"; +defparam \MD[2]~I .operation_mode = "bidir"; +defparam \MD[2]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_73 +max_io \MD[3]~I ( + .datain(MDR[3]), + .oe(\MDOE~1_dataout ), + .dataout(\MD[3]~3 ), + .padio(MD[3])); +// synopsys translate_off +defparam \MD[3]~I .bus_hold = "false"; +defparam \MD[3]~I .open_drain_output = "false"; +defparam \MD[3]~I .operation_mode = "bidir"; +defparam \MD[3]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_69 +max_io \MD[4]~I ( + .datain(MDR[4]), + .oe(\MDOE~1_dataout ), + .dataout(\MD[4]~4 ), + .padio(MD[4])); +// synopsys translate_off +defparam \MD[4]~I .bus_hold = "false"; +defparam \MD[4]~I .open_drain_output = "false"; +defparam \MD[4]~I .operation_mode = "bidir"; +defparam \MD[4]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_65 +max_io \MD[5]~I ( + .datain(MDR[5]), + .oe(\MDOE~1_dataout ), + .dataout(\MD[5]~5 ), + .padio(MD[5])); +// synopsys translate_off +defparam \MD[5]~I .bus_hold = "false"; +defparam \MD[5]~I .open_drain_output = "false"; +defparam \MD[5]~I .operation_mode = "bidir"; +defparam \MD[5]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_58 +max_io \MD[6]~I ( + .datain(MDR[6]), + .oe(\MDOE~1_dataout ), + .dataout(\MD[6]~6 ), + .padio(MD[6])); +// synopsys translate_off +defparam \MD[6]~I .bus_hold = "false"; +defparam \MD[6]~I .open_drain_output = "false"; +defparam \MD[6]~I .operation_mode = "bidir"; +defparam \MD[6]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_55 +max_io \MD[7]~I ( + .datain(MDR[7]), + .oe(\MDOE~1_dataout ), + .dataout(\MD[7]~7 ), + .padio(MD[7])); +// synopsys translate_off +defparam \MD[7]~I .bus_hold = "false"; +defparam \MD[7]~I .open_drain_output = "false"; +defparam \MD[7]~I .operation_mode = "bidir"; +defparam \MD[7]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_33 +max_io \RD[0]~I ( + .datain(\MD[0]~24_dataout ), + .oe(\RDOE~1_dataout ), + .dataout(\RD[0]~0 ), + .padio(RD[0])); +// synopsys translate_off +defparam \RD[0]~I .bus_hold = "false"; +defparam \RD[0]~I .open_drain_output = "false"; +defparam \RD[0]~I .operation_mode = "bidir"; +defparam \RD[0]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_34 +max_io \RD[1]~I ( + .datain(\MD[1]~26_dataout ), + .oe(\RDOE~1_dataout ), + .dataout(\RD[1]~1 ), + .padio(RD[1])); +// synopsys translate_off +defparam \RD[1]~I .bus_hold = "false"; +defparam \RD[1]~I .open_drain_output = "false"; +defparam \RD[1]~I .operation_mode = "bidir"; +defparam \RD[1]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_35 +max_io \RD[2]~I ( + .datain(\MD[2]~28_dataout ), + .oe(\RDOE~1_dataout ), + .dataout(\RD[2]~2 ), + .padio(RD[2])); +// synopsys translate_off +defparam \RD[2]~I .bus_hold = "false"; +defparam \RD[2]~I .open_drain_output = "false"; +defparam \RD[2]~I .operation_mode = "bidir"; +defparam \RD[2]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_36 +max_io \RD[3]~I ( + .datain(\MD[3]~30_dataout ), + .oe(\RDOE~1_dataout ), + .dataout(\RD[3]~3 ), + .padio(RD[3])); +// synopsys translate_off +defparam \RD[3]~I .bus_hold = "false"; +defparam \RD[3]~I .open_drain_output = "false"; +defparam \RD[3]~I .operation_mode = "bidir"; +defparam \RD[3]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_28 +max_io \RD[4]~I ( + .datain(\MD[4]~32_dataout ), + .oe(\RDOE~1_dataout ), + .dataout(\RD[4]~4 ), + .padio(RD[4])); +// synopsys translate_off +defparam \RD[4]~I .bus_hold = "false"; +defparam \RD[4]~I .open_drain_output = "false"; +defparam \RD[4]~I .operation_mode = "bidir"; +defparam \RD[4]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_29 +max_io \RD[5]~I ( + .datain(\MD[5]~34_dataout ), + .oe(\RDOE~1_dataout ), + .dataout(\RD[5]~5 ), + .padio(RD[5])); +// synopsys translate_off +defparam \RD[5]~I .bus_hold = "false"; +defparam \RD[5]~I .open_drain_output = "false"; +defparam \RD[5]~I .operation_mode = "bidir"; +defparam \RD[5]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_30 +max_io \RD[6]~I ( + .datain(\MD[6]~36_dataout ), + .oe(\RDOE~1_dataout ), + .dataout(\RD[6]~6 ), + .padio(RD[6])); +// synopsys translate_off +defparam \RD[6]~I .bus_hold = "false"; +defparam \RD[6]~I .open_drain_output = "false"; +defparam \RD[6]~I .operation_mode = "bidir"; +defparam \RD[6]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_31 +max_io \RD[7]~I ( + .datain(\MD[7]~38_dataout ), + .oe(\RDOE~1_dataout ), + .dataout(\RD[7]~7 ), + .padio(RD[7])); +// synopsys translate_off +defparam \RD[7]~I .bus_hold = "false"; +defparam \RD[7]~I .open_drain_output = "false"; +defparam \RD[7]~I .operation_mode = "bidir"; +defparam \RD[7]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_83 +max_io \C14M~I ( + .datain(gnd), + .oe(gnd), + .dataout(\C14M~dataout ), + .padio(C14M)); +// synopsys translate_off +defparam \C14M~I .bus_hold = "false"; +defparam \C14M~I .open_drain_output = "false"; +defparam \C14M~I .operation_mode = "input"; +defparam \C14M~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_79 +max_io \PHI1~I ( + .datain(gnd), + .oe(gnd), + .dataout(\PHI1~dataout ), + .padio(PHI1)); +// synopsys translate_off +defparam \PHI1~I .bus_hold = "false"; +defparam \PHI1~I .open_drain_output = "false"; +defparam \PHI1~I .operation_mode = "input"; +defparam \PHI1~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: LC48 +max_mcell PHI0seen( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!\PHI1~dataout }), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\PHI0seen~dataout ), + .pexpout()); +// synopsys translate_off +defparam PHI0seen.operation_mode = "normal"; +defparam PHI0seen.output_mode = "reg"; +defparam PHI0seen.pexp_mode = "off"; +defparam PHI0seen.power_up = "low"; +defparam PHI0seen.register_mode = "dff"; +// synopsys translate_on + +// Location: LC47 +max_mcell PHI1reg( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\PHI1~dataout }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\PHI1reg~dataout ), + .pexpout()); +// synopsys translate_off +defparam PHI1reg.operation_mode = "normal"; +defparam PHI1reg.output_mode = "reg"; +defparam PHI1reg.pexp_mode = "off"; +defparam PHI1reg.power_up = "low"; +defparam PHI1reg.register_mode = "dff"; +// synopsys translate_on + +// Location: LC50 +max_mcell \S[2] ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\PHI1~dataout ,!\PHI1reg~dataout ,\PHI0seen~dataout }), + .pterm2({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,S[1],S[2],!S[3],S[0]}), + .pterm3({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!S[2],!S[0]}), + .pterm4({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!S[1],!S[2]}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(S[2]), + .pexpout()); +// synopsys translate_off +defparam \S[2] .operation_mode = "invert"; +defparam \S[2] .output_mode = "reg"; +defparam \S[2] .pexp_mode = "off"; +defparam \S[2] .power_up = "low"; +defparam \S[2] .register_mode = "dff"; +// synopsys translate_on + +// Location: LC60 +max_mcell \S[3] ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\PHI1~dataout ,!\PHI1reg~dataout ,\PHI0seen~dataout }), + .pterm2({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!S[3],!S[2]}), + .pterm3({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!S[0],!S[3]}), + .pterm4({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!S[1],!S[3]}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(S[3]), + .pexpout()); +// synopsys translate_off +defparam \S[3] .operation_mode = "invert"; +defparam \S[3] .output_mode = "reg"; +defparam \S[3] .pexp_mode = "off"; +defparam \S[3] .power_up = "low"; +defparam \S[3] .register_mode = "dff"; +// synopsys translate_on + +// Location: LC58 +max_mcell \S[1] ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\PHI1~dataout ,!\PHI1reg~dataout ,\PHI0seen~dataout }), + .pterm2({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,S[1],S[0],!S[3]}), + .pterm3({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!S[2],S[1],S[0]}), + .pterm4({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!S[1],!S[0]}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(S[1]), + .pexpout()); +// synopsys translate_off +defparam \S[1] .operation_mode = "invert"; +defparam \S[1] .output_mode = "reg"; +defparam \S[1] .pexp_mode = "off"; +defparam \S[1] .power_up = "low"; +defparam \S[1] .register_mode = "dff"; +// synopsys translate_on + +// Location: LC52 +max_mcell \S[0] ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!S[0],S[3]}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\PHI1~dataout ,!\PHI1reg~dataout ,\PHI0seen~dataout }), + .pterm2({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,S[3],S[2],S[1]}), + .pterm3({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!S[0],S[1]}), + .pterm4({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!S[0],S[2]}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(S[0]), + .pexpout()); +// synopsys translate_off +defparam \S[0] .operation_mode = "normal"; +defparam \S[0] .output_mode = "reg"; +defparam \S[0] .pexp_mode = "off"; +defparam \S[0] .power_up = "low"; +defparam \S[0] .register_mode = "dff"; +// synopsys translate_on + +// Location: LC88 +max_mcell \MDR[0] ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\RD[0]~0 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,S[3],!S[2],S[1],S[0]}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(MDR[0]), + .pexpout()); +// synopsys translate_off +defparam \MDR[0] .operation_mode = "normal"; +defparam \MDR[0] .output_mode = "reg"; +defparam \MDR[0] .pexp_mode = "off"; +defparam \MDR[0] .power_up = "low"; +defparam \MDR[0] .register_mode = "dff"; +// synopsys translate_on + +// Location: LC44 +max_mcell MDBEN( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,S[2],S[3]}), + .pterm2({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,S[1],S[0],S[3]}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\MDBEN~dataout ), + .pexpout()); +// synopsys translate_off +defparam MDBEN.operation_mode = "normal"; +defparam MDBEN.output_mode = "reg"; +defparam MDBEN.pexp_mode = "off"; +defparam MDBEN.power_up = "low"; +defparam MDBEN.register_mode = "dff"; +// synopsys translate_on + +// Location: PIN_5 +max_io \nWE~I ( + .datain(gnd), + .oe(gnd), + .dataout(\nWE~dataout ), + .padio(nWE)); +// synopsys translate_off +defparam \nWE~I .bus_hold = "false"; +defparam \nWE~I .open_drain_output = "false"; +defparam \nWE~I .operation_mode = "input"; +defparam \nWE~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_17 +max_io \DelayIn[2]~I ( + .datain(gnd), + .oe(gnd), + .dataout(\DelayIn~dataout [2]), + .padio(DelayIn[2])); +// synopsys translate_off +defparam \DelayIn[2]~I .bus_hold = "false"; +defparam \DelayIn[2]~I .open_drain_output = "false"; +defparam \DelayIn[2]~I .operation_mode = "input"; +defparam \DelayIn[2]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: LC32 +max_mcell \MDOE~1 ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\DelayIn~dataout [2],\nWE~dataout ,\MDBEN~dataout }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\MDOE~1_dataout ), + .pexpout()); +// synopsys translate_off +defparam \MDOE~1 .operation_mode = "normal"; +defparam \MDOE~1 .output_mode = "comb"; +defparam \MDOE~1 .pexp_mode = "off"; +// synopsys translate_on + +// Location: LC94 +max_mcell \MDR[1] ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\RD[1]~1 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,S[3],!S[2],S[1],S[0]}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(MDR[1]), + .pexpout()); +// synopsys translate_off +defparam \MDR[1] .operation_mode = "normal"; +defparam \MDR[1] .output_mode = "reg"; +defparam \MDR[1] .pexp_mode = "off"; +defparam \MDR[1] .power_up = "low"; +defparam \MDR[1] .register_mode = "dff"; +// synopsys translate_on + +// Location: LC105 +max_mcell \MDR[2] ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\RD[2]~2 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,S[3],!S[2],S[1],S[0]}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(MDR[2]), + .pexpout()); +// synopsys translate_off +defparam \MDR[2] .operation_mode = "normal"; +defparam \MDR[2] .output_mode = "reg"; +defparam \MDR[2] .pexp_mode = "off"; +defparam \MDR[2] .power_up = "low"; +defparam \MDR[2] .register_mode = "dff"; +// synopsys translate_on + +// Location: LC115 +max_mcell \MDR[3] ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\RD[3]~3 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,S[3],!S[2],S[1],S[0]}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(MDR[3]), + .pexpout()); +// synopsys translate_off +defparam \MDR[3] .operation_mode = "normal"; +defparam \MDR[3] .output_mode = "reg"; +defparam \MDR[3] .pexp_mode = "off"; +defparam \MDR[3] .power_up = "low"; +defparam \MDR[3] .register_mode = "dff"; +// synopsys translate_on + +// Location: LC107 +max_mcell \MDR[4] ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\RD[4]~4 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,S[3],!S[2],S[1],S[0]}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(MDR[4]), + .pexpout()); +// synopsys translate_off +defparam \MDR[4] .operation_mode = "normal"; +defparam \MDR[4] .output_mode = "reg"; +defparam \MDR[4] .pexp_mode = "off"; +defparam \MDR[4] .power_up = "low"; +defparam \MDR[4] .register_mode = "dff"; +// synopsys translate_on + +// Location: LC101 +max_mcell \MDR[5] ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\RD[5]~5 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,S[3],!S[2],S[1],S[0]}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(MDR[5]), + .pexpout()); +// synopsys translate_off +defparam \MDR[5] .operation_mode = "normal"; +defparam \MDR[5] .output_mode = "reg"; +defparam \MDR[5] .pexp_mode = "off"; +defparam \MDR[5] .power_up = "low"; +defparam \MDR[5] .register_mode = "dff"; +// synopsys translate_on + +// Location: LC91 +max_mcell \MDR[6] ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\RD[6]~6 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,S[3],!S[2],S[1],S[0]}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(MDR[6]), + .pexpout()); +// synopsys translate_off +defparam \MDR[6] .operation_mode = "normal"; +defparam \MDR[6] .output_mode = "reg"; +defparam \MDR[6] .pexp_mode = "off"; +defparam \MDR[6] .power_up = "low"; +defparam \MDR[6] .register_mode = "dff"; +// synopsys translate_on + +// Location: LC85 +max_mcell \MDR[7] ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\RD[7]~7 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,S[3],!S[2],S[1],S[0]}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(MDR[7]), + .pexpout()); +// synopsys translate_off +defparam \MDR[7] .operation_mode = "normal"; +defparam \MDR[7] .output_mode = "reg"; +defparam \MDR[7] .pexp_mode = "off"; +defparam \MDR[7] .power_up = "low"; +defparam \MDR[7] .register_mode = "dff"; +// synopsys translate_on + +// Location: LC64 +max_mcell \MD[0]~24 ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\MD[0]~0 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\MD[0]~24_dataout ), + .pexpout()); +// synopsys translate_off +defparam \MD[0]~24 .operation_mode = "normal"; +defparam \MD[0]~24 .output_mode = "comb"; +defparam \MD[0]~24 .pexp_mode = "off"; +// synopsys translate_on + +// Location: LC21 +max_mcell \RDOE~1 ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\DelayIn~dataout [2],!\nWE~dataout }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\RDOE~1_dataout ), + .pexpout()); +// synopsys translate_off +defparam \RDOE~1 .operation_mode = "normal"; +defparam \RDOE~1 .output_mode = "comb"; +defparam \RDOE~1 .pexp_mode = "off"; +// synopsys translate_on + +// Location: LC61 +max_mcell \MD[1]~26 ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\MD[1]~1 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\MD[1]~26_dataout ), + .pexpout()); +// synopsys translate_off +defparam \MD[1]~26 .operation_mode = "normal"; +defparam \MD[1]~26 .output_mode = "comb"; +defparam \MD[1]~26 .pexp_mode = "off"; +// synopsys translate_on + +// Location: LC59 +max_mcell \MD[2]~28 ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\MD[2]~2 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\MD[2]~28_dataout ), + .pexpout()); +// synopsys translate_off +defparam \MD[2]~28 .operation_mode = "normal"; +defparam \MD[2]~28 .output_mode = "comb"; +defparam \MD[2]~28 .pexp_mode = "off"; +// synopsys translate_on + +// Location: LC57 +max_mcell \MD[3]~30 ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\MD[3]~3 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\MD[3]~30_dataout ), + .pexpout()); +// synopsys translate_off +defparam \MD[3]~30 .operation_mode = "normal"; +defparam \MD[3]~30 .output_mode = "comb"; +defparam \MD[3]~30 .pexp_mode = "off"; +// synopsys translate_on + +// Location: LC40 +max_mcell \MD[4]~32 ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\MD[4]~4 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\MD[4]~32_dataout ), + .pexpout()); +// synopsys translate_off +defparam \MD[4]~32 .operation_mode = "normal"; +defparam \MD[4]~32 .output_mode = "comb"; +defparam \MD[4]~32 .pexp_mode = "off"; +// synopsys translate_on + +// Location: LC38 +max_mcell \MD[5]~34 ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\MD[5]~5 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\MD[5]~34_dataout ), + .pexpout()); +// synopsys translate_off +defparam \MD[5]~34 .operation_mode = "normal"; +defparam \MD[5]~34 .output_mode = "comb"; +defparam \MD[5]~34 .pexp_mode = "off"; +// synopsys translate_on + +// Location: LC37 +max_mcell \MD[6]~36 ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\MD[6]~6 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\MD[6]~36_dataout ), + .pexpout()); +// synopsys translate_off +defparam \MD[6]~36 .operation_mode = "normal"; +defparam \MD[6]~36 .output_mode = "comb"; +defparam \MD[6]~36 .pexp_mode = "off"; +// synopsys translate_on + +// Location: LC35 +max_mcell \MD[7]~38 ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\MD[7]~7 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\MD[7]~38_dataout ), + .pexpout()); +// synopsys translate_off +defparam \MD[7]~38 .operation_mode = "normal"; +defparam \MD[7]~38 .output_mode = "comb"; +defparam \MD[7]~38 .pexp_mode = "off"; +// synopsys translate_on + +// Location: LC45 +max_mcell \~GND~0 ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\~GND~0~dataout ), + .pexpout()); +// synopsys translate_off +defparam \~GND~0 .operation_mode = "normal"; +defparam \~GND~0 .output_mode = "comb"; +defparam \~GND~0 .pexp_mode = "off"; +// synopsys translate_on + +// Location: LC3 +max_mcell \~GND~1 ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\~GND~1~dataout ), + .pexpout()); +// synopsys translate_off +defparam \~GND~1 .operation_mode = "normal"; +defparam \~GND~1 .output_mode = "comb"; +defparam \~GND~1 .pexp_mode = "off"; +// synopsys translate_on + +// Location: LC19 +max_mcell \~GND~2 ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\~GND~2~dataout ), + .pexpout()); +// synopsys translate_off +defparam \~GND~2 .operation_mode = "normal"; +defparam \~GND~2 .output_mode = "comb"; +defparam \~GND~2 .pexp_mode = "off"; +// synopsys translate_on + +// Location: PIN_80 +max_io \nWE80~I ( + .datain(gnd), + .oe(gnd), + .dataout(\nWE80~dataout ), + .padio(nWE80)); +// synopsys translate_off +defparam \nWE80~I .bus_hold = "false"; +defparam \nWE80~I .open_drain_output = "false"; +defparam \nWE80~I .operation_mode = "input"; +defparam \nWE80~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: LC56 +max_mcell \nWE80~1 ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\nWE80~dataout }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\nWE80~1_dataout ), + .pexpout()); +// synopsys translate_off +defparam \nWE80~1 .operation_mode = "normal"; +defparam \nWE80~1 .output_mode = "comb"; +defparam \nWE80~1 .pexp_mode = "off"; +// synopsys translate_on + +// Location: PIN_76 +max_io \nEN80~I ( + .datain(gnd), + .oe(gnd), + .dataout(\nEN80~dataout ), + .padio(nEN80)); +// synopsys translate_off +defparam \nEN80~I .bus_hold = "false"; +defparam \nEN80~I .open_drain_output = "false"; +defparam \nEN80~I .operation_mode = "input"; +defparam \nEN80~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: LC27 +max_mcell \nEN80~1 ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!\nEN80~dataout }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\nEN80~1_dataout ), + .pexpout()); +// synopsys translate_off +defparam \nEN80~1 .operation_mode = "normal"; +defparam \nEN80~1 .output_mode = "comb"; +defparam \nEN80~1 .pexp_mode = "off"; +// synopsys translate_on + +// Location: PIN_15 +max_io \DelayIn[1]~I ( + .datain(gnd), + .oe(gnd), + .dataout(\DelayIn~dataout [1]), + .padio(DelayIn[1])); +// synopsys translate_off +defparam \DelayIn[1]~I .bus_hold = "false"; +defparam \DelayIn[1]~I .open_drain_output = "false"; +defparam \DelayIn[1]~I .operation_mode = "input"; +defparam \DelayIn[1]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: LC24 +max_mcell \DelayIn[1]~1 ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\DelayIn~dataout [1]}), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\DelayIn[1]~1_dataout ), + .pexpout()); +// synopsys translate_off +defparam \DelayIn[1]~1 .operation_mode = "normal"; +defparam \DelayIn[1]~1 .output_mode = "comb"; +defparam \DelayIn[1]~1 .pexp_mode = "off"; +// synopsys translate_on + +// Location: LC86 +max_mcell \VDR[0] ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\RD[0]~0 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!S[3],!S[2],S[1],S[0]}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(VDR[0]), + .pexpout()); +// synopsys translate_off +defparam \VDR[0] .operation_mode = "normal"; +defparam \VDR[0] .output_mode = "reg"; +defparam \VDR[0] .pexp_mode = "off"; +defparam \VDR[0] .power_up = "low"; +defparam \VDR[0] .register_mode = "dff"; +// synopsys translate_on + +// Location: LC97 +max_mcell \VDR[1] ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\RD[1]~1 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!S[3],!S[2],S[1],S[0]}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(VDR[1]), + .pexpout()); +// synopsys translate_off +defparam \VDR[1] .operation_mode = "normal"; +defparam \VDR[1] .output_mode = "reg"; +defparam \VDR[1] .pexp_mode = "off"; +defparam \VDR[1] .power_up = "low"; +defparam \VDR[1] .register_mode = "dff"; +// synopsys translate_on + +// Location: LC104 +max_mcell \VDR[2] ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\RD[2]~2 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!S[3],!S[2],S[1],S[0]}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(VDR[2]), + .pexpout()); +// synopsys translate_off +defparam \VDR[2] .operation_mode = "normal"; +defparam \VDR[2] .output_mode = "reg"; +defparam \VDR[2] .pexp_mode = "off"; +defparam \VDR[2] .power_up = "low"; +defparam \VDR[2] .register_mode = "dff"; +// synopsys translate_on + +// Location: LC117 +max_mcell \VDR[3] ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\RD[3]~3 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!S[3],!S[2],S[1],S[0]}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(VDR[3]), + .pexpout()); +// synopsys translate_off +defparam \VDR[3] .operation_mode = "normal"; +defparam \VDR[3] .output_mode = "reg"; +defparam \VDR[3] .pexp_mode = "off"; +defparam \VDR[3] .power_up = "low"; +defparam \VDR[3] .register_mode = "dff"; +// synopsys translate_on + +// Location: LC109 +max_mcell \VDR[4] ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\RD[4]~4 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!S[3],!S[2],S[1],S[0]}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(VDR[4]), + .pexpout()); +// synopsys translate_off +defparam \VDR[4] .operation_mode = "normal"; +defparam \VDR[4] .output_mode = "reg"; +defparam \VDR[4] .pexp_mode = "off"; +defparam \VDR[4] .power_up = "low"; +defparam \VDR[4] .register_mode = "dff"; +// synopsys translate_on + +// Location: LC99 +max_mcell \VDR[5] ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\RD[5]~5 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!S[3],!S[2],S[1],S[0]}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(VDR[5]), + .pexpout()); +// synopsys translate_off +defparam \VDR[5] .operation_mode = "normal"; +defparam \VDR[5] .output_mode = "reg"; +defparam \VDR[5] .pexp_mode = "off"; +defparam \VDR[5] .power_up = "low"; +defparam \VDR[5] .register_mode = "dff"; +// synopsys translate_on + +// Location: LC93 +max_mcell \VDR[6] ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\RD[6]~6 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!S[3],!S[2],S[1],S[0]}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(VDR[6]), + .pexpout()); +// synopsys translate_off +defparam \VDR[6] .operation_mode = "normal"; +defparam \VDR[6] .output_mode = "reg"; +defparam \VDR[6] .pexp_mode = "off"; +defparam \VDR[6] .power_up = "low"; +defparam \VDR[6] .register_mode = "dff"; +// synopsys translate_on + +// Location: LC83 +max_mcell \VDR[7] ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\RD[7]~7 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!S[3],!S[2],S[1],S[0]}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(VDR[7]), + .pexpout()); +// synopsys translate_off +defparam \VDR[7] .operation_mode = "normal"; +defparam \VDR[7] .output_mode = "reg"; +defparam \VDR[7] .pexp_mode = "off"; +defparam \VDR[7] .power_up = "low"; +defparam \VDR[7] .register_mode = "dff"; +// synopsys translate_on + +// Location: SEXP21 +max_sexp \C073SEL~7 ( + .datain({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,S[1],!S[3],S[0],S[2]}), + .dataout(\C073SEL~7_dataout )); + +// Location: PIN_49 +max_io \MA[3]~I ( + .datain(gnd), + .oe(gnd), + .dataout(\MA~dataout [3]), + .padio(MA[3])); +// synopsys translate_off +defparam \MA[3]~I .bus_hold = "false"; +defparam \MA[3]~I .open_drain_output = "false"; +defparam \MA[3]~I .operation_mode = "input"; +defparam \MA[3]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: SEXP19 +max_sexp \C073SEL~8 ( + .datain({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!\MA~dataout [3],\MA~dataout [0]}), + .dataout(\C073SEL~8_dataout )); + +// Location: PIN_6 +max_io \nC07X~I ( + .datain(gnd), + .oe(gnd), + .dataout(\nC07X~dataout ), + .padio(nC07X)); +// synopsys translate_off +defparam \nC07X~I .bus_hold = "false"; +defparam \nC07X~I .open_drain_output = "false"; +defparam \nC07X~I .operation_mode = "input"; +defparam \nC07X~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: SEXP17 +max_sexp \C073SEL~9 ( + .datain({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!\nC07X~dataout ,!\nWE~dataout }), + .dataout(\C073SEL~9_dataout )); + +// Location: LC17 +max_mcell \C073SEL~reg0 ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!S[1],!S[3],!S[2]}), + .pterm2({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!\C073SEL~reg0_dataout ,\C073SEL~7_dataout }), + .pterm3({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,S[0],\C073SEL~8_dataout ,S[1],!S[3],S[2]}), + .pterm4({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\C073SEL~9_dataout ,!S[0],!S[1],!S[2]}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\C073SEL~reg0_dataout ), + .pexpout()); +// synopsys translate_off +defparam \C073SEL~reg0 .operation_mode = "invert"; +defparam \C073SEL~reg0 .output_mode = "reg"; +defparam \C073SEL~reg0 .pexp_mode = "off"; +defparam \C073SEL~reg0 .power_up = "low"; +defparam \C073SEL~reg0 .register_mode = "dff"; +// synopsys translate_on + +// Location: LC42 +max_mcell \BA[4] ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\MD[4]~4 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,S[3],!S[2],S[1],S[0],\C073SEL~reg0_dataout }), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(BA[4]), + .pexpout()); +// synopsys translate_off +defparam \BA[4] .operation_mode = "normal"; +defparam \BA[4] .output_mode = "reg"; +defparam \BA[4] .pexp_mode = "off"; +defparam \BA[4] .power_up = "low"; +defparam \BA[4] .register_mode = "dff"; +// synopsys translate_on + +// Location: LC46 +max_mcell \RA[10]~reg0 ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!S[3],S[2],S[1],BA[4]}), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\RA[10]~reg0_dataout ), + .pexpout()); +// synopsys translate_off +defparam \RA[10]~reg0 .operation_mode = "normal"; +defparam \RA[10]~reg0 .output_mode = "reg"; +defparam \RA[10]~reg0 .pexp_mode = "off"; +defparam \RA[10]~reg0 .power_up = "low"; +defparam \RA[10]~reg0 .register_mode = "dff"; +// synopsys translate_on + +// Location: LC62 +max_mcell \BA[2] ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\MD[2]~2 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,S[3],!S[2],S[1],S[0],\C073SEL~reg0_dataout }), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(BA[2]), + .pexpout()); +// synopsys translate_off +defparam \BA[2] .operation_mode = "normal"; +defparam \BA[2] .output_mode = "reg"; +defparam \BA[2] .pexp_mode = "off"; +defparam \BA[2] .power_up = "low"; +defparam \BA[2] .register_mode = "dff"; +// synopsys translate_on + +// Location: LC55 +max_mcell \BA[0] ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\MD[0]~0 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,S[3],!S[2],S[1],S[0],\C073SEL~reg0_dataout }), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(BA[0]), + .pexpout()); +// synopsys translate_off +defparam \BA[0] .operation_mode = "normal"; +defparam \BA[0] .output_mode = "reg"; +defparam \BA[0] .pexp_mode = "off"; +defparam \BA[0] .power_up = "low"; +defparam \BA[0] .register_mode = "dff"; +// synopsys translate_on + +// Location: LC49 +max_mcell \RA[8]~reg0 ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!S[3],S[2],S[1],BA[2]}), + .pterm2({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,BA[0],S[3],!S[2]}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\RA[8]~reg0_dataout ), + .pexpout()); +// synopsys translate_off +defparam \RA[8]~reg0 .operation_mode = "normal"; +defparam \RA[8]~reg0 .output_mode = "reg"; +defparam \RA[8]~reg0 .pexp_mode = "off"; +defparam \RA[8]~reg0 .power_up = "low"; +defparam \RA[8]~reg0 .register_mode = "dff"; +// synopsys translate_on + +// Location: LC39 +max_mcell \BA[5] ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\MD[5]~5 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,S[3],!S[2],S[1],S[0],\C073SEL~reg0_dataout }), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(BA[5]), + .pexpout()); +// synopsys translate_off +defparam \BA[5] .operation_mode = "normal"; +defparam \BA[5] .output_mode = "reg"; +defparam \BA[5] .pexp_mode = "off"; +defparam \BA[5] .power_up = "low"; +defparam \BA[5] .register_mode = "dff"; +// synopsys translate_on + +// Location: LC63 +max_mcell \BA[3] ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\MD[3]~3 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,S[3],!S[2],S[1],S[0],\C073SEL~reg0_dataout }), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(BA[3]), + .pexpout()); +// synopsys translate_off +defparam \BA[3] .operation_mode = "normal"; +defparam \BA[3] .output_mode = "reg"; +defparam \BA[3] .pexp_mode = "off"; +defparam \BA[3] .power_up = "low"; +defparam \BA[3] .register_mode = "dff"; +// synopsys translate_on + +// Location: LC54 +max_mcell \BA[1] ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\MD[1]~1 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,S[3],!S[2],S[1],S[0],\C073SEL~reg0_dataout }), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(BA[1]), + .pexpout()); +// synopsys translate_off +defparam \BA[1] .operation_mode = "normal"; +defparam \BA[1] .output_mode = "reg"; +defparam \BA[1] .pexp_mode = "off"; +defparam \BA[1] .power_up = "low"; +defparam \BA[1] .register_mode = "dff"; +// synopsys translate_on + +// Location: LC51 +max_mcell \RA[9]~reg0 ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!S[3],S[2],BA[4],S[1],BA[5]}), + .pterm2({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,BA[3],!S[3],S[2],!BA[4],S[1]}), + .pterm3({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,BA[1],S[3],!S[2]}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\RA[9]~reg0_dataout ), + .pexpout()); +// synopsys translate_off +defparam \RA[9]~reg0 .operation_mode = "normal"; +defparam \RA[9]~reg0 .output_mode = "reg"; +defparam \RA[9]~reg0 .pexp_mode = "off"; +defparam \RA[9]~reg0 .power_up = "low"; +defparam \RA[9]~reg0 .register_mode = "dff"; +// synopsys translate_on + +// Location: LC41 +max_mcell \Ref_rtl_0|dffs[2] ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\Ref_rtl_0|dffs [2],\Ref_rtl_0|dffs [3]}), + .pterm2({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\Ref_rtl_0|dffs [0],\Ref_rtl_0|dffs [1]}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!S[3],!S[2],!S[1],S[0]}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\Ref_rtl_0|dffs [2]), + .pexpout()); +// synopsys translate_off +defparam \Ref_rtl_0|dffs[2] .operation_mode = "normal"; +defparam \Ref_rtl_0|dffs[2] .output_mode = "reg"; +defparam \Ref_rtl_0|dffs[2] .pexp_mode = "off"; +defparam \Ref_rtl_0|dffs[2] .power_up = "low"; +defparam \Ref_rtl_0|dffs[2] .register_mode = "tff"; +// synopsys translate_on + +// Location: LC36 +max_mcell \Ref_rtl_0|dffs[0] ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!\Ref_rtl_0|dffs [0],\Ref_rtl_0|dffs [2],\Ref_rtl_0|dffs [3]}), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!S[3],!S[2],!S[1],S[0]}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\Ref_rtl_0|dffs [0]), + .pexpout()); +// synopsys translate_off +defparam \Ref_rtl_0|dffs[0] .operation_mode = "invert"; +defparam \Ref_rtl_0|dffs[0] .output_mode = "reg"; +defparam \Ref_rtl_0|dffs[0] .pexp_mode = "off"; +defparam \Ref_rtl_0|dffs[0] .power_up = "low"; +defparam \Ref_rtl_0|dffs[0] .register_mode = "tff"; +// synopsys translate_on + +// Location: LC34 +max_mcell \Ref_rtl_0|dffs[1] ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\Ref_rtl_0|dffs [0],!\Ref_rtl_0|dffs [3]}), + .pterm2({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!\Ref_rtl_0|dffs [2],\Ref_rtl_0|dffs [0]}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\Ref_rtl_0|dffs [1],\Ref_rtl_0|dffs [2],\Ref_rtl_0|dffs [3]}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!S[3],!S[2],!S[1],S[0]}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\Ref_rtl_0|dffs [1]), + .pexpout()); +// synopsys translate_off +defparam \Ref_rtl_0|dffs[1] .operation_mode = "normal"; +defparam \Ref_rtl_0|dffs[1] .output_mode = "reg"; +defparam \Ref_rtl_0|dffs[1] .pexp_mode = "off"; +defparam \Ref_rtl_0|dffs[1] .power_up = "low"; +defparam \Ref_rtl_0|dffs[1] .register_mode = "tff"; +// synopsys translate_on + +// Location: LC33 +max_mcell \Ref_rtl_0|dffs[3] ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!\Ref_rtl_0|dffs [3],\Ref_rtl_0|dffs [2],\Ref_rtl_0|dffs [0],\Ref_rtl_0|dffs [1]}), + .pterm2({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\Ref_rtl_0|dffs [3],!\Ref_rtl_0|dffs [2]}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!S[3],!S[2],!S[1],S[0]}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\Ref_rtl_0|dffs [3]), + .pexpout()); +// synopsys translate_off +defparam \Ref_rtl_0|dffs[3] .operation_mode = "normal"; +defparam \Ref_rtl_0|dffs[3] .output_mode = "reg"; +defparam \Ref_rtl_0|dffs[3] .pexp_mode = "off"; +defparam \Ref_rtl_0|dffs[3] .power_up = "low"; +defparam \Ref_rtl_0|dffs[3] .register_mode = "dff"; +// synopsys translate_on + +// Location: SEXP49 +max_sexp \nRAS~8 ( + .datain({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!S[3],!S[0]}), + .dataout(\nRAS~8_dataout )); + +// Location: LC53 +max_mcell \nRAS~reg0 ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\nRAS~8_dataout ,!S[2],!S[1]}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\PHI1~dataout ,!\PHI1reg~dataout ,\PHI0seen~dataout }), + .pterm2({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!S[3],S[2],!S[1],!S[0],!\Ref_rtl_0|dffs [0],!\Ref_rtl_0|dffs [1],!\Ref_rtl_0|dffs [2],!\Ref_rtl_0|dffs [3]}), + .pterm3({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!S[2],S[1],!S[0]}), + .pterm4({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!S[3],S[2],S[1],S[0]}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\nRAS~reg0_dataout ), + .pexpout()); +// synopsys translate_off +defparam \nRAS~reg0 .operation_mode = "invert"; +defparam \nRAS~reg0 .output_mode = "reg"; +defparam \nRAS~reg0 .pexp_mode = "off"; +defparam \nRAS~reg0 .power_up = "low"; +defparam \nRAS~reg0 .register_mode = "dff"; +// synopsys translate_on + +// Location: LC43 +max_mcell \nCAS~reg0 ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!S[1],!S[0],S[2],!S[3],!\Ref_rtl_0|dffs [0],!\Ref_rtl_0|dffs [1],!\Ref_rtl_0|dffs [2],!\Ref_rtl_0|dffs [3]}), + .pterm2({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,S[1],!S[2]}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\nCAS~reg0_dataout ), + .pexpout()); +// synopsys translate_off +defparam \nCAS~reg0 .operation_mode = "invert"; +defparam \nCAS~reg0 .output_mode = "reg"; +defparam \nCAS~reg0 .pexp_mode = "off"; +defparam \nCAS~reg0 .power_up = "low"; +defparam \nCAS~reg0 .register_mode = "dff"; +// synopsys translate_on + +// Location: PIN_84 +max_io \C14M_2~I ( + .datain(gnd), + .oe(gnd), + .dataout(), + .padio(C14M_2)); +// synopsys translate_off +defparam \C14M_2~I .bus_hold = "false"; +defparam \C14M_2~I .open_drain_output = "false"; +defparam \C14M_2~I .operation_mode = "input"; +defparam \C14M_2~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_9 +max_io \C7M~I ( + .datain(gnd), + .oe(gnd), + .dataout(), + .padio(C7M)); +// synopsys translate_off +defparam \C7M~I .bus_hold = "false"; +defparam \C7M~I .open_drain_output = "false"; +defparam \C7M~I .operation_mode = "input"; +defparam \C7M~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_2 +max_io \Q3~I ( + .datain(gnd), + .oe(gnd), + .dataout(), + .padio(Q3)); +// synopsys translate_off +defparam \Q3~I .bus_hold = "false"; +defparam \Q3~I .open_drain_output = "false"; +defparam \Q3~I .operation_mode = "input"; +defparam \Q3~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_75 +max_io \PHI0~I ( + .datain(gnd), + .oe(gnd), + .dataout(), + .padio(PHI0)); +// synopsys translate_off +defparam \PHI0~I .bus_hold = "false"; +defparam \PHI0~I .open_drain_output = "false"; +defparam \PHI0~I .operation_mode = "input"; +defparam \PHI0~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_8 +max_io \nPRAS~I ( + .datain(gnd), + .oe(gnd), + .dataout(), + .padio(nPRAS)); +// synopsys translate_off +defparam \nPRAS~I .bus_hold = "false"; +defparam \nPRAS~I .open_drain_output = "false"; +defparam \nPRAS~I .operation_mode = "input"; +defparam \nPRAS~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_81 +max_io \nPCAS~I ( + .datain(gnd), + .oe(gnd), + .dataout(), + .padio(nPCAS)); +// synopsys translate_off +defparam \nPCAS~I .bus_hold = "false"; +defparam \nPCAS~I .open_drain_output = "false"; +defparam \nPCAS~I .operation_mode = "input"; +defparam \nPCAS~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_46 +max_io \MA[1]~I ( + .datain(gnd), + .oe(gnd), + .dataout(), + .padio(MA[1])); +// synopsys translate_off +defparam \MA[1]~I .bus_hold = "false"; +defparam \MA[1]~I .open_drain_output = "false"; +defparam \MA[1]~I .operation_mode = "input"; +defparam \MA[1]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_48 +max_io \MA[2]~I ( + .datain(gnd), + .oe(gnd), + .dataout(), + .padio(MA[2])); +// synopsys translate_off +defparam \MA[2]~I .bus_hold = "false"; +defparam \MA[2]~I .open_drain_output = "false"; +defparam \MA[2]~I .operation_mode = "input"; +defparam \MA[2]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_50 +max_io \MA[4]~I ( + .datain(gnd), + .oe(gnd), + .dataout(), + .padio(MA[4])); +// synopsys translate_off +defparam \MA[4]~I .bus_hold = "false"; +defparam \MA[4]~I .open_drain_output = "false"; +defparam \MA[4]~I .operation_mode = "input"; +defparam \MA[4]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_51 +max_io \MA[5]~I ( + .datain(gnd), + .oe(gnd), + .dataout(), + .padio(MA[5])); +// synopsys translate_off +defparam \MA[5]~I .bus_hold = "false"; +defparam \MA[5]~I .open_drain_output = "false"; +defparam \MA[5]~I .operation_mode = "input"; +defparam \MA[5]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_52 +max_io \MA[6]~I ( + .datain(gnd), + .oe(gnd), + .dataout(), + .padio(MA[6])); +// synopsys translate_off +defparam \MA[6]~I .bus_hold = "false"; +defparam \MA[6]~I .open_drain_output = "false"; +defparam \MA[6]~I .operation_mode = "input"; +defparam \MA[6]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_44 +max_io \MA[7]~I ( + .datain(gnd), + .oe(gnd), + .dataout(), + .padio(MA[7])); +// synopsys translate_off +defparam \MA[7]~I .bus_hold = "false"; +defparam \MA[7]~I .open_drain_output = "false"; +defparam \MA[7]~I .operation_mode = "input"; +defparam \MA[7]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_25 +max_io \RA[11]~I ( + .datain(\~GND~0~dataout ), + .oe(vcc), + .dataout(), + .padio(RA[11])); +// synopsys translate_off +defparam \RA[11]~I .bus_hold = "false"; +defparam \RA[11]~I .open_drain_output = "false"; +defparam \RA[11]~I .operation_mode = "output"; +defparam \RA[11]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_1 +max_io \Q3_2~I ( + .datain(gnd), + .oe(gnd), + .dataout(), + .padio(Q3_2)); +// synopsys translate_off +defparam \Q3_2~I .bus_hold = "false"; +defparam \Q3_2~I .open_drain_output = "false"; +defparam \Q3_2~I .operation_mode = "input"; +defparam \Q3_2~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_10 +max_io \C3M58~I ( + .datain(gnd), + .oe(gnd), + .dataout(), + .padio(C3M58)); +// synopsys translate_off +defparam \C3M58~I .bus_hold = "false"; +defparam \C3M58~I .open_drain_output = "false"; +defparam \C3M58~I .operation_mode = "input"; +defparam \C3M58~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_4 +max_io \AN3~I ( + .datain(gnd), + .oe(gnd), + .dataout(), + .padio(AN3)); +// synopsys translate_off +defparam \AN3~I .bus_hold = "false"; +defparam \AN3~I .open_drain_output = "false"; +defparam \AN3~I .operation_mode = "input"; +defparam \AN3~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_77 +max_io \nCASEN~I ( + .datain(gnd), + .oe(gnd), + .dataout(), + .padio(nCASEN)); +// synopsys translate_off +defparam \nCASEN~I .bus_hold = "false"; +defparam \nCASEN~I .open_drain_output = "false"; +defparam \nCASEN~I .operation_mode = "input"; +defparam \nCASEN~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_11 +max_io \DelayIn[0]~I ( + .datain(gnd), + .oe(gnd), + .dataout(), + .padio(DelayIn[0])); +// synopsys translate_off +defparam \DelayIn[0]~I .bus_hold = "false"; +defparam \DelayIn[0]~I .open_drain_output = "false"; +defparam \DelayIn[0]~I .operation_mode = "input"; +defparam \DelayIn[0]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_20 +max_io \DelayIn[3]~I ( + .datain(gnd), + .oe(gnd), + .dataout(), + .padio(DelayIn[3])); +// synopsys translate_off +defparam \DelayIn[3]~I .bus_hold = "false"; +defparam \DelayIn[3]~I .open_drain_output = "false"; +defparam \DelayIn[3]~I .operation_mode = "input"; +defparam \DelayIn[3]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_12 +max_io \DelayOut[0]~I ( + .datain(\~GND~1~dataout ), + .oe(vcc), + .dataout(), + .padio(DelayOut[0])); +// synopsys translate_off +defparam \DelayOut[0]~I .bus_hold = "false"; +defparam \DelayOut[0]~I .open_drain_output = "false"; +defparam \DelayOut[0]~I .operation_mode = "output"; +defparam \DelayOut[0]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_21 +max_io \DelayOut[3]~I ( + .datain(\~GND~2~dataout ), + .oe(vcc), + .dataout(), + .padio(DelayOut[3])); +// synopsys translate_off +defparam \DelayOut[3]~I .bus_hold = "false"; +defparam \DelayOut[3]~I .open_drain_output = "false"; +defparam \DelayOut[3]~I .operation_mode = "output"; +defparam \DelayOut[3]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_37 +max_io \nRWE~I ( + .datain(\nWE80~1_dataout ), + .oe(vcc), + .dataout(), + .padio(nRWE)); +// synopsys translate_off +defparam \nRWE~I .bus_hold = "false"; +defparam \nRWE~I .open_drain_output = "false"; +defparam \nRWE~I .operation_mode = "output"; +defparam \nRWE~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_16 +max_io \DelayOut[1]~I ( + .datain(\nEN80~1_dataout ), + .oe(vcc), + .dataout(), + .padio(DelayOut[1])); +// synopsys translate_off +defparam \DelayOut[1]~I .bus_hold = "false"; +defparam \DelayOut[1]~I .open_drain_output = "false"; +defparam \DelayOut[1]~I .operation_mode = "output"; +defparam \DelayOut[1]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_18 +max_io \DelayOut[2]~I ( + .datain(\DelayIn[1]~1_dataout ), + .oe(vcc), + .dataout(), + .padio(DelayOut[2])); +// synopsys translate_off +defparam \DelayOut[2]~I .bus_hold = "false"; +defparam \DelayOut[2]~I .open_drain_output = "false"; +defparam \DelayOut[2]~I .operation_mode = "output"; +defparam \DelayOut[2]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_56 +max_io \VD[0]~I ( + .datain(VDR[0]), + .oe(!\PHI1~dataout ), + .dataout(), + .padio(VD[0])); +// synopsys translate_off +defparam \VD[0]~I .bus_hold = "false"; +defparam \VD[0]~I .open_drain_output = "false"; +defparam \VD[0]~I .operation_mode = "bidir"; +defparam \VD[0]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_63 +max_io \VD[1]~I ( + .datain(VDR[1]), + .oe(!\PHI1~dataout ), + .dataout(), + .padio(VD[1])); +// synopsys translate_off +defparam \VD[1]~I .bus_hold = "false"; +defparam \VD[1]~I .open_drain_output = "false"; +defparam \VD[1]~I .operation_mode = "bidir"; +defparam \VD[1]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_67 +max_io \VD[2]~I ( + .datain(VDR[2]), + .oe(!\PHI1~dataout ), + .dataout(), + .padio(VD[2])); +// synopsys translate_off +defparam \VD[2]~I .bus_hold = "false"; +defparam \VD[2]~I .open_drain_output = "false"; +defparam \VD[2]~I .operation_mode = "bidir"; +defparam \VD[2]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_74 +max_io \VD[3]~I ( + .datain(VDR[3]), + .oe(!\PHI1~dataout ), + .dataout(), + .padio(VD[3])); +// synopsys translate_off +defparam \VD[3]~I .bus_hold = "false"; +defparam \VD[3]~I .open_drain_output = "false"; +defparam \VD[3]~I .operation_mode = "bidir"; +defparam \VD[3]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_70 +max_io \VD[4]~I ( + .datain(VDR[4]), + .oe(!\PHI1~dataout ), + .dataout(), + .padio(VD[4])); +// synopsys translate_off +defparam \VD[4]~I .bus_hold = "false"; +defparam \VD[4]~I .open_drain_output = "false"; +defparam \VD[4]~I .operation_mode = "bidir"; +defparam \VD[4]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_64 +max_io \VD[5]~I ( + .datain(VDR[5]), + .oe(!\PHI1~dataout ), + .dataout(), + .padio(VD[5])); +// synopsys translate_off +defparam \VD[5]~I .bus_hold = "false"; +defparam \VD[5]~I .open_drain_output = "false"; +defparam \VD[5]~I .operation_mode = "bidir"; +defparam \VD[5]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_60 +max_io \VD[6]~I ( + .datain(VDR[6]), + .oe(!\PHI1~dataout ), + .dataout(), + .padio(VD[6])); +// synopsys translate_off +defparam \VD[6]~I .bus_hold = "false"; +defparam \VD[6]~I .open_drain_output = "false"; +defparam \VD[6]~I .operation_mode = "bidir"; +defparam \VD[6]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_54 +max_io \VD[7]~I ( + .datain(VDR[7]), + .oe(!\PHI1~dataout ), + .dataout(), + .padio(VD[7])); +// synopsys translate_off +defparam \VD[7]~I .bus_hold = "false"; +defparam \VD[7]~I .open_drain_output = "false"; +defparam \VD[7]~I .operation_mode = "bidir"; +defparam \VD[7]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_22 +max_io \C073SEL~I ( + .datain(\C073SEL~reg0_dataout ), + .oe(vcc), + .dataout(), + .padio(C073SEL)); +// synopsys translate_off +defparam \C073SEL~I .bus_hold = "false"; +defparam \C073SEL~I .open_drain_output = "false"; +defparam \C073SEL~I .operation_mode = "output"; +defparam \C073SEL~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_24 +max_io \RA[10]~I ( + .datain(\RA[10]~reg0_dataout ), + .oe(vcc), + .dataout(), + .padio(RA[10])); +// synopsys translate_off +defparam \RA[10]~I .bus_hold = "false"; +defparam \RA[10]~I .open_drain_output = "false"; +defparam \RA[10]~I .operation_mode = "output"; +defparam \RA[10]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_41 +max_io \RA[8]~I ( + .datain(\RA[8]~reg0_dataout ), + .oe(vcc), + .dataout(), + .padio(RA[8])); +// synopsys translate_off +defparam \RA[8]~I .bus_hold = "false"; +defparam \RA[8]~I .open_drain_output = "false"; +defparam \RA[8]~I .operation_mode = "output"; +defparam \RA[8]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_40 +max_io \RA[9]~I ( + .datain(\RA[9]~reg0_dataout ), + .oe(vcc), + .dataout(), + .padio(RA[9])); +// synopsys translate_off +defparam \RA[9]~I .bus_hold = "false"; +defparam \RA[9]~I .open_drain_output = "false"; +defparam \RA[9]~I .operation_mode = "output"; +defparam \RA[9]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_39 +max_io \nRAS~I ( + .datain(\nRAS~reg0_dataout ), + .oe(vcc), + .dataout(), + .padio(nRAS)); +// synopsys translate_off +defparam \nRAS~I .bus_hold = "false"; +defparam \nRAS~I .open_drain_output = "false"; +defparam \nRAS~I .operation_mode = "output"; +defparam \nRAS~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_27 +max_io \nCAS~I ( + .datain(\nCAS~reg0_dataout ), + .oe(vcc), + .dataout(), + .padio(nCAS)); +// synopsys translate_off +defparam \nCAS~I .bus_hold = "false"; +defparam \nCAS~I .open_drain_output = "false"; +defparam \nCAS~I .operation_mode = "output"; +defparam \nCAS~I .weak_pull_up = "false"; +// synopsys translate_on + +endmodule diff --git a/cpld/simulation/modelsim/RAM2E_modelsim.xrf b/cpld/simulation/modelsim/RAM2E_modelsim.xrf new file mode 100755 index 0000000..c76539f --- /dev/null +++ b/cpld/simulation/modelsim/RAM2E_modelsim.xrf @@ -0,0 +1,136 @@ +vendor_name = ModelSim +source_file = 1, C:/Users/Zane/Documents/GitHub/RAM2E/cpld/RAM2E.v +source_file = 1, C:/Users/Zane/Documents/GitHub/RAM2E/cpld/Simulation.vwf +source_file = 1, C:/Users/Zane/Documents/GitHub/RAM2E/cpld/db/RAM2E.cbx.xml +source_file = 1, c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_counter.tdf +source_file = 1, c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_constant.inc +source_file = 1, c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_decode.inc +source_file = 1, c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.inc +source_file = 1, c:/altera/13.0sp1/quartus/libraries/megafunctions/cmpconst.inc +source_file = 1, c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_compare.inc +source_file = 1, c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_counter.inc +source_file = 1, c:/altera/13.0sp1/quartus/libraries/megafunctions/dffeea.inc +source_file = 1, c:/altera/13.0sp1/quartus/libraries/megafunctions/alt_counter_stratix.inc +source_file = 1, c:/altera/13.0sp1/quartus/libraries/megafunctions/aglobal130.inc +source_file = 1, c:/altera/13.0sp1/quartus/libraries/megafunctions/cbx.lst +design_name = RAM2E +instance = comp, \MA[0]~I , MA[0], RAM2E, 1 +instance = comp, \MD[0]~I , MD[0], RAM2E, 1 +instance = comp, \MD[1]~I , MD[1], RAM2E, 1 +instance = comp, \MD[2]~I , MD[2], RAM2E, 1 +instance = comp, \MD[3]~I , MD[3], RAM2E, 1 +instance = comp, \MD[4]~I , MD[4], RAM2E, 1 +instance = comp, \MD[5]~I , MD[5], RAM2E, 1 +instance = comp, \MD[6]~I , MD[6], RAM2E, 1 +instance = comp, \MD[7]~I , MD[7], RAM2E, 1 +instance = comp, \RD[0]~I , RD[0], RAM2E, 1 +instance = comp, \RD[1]~I , RD[1], RAM2E, 1 +instance = comp, \RD[2]~I , RD[2], RAM2E, 1 +instance = comp, \RD[3]~I , RD[3], RAM2E, 1 +instance = comp, \RD[4]~I , RD[4], RAM2E, 1 +instance = comp, \RD[5]~I , RD[5], RAM2E, 1 +instance = comp, \RD[6]~I , RD[6], RAM2E, 1 +instance = comp, \RD[7]~I , RD[7], RAM2E, 1 +instance = comp, \C14M~I , C14M, RAM2E, 1 +instance = comp, \PHI1~I , PHI1, RAM2E, 1 +instance = comp, \S[2] , S[2], RAM2E, 1 +instance = comp, \S[3] , S[3], RAM2E, 1 +instance = comp, \S[1] , S[1], RAM2E, 1 +instance = comp, \S[0] , S[0], RAM2E, 1 +instance = comp, \MDR[0] , MDR[0], RAM2E, 1 +instance = comp, \nWE~I , nWE, RAM2E, 1 +instance = comp, \DelayIn[2]~I , DelayIn[2], RAM2E, 1 +instance = comp, \MDOE~1 , MDOE~1, RAM2E, 1 +instance = comp, \MDR[1] , MDR[1], RAM2E, 1 +instance = comp, \MDR[2] , MDR[2], RAM2E, 1 +instance = comp, \MDR[3] , MDR[3], RAM2E, 1 +instance = comp, \MDR[4] , MDR[4], RAM2E, 1 +instance = comp, \MDR[5] , MDR[5], RAM2E, 1 +instance = comp, \MDR[6] , MDR[6], RAM2E, 1 +instance = comp, \MDR[7] , MDR[7], RAM2E, 1 +instance = comp, \MD[0]~24 , MD[0]~24, RAM2E, 1 +instance = comp, \RDOE~1 , RDOE~1, RAM2E, 1 +instance = comp, \MD[1]~26 , MD[1]~26, RAM2E, 1 +instance = comp, \MD[2]~28 , MD[2]~28, RAM2E, 1 +instance = comp, \MD[3]~30 , MD[3]~30, RAM2E, 1 +instance = comp, \MD[4]~32 , MD[4]~32, RAM2E, 1 +instance = comp, \MD[5]~34 , MD[5]~34, RAM2E, 1 +instance = comp, \MD[6]~36 , MD[6]~36, RAM2E, 1 +instance = comp, \MD[7]~38 , MD[7]~38, RAM2E, 1 +instance = comp, \~GND~0 , ~GND~0, RAM2E, 1 +instance = comp, \~GND~1 , ~GND~1, RAM2E, 1 +instance = comp, \~GND~2 , ~GND~2, RAM2E, 1 +instance = comp, \nWE80~I , nWE80, RAM2E, 1 +instance = comp, \nWE80~1 , nWE80~1, RAM2E, 1 +instance = comp, \nEN80~I , nEN80, RAM2E, 1 +instance = comp, \nEN80~1 , nEN80~1, RAM2E, 1 +instance = comp, \DelayIn[1]~I , DelayIn[1], RAM2E, 1 +instance = comp, \DelayIn[1]~1 , DelayIn[1]~1, RAM2E, 1 +instance = comp, \VDR[0] , VDR[0], RAM2E, 1 +instance = comp, \VDR[1] , VDR[1], RAM2E, 1 +instance = comp, \VDR[2] , VDR[2], RAM2E, 1 +instance = comp, \VDR[3] , VDR[3], RAM2E, 1 +instance = comp, \VDR[4] , VDR[4], RAM2E, 1 +instance = comp, \VDR[5] , VDR[5], RAM2E, 1 +instance = comp, \VDR[6] , VDR[6], RAM2E, 1 +instance = comp, \VDR[7] , VDR[7], RAM2E, 1 +instance = comp, \C073SEL~7 , C073SEL~7, RAM2E, 1 +instance = comp, \MA[3]~I , MA[3], RAM2E, 1 +instance = comp, \C073SEL~8 , C073SEL~8, RAM2E, 1 +instance = comp, \nC07X~I , nC07X, RAM2E, 1 +instance = comp, \C073SEL~9 , C073SEL~9, RAM2E, 1 +instance = comp, \C073SEL~reg0 , C073SEL~reg0, RAM2E, 1 +instance = comp, \BA[4] , BA[4], RAM2E, 1 +instance = comp, \RA[10]~reg0 , RA[10]~reg0, RAM2E, 1 +instance = comp, \BA[2] , BA[2], RAM2E, 1 +instance = comp, \BA[0] , BA[0], RAM2E, 1 +instance = comp, \RA[8]~reg0 , RA[8]~reg0, RAM2E, 1 +instance = comp, \BA[5] , BA[5], RAM2E, 1 +instance = comp, \BA[3] , BA[3], RAM2E, 1 +instance = comp, \BA[1] , BA[1], RAM2E, 1 +instance = comp, \RA[9]~reg0 , RA[9]~reg0, RAM2E, 1 +instance = comp, \Ref_rtl_0|dffs[2] , Ref_rtl_0|dffs[2], RAM2E, 1 +instance = comp, \Ref_rtl_0|dffs[0] , Ref_rtl_0|dffs[0], RAM2E, 1 +instance = comp, \Ref_rtl_0|dffs[1] , Ref_rtl_0|dffs[1], RAM2E, 1 +instance = comp, \Ref_rtl_0|dffs[3] , Ref_rtl_0|dffs[3], RAM2E, 1 +instance = comp, \nRAS~8 , nRAS~8, RAM2E, 1 +instance = comp, \nRAS~reg0 , nRAS~reg0, RAM2E, 1 +instance = comp, \nCAS~reg0 , nCAS~reg0, RAM2E, 1 +instance = comp, \C14M_2~I , C14M_2, RAM2E, 1 +instance = comp, \C7M~I , C7M, RAM2E, 1 +instance = comp, \Q3~I , Q3, RAM2E, 1 +instance = comp, \PHI0~I , PHI0, RAM2E, 1 +instance = comp, \nPRAS~I , nPRAS, RAM2E, 1 +instance = comp, \nPCAS~I , nPCAS, RAM2E, 1 +instance = comp, \MA[1]~I , MA[1], RAM2E, 1 +instance = comp, \MA[2]~I , MA[2], RAM2E, 1 +instance = comp, \MA[4]~I , MA[4], RAM2E, 1 +instance = comp, \MA[5]~I , MA[5], RAM2E, 1 +instance = comp, \MA[6]~I , MA[6], RAM2E, 1 +instance = comp, \MA[7]~I , MA[7], RAM2E, 1 +instance = comp, \RA[11]~I , RA[11], RAM2E, 1 +instance = comp, \Q3_2~I , Q3_2, RAM2E, 1 +instance = comp, \C3M58~I , C3M58, RAM2E, 1 +instance = comp, \AN3~I , AN3, RAM2E, 1 +instance = comp, \nCASEN~I , nCASEN, RAM2E, 1 +instance = comp, \DelayIn[0]~I , DelayIn[0], RAM2E, 1 +instance = comp, \DelayIn[3]~I , DelayIn[3], RAM2E, 1 +instance = comp, \DelayOut[0]~I , DelayOut[0], RAM2E, 1 +instance = comp, \DelayOut[3]~I , DelayOut[3], RAM2E, 1 +instance = comp, \nRWE~I , nRWE, RAM2E, 1 +instance = comp, \DelayOut[1]~I , DelayOut[1], RAM2E, 1 +instance = comp, \DelayOut[2]~I , DelayOut[2], RAM2E, 1 +instance = comp, \VD[0]~I , VD[0], RAM2E, 1 +instance = comp, \VD[1]~I , VD[1], RAM2E, 1 +instance = comp, \VD[2]~I , VD[2], RAM2E, 1 +instance = comp, \VD[3]~I , VD[3], RAM2E, 1 +instance = comp, \VD[4]~I , VD[4], RAM2E, 1 +instance = comp, \VD[5]~I , VD[5], RAM2E, 1 +instance = comp, \VD[6]~I , VD[6], RAM2E, 1 +instance = comp, \VD[7]~I , VD[7], RAM2E, 1 +instance = comp, \C073SEL~I , C073SEL, RAM2E, 1 +instance = comp, \RA[10]~I , RA[10], RAM2E, 1 +instance = comp, \RA[8]~I , RA[8], RAM2E, 1 +instance = comp, \RA[9]~I , RA[9], RAM2E, 1 +instance = comp, \nRAS~I , nRAS, RAM2E, 1 +instance = comp, \nCAS~I , nCAS, RAM2E, 1 diff --git a/cpld/simulation/modelsim/RAM2E_v.sdo b/cpld/simulation/modelsim/RAM2E_v.sdo new file mode 100755 index 0000000..2a81557 --- /dev/null +++ b/cpld/simulation/modelsim/RAM2E_v.sdo @@ -0,0 +1,1880 @@ +// Copyright (C) 1991-2013 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + + +// +// Device: Altera EPM7128SLC84-15 Package PLCC84 +// + +// +// This SDF file should be used for ModelSim-Altera (Verilog) only +// + +(DELAYFILE + (SDFVERSION "2.1") + (DESIGN "RAM2E") + (DATE "08/05/2019 17:43:16") + (VENDOR "Altera") + (PROGRAM "Quartus II 32-bit") + (VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition") + (DIVIDER .) + (TIMESCALE 1 ps) + + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE MD\[0\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE MD\[1\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE MD\[2\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE MD\[3\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE MD\[4\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE MD\[5\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE MD\[6\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE MD\[7\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE RD\[0\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE RD\[1\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE RD\[2\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE RD\[3\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE RD\[4\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE RD\[5\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE RD\[6\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE RD\[7\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE C7M\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE Q3\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (3000:3000:3000) (3000:3000:3000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE nPCAS\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE PHI0\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE nPRAS\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE C14M_2\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (3000:3000:3000) (3000:3000:3000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE MDR\[0\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE MDR\[0\].preg) + (DELAY + (ABSOLUTE + (PORT pclk[0] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge pclk[0]) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge pclk[0]) (4000:4000:4000)) + (HOLD datain (posedge pclk[0]) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE nWE\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE nEN80\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE comb\~3.pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] combout (7000:7000:7000) (7000:7000:7000)) + (IOPATH pterm1[1] combout (7000:7000:7000) (7000:7000:7000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE MDR\[1\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE MDR\[1\].preg) + (DELAY + (ABSOLUTE + (PORT pclk[0] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge pclk[0]) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge pclk[0]) (4000:4000:4000)) + (HOLD datain (posedge pclk[0]) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE MDR\[2\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE MDR\[2\].preg) + (DELAY + (ABSOLUTE + (PORT pclk[0] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge pclk[0]) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge pclk[0]) (4000:4000:4000)) + (HOLD datain (posedge pclk[0]) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE MDR\[3\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE MDR\[3\].preg) + (DELAY + (ABSOLUTE + (PORT pclk[0] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge pclk[0]) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge pclk[0]) (4000:4000:4000)) + (HOLD datain (posedge pclk[0]) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE MDR\[4\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE MDR\[4\].preg) + (DELAY + (ABSOLUTE + (PORT pclk[0] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge pclk[0]) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge pclk[0]) (4000:4000:4000)) + (HOLD datain (posedge pclk[0]) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE MDR\[5\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE MDR\[5\].preg) + (DELAY + (ABSOLUTE + (PORT pclk[0] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge pclk[0]) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge pclk[0]) (4000:4000:4000)) + (HOLD datain (posedge pclk[0]) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE MDR\[6\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE MDR\[6\].preg) + (DELAY + (ABSOLUTE + (PORT pclk[0] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge pclk[0]) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge pclk[0]) (4000:4000:4000)) + (HOLD datain (posedge pclk[0]) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE MDR\[7\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE MDR\[7\].preg) + (DELAY + (ABSOLUTE + (PORT pclk[0] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge pclk[0]) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge pclk[0]) (4000:4000:4000)) + (HOLD datain (posedge pclk[0]) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE MD\[0\]\~24.pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] combout (7000:7000:7000) (7000:7000:7000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE comb\~4.pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] combout (7000:7000:7000) (7000:7000:7000)) + (IOPATH pterm1[1] combout (7000:7000:7000) (7000:7000:7000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE MD\[1\]\~26.pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] combout (7000:7000:7000) (7000:7000:7000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE MD\[2\]\~28.pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] combout (7000:7000:7000) (7000:7000:7000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE MD\[3\]\~30.pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] combout (7000:7000:7000) (7000:7000:7000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE MD\[4\]\~32.pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] combout (7000:7000:7000) (7000:7000:7000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE MD\[5\]\~34.pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] combout (7000:7000:7000) (7000:7000:7000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE MD\[6\]\~36.pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] combout (7000:7000:7000) (7000:7000:7000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE MD\[7\]\~38.pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] combout (7000:7000:7000) (7000:7000:7000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE nWE80\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE nRWE\~reg0.pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE nRWE\~reg0.preg) + (DELAY + (ABSOLUTE + (PORT pclk[0] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge pclk[0]) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge pclk[0]) (4000:4000:4000)) + (HOLD datain (posedge pclk[0]) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE C14M\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (3000:3000:3000) (3000:3000:3000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE nRAS\~reg0.pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm0[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm0[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm0[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm0[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm0[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm4[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm4[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm4[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm4[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE nRAS\~reg0.preg) + (DELAY + (ABSOLUTE + (PORT clk (0:0:0) (0:0:0)) + (IOPATH (posedge clk) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (4000:4000:4000)) + (HOLD datain (posedge clk) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE nCAS\~reg0.pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm4[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm4[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm4[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm4[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm4[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE nCAS\~reg0.preg) + (DELAY + (ABSOLUTE + (PORT pclk[0] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge pclk[0]) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge pclk[0]) (4000:4000:4000)) + (HOLD datain (posedge pclk[0]) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE VDR\[0\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE VDR\[0\].preg) + (DELAY + (ABSOLUTE + (PORT pclk[0] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge pclk[0]) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge pclk[0]) (4000:4000:4000)) + (HOLD datain (posedge pclk[0]) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE PHI1\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE VDR\[1\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE VDR\[1\].preg) + (DELAY + (ABSOLUTE + (PORT pclk[0] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge pclk[0]) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge pclk[0]) (4000:4000:4000)) + (HOLD datain (posedge pclk[0]) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE VDR\[2\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE VDR\[2\].preg) + (DELAY + (ABSOLUTE + (PORT pclk[0] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge pclk[0]) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge pclk[0]) (4000:4000:4000)) + (HOLD datain (posedge pclk[0]) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE VDR\[3\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE VDR\[3\].preg) + (DELAY + (ABSOLUTE + (PORT pclk[0] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge pclk[0]) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge pclk[0]) (4000:4000:4000)) + (HOLD datain (posedge pclk[0]) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE VDR\[4\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE VDR\[4\].preg) + (DELAY + (ABSOLUTE + (PORT pclk[0] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge pclk[0]) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge pclk[0]) (4000:4000:4000)) + (HOLD datain (posedge pclk[0]) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE VDR\[5\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE VDR\[5\].preg) + (DELAY + (ABSOLUTE + (PORT pclk[0] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge pclk[0]) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge pclk[0]) (4000:4000:4000)) + (HOLD datain (posedge pclk[0]) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE MA\[0\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE MA\[1\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE MA\[2\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE MA\[3\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE MA\[4\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE MA\[5\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE MA\[6\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE MA\[7\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE XX73SEL.pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[7] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE XX73SEL.preg) + (DELAY + (ABSOLUTE + (PORT clk (0:0:0) (0:0:0)) + (PORT pena[0] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[1] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[2] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[3] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[4] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge clk) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (4000:4000:4000)) + (SETUP pena[0] (posedge clk) (4000:4000:4000)) + (SETUP pena[1] (posedge clk) (4000:4000:4000)) + (SETUP pena[2] (posedge clk) (4000:4000:4000)) + (SETUP pena[3] (posedge clk) (4000:4000:4000)) + (SETUP pena[4] (posedge clk) (4000:4000:4000)) + (HOLD datain (posedge clk) (4000:4000:4000)) + (HOLD pena[0] (posedge clk) (4000:4000:4000)) + (HOLD pena[1] (posedge clk) (4000:4000:4000)) + (HOLD pena[2] (posedge clk) (4000:4000:4000)) + (HOLD pena[3] (posedge clk) (4000:4000:4000)) + (HOLD pena[4] (posedge clk) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE nC07X\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE BA\[5\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE BA\[5\].preg) + (DELAY + (ABSOLUTE + (PORT clk (0:0:0) (0:0:0)) + (PORT pena[0] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[1] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[2] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[3] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[4] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[5] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[6] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[7] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge clk) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (4000:4000:4000)) + (SETUP pena[0] (posedge clk) (4000:4000:4000)) + (SETUP pena[1] (posedge clk) (4000:4000:4000)) + (SETUP pena[2] (posedge clk) (4000:4000:4000)) + (SETUP pena[3] (posedge clk) (4000:4000:4000)) + (SETUP pena[4] (posedge clk) (4000:4000:4000)) + (SETUP pena[5] (posedge clk) (4000:4000:4000)) + (SETUP pena[6] (posedge clk) (4000:4000:4000)) + (SETUP pena[7] (posedge clk) (4000:4000:4000)) + (HOLD datain (posedge clk) (4000:4000:4000)) + (HOLD pena[0] (posedge clk) (4000:4000:4000)) + (HOLD pena[1] (posedge clk) (4000:4000:4000)) + (HOLD pena[2] (posedge clk) (4000:4000:4000)) + (HOLD pena[3] (posedge clk) (4000:4000:4000)) + (HOLD pena[4] (posedge clk) (4000:4000:4000)) + (HOLD pena[5] (posedge clk) (4000:4000:4000)) + (HOLD pena[6] (posedge clk) (4000:4000:4000)) + (HOLD pena[7] (posedge clk) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE RA\[11\]\~reg0.pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE RA\[11\]\~reg0.preg) + (DELAY + (ABSOLUTE + (PORT clk (0:0:0) (0:0:0)) + (IOPATH (posedge clk) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (4000:4000:4000)) + (HOLD datain (posedge clk) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE BA\[3\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE BA\[3\].preg) + (DELAY + (ABSOLUTE + (PORT clk (0:0:0) (0:0:0)) + (PORT pena[0] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[1] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[2] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[3] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[4] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[5] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[6] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[7] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge clk) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (4000:4000:4000)) + (SETUP pena[0] (posedge clk) (4000:4000:4000)) + (SETUP pena[1] (posedge clk) (4000:4000:4000)) + (SETUP pena[2] (posedge clk) (4000:4000:4000)) + (SETUP pena[3] (posedge clk) (4000:4000:4000)) + (SETUP pena[4] (posedge clk) (4000:4000:4000)) + (SETUP pena[5] (posedge clk) (4000:4000:4000)) + (SETUP pena[6] (posedge clk) (4000:4000:4000)) + (SETUP pena[7] (posedge clk) (4000:4000:4000)) + (HOLD datain (posedge clk) (4000:4000:4000)) + (HOLD pena[0] (posedge clk) (4000:4000:4000)) + (HOLD pena[1] (posedge clk) (4000:4000:4000)) + (HOLD pena[2] (posedge clk) (4000:4000:4000)) + (HOLD pena[3] (posedge clk) (4000:4000:4000)) + (HOLD pena[4] (posedge clk) (4000:4000:4000)) + (HOLD pena[5] (posedge clk) (4000:4000:4000)) + (HOLD pena[6] (posedge clk) (4000:4000:4000)) + (HOLD pena[7] (posedge clk) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE BA\[0\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE BA\[0\].preg) + (DELAY + (ABSOLUTE + (PORT clk (0:0:0) (0:0:0)) + (PORT pena[0] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[1] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[2] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[3] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[4] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[5] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[6] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[7] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge clk) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (4000:4000:4000)) + (SETUP pena[0] (posedge clk) (4000:4000:4000)) + (SETUP pena[1] (posedge clk) (4000:4000:4000)) + (SETUP pena[2] (posedge clk) (4000:4000:4000)) + (SETUP pena[3] (posedge clk) (4000:4000:4000)) + (SETUP pena[4] (posedge clk) (4000:4000:4000)) + (SETUP pena[5] (posedge clk) (4000:4000:4000)) + (SETUP pena[6] (posedge clk) (4000:4000:4000)) + (SETUP pena[7] (posedge clk) (4000:4000:4000)) + (HOLD datain (posedge clk) (4000:4000:4000)) + (HOLD pena[0] (posedge clk) (4000:4000:4000)) + (HOLD pena[1] (posedge clk) (4000:4000:4000)) + (HOLD pena[2] (posedge clk) (4000:4000:4000)) + (HOLD pena[3] (posedge clk) (4000:4000:4000)) + (HOLD pena[4] (posedge clk) (4000:4000:4000)) + (HOLD pena[5] (posedge clk) (4000:4000:4000)) + (HOLD pena[6] (posedge clk) (4000:4000:4000)) + (HOLD pena[7] (posedge clk) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE RA\[8\]\~reg0.pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE RA\[8\]\~reg0.preg) + (DELAY + (ABSOLUTE + (PORT clk (0:0:0) (0:0:0)) + (IOPATH (posedge clk) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (4000:4000:4000)) + (HOLD datain (posedge clk) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE BA\[4\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE BA\[4\].preg) + (DELAY + (ABSOLUTE + (PORT clk (0:0:0) (0:0:0)) + (PORT pena[0] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[1] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[2] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[3] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[4] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[5] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[6] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[7] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge clk) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (4000:4000:4000)) + (SETUP pena[0] (posedge clk) (4000:4000:4000)) + (SETUP pena[1] (posedge clk) (4000:4000:4000)) + (SETUP pena[2] (posedge clk) (4000:4000:4000)) + (SETUP pena[3] (posedge clk) (4000:4000:4000)) + (SETUP pena[4] (posedge clk) (4000:4000:4000)) + (SETUP pena[5] (posedge clk) (4000:4000:4000)) + (SETUP pena[6] (posedge clk) (4000:4000:4000)) + (SETUP pena[7] (posedge clk) (4000:4000:4000)) + (HOLD datain (posedge clk) (4000:4000:4000)) + (HOLD pena[0] (posedge clk) (4000:4000:4000)) + (HOLD pena[1] (posedge clk) (4000:4000:4000)) + (HOLD pena[2] (posedge clk) (4000:4000:4000)) + (HOLD pena[3] (posedge clk) (4000:4000:4000)) + (HOLD pena[4] (posedge clk) (4000:4000:4000)) + (HOLD pena[5] (posedge clk) (4000:4000:4000)) + (HOLD pena[6] (posedge clk) (4000:4000:4000)) + (HOLD pena[7] (posedge clk) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE BA\[1\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE BA\[1\].preg) + (DELAY + (ABSOLUTE + (PORT clk (0:0:0) (0:0:0)) + (PORT pena[0] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[1] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[2] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[3] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[4] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[5] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[6] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[7] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge clk) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (4000:4000:4000)) + (SETUP pena[0] (posedge clk) (4000:4000:4000)) + (SETUP pena[1] (posedge clk) (4000:4000:4000)) + (SETUP pena[2] (posedge clk) (4000:4000:4000)) + (SETUP pena[3] (posedge clk) (4000:4000:4000)) + (SETUP pena[4] (posedge clk) (4000:4000:4000)) + (SETUP pena[5] (posedge clk) (4000:4000:4000)) + (SETUP pena[6] (posedge clk) (4000:4000:4000)) + (SETUP pena[7] (posedge clk) (4000:4000:4000)) + (HOLD datain (posedge clk) (4000:4000:4000)) + (HOLD pena[0] (posedge clk) (4000:4000:4000)) + (HOLD pena[1] (posedge clk) (4000:4000:4000)) + (HOLD pena[2] (posedge clk) (4000:4000:4000)) + (HOLD pena[3] (posedge clk) (4000:4000:4000)) + (HOLD pena[4] (posedge clk) (4000:4000:4000)) + (HOLD pena[5] (posedge clk) (4000:4000:4000)) + (HOLD pena[6] (posedge clk) (4000:4000:4000)) + (HOLD pena[7] (posedge clk) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE RA\[9\]\~reg0.pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE RA\[9\]\~reg0.preg) + (DELAY + (ABSOLUTE + (PORT clk (0:0:0) (0:0:0)) + (IOPATH (posedge clk) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (4000:4000:4000)) + (HOLD datain (posedge clk) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE BA\[2\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE BA\[2\].preg) + (DELAY + (ABSOLUTE + (PORT clk (0:0:0) (0:0:0)) + (PORT pena[0] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[1] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[2] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[3] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[4] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[5] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[6] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[7] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge clk) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (4000:4000:4000)) + (SETUP pena[0] (posedge clk) (4000:4000:4000)) + (SETUP pena[1] (posedge clk) (4000:4000:4000)) + (SETUP pena[2] (posedge clk) (4000:4000:4000)) + (SETUP pena[3] (posedge clk) (4000:4000:4000)) + (SETUP pena[4] (posedge clk) (4000:4000:4000)) + (SETUP pena[5] (posedge clk) (4000:4000:4000)) + (SETUP pena[6] (posedge clk) (4000:4000:4000)) + (SETUP pena[7] (posedge clk) (4000:4000:4000)) + (HOLD datain (posedge clk) (4000:4000:4000)) + (HOLD pena[0] (posedge clk) (4000:4000:4000)) + (HOLD pena[1] (posedge clk) (4000:4000:4000)) + (HOLD pena[2] (posedge clk) (4000:4000:4000)) + (HOLD pena[3] (posedge clk) (4000:4000:4000)) + (HOLD pena[4] (posedge clk) (4000:4000:4000)) + (HOLD pena[5] (posedge clk) (4000:4000:4000)) + (HOLD pena[6] (posedge clk) (4000:4000:4000)) + (HOLD pena[7] (posedge clk) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE RA\[10\]\~reg0.pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE RA\[10\]\~reg0.preg) + (DELAY + (ABSOLUTE + (PORT clk (0:0:0) (0:0:0)) + (IOPATH (posedge clk) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (4000:4000:4000)) + (HOLD datain (posedge clk) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE VDR\[6\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE VDR\[6\].preg) + (DELAY + (ABSOLUTE + (PORT pclk[0] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge pclk[0]) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge pclk[0]) (4000:4000:4000)) + (HOLD datain (posedge pclk[0]) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE VDR\[7\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE VDR\[7\].preg) + (DELAY + (ABSOLUTE + (PORT pclk[0] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge pclk[0]) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge pclk[0]) (4000:4000:4000)) + (HOLD datain (posedge pclk[0]) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE DelayOut\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE nRWE\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE nRAS\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE nCAS\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE VD\[0\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE VD\[1\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE VD\[2\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE VD\[3\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE VD\[4\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE VD\[5\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE RA\[11\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE RA\[8\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE RA\[9\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE RA\[10\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE VD\[6\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE VD\[7\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) +) diff --git a/cpld/simulation/qsim/RAM2E.do b/cpld/simulation/qsim/RAM2E.do new file mode 100755 index 0000000..233e54a --- /dev/null +++ b/cpld/simulation/qsim/RAM2E.do @@ -0,0 +1,10 @@ +onerror {quit -f} +vlib work +vlog -work work RAM2E.vo +vlog -work work RAM2E.vt +vsim -novopt -c -t 1ps -L max7000s_ver -L altera_ver -L altera_mf_ver -L 220model_ver -L sgate work.RAM2E_vlg_vec_tst +vcd file -direction RAM2E.msim.vcd +vcd add -internal RAM2E_vlg_vec_tst/* +vcd add -internal RAM2E_vlg_vec_tst/i1/* +add wave /* +run -all diff --git a/cpld/simulation/qsim/RAM2E.vo b/cpld/simulation/qsim/RAM2E.vo new file mode 100755 index 0000000..f82259a --- /dev/null +++ b/cpld/simulation/qsim/RAM2E.vo @@ -0,0 +1,2210 @@ +// Copyright (C) 1991-2013 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + +// VENDOR "Altera" +// PROGRAM "Quartus II 32-bit" +// VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" + +// DATE "08/22/2019 14:54:33" + +// +// Device: Altera EPM7128SLC84-15 Package PLCC84 +// + +// +// This Verilog file should be used for ModelSim-Altera (Verilog) only +// + +`timescale 1 ps/ 1 ps + +module RAM2E ( + C14M, + C14M_2, + C7M, + Q3, + PHI0, + PHI1, + nPRAS, + nPCAS, + nWE, + nWE80, + nEN80, + nRAS, + nCAS, + nRWE, + VD, + MD, + RD, + nC07X, + MA, + RA, + Q3_2, + C3M58, + AN3, + nCASEN, + XX73SEL, + DelayIn, + DelayOut); +input C14M; +input C14M_2; +input C7M; +input Q3; +input PHI0; +input PHI1; +input nPRAS; +input nPCAS; +input nWE; +input nWE80; +input nEN80; +output nRAS; +output nCAS; +output nRWE; +inout [7:0] VD; +inout [7:0] MD; +inout [7:0] RD; +input nC07X; +input [7:0] MA; +output [11:8] RA; +input Q3_2; +input C3M58; +input AN3; +input nCASEN; +output XX73SEL; +input [3:0] DelayIn; +output [3:0] DelayOut; + +// Design Ports Information +// C14M => Location: PIN_83 +// C14M_2 => Location: PIN_84 +// C7M => Location: PIN_9 +// Q3 => Location: PIN_2 +// PHI0 => Location: PIN_75 +// PHI1 => Location: PIN_79 +// nPRAS => Location: PIN_8 +// nPCAS => Location: PIN_81 +// nWE => Location: PIN_5 +// nWE80 => Location: PIN_80 +// nEN80 => Location: PIN_76 +// nC07X => Location: PIN_6 +// MA[0] => Location: PIN_45 +// MA[1] => Location: PIN_46 +// MA[2] => Location: PIN_48 +// MA[3] => Location: PIN_49 +// MA[4] => Location: PIN_50 +// MA[5] => Location: PIN_51 +// MA[6] => Location: PIN_52 +// MA[7] => Location: PIN_44 +// Q3_2 => Location: PIN_1 +// C3M58 => Location: PIN_10 +// AN3 => Location: PIN_4 +// nCASEN => Location: PIN_77 +// DelayIn[0] => Location: PIN_11 +// DelayIn[1] => Location: PIN_15 +// DelayIn[2] => Location: PIN_17 +// DelayIn[3] => Location: PIN_20 +// MD[0] => Location: PIN_57 +// MD[1] => Location: PIN_61 +// MD[2] => Location: PIN_68 +// MD[3] => Location: PIN_73 +// MD[4] => Location: PIN_69 +// MD[5] => Location: PIN_65 +// MD[6] => Location: PIN_58 +// MD[7] => Location: PIN_55 +// RD[0] => Location: PIN_33 +// RD[1] => Location: PIN_34 +// RD[2] => Location: PIN_35 +// RD[3] => Location: PIN_36 +// RD[4] => Location: PIN_28 +// RD[5] => Location: PIN_29 +// RD[6] => Location: PIN_30 +// RD[7] => Location: PIN_31 +// DelayOut[0] => Location: PIN_12 +// DelayOut[1] => Location: PIN_16 +// DelayOut[2] => Location: PIN_18 +// DelayOut[3] => Location: PIN_21 +// nCAS => Location: PIN_27 +// nRWE => Location: PIN_37 +// XX73SEL => Location: PIN_22 +// nRAS => Location: PIN_39 +// VD[0] => Location: PIN_56 +// VD[1] => Location: PIN_63 +// VD[2] => Location: PIN_67 +// VD[3] => Location: PIN_74 +// VD[4] => Location: PIN_70 +// VD[5] => Location: PIN_64 +// RA[11] => Location: PIN_25 +// RA[8] => Location: PIN_41 +// RA[9] => Location: PIN_40 +// RA[10] => Location: PIN_24 +// VD[6] => Location: PIN_60 +// VD[7] => Location: PIN_54 + + +wire gnd; +wire vcc; +wire unknown; + +assign gnd = 1'b0; +assign vcc = 1'b1; +assign unknown = 1'bx; + +wire \MD[0]~0 ; +wire \MD[1]~1 ; +wire \MD[2]~2 ; +wire \MD[3]~3 ; +wire \MD[4]~4 ; +wire \MD[5]~5 ; +wire \MD[6]~6 ; +wire \MD[7]~7 ; +wire \RD[0]~0 ; +wire \RD[1]~1 ; +wire \RD[2]~2 ; +wire \RD[3]~3 ; +wire \RD[4]~4 ; +wire \RD[5]~5 ; +wire \RD[6]~6 ; +wire \RD[7]~7 ; +wire \nPRAS~dataout ; +wire \C7M~dataout ; +wire \nPCAS~dataout ; +wire \Q3~dataout ; +wire \PHI0~dataout ; +wire \C14M_2~dataout ; +wire \nWE~dataout ; +wire \nEN80~dataout ; +wire \comb~3_dataout ; +wire \MD[0]~24_dataout ; +wire \comb~4_dataout ; +wire \MD[1]~26_dataout ; +wire \MD[2]~28_dataout ; +wire \MD[3]~30_dataout ; +wire \MD[4]~32_dataout ; +wire \MD[5]~34_dataout ; +wire \MD[6]~36_dataout ; +wire \MD[7]~38_dataout ; +wire \~GND~0~dataout ; +wire \~GND~1~dataout ; +wire \~GND~2~dataout ; +wire \~GND~3~dataout ; +wire \nCAS~reg0_dataout ; +wire \C14M~dataout ; +wire \nWE80~dataout ; +wire \nRWE~reg0_dataout ; +wire \XX73SEL~reg0_dataout ; +wire \nRAS~reg0_dataout ; +wire \PHI1~dataout ; +wire \nC07X~dataout ; +wire \RA[11]~reg0_dataout ; +wire \RA[8]~reg0_dataout ; +wire \RA[9]~reg0_dataout ; +wire \RA[10]~reg0_dataout ; +wire [5:0] BA; +wire [7:0] VDR; +wire [7:0] \MA~dataout ; +wire [7:0] MDR; + + +// Location: PIN_57 +max_io \MD[0]~I ( + .datain(MDR[0]), + .oe(\comb~3_dataout ), + .dataout(\MD[0]~0 ), + .padio(MD[0])); +// synopsys translate_off +defparam \MD[0]~I .bus_hold = "false"; +defparam \MD[0]~I .open_drain_output = "false"; +defparam \MD[0]~I .operation_mode = "bidir"; +defparam \MD[0]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_61 +max_io \MD[1]~I ( + .datain(MDR[1]), + .oe(\comb~3_dataout ), + .dataout(\MD[1]~1 ), + .padio(MD[1])); +// synopsys translate_off +defparam \MD[1]~I .bus_hold = "false"; +defparam \MD[1]~I .open_drain_output = "false"; +defparam \MD[1]~I .operation_mode = "bidir"; +defparam \MD[1]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_68 +max_io \MD[2]~I ( + .datain(MDR[2]), + .oe(\comb~3_dataout ), + .dataout(\MD[2]~2 ), + .padio(MD[2])); +// synopsys translate_off +defparam \MD[2]~I .bus_hold = "false"; +defparam \MD[2]~I .open_drain_output = "false"; +defparam \MD[2]~I .operation_mode = "bidir"; +defparam \MD[2]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_73 +max_io \MD[3]~I ( + .datain(MDR[3]), + .oe(\comb~3_dataout ), + .dataout(\MD[3]~3 ), + .padio(MD[3])); +// synopsys translate_off +defparam \MD[3]~I .bus_hold = "false"; +defparam \MD[3]~I .open_drain_output = "false"; +defparam \MD[3]~I .operation_mode = "bidir"; +defparam \MD[3]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_69 +max_io \MD[4]~I ( + .datain(MDR[4]), + .oe(\comb~3_dataout ), + .dataout(\MD[4]~4 ), + .padio(MD[4])); +// synopsys translate_off +defparam \MD[4]~I .bus_hold = "false"; +defparam \MD[4]~I .open_drain_output = "false"; +defparam \MD[4]~I .operation_mode = "bidir"; +defparam \MD[4]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_65 +max_io \MD[5]~I ( + .datain(MDR[5]), + .oe(\comb~3_dataout ), + .dataout(\MD[5]~5 ), + .padio(MD[5])); +// synopsys translate_off +defparam \MD[5]~I .bus_hold = "false"; +defparam \MD[5]~I .open_drain_output = "false"; +defparam \MD[5]~I .operation_mode = "bidir"; +defparam \MD[5]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_58 +max_io \MD[6]~I ( + .datain(MDR[6]), + .oe(\comb~3_dataout ), + .dataout(\MD[6]~6 ), + .padio(MD[6])); +// synopsys translate_off +defparam \MD[6]~I .bus_hold = "false"; +defparam \MD[6]~I .open_drain_output = "false"; +defparam \MD[6]~I .operation_mode = "bidir"; +defparam \MD[6]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_55 +max_io \MD[7]~I ( + .datain(MDR[7]), + .oe(\comb~3_dataout ), + .dataout(\MD[7]~7 ), + .padio(MD[7])); +// synopsys translate_off +defparam \MD[7]~I .bus_hold = "false"; +defparam \MD[7]~I .open_drain_output = "false"; +defparam \MD[7]~I .operation_mode = "bidir"; +defparam \MD[7]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_33 +max_io \RD[0]~I ( + .datain(\MD[0]~24_dataout ), + .oe(\comb~4_dataout ), + .dataout(\RD[0]~0 ), + .padio(RD[0])); +// synopsys translate_off +defparam \RD[0]~I .bus_hold = "false"; +defparam \RD[0]~I .open_drain_output = "false"; +defparam \RD[0]~I .operation_mode = "bidir"; +defparam \RD[0]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_34 +max_io \RD[1]~I ( + .datain(\MD[1]~26_dataout ), + .oe(\comb~4_dataout ), + .dataout(\RD[1]~1 ), + .padio(RD[1])); +// synopsys translate_off +defparam \RD[1]~I .bus_hold = "false"; +defparam \RD[1]~I .open_drain_output = "false"; +defparam \RD[1]~I .operation_mode = "bidir"; +defparam \RD[1]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_35 +max_io \RD[2]~I ( + .datain(\MD[2]~28_dataout ), + .oe(\comb~4_dataout ), + .dataout(\RD[2]~2 ), + .padio(RD[2])); +// synopsys translate_off +defparam \RD[2]~I .bus_hold = "false"; +defparam \RD[2]~I .open_drain_output = "false"; +defparam \RD[2]~I .operation_mode = "bidir"; +defparam \RD[2]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_36 +max_io \RD[3]~I ( + .datain(\MD[3]~30_dataout ), + .oe(\comb~4_dataout ), + .dataout(\RD[3]~3 ), + .padio(RD[3])); +// synopsys translate_off +defparam \RD[3]~I .bus_hold = "false"; +defparam \RD[3]~I .open_drain_output = "false"; +defparam \RD[3]~I .operation_mode = "bidir"; +defparam \RD[3]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_28 +max_io \RD[4]~I ( + .datain(\MD[4]~32_dataout ), + .oe(\comb~4_dataout ), + .dataout(\RD[4]~4 ), + .padio(RD[4])); +// synopsys translate_off +defparam \RD[4]~I .bus_hold = "false"; +defparam \RD[4]~I .open_drain_output = "false"; +defparam \RD[4]~I .operation_mode = "bidir"; +defparam \RD[4]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_29 +max_io \RD[5]~I ( + .datain(\MD[5]~34_dataout ), + .oe(\comb~4_dataout ), + .dataout(\RD[5]~5 ), + .padio(RD[5])); +// synopsys translate_off +defparam \RD[5]~I .bus_hold = "false"; +defparam \RD[5]~I .open_drain_output = "false"; +defparam \RD[5]~I .operation_mode = "bidir"; +defparam \RD[5]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_30 +max_io \RD[6]~I ( + .datain(\MD[6]~36_dataout ), + .oe(\comb~4_dataout ), + .dataout(\RD[6]~6 ), + .padio(RD[6])); +// synopsys translate_off +defparam \RD[6]~I .bus_hold = "false"; +defparam \RD[6]~I .open_drain_output = "false"; +defparam \RD[6]~I .operation_mode = "bidir"; +defparam \RD[6]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_31 +max_io \RD[7]~I ( + .datain(\MD[7]~38_dataout ), + .oe(\comb~4_dataout ), + .dataout(\RD[7]~7 ), + .padio(RD[7])); +// synopsys translate_off +defparam \RD[7]~I .bus_hold = "false"; +defparam \RD[7]~I .open_drain_output = "false"; +defparam \RD[7]~I .operation_mode = "bidir"; +defparam \RD[7]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_8 +max_io \nPRAS~I ( + .datain(gnd), + .oe(gnd), + .dataout(\nPRAS~dataout ), + .padio(nPRAS)); +// synopsys translate_off +defparam \nPRAS~I .bus_hold = "false"; +defparam \nPRAS~I .open_drain_output = "false"; +defparam \nPRAS~I .operation_mode = "input"; +defparam \nPRAS~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_9 +max_io \C7M~I ( + .datain(gnd), + .oe(gnd), + .dataout(\C7M~dataout ), + .padio(C7M)); +// synopsys translate_off +defparam \C7M~I .bus_hold = "false"; +defparam \C7M~I .open_drain_output = "false"; +defparam \C7M~I .operation_mode = "input"; +defparam \C7M~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_81 +max_io \nPCAS~I ( + .datain(gnd), + .oe(gnd), + .dataout(\nPCAS~dataout ), + .padio(nPCAS)); +// synopsys translate_off +defparam \nPCAS~I .bus_hold = "false"; +defparam \nPCAS~I .open_drain_output = "false"; +defparam \nPCAS~I .operation_mode = "input"; +defparam \nPCAS~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_2 +max_io \Q3~I ( + .datain(gnd), + .oe(gnd), + .dataout(\Q3~dataout ), + .padio(Q3)); +// synopsys translate_off +defparam \Q3~I .bus_hold = "false"; +defparam \Q3~I .open_drain_output = "false"; +defparam \Q3~I .operation_mode = "input"; +defparam \Q3~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_75 +max_io \PHI0~I ( + .datain(gnd), + .oe(gnd), + .dataout(\PHI0~dataout ), + .padio(PHI0)); +// synopsys translate_off +defparam \PHI0~I .bus_hold = "false"; +defparam \PHI0~I .open_drain_output = "false"; +defparam \PHI0~I .operation_mode = "input"; +defparam \PHI0~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_84 +max_io \C14M_2~I ( + .datain(gnd), + .oe(gnd), + .dataout(\C14M_2~dataout ), + .padio(C14M_2)); +// synopsys translate_off +defparam \C14M_2~I .bus_hold = "false"; +defparam \C14M_2~I .open_drain_output = "false"; +defparam \C14M_2~I .operation_mode = "input"; +defparam \C14M_2~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: LC88 +max_mcell \MDR[0] ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!MDR[0],\PHI0~dataout ,!\Q3~dataout ,!\nPCAS~dataout ,!\C7M~dataout ,!\nPRAS~dataout ,\RD[0]~0 }), + .pterm2({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,MDR[0],\PHI0~dataout ,!\Q3~dataout ,!\nPCAS~dataout ,!\C7M~dataout ,!\nPRAS~dataout ,!\RD[0]~0 }), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!\C14M_2~dataout }), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(MDR[0]), + .pexpout()); +// synopsys translate_off +defparam \MDR[0] .operation_mode = "normal"; +defparam \MDR[0] .output_mode = "reg"; +defparam \MDR[0] .pexp_mode = "off"; +defparam \MDR[0] .power_up = "low"; +defparam \MDR[0] .register_mode = "tff"; +// synopsys translate_on + +// Location: PIN_5 +max_io \nWE~I ( + .datain(gnd), + .oe(gnd), + .dataout(\nWE~dataout ), + .padio(nWE)); +// synopsys translate_off +defparam \nWE~I .bus_hold = "false"; +defparam \nWE~I .open_drain_output = "false"; +defparam \nWE~I .operation_mode = "input"; +defparam \nWE~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_76 +max_io \nEN80~I ( + .datain(gnd), + .oe(gnd), + .dataout(\nEN80~dataout ), + .padio(nEN80)); +// synopsys translate_off +defparam \nEN80~I .bus_hold = "false"; +defparam \nEN80~I .open_drain_output = "false"; +defparam \nEN80~I .operation_mode = "input"; +defparam \nEN80~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: LC75 +max_mcell \comb~3 ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!\nEN80~dataout ,\nWE~dataout }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\comb~3_dataout ), + .pexpout()); +// synopsys translate_off +defparam \comb~3 .operation_mode = "normal"; +defparam \comb~3 .output_mode = "comb"; +defparam \comb~3 .pexp_mode = "off"; +// synopsys translate_on + +// Location: LC94 +max_mcell \MDR[1] ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!MDR[1],\PHI0~dataout ,!\Q3~dataout ,!\nPCAS~dataout ,!\C7M~dataout ,!\nPRAS~dataout ,\RD[1]~1 }), + .pterm2({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,MDR[1],\PHI0~dataout ,!\Q3~dataout ,!\nPCAS~dataout ,!\C7M~dataout ,!\nPRAS~dataout ,!\RD[1]~1 }), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!\C14M_2~dataout }), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(MDR[1]), + .pexpout()); +// synopsys translate_off +defparam \MDR[1] .operation_mode = "normal"; +defparam \MDR[1] .output_mode = "reg"; +defparam \MDR[1] .pexp_mode = "off"; +defparam \MDR[1] .power_up = "low"; +defparam \MDR[1] .register_mode = "tff"; +// synopsys translate_on + +// Location: LC105 +max_mcell \MDR[2] ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!MDR[2],\PHI0~dataout ,!\Q3~dataout ,!\nPCAS~dataout ,!\C7M~dataout ,!\nPRAS~dataout ,\RD[2]~2 }), + .pterm2({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,MDR[2],\PHI0~dataout ,!\Q3~dataout ,!\nPCAS~dataout ,!\C7M~dataout ,!\nPRAS~dataout ,!\RD[2]~2 }), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!\C14M_2~dataout }), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(MDR[2]), + .pexpout()); +// synopsys translate_off +defparam \MDR[2] .operation_mode = "normal"; +defparam \MDR[2] .output_mode = "reg"; +defparam \MDR[2] .pexp_mode = "off"; +defparam \MDR[2] .power_up = "low"; +defparam \MDR[2] .register_mode = "tff"; +// synopsys translate_on + +// Location: LC115 +max_mcell \MDR[3] ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!MDR[3],\PHI0~dataout ,!\Q3~dataout ,!\nPCAS~dataout ,!\C7M~dataout ,!\nPRAS~dataout ,\RD[3]~3 }), + .pterm2({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,MDR[3],\PHI0~dataout ,!\Q3~dataout ,!\nPCAS~dataout ,!\C7M~dataout ,!\nPRAS~dataout ,!\RD[3]~3 }), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!\C14M_2~dataout }), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(MDR[3]), + .pexpout()); +// synopsys translate_off +defparam \MDR[3] .operation_mode = "normal"; +defparam \MDR[3] .output_mode = "reg"; +defparam \MDR[3] .pexp_mode = "off"; +defparam \MDR[3] .power_up = "low"; +defparam \MDR[3] .register_mode = "tff"; +// synopsys translate_on + +// Location: LC107 +max_mcell \MDR[4] ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!MDR[4],\PHI0~dataout ,!\Q3~dataout ,!\nPCAS~dataout ,!\C7M~dataout ,!\nPRAS~dataout ,\RD[4]~4 }), + .pterm2({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,MDR[4],\PHI0~dataout ,!\Q3~dataout ,!\nPCAS~dataout ,!\C7M~dataout ,!\nPRAS~dataout ,!\RD[4]~4 }), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!\C14M_2~dataout }), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(MDR[4]), + .pexpout()); +// synopsys translate_off +defparam \MDR[4] .operation_mode = "normal"; +defparam \MDR[4] .output_mode = "reg"; +defparam \MDR[4] .pexp_mode = "off"; +defparam \MDR[4] .power_up = "low"; +defparam \MDR[4] .register_mode = "tff"; +// synopsys translate_on + +// Location: LC101 +max_mcell \MDR[5] ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!MDR[5],\PHI0~dataout ,!\Q3~dataout ,!\nPCAS~dataout ,!\C7M~dataout ,!\nPRAS~dataout ,\RD[5]~5 }), + .pterm2({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,MDR[5],\PHI0~dataout ,!\Q3~dataout ,!\nPCAS~dataout ,!\C7M~dataout ,!\nPRAS~dataout ,!\RD[5]~5 }), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!\C14M_2~dataout }), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(MDR[5]), + .pexpout()); +// synopsys translate_off +defparam \MDR[5] .operation_mode = "normal"; +defparam \MDR[5] .output_mode = "reg"; +defparam \MDR[5] .pexp_mode = "off"; +defparam \MDR[5] .power_up = "low"; +defparam \MDR[5] .register_mode = "tff"; +// synopsys translate_on + +// Location: LC91 +max_mcell \MDR[6] ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!MDR[6],\PHI0~dataout ,!\Q3~dataout ,!\nPCAS~dataout ,!\C7M~dataout ,!\nPRAS~dataout ,\RD[6]~6 }), + .pterm2({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,MDR[6],\PHI0~dataout ,!\Q3~dataout ,!\nPCAS~dataout ,!\C7M~dataout ,!\nPRAS~dataout ,!\RD[6]~6 }), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!\C14M_2~dataout }), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(MDR[6]), + .pexpout()); +// synopsys translate_off +defparam \MDR[6] .operation_mode = "normal"; +defparam \MDR[6] .output_mode = "reg"; +defparam \MDR[6] .pexp_mode = "off"; +defparam \MDR[6] .power_up = "low"; +defparam \MDR[6] .register_mode = "tff"; +// synopsys translate_on + +// Location: LC85 +max_mcell \MDR[7] ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!MDR[7],\PHI0~dataout ,!\Q3~dataout ,!\nPCAS~dataout ,!\C7M~dataout ,!\nPRAS~dataout ,\RD[7]~7 }), + .pterm2({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,MDR[7],\PHI0~dataout ,!\Q3~dataout ,!\nPCAS~dataout ,!\C7M~dataout ,!\nPRAS~dataout ,!\RD[7]~7 }), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!\C14M_2~dataout }), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(MDR[7]), + .pexpout()); +// synopsys translate_off +defparam \MDR[7] .operation_mode = "normal"; +defparam \MDR[7] .output_mode = "reg"; +defparam \MDR[7] .pexp_mode = "off"; +defparam \MDR[7] .power_up = "low"; +defparam \MDR[7] .register_mode = "tff"; +// synopsys translate_on + +// Location: LC64 +max_mcell \MD[0]~24 ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\MD[0]~0 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\MD[0]~24_dataout ), + .pexpout()); +// synopsys translate_off +defparam \MD[0]~24 .operation_mode = "normal"; +defparam \MD[0]~24 .output_mode = "comb"; +defparam \MD[0]~24 .pexp_mode = "off"; +// synopsys translate_on + +// Location: LC112 +max_mcell \comb~4 ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!\nEN80~dataout ,!\nWE~dataout }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\comb~4_dataout ), + .pexpout()); +// synopsys translate_off +defparam \comb~4 .operation_mode = "normal"; +defparam \comb~4 .output_mode = "comb"; +defparam \comb~4 .pexp_mode = "off"; +// synopsys translate_on + +// Location: LC61 +max_mcell \MD[1]~26 ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\MD[1]~1 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\MD[1]~26_dataout ), + .pexpout()); +// synopsys translate_off +defparam \MD[1]~26 .operation_mode = "normal"; +defparam \MD[1]~26 .output_mode = "comb"; +defparam \MD[1]~26 .pexp_mode = "off"; +// synopsys translate_on + +// Location: LC59 +max_mcell \MD[2]~28 ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\MD[2]~2 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\MD[2]~28_dataout ), + .pexpout()); +// synopsys translate_off +defparam \MD[2]~28 .operation_mode = "normal"; +defparam \MD[2]~28 .output_mode = "comb"; +defparam \MD[2]~28 .pexp_mode = "off"; +// synopsys translate_on + +// Location: LC57 +max_mcell \MD[3]~30 ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\MD[3]~3 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\MD[3]~30_dataout ), + .pexpout()); +// synopsys translate_off +defparam \MD[3]~30 .operation_mode = "normal"; +defparam \MD[3]~30 .output_mode = "comb"; +defparam \MD[3]~30 .pexp_mode = "off"; +// synopsys translate_on + +// Location: LC40 +max_mcell \MD[4]~32 ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\MD[4]~4 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\MD[4]~32_dataout ), + .pexpout()); +// synopsys translate_off +defparam \MD[4]~32 .operation_mode = "normal"; +defparam \MD[4]~32 .output_mode = "comb"; +defparam \MD[4]~32 .pexp_mode = "off"; +// synopsys translate_on + +// Location: LC38 +max_mcell \MD[5]~34 ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\MD[5]~5 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\MD[5]~34_dataout ), + .pexpout()); +// synopsys translate_off +defparam \MD[5]~34 .operation_mode = "normal"; +defparam \MD[5]~34 .output_mode = "comb"; +defparam \MD[5]~34 .pexp_mode = "off"; +// synopsys translate_on + +// Location: LC37 +max_mcell \MD[6]~36 ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\MD[6]~6 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\MD[6]~36_dataout ), + .pexpout()); +// synopsys translate_off +defparam \MD[6]~36 .operation_mode = "normal"; +defparam \MD[6]~36 .output_mode = "comb"; +defparam \MD[6]~36 .pexp_mode = "off"; +// synopsys translate_on + +// Location: LC35 +max_mcell \MD[7]~38 ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\MD[7]~7 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\MD[7]~38_dataout ), + .pexpout()); +// synopsys translate_off +defparam \MD[7]~38 .operation_mode = "normal"; +defparam \MD[7]~38 .output_mode = "comb"; +defparam \MD[7]~38 .pexp_mode = "off"; +// synopsys translate_on + +// Location: LC3 +max_mcell \~GND~0 ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\~GND~0~dataout ), + .pexpout()); +// synopsys translate_off +defparam \~GND~0 .operation_mode = "normal"; +defparam \~GND~0 .output_mode = "comb"; +defparam \~GND~0 .pexp_mode = "off"; +// synopsys translate_on + +// Location: LC27 +max_mcell \~GND~1 ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\~GND~1~dataout ), + .pexpout()); +// synopsys translate_off +defparam \~GND~1 .operation_mode = "normal"; +defparam \~GND~1 .output_mode = "comb"; +defparam \~GND~1 .pexp_mode = "off"; +// synopsys translate_on + +// Location: LC24 +max_mcell \~GND~2 ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\~GND~2~dataout ), + .pexpout()); +// synopsys translate_off +defparam \~GND~2 .operation_mode = "normal"; +defparam \~GND~2 .output_mode = "comb"; +defparam \~GND~2 .pexp_mode = "off"; +// synopsys translate_on + +// Location: LC19 +max_mcell \~GND~3 ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\~GND~3~dataout ), + .pexpout()); +// synopsys translate_off +defparam \~GND~3 .operation_mode = "normal"; +defparam \~GND~3 .output_mode = "comb"; +defparam \~GND~3 .pexp_mode = "off"; +// synopsys translate_on + +// Location: LC43 +max_mcell \nCAS~reg0 ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!\nPCAS~dataout ,!\C7M~dataout ,!\PHI0~dataout ,!\nPRAS~dataout }), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!\nPCAS~dataout ,\C7M~dataout ,\PHI0~dataout ,!\nPRAS~dataout ,\Q3~dataout }), + .pterm2({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\nPCAS~dataout ,\C7M~dataout ,!\PHI0~dataout ,!\nPRAS~dataout ,\Q3~dataout }), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!\nPCAS~dataout ,!\C7M~dataout ,!\nPRAS~dataout ,!\Q3~dataout }), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!\C14M_2~dataout }), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\nCAS~reg0_dataout ), + .pexpout()); +// synopsys translate_off +defparam \nCAS~reg0 .operation_mode = "invert"; +defparam \nCAS~reg0 .output_mode = "reg"; +defparam \nCAS~reg0 .pexp_mode = "off"; +defparam \nCAS~reg0 .power_up = "low"; +defparam \nCAS~reg0 .register_mode = "dff"; +// synopsys translate_on + +// Location: PIN_83 +max_io \C14M~I ( + .datain(gnd), + .oe(gnd), + .dataout(\C14M~dataout ), + .padio(C14M)); +// synopsys translate_off +defparam \C14M~I .bus_hold = "false"; +defparam \C14M~I .open_drain_output = "false"; +defparam \C14M~I .operation_mode = "input"; +defparam \C14M~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_80 +max_io \nWE80~I ( + .datain(gnd), + .oe(gnd), + .dataout(\nWE80~dataout ), + .padio(nWE80)); +// synopsys translate_off +defparam \nWE80~I .bus_hold = "false"; +defparam \nWE80~I .open_drain_output = "false"; +defparam \nWE80~I .operation_mode = "input"; +defparam \nWE80~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: LC56 +max_mcell \nRWE~reg0 ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\nPCAS~dataout ,\Q3~dataout ,\PHI0~dataout ,!\C7M~dataout ,!\nWE80~dataout ,!\nPRAS~dataout }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\nRWE~reg0_dataout ), + .pexpout()); +// synopsys translate_off +defparam \nRWE~reg0 .operation_mode = "invert"; +defparam \nRWE~reg0 .output_mode = "reg"; +defparam \nRWE~reg0 .pexp_mode = "off"; +defparam \nRWE~reg0 .power_up = "low"; +defparam \nRWE~reg0 .register_mode = "dff"; +// synopsys translate_on + +// Location: PIN_45 +max_io \MA[0]~I ( + .datain(gnd), + .oe(gnd), + .dataout(\MA~dataout [0]), + .padio(MA[0])); +// synopsys translate_off +defparam \MA[0]~I .bus_hold = "false"; +defparam \MA[0]~I .open_drain_output = "false"; +defparam \MA[0]~I .operation_mode = "input"; +defparam \MA[0]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_46 +max_io \MA[1]~I ( + .datain(gnd), + .oe(gnd), + .dataout(\MA~dataout [1]), + .padio(MA[1])); +// synopsys translate_off +defparam \MA[1]~I .bus_hold = "false"; +defparam \MA[1]~I .open_drain_output = "false"; +defparam \MA[1]~I .operation_mode = "input"; +defparam \MA[1]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_48 +max_io \MA[2]~I ( + .datain(gnd), + .oe(gnd), + .dataout(\MA~dataout [2]), + .padio(MA[2])); +// synopsys translate_off +defparam \MA[2]~I .bus_hold = "false"; +defparam \MA[2]~I .open_drain_output = "false"; +defparam \MA[2]~I .operation_mode = "input"; +defparam \MA[2]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_49 +max_io \MA[3]~I ( + .datain(gnd), + .oe(gnd), + .dataout(\MA~dataout [3]), + .padio(MA[3])); +// synopsys translate_off +defparam \MA[3]~I .bus_hold = "false"; +defparam \MA[3]~I .open_drain_output = "false"; +defparam \MA[3]~I .operation_mode = "input"; +defparam \MA[3]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_50 +max_io \MA[4]~I ( + .datain(gnd), + .oe(gnd), + .dataout(\MA~dataout [4]), + .padio(MA[4])); +// synopsys translate_off +defparam \MA[4]~I .bus_hold = "false"; +defparam \MA[4]~I .open_drain_output = "false"; +defparam \MA[4]~I .operation_mode = "input"; +defparam \MA[4]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_51 +max_io \MA[5]~I ( + .datain(gnd), + .oe(gnd), + .dataout(\MA~dataout [5]), + .padio(MA[5])); +// synopsys translate_off +defparam \MA[5]~I .bus_hold = "false"; +defparam \MA[5]~I .open_drain_output = "false"; +defparam \MA[5]~I .operation_mode = "input"; +defparam \MA[5]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_52 +max_io \MA[6]~I ( + .datain(gnd), + .oe(gnd), + .dataout(\MA~dataout [6]), + .padio(MA[6])); +// synopsys translate_off +defparam \MA[6]~I .bus_hold = "false"; +defparam \MA[6]~I .open_drain_output = "false"; +defparam \MA[6]~I .operation_mode = "input"; +defparam \MA[6]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_44 +max_io \MA[7]~I ( + .datain(gnd), + .oe(gnd), + .dataout(\MA~dataout [7]), + .padio(MA[7])); +// synopsys translate_off +defparam \MA[7]~I .bus_hold = "false"; +defparam \MA[7]~I .open_drain_output = "false"; +defparam \MA[7]~I .operation_mode = "input"; +defparam \MA[7]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: LC17 +max_mcell \XX73SEL~reg0 ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!\MA~dataout [7],\MA~dataout [6],\MA~dataout [5],\MA~dataout [4],!\MA~dataout [3],!\MA~dataout [2],\MA~dataout [1],\MA~dataout [0]}), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\nPCAS~dataout ,\Q3~dataout ,\PHI0~dataout ,!\C7M~dataout ,\nPRAS~dataout }), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\XX73SEL~reg0_dataout ), + .pexpout()); +// synopsys translate_off +defparam \XX73SEL~reg0 .operation_mode = "normal"; +defparam \XX73SEL~reg0 .output_mode = "reg"; +defparam \XX73SEL~reg0 .pexp_mode = "off"; +defparam \XX73SEL~reg0 .power_up = "low"; +defparam \XX73SEL~reg0 .register_mode = "dff"; +// synopsys translate_on + +// Location: LC53 +max_mcell \nRAS~reg0 ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\nPCAS~dataout ,\Q3~dataout ,\nPRAS~dataout ,!\PHI0~dataout ,\C7M~dataout }), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!\nPCAS~dataout ,!\Q3~dataout ,!\nPRAS~dataout ,!\PHI0~dataout ,!\C7M~dataout }), + .pterm2({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\nPCAS~dataout ,\Q3~dataout ,!\nPRAS~dataout ,\PHI0~dataout }), + .pterm3({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\nPCAS~dataout ,\Q3~dataout ,\PHI0~dataout ,!\C7M~dataout }), + .pterm4({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\nPCAS~dataout ,\Q3~dataout ,!\nPRAS~dataout ,!\C7M~dataout }), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\nRAS~reg0_dataout ), + .pexpout()); +// synopsys translate_off +defparam \nRAS~reg0 .operation_mode = "invert"; +defparam \nRAS~reg0 .output_mode = "reg"; +defparam \nRAS~reg0 .pexp_mode = "off"; +defparam \nRAS~reg0 .power_up = "low"; +defparam \nRAS~reg0 .register_mode = "dff"; +// synopsys translate_on + +// Location: LC86 +max_mcell \VDR[0] ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!VDR[0],!\nPCAS~dataout ,!\C7M~dataout ,!\nPRAS~dataout ,!\PHI0~dataout ,\Q3~dataout ,\RD[0]~0 }), + .pterm2({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,VDR[0],!\nPCAS~dataout ,!\C7M~dataout ,!\nPRAS~dataout ,!\PHI0~dataout ,\Q3~dataout ,!\RD[0]~0 }), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!\C14M_2~dataout }), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(VDR[0]), + .pexpout()); +// synopsys translate_off +defparam \VDR[0] .operation_mode = "normal"; +defparam \VDR[0] .output_mode = "reg"; +defparam \VDR[0] .pexp_mode = "off"; +defparam \VDR[0] .power_up = "low"; +defparam \VDR[0] .register_mode = "tff"; +// synopsys translate_on + +// Location: PIN_79 +max_io \PHI1~I ( + .datain(gnd), + .oe(gnd), + .dataout(\PHI1~dataout ), + .padio(PHI1)); +// synopsys translate_off +defparam \PHI1~I .bus_hold = "false"; +defparam \PHI1~I .open_drain_output = "false"; +defparam \PHI1~I .operation_mode = "input"; +defparam \PHI1~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: LC97 +max_mcell \VDR[1] ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!VDR[1],!\nPCAS~dataout ,!\C7M~dataout ,!\nPRAS~dataout ,!\PHI0~dataout ,\Q3~dataout ,\RD[1]~1 }), + .pterm2({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,VDR[1],!\nPCAS~dataout ,!\C7M~dataout ,!\nPRAS~dataout ,!\PHI0~dataout ,\Q3~dataout ,!\RD[1]~1 }), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!\C14M_2~dataout }), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(VDR[1]), + .pexpout()); +// synopsys translate_off +defparam \VDR[1] .operation_mode = "normal"; +defparam \VDR[1] .output_mode = "reg"; +defparam \VDR[1] .pexp_mode = "off"; +defparam \VDR[1] .power_up = "low"; +defparam \VDR[1] .register_mode = "tff"; +// synopsys translate_on + +// Location: LC104 +max_mcell \VDR[2] ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!VDR[2],!\nPCAS~dataout ,!\C7M~dataout ,!\nPRAS~dataout ,!\PHI0~dataout ,\Q3~dataout ,\RD[2]~2 }), + .pterm2({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,VDR[2],!\nPCAS~dataout ,!\C7M~dataout ,!\nPRAS~dataout ,!\PHI0~dataout ,\Q3~dataout ,!\RD[2]~2 }), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!\C14M_2~dataout }), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(VDR[2]), + .pexpout()); +// synopsys translate_off +defparam \VDR[2] .operation_mode = "normal"; +defparam \VDR[2] .output_mode = "reg"; +defparam \VDR[2] .pexp_mode = "off"; +defparam \VDR[2] .power_up = "low"; +defparam \VDR[2] .register_mode = "tff"; +// synopsys translate_on + +// Location: LC117 +max_mcell \VDR[3] ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!VDR[3],!\nPCAS~dataout ,!\C7M~dataout ,!\nPRAS~dataout ,!\PHI0~dataout ,\Q3~dataout ,\RD[3]~3 }), + .pterm2({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,VDR[3],!\nPCAS~dataout ,!\C7M~dataout ,!\nPRAS~dataout ,!\PHI0~dataout ,\Q3~dataout ,!\RD[3]~3 }), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!\C14M_2~dataout }), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(VDR[3]), + .pexpout()); +// synopsys translate_off +defparam \VDR[3] .operation_mode = "normal"; +defparam \VDR[3] .output_mode = "reg"; +defparam \VDR[3] .pexp_mode = "off"; +defparam \VDR[3] .power_up = "low"; +defparam \VDR[3] .register_mode = "tff"; +// synopsys translate_on + +// Location: LC109 +max_mcell \VDR[4] ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!VDR[4],!\nPCAS~dataout ,!\C7M~dataout ,!\nPRAS~dataout ,!\PHI0~dataout ,\Q3~dataout ,\RD[4]~4 }), + .pterm2({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,VDR[4],!\nPCAS~dataout ,!\C7M~dataout ,!\nPRAS~dataout ,!\PHI0~dataout ,\Q3~dataout ,!\RD[4]~4 }), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!\C14M_2~dataout }), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(VDR[4]), + .pexpout()); +// synopsys translate_off +defparam \VDR[4] .operation_mode = "normal"; +defparam \VDR[4] .output_mode = "reg"; +defparam \VDR[4] .pexp_mode = "off"; +defparam \VDR[4] .power_up = "low"; +defparam \VDR[4] .register_mode = "tff"; +// synopsys translate_on + +// Location: LC99 +max_mcell \VDR[5] ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!VDR[5],!\nPCAS~dataout ,!\C7M~dataout ,!\nPRAS~dataout ,!\PHI0~dataout ,\Q3~dataout ,\RD[5]~5 }), + .pterm2({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,VDR[5],!\nPCAS~dataout ,!\C7M~dataout ,!\nPRAS~dataout ,!\PHI0~dataout ,\Q3~dataout ,!\RD[5]~5 }), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!\C14M_2~dataout }), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(VDR[5]), + .pexpout()); +// synopsys translate_off +defparam \VDR[5] .operation_mode = "normal"; +defparam \VDR[5] .output_mode = "reg"; +defparam \VDR[5] .pexp_mode = "off"; +defparam \VDR[5] .power_up = "low"; +defparam \VDR[5] .register_mode = "tff"; +// synopsys translate_on + +// Location: PIN_6 +max_io \nC07X~I ( + .datain(gnd), + .oe(gnd), + .dataout(\nC07X~dataout ), + .padio(nC07X)); +// synopsys translate_off +defparam \nC07X~I .bus_hold = "false"; +defparam \nC07X~I .open_drain_output = "false"; +defparam \nC07X~I .operation_mode = "input"; +defparam \nC07X~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: LC55 +max_mcell \BA[5] ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\MD[5]~5 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\PHI0~dataout ,!\Q3~dataout ,!\nPCAS~dataout ,!\C7M~dataout ,!\nC07X~dataout ,!\nWE~dataout ,\nPRAS~dataout ,\XX73SEL~reg0_dataout }), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(BA[5]), + .pexpout()); +// synopsys translate_off +defparam \BA[5] .operation_mode = "normal"; +defparam \BA[5] .output_mode = "reg"; +defparam \BA[5] .pexp_mode = "off"; +defparam \BA[5] .power_up = "low"; +defparam \BA[5] .register_mode = "dff"; +// synopsys translate_on + +// Location: LC45 +max_mcell \RA[11]~reg0 ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\nPCAS~dataout ,\Q3~dataout ,\PHI0~dataout ,BA[5],!\C7M~dataout }), + .pterm2({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\nPRAS~dataout ,!\nPCAS~dataout ,!\Q3~dataout ,!\PHI0~dataout ,BA[5],\C7M~dataout }), + .pterm3({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!\nPRAS~dataout ,\nPCAS~dataout ,\Q3~dataout ,\PHI0~dataout ,BA[5]}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\RA[11]~reg0_dataout ), + .pexpout()); +// synopsys translate_off +defparam \RA[11]~reg0 .operation_mode = "normal"; +defparam \RA[11]~reg0 .output_mode = "reg"; +defparam \RA[11]~reg0 .pexp_mode = "off"; +defparam \RA[11]~reg0 .power_up = "low"; +defparam \RA[11]~reg0 .register_mode = "dff"; +// synopsys translate_on + +// Location: LC52 +max_mcell \BA[3] ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\MD[3]~3 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\PHI0~dataout ,!\Q3~dataout ,!\nPCAS~dataout ,!\C7M~dataout ,!\nC07X~dataout ,!\nWE~dataout ,\nPRAS~dataout ,\XX73SEL~reg0_dataout }), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(BA[3]), + .pexpout()); +// synopsys translate_off +defparam \BA[3] .operation_mode = "normal"; +defparam \BA[3] .output_mode = "reg"; +defparam \BA[3] .pexp_mode = "off"; +defparam \BA[3] .power_up = "low"; +defparam \BA[3] .register_mode = "dff"; +// synopsys translate_on + +// Location: LC60 +max_mcell \BA[0] ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\MD[0]~0 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\PHI0~dataout ,!\Q3~dataout ,!\nPCAS~dataout ,!\C7M~dataout ,!\nC07X~dataout ,!\nWE~dataout ,\nPRAS~dataout ,\XX73SEL~reg0_dataout }), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(BA[0]), + .pexpout()); +// synopsys translate_off +defparam \BA[0] .operation_mode = "normal"; +defparam \BA[0] .output_mode = "reg"; +defparam \BA[0] .pexp_mode = "off"; +defparam \BA[0] .power_up = "low"; +defparam \BA[0] .register_mode = "dff"; +// synopsys translate_on + +// Location: LC49 +max_mcell \RA[8]~reg0 ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\nPCAS~dataout ,\Q3~dataout ,\nPRAS~dataout ,\PHI0~dataout ,BA[3],!\C7M~dataout }), + .pterm2({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!\nPCAS~dataout ,!\Q3~dataout ,\nPRAS~dataout ,!\PHI0~dataout ,BA[3],\C7M~dataout }), + .pterm3({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,BA[0],\nPCAS~dataout ,\Q3~dataout ,!\nPRAS~dataout ,\PHI0~dataout }), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\RA[8]~reg0_dataout ), + .pexpout()); +// synopsys translate_off +defparam \RA[8]~reg0 .operation_mode = "normal"; +defparam \RA[8]~reg0 .output_mode = "reg"; +defparam \RA[8]~reg0 .pexp_mode = "off"; +defparam \RA[8]~reg0 .power_up = "low"; +defparam \RA[8]~reg0 .register_mode = "dff"; +// synopsys translate_on + +// Location: LC50 +max_mcell \BA[4] ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\MD[4]~4 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\PHI0~dataout ,!\Q3~dataout ,!\nPCAS~dataout ,!\C7M~dataout ,!\nC07X~dataout ,!\nWE~dataout ,\nPRAS~dataout ,\XX73SEL~reg0_dataout }), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(BA[4]), + .pexpout()); +// synopsys translate_off +defparam \BA[4] .operation_mode = "normal"; +defparam \BA[4] .output_mode = "reg"; +defparam \BA[4] .pexp_mode = "off"; +defparam \BA[4] .power_up = "low"; +defparam \BA[4] .register_mode = "dff"; +// synopsys translate_on + +// Location: LC58 +max_mcell \BA[1] ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\MD[1]~1 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\PHI0~dataout ,!\Q3~dataout ,!\nPCAS~dataout ,!\C7M~dataout ,!\nC07X~dataout ,!\nWE~dataout ,\nPRAS~dataout ,\XX73SEL~reg0_dataout }), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(BA[1]), + .pexpout()); +// synopsys translate_off +defparam \BA[1] .operation_mode = "normal"; +defparam \BA[1] .output_mode = "reg"; +defparam \BA[1] .pexp_mode = "off"; +defparam \BA[1] .power_up = "low"; +defparam \BA[1] .register_mode = "dff"; +// synopsys translate_on + +// Location: LC51 +max_mcell \RA[9]~reg0 ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\nPCAS~dataout ,\Q3~dataout ,\nPRAS~dataout ,\PHI0~dataout ,BA[4],!\C7M~dataout }), + .pterm2({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!\nPCAS~dataout ,!\Q3~dataout ,\nPRAS~dataout ,!\PHI0~dataout ,BA[4],\C7M~dataout }), + .pterm3({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,BA[1],\nPCAS~dataout ,\Q3~dataout ,!\nPRAS~dataout ,\PHI0~dataout }), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\RA[9]~reg0_dataout ), + .pexpout()); +// synopsys translate_off +defparam \RA[9]~reg0 .operation_mode = "normal"; +defparam \RA[9]~reg0 .output_mode = "reg"; +defparam \RA[9]~reg0 .pexp_mode = "off"; +defparam \RA[9]~reg0 .power_up = "low"; +defparam \RA[9]~reg0 .register_mode = "dff"; +// synopsys translate_on + +// Location: LC54 +max_mcell \BA[2] ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\MD[2]~2 }), + .pterm2({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\PHI0~dataout ,!\Q3~dataout ,!\nPCAS~dataout ,!\C7M~dataout ,!\nC07X~dataout ,!\nWE~dataout ,\nPRAS~dataout ,\XX73SEL~reg0_dataout }), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(BA[2]), + .pexpout()); +// synopsys translate_off +defparam \BA[2] .operation_mode = "normal"; +defparam \BA[2] .output_mode = "reg"; +defparam \BA[2] .pexp_mode = "off"; +defparam \BA[2] .power_up = "low"; +defparam \BA[2] .register_mode = "dff"; +// synopsys translate_on + +// Location: LC46 +max_mcell \RA[10]~reg0 ( + .clk(\C14M~dataout ), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,\nPCAS~dataout ,\Q3~dataout ,\nPRAS~dataout ,\PHI0~dataout ,BA[5],!\C7M~dataout }), + .pterm2({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!\nPCAS~dataout ,!\Q3~dataout ,\nPRAS~dataout ,!\PHI0~dataout ,BA[5],\C7M~dataout }), + .pterm3({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,BA[2],\nPCAS~dataout ,\Q3~dataout ,!\nPRAS~dataout ,\PHI0~dataout }), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(\RA[10]~reg0_dataout ), + .pexpout()); +// synopsys translate_off +defparam \RA[10]~reg0 .operation_mode = "normal"; +defparam \RA[10]~reg0 .output_mode = "reg"; +defparam \RA[10]~reg0 .pexp_mode = "off"; +defparam \RA[10]~reg0 .power_up = "low"; +defparam \RA[10]~reg0 .register_mode = "dff"; +// synopsys translate_on + +// Location: LC93 +max_mcell \VDR[6] ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!VDR[6],!\nPCAS~dataout ,!\C7M~dataout ,!\nPRAS~dataout ,!\PHI0~dataout ,\Q3~dataout ,\RD[6]~6 }), + .pterm2({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,VDR[6],!\nPCAS~dataout ,!\C7M~dataout ,!\nPRAS~dataout ,!\PHI0~dataout ,\Q3~dataout ,!\RD[6]~6 }), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!\C14M_2~dataout }), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(VDR[6]), + .pexpout()); +// synopsys translate_off +defparam \VDR[6] .operation_mode = "normal"; +defparam \VDR[6] .output_mode = "reg"; +defparam \VDR[6] .pexp_mode = "off"; +defparam \VDR[6] .power_up = "low"; +defparam \VDR[6] .register_mode = "tff"; +// synopsys translate_on + +// Location: LC83 +max_mcell \VDR[7] ( + .clk(gnd), + .aclr(gnd), + .pexpin(gnd), + .fpin(vcc), + .pterm0({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm1({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!VDR[7],!\nPCAS~dataout ,!\C7M~dataout ,!\nPRAS~dataout ,!\PHI0~dataout ,\Q3~dataout ,\RD[7]~7 }), + .pterm2({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,VDR[7],!\nPCAS~dataout ,!\C7M~dataout ,!\nPRAS~dataout ,!\PHI0~dataout ,\Q3~dataout ,!\RD[7]~7 }), + .pterm3({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm4({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pterm5({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pxor({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .pclk({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,!\C14M_2~dataout }), + .pena({vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc,vcc}), + .paclr({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .papre({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .dataout(VDR[7]), + .pexpout()); +// synopsys translate_off +defparam \VDR[7] .operation_mode = "normal"; +defparam \VDR[7] .output_mode = "reg"; +defparam \VDR[7] .pexp_mode = "off"; +defparam \VDR[7] .power_up = "low"; +defparam \VDR[7] .register_mode = "tff"; +// synopsys translate_on + +// Location: PIN_1 +max_io \Q3_2~I ( + .datain(gnd), + .oe(gnd), + .dataout(), + .padio(Q3_2)); +// synopsys translate_off +defparam \Q3_2~I .bus_hold = "false"; +defparam \Q3_2~I .open_drain_output = "false"; +defparam \Q3_2~I .operation_mode = "input"; +defparam \Q3_2~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_10 +max_io \C3M58~I ( + .datain(gnd), + .oe(gnd), + .dataout(), + .padio(C3M58)); +// synopsys translate_off +defparam \C3M58~I .bus_hold = "false"; +defparam \C3M58~I .open_drain_output = "false"; +defparam \C3M58~I .operation_mode = "input"; +defparam \C3M58~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_4 +max_io \AN3~I ( + .datain(gnd), + .oe(gnd), + .dataout(), + .padio(AN3)); +// synopsys translate_off +defparam \AN3~I .bus_hold = "false"; +defparam \AN3~I .open_drain_output = "false"; +defparam \AN3~I .operation_mode = "input"; +defparam \AN3~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_77 +max_io \nCASEN~I ( + .datain(gnd), + .oe(gnd), + .dataout(), + .padio(nCASEN)); +// synopsys translate_off +defparam \nCASEN~I .bus_hold = "false"; +defparam \nCASEN~I .open_drain_output = "false"; +defparam \nCASEN~I .operation_mode = "input"; +defparam \nCASEN~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_11 +max_io \DelayIn[0]~I ( + .datain(gnd), + .oe(gnd), + .dataout(), + .padio(DelayIn[0])); +// synopsys translate_off +defparam \DelayIn[0]~I .bus_hold = "false"; +defparam \DelayIn[0]~I .open_drain_output = "false"; +defparam \DelayIn[0]~I .operation_mode = "input"; +defparam \DelayIn[0]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_15 +max_io \DelayIn[1]~I ( + .datain(gnd), + .oe(gnd), + .dataout(), + .padio(DelayIn[1])); +// synopsys translate_off +defparam \DelayIn[1]~I .bus_hold = "false"; +defparam \DelayIn[1]~I .open_drain_output = "false"; +defparam \DelayIn[1]~I .operation_mode = "input"; +defparam \DelayIn[1]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_17 +max_io \DelayIn[2]~I ( + .datain(gnd), + .oe(gnd), + .dataout(), + .padio(DelayIn[2])); +// synopsys translate_off +defparam \DelayIn[2]~I .bus_hold = "false"; +defparam \DelayIn[2]~I .open_drain_output = "false"; +defparam \DelayIn[2]~I .operation_mode = "input"; +defparam \DelayIn[2]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_20 +max_io \DelayIn[3]~I ( + .datain(gnd), + .oe(gnd), + .dataout(), + .padio(DelayIn[3])); +// synopsys translate_off +defparam \DelayIn[3]~I .bus_hold = "false"; +defparam \DelayIn[3]~I .open_drain_output = "false"; +defparam \DelayIn[3]~I .operation_mode = "input"; +defparam \DelayIn[3]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_12 +max_io \DelayOut[0]~I ( + .datain(\~GND~0~dataout ), + .oe(vcc), + .dataout(), + .padio(DelayOut[0])); +// synopsys translate_off +defparam \DelayOut[0]~I .bus_hold = "false"; +defparam \DelayOut[0]~I .open_drain_output = "false"; +defparam \DelayOut[0]~I .operation_mode = "output"; +defparam \DelayOut[0]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_16 +max_io \DelayOut[1]~I ( + .datain(\~GND~1~dataout ), + .oe(vcc), + .dataout(), + .padio(DelayOut[1])); +// synopsys translate_off +defparam \DelayOut[1]~I .bus_hold = "false"; +defparam \DelayOut[1]~I .open_drain_output = "false"; +defparam \DelayOut[1]~I .operation_mode = "output"; +defparam \DelayOut[1]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_18 +max_io \DelayOut[2]~I ( + .datain(\~GND~2~dataout ), + .oe(vcc), + .dataout(), + .padio(DelayOut[2])); +// synopsys translate_off +defparam \DelayOut[2]~I .bus_hold = "false"; +defparam \DelayOut[2]~I .open_drain_output = "false"; +defparam \DelayOut[2]~I .operation_mode = "output"; +defparam \DelayOut[2]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_21 +max_io \DelayOut[3]~I ( + .datain(\~GND~3~dataout ), + .oe(vcc), + .dataout(), + .padio(DelayOut[3])); +// synopsys translate_off +defparam \DelayOut[3]~I .bus_hold = "false"; +defparam \DelayOut[3]~I .open_drain_output = "false"; +defparam \DelayOut[3]~I .operation_mode = "output"; +defparam \DelayOut[3]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_27 +max_io \nCAS~I ( + .datain(\nCAS~reg0_dataout ), + .oe(vcc), + .dataout(), + .padio(nCAS)); +// synopsys translate_off +defparam \nCAS~I .bus_hold = "false"; +defparam \nCAS~I .open_drain_output = "false"; +defparam \nCAS~I .operation_mode = "output"; +defparam \nCAS~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_37 +max_io \nRWE~I ( + .datain(\nRWE~reg0_dataout ), + .oe(vcc), + .dataout(), + .padio(nRWE)); +// synopsys translate_off +defparam \nRWE~I .bus_hold = "false"; +defparam \nRWE~I .open_drain_output = "false"; +defparam \nRWE~I .operation_mode = "output"; +defparam \nRWE~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_22 +max_io \XX73SEL~I ( + .datain(\XX73SEL~reg0_dataout ), + .oe(vcc), + .dataout(), + .padio(XX73SEL)); +// synopsys translate_off +defparam \XX73SEL~I .bus_hold = "false"; +defparam \XX73SEL~I .open_drain_output = "false"; +defparam \XX73SEL~I .operation_mode = "output"; +defparam \XX73SEL~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_39 +max_io \nRAS~I ( + .datain(\nRAS~reg0_dataout ), + .oe(vcc), + .dataout(), + .padio(nRAS)); +// synopsys translate_off +defparam \nRAS~I .bus_hold = "false"; +defparam \nRAS~I .open_drain_output = "false"; +defparam \nRAS~I .operation_mode = "output"; +defparam \nRAS~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_56 +max_io \VD[0]~I ( + .datain(VDR[0]), + .oe(!\PHI1~dataout ), + .dataout(), + .padio(VD[0])); +// synopsys translate_off +defparam \VD[0]~I .bus_hold = "false"; +defparam \VD[0]~I .open_drain_output = "false"; +defparam \VD[0]~I .operation_mode = "bidir"; +defparam \VD[0]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_63 +max_io \VD[1]~I ( + .datain(VDR[1]), + .oe(!\PHI1~dataout ), + .dataout(), + .padio(VD[1])); +// synopsys translate_off +defparam \VD[1]~I .bus_hold = "false"; +defparam \VD[1]~I .open_drain_output = "false"; +defparam \VD[1]~I .operation_mode = "bidir"; +defparam \VD[1]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_67 +max_io \VD[2]~I ( + .datain(VDR[2]), + .oe(!\PHI1~dataout ), + .dataout(), + .padio(VD[2])); +// synopsys translate_off +defparam \VD[2]~I .bus_hold = "false"; +defparam \VD[2]~I .open_drain_output = "false"; +defparam \VD[2]~I .operation_mode = "bidir"; +defparam \VD[2]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_74 +max_io \VD[3]~I ( + .datain(VDR[3]), + .oe(!\PHI1~dataout ), + .dataout(), + .padio(VD[3])); +// synopsys translate_off +defparam \VD[3]~I .bus_hold = "false"; +defparam \VD[3]~I .open_drain_output = "false"; +defparam \VD[3]~I .operation_mode = "bidir"; +defparam \VD[3]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_70 +max_io \VD[4]~I ( + .datain(VDR[4]), + .oe(!\PHI1~dataout ), + .dataout(), + .padio(VD[4])); +// synopsys translate_off +defparam \VD[4]~I .bus_hold = "false"; +defparam \VD[4]~I .open_drain_output = "false"; +defparam \VD[4]~I .operation_mode = "bidir"; +defparam \VD[4]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_64 +max_io \VD[5]~I ( + .datain(VDR[5]), + .oe(!\PHI1~dataout ), + .dataout(), + .padio(VD[5])); +// synopsys translate_off +defparam \VD[5]~I .bus_hold = "false"; +defparam \VD[5]~I .open_drain_output = "false"; +defparam \VD[5]~I .operation_mode = "bidir"; +defparam \VD[5]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_25 +max_io \RA[11]~I ( + .datain(\RA[11]~reg0_dataout ), + .oe(vcc), + .dataout(), + .padio(RA[11])); +// synopsys translate_off +defparam \RA[11]~I .bus_hold = "false"; +defparam \RA[11]~I .open_drain_output = "false"; +defparam \RA[11]~I .operation_mode = "output"; +defparam \RA[11]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_41 +max_io \RA[8]~I ( + .datain(\RA[8]~reg0_dataout ), + .oe(vcc), + .dataout(), + .padio(RA[8])); +// synopsys translate_off +defparam \RA[8]~I .bus_hold = "false"; +defparam \RA[8]~I .open_drain_output = "false"; +defparam \RA[8]~I .operation_mode = "output"; +defparam \RA[8]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_40 +max_io \RA[9]~I ( + .datain(\RA[9]~reg0_dataout ), + .oe(vcc), + .dataout(), + .padio(RA[9])); +// synopsys translate_off +defparam \RA[9]~I .bus_hold = "false"; +defparam \RA[9]~I .open_drain_output = "false"; +defparam \RA[9]~I .operation_mode = "output"; +defparam \RA[9]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_24 +max_io \RA[10]~I ( + .datain(\RA[10]~reg0_dataout ), + .oe(vcc), + .dataout(), + .padio(RA[10])); +// synopsys translate_off +defparam \RA[10]~I .bus_hold = "false"; +defparam \RA[10]~I .open_drain_output = "false"; +defparam \RA[10]~I .operation_mode = "output"; +defparam \RA[10]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_60 +max_io \VD[6]~I ( + .datain(VDR[6]), + .oe(!\PHI1~dataout ), + .dataout(), + .padio(VD[6])); +// synopsys translate_off +defparam \VD[6]~I .bus_hold = "false"; +defparam \VD[6]~I .open_drain_output = "false"; +defparam \VD[6]~I .operation_mode = "bidir"; +defparam \VD[6]~I .weak_pull_up = "false"; +// synopsys translate_on + +// Location: PIN_54 +max_io \VD[7]~I ( + .datain(VDR[7]), + .oe(!\PHI1~dataout ), + .dataout(), + .padio(VD[7])); +// synopsys translate_off +defparam \VD[7]~I .bus_hold = "false"; +defparam \VD[7]~I .open_drain_output = "false"; +defparam \VD[7]~I .operation_mode = "bidir"; +defparam \VD[7]~I .weak_pull_up = "false"; +// synopsys translate_on + +endmodule diff --git a/cpld/simulation/qsim/RAM2E.vt b/cpld/simulation/qsim/RAM2E.vt new file mode 100755 index 0000000..61a863c --- /dev/null +++ b/cpld/simulation/qsim/RAM2E.vt @@ -0,0 +1,1213 @@ +// Copyright (C) 1991-2013 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + +// ***************************************************************************** +// This file contains a Verilog test bench with test vectors .The test vectors +// are exported from a vector file in the Quartus Waveform Editor and apply to +// the top level entity of the current Quartus project .The user can use this +// testbench to simulate his design using a third-party simulation tool . +// ***************************************************************************** +// Generated on "08/22/2019 14:54:31" + +// Verilog Self-Checking Test Bench (with test vectors) for design : RAM2E +// +// Simulation tool : 3rd Party +// + +`timescale 1 ps/ 1 ps +module RAM2E_vlg_sample_tst( + AN3, + C3M58, + C7M, + C14M, + C14M_2, + DelayIn, + MA, + MD, + PHI0, + PHI1, + Q3, + Q3_2, + RD, + VD, + nC07X, + nCASEN, + nEN80, + nPCAS, + nPRAS, + nWE, + nWE80, + sampler_tx +); +input AN3; +input C3M58; +input C7M; +input C14M; +input C14M_2; +input [3:0] DelayIn; +input [7:0] MA; +input [7:0] MD; +input PHI0; +input PHI1; +input Q3; +input Q3_2; +input [7:0] RD; +input [7:0] VD; +input nC07X; +input nCASEN; +input nEN80; +input nPCAS; +input nPRAS; +input nWE; +input nWE80; +output sampler_tx; + +reg sample; +time current_time; +always @(AN3 or C3M58 or C7M or C14M or C14M_2 or DelayIn or MA or MD or PHI0 or PHI1 or Q3 or Q3_2 or RD or VD or nC07X or nCASEN or nEN80 or nPCAS or nPRAS or nWE or nWE80) + +begin + if ($realtime > 0) + begin + if ($realtime == 0 || $realtime != current_time) + begin + if (sample === 1'bx) + sample = 0; + else + sample = ~sample; + end + current_time = $realtime; + end +end + +assign sampler_tx = sample; +endmodule + +module RAM2E_vlg_check_tst ( + DelayOut, + MD, + RA, + RD, + VD, + XX73SEL, + nCAS, + nRAS, + nRWE, + sampler_rx +); +input [3:0] DelayOut; +input [7:0] MD; +input [11:8] RA; +input [7:0] RD; +input [7:0] VD; +input XX73SEL; +input nCAS; +input nRAS; +input nRWE; +input sampler_rx; + +reg [3:0] DelayOut_expected; +reg [7:0] MD_expected; +reg [11:8] RA_expected; +reg [7:0] RD_expected; +reg [7:0] VD_expected; +reg XX73SEL_expected; +reg nCAS_expected; +reg nRAS_expected; +reg nRWE_expected; + +reg [3:0] DelayOut_prev; +reg [7:0] MD_prev; +reg [11:8] RA_prev; +reg [7:0] RD_prev; +reg [7:0] VD_prev; +reg XX73SEL_prev; +reg nCAS_prev; +reg nRAS_prev; +reg nRWE_prev; + +reg [3:0] DelayOut_expected_prev; +reg [7:0] MD_expected_prev; +reg [11:8] RA_expected_prev; +reg [7:0] RD_expected_prev; +reg [7:0] VD_expected_prev; +reg nCAS_expected_prev; +reg nRAS_expected_prev; +reg nRWE_expected_prev; + +reg [3:0] last_DelayOut_exp; +reg [7:0] last_MD_exp; +reg [11:8] last_RA_exp; +reg [7:0] last_RD_exp; +reg [7:0] last_VD_exp; +reg last_nCAS_exp; +reg last_nRAS_exp; +reg last_nRWE_exp; + +reg trigger; + +integer i; +integer nummismatches; + +reg [1:9] on_first_change ; + + +initial +begin +trigger = 0; +i = 0; +nummismatches = 0; +on_first_change = 9'b1; +end + +// update real /o prevs + +always @(trigger) +begin + DelayOut_prev = DelayOut; + MD_prev = MD; + RA_prev = RA; + RD_prev = RD; + VD_prev = VD; + XX73SEL_prev = XX73SEL; + nCAS_prev = nCAS; + nRAS_prev = nRAS; + nRWE_prev = nRWE; +end + +// update expected /o prevs + +always @(trigger) +begin + DelayOut_expected_prev = DelayOut_expected; + MD_expected_prev = MD_expected; + RA_expected_prev = RA_expected; + RD_expected_prev = RD_expected; + VD_expected_prev = VD_expected; + nCAS_expected_prev = nCAS_expected; + nRAS_expected_prev = nRAS_expected; + nRWE_expected_prev = nRWE_expected; +end + + +// expected RA[ 11 ] +initial +begin + RA_expected[11] = 1'bZ; +end +// expected RA[ 10 ] +initial +begin + RA_expected[10] = 1'bZ; +end +// expected RA[ 9 ] +initial +begin + RA_expected[9] = 1'bZ; +end +// expected RA[ 8 ] +initial +begin + RA_expected[8] = 1'bZ; +end + +// expected nRAS +initial +begin + nRAS_expected = 1'bZ; +end + +// expected nCAS +initial +begin + nCAS_expected = 1'bZ; +end + +// expected nRWE +initial +begin + nRWE_expected = 1'bZ; +end + +// expected DelayOut +initial +begin + DelayOut_expected = 1'bZ; +end +// generate trigger +always @(DelayOut_expected or DelayOut or MD_expected or MD or RA_expected or RA or RD_expected or RD or VD_expected or VD or XX73SEL_expected or XX73SEL or nCAS_expected or nCAS or nRAS_expected or nRAS or nRWE_expected or nRWE) +begin + trigger <= ~trigger; +end + +always @(posedge sampler_rx or negedge sampler_rx) +begin +`ifdef debug_tbench + $display("Scanning pattern %d @time = %t",i,$realtime ); + i = i + 1; + $display("| expected DelayOut = %b | expected MD = %b | expected RA = %b | expected RD = %b | expected VD = %b | expected XX73SEL = %b | expected nCAS = %b | expected nRAS = %b | expected nRWE = %b | ",DelayOut_expected_prev,MD_expected_prev,RA_expected_prev,RD_expected_prev,VD_expected_prev,XX73SEL_expected_prev,nCAS_expected_prev,nRAS_expected_prev,nRWE_expected_prev); + $display("| real DelayOut = %b | real MD = %b | real RA = %b | real RD = %b | real VD = %b | real XX73SEL = %b | real nCAS = %b | real nRAS = %b | real nRWE = %b | ",DelayOut_prev,MD_prev,RA_prev,RD_prev,VD_prev,XX73SEL_prev,nCAS_prev,nRAS_prev,nRWE_prev); +`endif + if ( + ( DelayOut_expected_prev[0] !== 1'bx ) && ( DelayOut_prev[0] !== DelayOut_expected_prev[0] ) + && ((DelayOut_expected_prev[0] !== last_DelayOut_exp[0]) || + on_first_change[1]) + ) + begin + $display ("ERROR! Vector Mismatch for output port DelayOut[0] :: @time = %t", $realtime); + $display (" Expected value = %b", DelayOut_expected_prev); + $display (" Real value = %b", DelayOut_prev); + nummismatches = nummismatches + 1; + on_first_change[1] = 1'b0; + last_DelayOut_exp[0] = DelayOut_expected_prev[0]; + end + if ( + ( DelayOut_expected_prev[1] !== 1'bx ) && ( DelayOut_prev[1] !== DelayOut_expected_prev[1] ) + && ((DelayOut_expected_prev[1] !== last_DelayOut_exp[1]) || + on_first_change[1]) + ) + begin + $display ("ERROR! Vector Mismatch for output port DelayOut[1] :: @time = %t", $realtime); + $display (" Expected value = %b", DelayOut_expected_prev); + $display (" Real value = %b", DelayOut_prev); + nummismatches = nummismatches + 1; + on_first_change[1] = 1'b0; + last_DelayOut_exp[1] = DelayOut_expected_prev[1]; + end + if ( + ( DelayOut_expected_prev[2] !== 1'bx ) && ( DelayOut_prev[2] !== DelayOut_expected_prev[2] ) + && ((DelayOut_expected_prev[2] !== last_DelayOut_exp[2]) || + on_first_change[1]) + ) + begin + $display ("ERROR! Vector Mismatch for output port DelayOut[2] :: @time = %t", $realtime); + $display (" Expected value = %b", DelayOut_expected_prev); + $display (" Real value = %b", DelayOut_prev); + nummismatches = nummismatches + 1; + on_first_change[1] = 1'b0; + last_DelayOut_exp[2] = DelayOut_expected_prev[2]; + end + if ( + ( DelayOut_expected_prev[3] !== 1'bx ) && ( DelayOut_prev[3] !== DelayOut_expected_prev[3] ) + && ((DelayOut_expected_prev[3] !== last_DelayOut_exp[3]) || + on_first_change[1]) + ) + begin + $display ("ERROR! Vector Mismatch for output port DelayOut[3] :: @time = %t", $realtime); + $display (" Expected value = %b", DelayOut_expected_prev); + $display (" Real value = %b", DelayOut_prev); + nummismatches = nummismatches + 1; + on_first_change[1] = 1'b0; + last_DelayOut_exp[3] = DelayOut_expected_prev[3]; + end + if ( + ( MD_expected_prev[0] !== 1'bx ) && ( MD_prev[0] !== MD_expected_prev[0] ) + && ((MD_expected_prev[0] !== last_MD_exp[0]) || + on_first_change[2]) + ) + begin + $display ("ERROR! Vector Mismatch for output port MD[0] :: @time = %t", $realtime); + $display (" Expected value = %b", MD_expected_prev); + $display (" Real value = %b", MD_prev); + nummismatches = nummismatches + 1; + on_first_change[2] = 1'b0; + last_MD_exp[0] = MD_expected_prev[0]; + end + if ( + ( MD_expected_prev[1] !== 1'bx ) && ( MD_prev[1] !== MD_expected_prev[1] ) + && ((MD_expected_prev[1] !== last_MD_exp[1]) || + on_first_change[2]) + ) + begin + $display ("ERROR! Vector Mismatch for output port MD[1] :: @time = %t", $realtime); + $display (" Expected value = %b", MD_expected_prev); + $display (" Real value = %b", MD_prev); + nummismatches = nummismatches + 1; + on_first_change[2] = 1'b0; + last_MD_exp[1] = MD_expected_prev[1]; + end + if ( + ( MD_expected_prev[2] !== 1'bx ) && ( MD_prev[2] !== MD_expected_prev[2] ) + && ((MD_expected_prev[2] !== last_MD_exp[2]) || + on_first_change[2]) + ) + begin + $display ("ERROR! Vector Mismatch for output port MD[2] :: @time = %t", $realtime); + $display (" Expected value = %b", MD_expected_prev); + $display (" Real value = %b", MD_prev); + nummismatches = nummismatches + 1; + on_first_change[2] = 1'b0; + last_MD_exp[2] = MD_expected_prev[2]; + end + if ( + ( MD_expected_prev[3] !== 1'bx ) && ( MD_prev[3] !== MD_expected_prev[3] ) + && ((MD_expected_prev[3] !== last_MD_exp[3]) || + on_first_change[2]) + ) + begin + $display ("ERROR! Vector Mismatch for output port MD[3] :: @time = %t", $realtime); + $display (" Expected value = %b", MD_expected_prev); + $display (" Real value = %b", MD_prev); + nummismatches = nummismatches + 1; + on_first_change[2] = 1'b0; + last_MD_exp[3] = MD_expected_prev[3]; + end + if ( + ( MD_expected_prev[4] !== 1'bx ) && ( MD_prev[4] !== MD_expected_prev[4] ) + && ((MD_expected_prev[4] !== last_MD_exp[4]) || + on_first_change[2]) + ) + begin + $display ("ERROR! Vector Mismatch for output port MD[4] :: @time = %t", $realtime); + $display (" Expected value = %b", MD_expected_prev); + $display (" Real value = %b", MD_prev); + nummismatches = nummismatches + 1; + on_first_change[2] = 1'b0; + last_MD_exp[4] = MD_expected_prev[4]; + end + if ( + ( MD_expected_prev[5] !== 1'bx ) && ( MD_prev[5] !== MD_expected_prev[5] ) + && ((MD_expected_prev[5] !== last_MD_exp[5]) || + on_first_change[2]) + ) + begin + $display ("ERROR! Vector Mismatch for output port MD[5] :: @time = %t", $realtime); + $display (" Expected value = %b", MD_expected_prev); + $display (" Real value = %b", MD_prev); + nummismatches = nummismatches + 1; + on_first_change[2] = 1'b0; + last_MD_exp[5] = MD_expected_prev[5]; + end + if ( + ( MD_expected_prev[6] !== 1'bx ) && ( MD_prev[6] !== MD_expected_prev[6] ) + && ((MD_expected_prev[6] !== last_MD_exp[6]) || + on_first_change[2]) + ) + begin + $display ("ERROR! Vector Mismatch for output port MD[6] :: @time = %t", $realtime); + $display (" Expected value = %b", MD_expected_prev); + $display (" Real value = %b", MD_prev); + nummismatches = nummismatches + 1; + on_first_change[2] = 1'b0; + last_MD_exp[6] = MD_expected_prev[6]; + end + if ( + ( MD_expected_prev[7] !== 1'bx ) && ( MD_prev[7] !== MD_expected_prev[7] ) + && ((MD_expected_prev[7] !== last_MD_exp[7]) || + on_first_change[2]) + ) + begin + $display ("ERROR! Vector Mismatch for output port MD[7] :: @time = %t", $realtime); + $display (" Expected value = %b", MD_expected_prev); + $display (" Real value = %b", MD_prev); + nummismatches = nummismatches + 1; + on_first_change[2] = 1'b0; + last_MD_exp[7] = MD_expected_prev[7]; + end + if ( + ( RA_expected_prev[0] !== 1'bx ) && ( RA_prev[0] !== RA_expected_prev[0] ) + && ((RA_expected_prev[0] !== last_RA_exp[0]) || + on_first_change[3]) + ) + begin + $display ("ERROR! Vector Mismatch for output port RA[0] :: @time = %t", $realtime); + $display (" Expected value = %b", RA_expected_prev); + $display (" Real value = %b", RA_prev); + nummismatches = nummismatches + 1; + on_first_change[3] = 1'b0; + last_RA_exp[0] = RA_expected_prev[0]; + end + if ( + ( RA_expected_prev[1] !== 1'bx ) && ( RA_prev[1] !== RA_expected_prev[1] ) + && ((RA_expected_prev[1] !== last_RA_exp[1]) || + on_first_change[3]) + ) + begin + $display ("ERROR! Vector Mismatch for output port RA[1] :: @time = %t", $realtime); + $display (" Expected value = %b", RA_expected_prev); + $display (" Real value = %b", RA_prev); + nummismatches = nummismatches + 1; + on_first_change[3] = 1'b0; + last_RA_exp[1] = RA_expected_prev[1]; + end + if ( + ( RA_expected_prev[2] !== 1'bx ) && ( RA_prev[2] !== RA_expected_prev[2] ) + && ((RA_expected_prev[2] !== last_RA_exp[2]) || + on_first_change[3]) + ) + begin + $display ("ERROR! Vector Mismatch for output port RA[2] :: @time = %t", $realtime); + $display (" Expected value = %b", RA_expected_prev); + $display (" Real value = %b", RA_prev); + nummismatches = nummismatches + 1; + on_first_change[3] = 1'b0; + last_RA_exp[2] = RA_expected_prev[2]; + end + if ( + ( RA_expected_prev[3] !== 1'bx ) && ( RA_prev[3] !== RA_expected_prev[3] ) + && ((RA_expected_prev[3] !== last_RA_exp[3]) || + on_first_change[3]) + ) + begin + $display ("ERROR! Vector Mismatch for output port RA[3] :: @time = %t", $realtime); + $display (" Expected value = %b", RA_expected_prev); + $display (" Real value = %b", RA_prev); + nummismatches = nummismatches + 1; + on_first_change[3] = 1'b0; + last_RA_exp[3] = RA_expected_prev[3]; + end + if ( + ( RD_expected_prev[0] !== 1'bx ) && ( RD_prev[0] !== RD_expected_prev[0] ) + && ((RD_expected_prev[0] !== last_RD_exp[0]) || + on_first_change[4]) + ) + begin + $display ("ERROR! Vector Mismatch for output port RD[0] :: @time = %t", $realtime); + $display (" Expected value = %b", RD_expected_prev); + $display (" Real value = %b", RD_prev); + nummismatches = nummismatches + 1; + on_first_change[4] = 1'b0; + last_RD_exp[0] = RD_expected_prev[0]; + end + if ( + ( RD_expected_prev[1] !== 1'bx ) && ( RD_prev[1] !== RD_expected_prev[1] ) + && ((RD_expected_prev[1] !== last_RD_exp[1]) || + on_first_change[4]) + ) + begin + $display ("ERROR! Vector Mismatch for output port RD[1] :: @time = %t", $realtime); + $display (" Expected value = %b", RD_expected_prev); + $display (" Real value = %b", RD_prev); + nummismatches = nummismatches + 1; + on_first_change[4] = 1'b0; + last_RD_exp[1] = RD_expected_prev[1]; + end + if ( + ( RD_expected_prev[2] !== 1'bx ) && ( RD_prev[2] !== RD_expected_prev[2] ) + && ((RD_expected_prev[2] !== last_RD_exp[2]) || + on_first_change[4]) + ) + begin + $display ("ERROR! Vector Mismatch for output port RD[2] :: @time = %t", $realtime); + $display (" Expected value = %b", RD_expected_prev); + $display (" Real value = %b", RD_prev); + nummismatches = nummismatches + 1; + on_first_change[4] = 1'b0; + last_RD_exp[2] = RD_expected_prev[2]; + end + if ( + ( RD_expected_prev[3] !== 1'bx ) && ( RD_prev[3] !== RD_expected_prev[3] ) + && ((RD_expected_prev[3] !== last_RD_exp[3]) || + on_first_change[4]) + ) + begin + $display ("ERROR! Vector Mismatch for output port RD[3] :: @time = %t", $realtime); + $display (" Expected value = %b", RD_expected_prev); + $display (" Real value = %b", RD_prev); + nummismatches = nummismatches + 1; + on_first_change[4] = 1'b0; + last_RD_exp[3] = RD_expected_prev[3]; + end + if ( + ( RD_expected_prev[4] !== 1'bx ) && ( RD_prev[4] !== RD_expected_prev[4] ) + && ((RD_expected_prev[4] !== last_RD_exp[4]) || + on_first_change[4]) + ) + begin + $display ("ERROR! Vector Mismatch for output port RD[4] :: @time = %t", $realtime); + $display (" Expected value = %b", RD_expected_prev); + $display (" Real value = %b", RD_prev); + nummismatches = nummismatches + 1; + on_first_change[4] = 1'b0; + last_RD_exp[4] = RD_expected_prev[4]; + end + if ( + ( RD_expected_prev[5] !== 1'bx ) && ( RD_prev[5] !== RD_expected_prev[5] ) + && ((RD_expected_prev[5] !== last_RD_exp[5]) || + on_first_change[4]) + ) + begin + $display ("ERROR! Vector Mismatch for output port RD[5] :: @time = %t", $realtime); + $display (" Expected value = %b", RD_expected_prev); + $display (" Real value = %b", RD_prev); + nummismatches = nummismatches + 1; + on_first_change[4] = 1'b0; + last_RD_exp[5] = RD_expected_prev[5]; + end + if ( + ( RD_expected_prev[6] !== 1'bx ) && ( RD_prev[6] !== RD_expected_prev[6] ) + && ((RD_expected_prev[6] !== last_RD_exp[6]) || + on_first_change[4]) + ) + begin + $display ("ERROR! Vector Mismatch for output port RD[6] :: @time = %t", $realtime); + $display (" Expected value = %b", RD_expected_prev); + $display (" Real value = %b", RD_prev); + nummismatches = nummismatches + 1; + on_first_change[4] = 1'b0; + last_RD_exp[6] = RD_expected_prev[6]; + end + if ( + ( RD_expected_prev[7] !== 1'bx ) && ( RD_prev[7] !== RD_expected_prev[7] ) + && ((RD_expected_prev[7] !== last_RD_exp[7]) || + on_first_change[4]) + ) + begin + $display ("ERROR! Vector Mismatch for output port RD[7] :: @time = %t", $realtime); + $display (" Expected value = %b", RD_expected_prev); + $display (" Real value = %b", RD_prev); + nummismatches = nummismatches + 1; + on_first_change[4] = 1'b0; + last_RD_exp[7] = RD_expected_prev[7]; + end + if ( + ( VD_expected_prev[0] !== 1'bx ) && ( VD_prev[0] !== VD_expected_prev[0] ) + && ((VD_expected_prev[0] !== last_VD_exp[0]) || + on_first_change[5]) + ) + begin + $display ("ERROR! Vector Mismatch for output port VD[0] :: @time = %t", $realtime); + $display (" Expected value = %b", VD_expected_prev); + $display (" Real value = %b", VD_prev); + nummismatches = nummismatches + 1; + on_first_change[5] = 1'b0; + last_VD_exp[0] = VD_expected_prev[0]; + end + if ( + ( VD_expected_prev[1] !== 1'bx ) && ( VD_prev[1] !== VD_expected_prev[1] ) + && ((VD_expected_prev[1] !== last_VD_exp[1]) || + on_first_change[5]) + ) + begin + $display ("ERROR! Vector Mismatch for output port VD[1] :: @time = %t", $realtime); + $display (" Expected value = %b", VD_expected_prev); + $display (" Real value = %b", VD_prev); + nummismatches = nummismatches + 1; + on_first_change[5] = 1'b0; + last_VD_exp[1] = VD_expected_prev[1]; + end + if ( + ( VD_expected_prev[2] !== 1'bx ) && ( VD_prev[2] !== VD_expected_prev[2] ) + && ((VD_expected_prev[2] !== last_VD_exp[2]) || + on_first_change[5]) + ) + begin + $display ("ERROR! Vector Mismatch for output port VD[2] :: @time = %t", $realtime); + $display (" Expected value = %b", VD_expected_prev); + $display (" Real value = %b", VD_prev); + nummismatches = nummismatches + 1; + on_first_change[5] = 1'b0; + last_VD_exp[2] = VD_expected_prev[2]; + end + if ( + ( VD_expected_prev[3] !== 1'bx ) && ( VD_prev[3] !== VD_expected_prev[3] ) + && ((VD_expected_prev[3] !== last_VD_exp[3]) || + on_first_change[5]) + ) + begin + $display ("ERROR! Vector Mismatch for output port VD[3] :: @time = %t", $realtime); + $display (" Expected value = %b", VD_expected_prev); + $display (" Real value = %b", VD_prev); + nummismatches = nummismatches + 1; + on_first_change[5] = 1'b0; + last_VD_exp[3] = VD_expected_prev[3]; + end + if ( + ( VD_expected_prev[4] !== 1'bx ) && ( VD_prev[4] !== VD_expected_prev[4] ) + && ((VD_expected_prev[4] !== last_VD_exp[4]) || + on_first_change[5]) + ) + begin + $display ("ERROR! Vector Mismatch for output port VD[4] :: @time = %t", $realtime); + $display (" Expected value = %b", VD_expected_prev); + $display (" Real value = %b", VD_prev); + nummismatches = nummismatches + 1; + on_first_change[5] = 1'b0; + last_VD_exp[4] = VD_expected_prev[4]; + end + if ( + ( VD_expected_prev[5] !== 1'bx ) && ( VD_prev[5] !== VD_expected_prev[5] ) + && ((VD_expected_prev[5] !== last_VD_exp[5]) || + on_first_change[5]) + ) + begin + $display ("ERROR! Vector Mismatch for output port VD[5] :: @time = %t", $realtime); + $display (" Expected value = %b", VD_expected_prev); + $display (" Real value = %b", VD_prev); + nummismatches = nummismatches + 1; + on_first_change[5] = 1'b0; + last_VD_exp[5] = VD_expected_prev[5]; + end + if ( + ( VD_expected_prev[6] !== 1'bx ) && ( VD_prev[6] !== VD_expected_prev[6] ) + && ((VD_expected_prev[6] !== last_VD_exp[6]) || + on_first_change[5]) + ) + begin + $display ("ERROR! Vector Mismatch for output port VD[6] :: @time = %t", $realtime); + $display (" Expected value = %b", VD_expected_prev); + $display (" Real value = %b", VD_prev); + nummismatches = nummismatches + 1; + on_first_change[5] = 1'b0; + last_VD_exp[6] = VD_expected_prev[6]; + end + if ( + ( VD_expected_prev[7] !== 1'bx ) && ( VD_prev[7] !== VD_expected_prev[7] ) + && ((VD_expected_prev[7] !== last_VD_exp[7]) || + on_first_change[5]) + ) + begin + $display ("ERROR! Vector Mismatch for output port VD[7] :: @time = %t", $realtime); + $display (" Expected value = %b", VD_expected_prev); + $display (" Real value = %b", VD_prev); + nummismatches = nummismatches + 1; + on_first_change[5] = 1'b0; + last_VD_exp[7] = VD_expected_prev[7]; + end + if ( + ( nCAS_expected_prev !== 1'bx ) && ( nCAS_prev !== nCAS_expected_prev ) + && ((nCAS_expected_prev !== last_nCAS_exp) || + on_first_change[7]) + ) + begin + $display ("ERROR! Vector Mismatch for output port nCAS :: @time = %t", $realtime); + $display (" Expected value = %b", nCAS_expected_prev); + $display (" Real value = %b", nCAS_prev); + nummismatches = nummismatches + 1; + on_first_change[7] = 1'b0; + last_nCAS_exp = nCAS_expected_prev; + end + if ( + ( nRAS_expected_prev !== 1'bx ) && ( nRAS_prev !== nRAS_expected_prev ) + && ((nRAS_expected_prev !== last_nRAS_exp) || + on_first_change[8]) + ) + begin + $display ("ERROR! Vector Mismatch for output port nRAS :: @time = %t", $realtime); + $display (" Expected value = %b", nRAS_expected_prev); + $display (" Real value = %b", nRAS_prev); + nummismatches = nummismatches + 1; + on_first_change[8] = 1'b0; + last_nRAS_exp = nRAS_expected_prev; + end + if ( + ( nRWE_expected_prev !== 1'bx ) && ( nRWE_prev !== nRWE_expected_prev ) + && ((nRWE_expected_prev !== last_nRWE_exp) || + on_first_change[9]) + ) + begin + $display ("ERROR! Vector Mismatch for output port nRWE :: @time = %t", $realtime); + $display (" Expected value = %b", nRWE_expected_prev); + $display (" Real value = %b", nRWE_prev); + nummismatches = nummismatches + 1; + on_first_change[9] = 1'b0; + last_nRWE_exp = nRWE_expected_prev; + end + + trigger <= ~trigger; +end +initial + +begin +$timeformat(-12,3," ps",6); +#952000; +if (nummismatches > 0) + $display ("%d mismatched vectors : Simulation failed !",nummismatches); +else + $display ("Simulation passed !"); +$finish; +end +endmodule + +module RAM2E_vlg_vec_tst(); +// constants +// general purpose registers +reg AN3; +reg C3M58; +reg C7M; +reg C14M; +reg C14M_2; +reg [3:0] DelayIn; +reg [7:0] MA; +reg [7:0] treg_MD; +reg PHI0; +reg PHI1; +reg Q3; +reg Q3_2; +reg [7:0] treg_RD; +reg [7:0] treg_VD; +reg nC07X; +reg nCASEN; +reg nEN80; +reg nPCAS; +reg nPRAS; +reg nWE; +reg nWE80; +// wires +wire [3:0] DelayOut; +wire [7:0] MD; +wire [11:8] RA; +wire [7:0] RD; +wire [7:0] VD; +wire XX73SEL; +wire nCAS; +wire nRAS; +wire nRWE; + +wire sampler; + +// assign statements (if any) +assign MD = treg_MD; +assign RD = treg_RD; +assign VD = treg_VD; +RAM2E i1 ( +// port map - connection between master ports and signals/registers + .AN3(AN3), + .C3M58(C3M58), + .C7M(C7M), + .C14M(C14M), + .C14M_2(C14M_2), + .DelayIn(DelayIn), + .DelayOut(DelayOut), + .MA(MA), + .MD(MD), + .PHI0(PHI0), + .PHI1(PHI1), + .Q3(Q3), + .Q3_2(Q3_2), + .RA(RA), + .RD(RD), + .VD(VD), + .XX73SEL(XX73SEL), + .nC07X(nC07X), + .nCAS(nCAS), + .nCASEN(nCASEN), + .nEN80(nEN80), + .nPCAS(nPCAS), + .nPRAS(nPRAS), + .nRAS(nRAS), + .nRWE(nRWE), + .nWE(nWE), + .nWE80(nWE80) +); + +// C14M +initial +begin + C14M = 1'b0; + C14M = #34000 1'b1; + C14M = #34000 1'b0; + C14M = #34000 1'b1; + C14M = #34000 1'b0; + C14M = #34000 1'b1; + C14M = #34000 1'b0; + C14M = #34000 1'b1; + C14M = #34000 1'b0; + C14M = #34000 1'b1; + C14M = #34000 1'b0; + C14M = #34000 1'b1; + C14M = #34000 1'b0; + C14M = #34000 1'b1; + C14M = #34000 1'b0; + C14M = #34000 1'b1; + C14M = #34000 1'b0; + C14M = #34000 1'b1; + C14M = #34000 1'b0; + C14M = #34000 1'b1; + C14M = #34000 1'b0; + C14M = #34000 1'b1; + C14M = #34000 1'b0; + C14M = #34000 1'b1; + C14M = #34000 1'b0; + C14M = #34000 1'b1; + C14M = #34000 1'b0; + C14M = #34000 1'b1; +end + +// C14M_2 +initial +begin + C14M_2 = 1'b0; + C14M_2 = #34000 1'b1; + C14M_2 = #34000 1'b0; + C14M_2 = #34000 1'b1; + C14M_2 = #34000 1'b0; + C14M_2 = #34000 1'b1; + C14M_2 = #34000 1'b0; + C14M_2 = #34000 1'b1; + C14M_2 = #34000 1'b0; + C14M_2 = #34000 1'b1; + C14M_2 = #34000 1'b0; + C14M_2 = #34000 1'b1; + C14M_2 = #34000 1'b0; + C14M_2 = #34000 1'b1; + C14M_2 = #34000 1'b0; + C14M_2 = #34000 1'b1; + C14M_2 = #34000 1'b0; + C14M_2 = #34000 1'b1; + C14M_2 = #34000 1'b0; + C14M_2 = #34000 1'b1; + C14M_2 = #34000 1'b0; + C14M_2 = #34000 1'b1; + C14M_2 = #34000 1'b0; + C14M_2 = #34000 1'b1; + C14M_2 = #34000 1'b0; + C14M_2 = #34000 1'b1; + C14M_2 = #34000 1'b0; + C14M_2 = #34000 1'b1; +end + +// C7M +initial +begin + C7M = 1'b0; + C7M = #51000 1'b1; + C7M = #68000 1'b0; + C7M = #68000 1'b1; + C7M = #68000 1'b0; + C7M = #68000 1'b1; + C7M = #68000 1'b0; + C7M = #68000 1'b1; + C7M = #68000 1'b0; + C7M = #68000 1'b1; + C7M = #68000 1'b0; + C7M = #68000 1'b1; + C7M = #68000 1'b0; + C7M = #68000 1'b1; + C7M = #68000 1'b0; +end + +// PHI0 +initial +begin + PHI0 = 1'b1; + PHI0 = #51000 1'b0; + PHI0 = #476000 1'b1; +end + +// PHI1 +initial +begin + PHI1 = 1'b0; + PHI1 = #51000 1'b1; + PHI1 = #476000 1'b0; +end + +// nPRAS +initial +begin + nPRAS = 1'b1; + nPRAS = #119000 1'b0; + nPRAS = #340000 1'b1; + nPRAS = #136000 1'b0; + nPRAS = #340000 1'b1; +end + +// nPCAS +initial +begin + nPCAS = 1'b0; + nPCAS = #51000 1'b1; + nPCAS = #204000 1'b0; + nPCAS = #272000 1'b1; + nPCAS = #204000 1'b0; +end + +// Q3 +initial +begin + Q3 = 1'b0; + Q3 = #51000 1'b1; + Q3 = #272000 1'b0; + Q3 = #204000 1'b1; + Q3 = #272000 1'b0; +end + +// nWE +initial +begin + nWE = 1'b1; +end + +// nWE80 +initial +begin + nWE80 = 1'b1; +end + +// nEN80 +initial +begin + nEN80 = 1'b1; +end + +// nC07X +initial +begin + nC07X = 1'b1; +end +// MA[ 7 ] +initial +begin + MA[7] = 1'b0; + MA[7] = #136000 1'b1; + MA[7] = #340000 1'b0; + MA[7] = #136000 1'b1; +end +// MA[ 6 ] +initial +begin + MA[6] = 1'b0; + MA[6] = #136000 1'b1; + MA[6] = #340000 1'b0; + MA[6] = #136000 1'b1; +end +// MA[ 5 ] +initial +begin + MA[5] = 1'b0; + MA[5] = #136000 1'b1; + MA[5] = #340000 1'b0; + MA[5] = #136000 1'b1; +end +// MA[ 4 ] +initial +begin + MA[4] = 1'b0; + MA[4] = #136000 1'b1; + MA[4] = #340000 1'b0; + MA[4] = #136000 1'b1; +end +// MA[ 3 ] +initial +begin + MA[3] = 1'b0; + MA[3] = #136000 1'b1; + MA[3] = #340000 1'b0; + MA[3] = #136000 1'b1; +end +// MA[ 2 ] +initial +begin + MA[2] = 1'b0; + MA[2] = #136000 1'b1; + MA[2] = #340000 1'b0; + MA[2] = #136000 1'b1; +end +// MA[ 1 ] +initial +begin + MA[1] = 1'b0; + MA[1] = #136000 1'b1; + MA[1] = #340000 1'b0; + MA[1] = #136000 1'b1; +end +// MA[ 0 ] +initial +begin + MA[0] = 1'b0; + MA[0] = #136000 1'b1; + MA[0] = #340000 1'b0; + MA[0] = #136000 1'b1; +end +// RD[ 7 ] +initial +begin + treg_RD[7] = 1'bZ; +end +// RD[ 6 ] +initial +begin + treg_RD[6] = 1'bZ; +end +// RD[ 5 ] +initial +begin + treg_RD[5] = 1'bZ; +end +// RD[ 4 ] +initial +begin + treg_RD[4] = 1'bZ; +end +// RD[ 3 ] +initial +begin + treg_RD[3] = 1'bZ; +end +// RD[ 2 ] +initial +begin + treg_RD[2] = 1'bZ; +end +// RD[ 1 ] +initial +begin + treg_RD[1] = 1'bZ; +end +// RD[ 0 ] +initial +begin + treg_RD[0] = 1'bZ; +end +// VD[ 7 ] +initial +begin + treg_VD[7] = 1'bZ; +end +// VD[ 6 ] +initial +begin + treg_VD[6] = 1'bZ; +end +// VD[ 5 ] +initial +begin + treg_VD[5] = 1'bZ; +end +// VD[ 4 ] +initial +begin + treg_VD[4] = 1'bZ; +end +// VD[ 3 ] +initial +begin + treg_VD[3] = 1'bZ; +end +// VD[ 2 ] +initial +begin + treg_VD[2] = 1'bZ; +end +// VD[ 1 ] +initial +begin + treg_VD[1] = 1'bZ; +end +// VD[ 0 ] +initial +begin + treg_VD[0] = 1'bZ; +end +// MD[ 7 ] +initial +begin + treg_MD[7] = 1'bZ; +end +// MD[ 6 ] +initial +begin + treg_MD[6] = 1'bZ; +end +// MD[ 5 ] +initial +begin + treg_MD[5] = 1'bZ; +end +// MD[ 4 ] +initial +begin + treg_MD[4] = 1'bZ; +end +// MD[ 3 ] +initial +begin + treg_MD[3] = 1'bZ; +end +// MD[ 2 ] +initial +begin + treg_MD[2] = 1'bZ; +end +// MD[ 1 ] +initial +begin + treg_MD[1] = 1'bZ; +end +// MD[ 0 ] +initial +begin + treg_MD[0] = 1'bZ; +end + +// AN3 +initial +begin + AN3 = 1'b0; +end + +// C3M58 +initial +begin + C3M58 = 1'b0; +end + +// DelayIn +initial +begin + DelayIn = 1'b0; +end + +// Q3_2 +initial +begin + Q3_2 = 1'b0; +end + +// nCASEN +initial +begin + nCASEN = 1'b0; +end + +RAM2E_vlg_sample_tst tb_sample ( + .AN3(AN3), + .C3M58(C3M58), + .C7M(C7M), + .C14M(C14M), + .C14M_2(C14M_2), + .DelayIn(DelayIn), + .MA(MA), + .MD(MD), + .PHI0(PHI0), + .PHI1(PHI1), + .Q3(Q3), + .Q3_2(Q3_2), + .RD(RD), + .VD(VD), + .nC07X(nC07X), + .nCASEN(nCASEN), + .nEN80(nEN80), + .nPCAS(nPCAS), + .nPRAS(nPRAS), + .nWE(nWE), + .nWE80(nWE80), + .sampler_tx(sampler) +); + +RAM2E_vlg_check_tst tb_out( + .DelayOut(DelayOut), + .MD(MD), + .RA(RA), + .RD(RD), + .VD(VD), + .XX73SEL(XX73SEL), + .nCAS(nCAS), + .nRAS(nRAS), + .nRWE(nRWE), + .sampler_rx(sampler) +); +endmodule + diff --git a/cpld/simulation/qsim/RAM2E_v.sdo b/cpld/simulation/qsim/RAM2E_v.sdo new file mode 100755 index 0000000..2a81557 --- /dev/null +++ b/cpld/simulation/qsim/RAM2E_v.sdo @@ -0,0 +1,1880 @@ +// Copyright (C) 1991-2013 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + + +// +// Device: Altera EPM7128SLC84-15 Package PLCC84 +// + +// +// This SDF file should be used for ModelSim-Altera (Verilog) only +// + +(DELAYFILE + (SDFVERSION "2.1") + (DESIGN "RAM2E") + (DATE "08/05/2019 17:43:16") + (VENDOR "Altera") + (PROGRAM "Quartus II 32-bit") + (VERSION "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition") + (DIVIDER .) + (TIMESCALE 1 ps) + + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE MD\[0\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE MD\[1\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE MD\[2\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE MD\[3\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE MD\[4\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE MD\[5\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE MD\[6\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE MD\[7\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE RD\[0\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE RD\[1\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE RD\[2\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE RD\[3\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE RD\[4\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE RD\[5\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE RD\[6\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE RD\[7\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE C7M\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE Q3\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (3000:3000:3000) (3000:3000:3000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE nPCAS\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE PHI0\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE nPRAS\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE C14M_2\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (3000:3000:3000) (3000:3000:3000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE MDR\[0\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE MDR\[0\].preg) + (DELAY + (ABSOLUTE + (PORT pclk[0] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge pclk[0]) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge pclk[0]) (4000:4000:4000)) + (HOLD datain (posedge pclk[0]) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE nWE\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE nEN80\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE comb\~3.pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] combout (7000:7000:7000) (7000:7000:7000)) + (IOPATH pterm1[1] combout (7000:7000:7000) (7000:7000:7000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE MDR\[1\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE MDR\[1\].preg) + (DELAY + (ABSOLUTE + (PORT pclk[0] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge pclk[0]) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge pclk[0]) (4000:4000:4000)) + (HOLD datain (posedge pclk[0]) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE MDR\[2\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE MDR\[2\].preg) + (DELAY + (ABSOLUTE + (PORT pclk[0] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge pclk[0]) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge pclk[0]) (4000:4000:4000)) + (HOLD datain (posedge pclk[0]) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE MDR\[3\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE MDR\[3\].preg) + (DELAY + (ABSOLUTE + (PORT pclk[0] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge pclk[0]) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge pclk[0]) (4000:4000:4000)) + (HOLD datain (posedge pclk[0]) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE MDR\[4\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE MDR\[4\].preg) + (DELAY + (ABSOLUTE + (PORT pclk[0] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge pclk[0]) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge pclk[0]) (4000:4000:4000)) + (HOLD datain (posedge pclk[0]) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE MDR\[5\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE MDR\[5\].preg) + (DELAY + (ABSOLUTE + (PORT pclk[0] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge pclk[0]) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge pclk[0]) (4000:4000:4000)) + (HOLD datain (posedge pclk[0]) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE MDR\[6\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE MDR\[6\].preg) + (DELAY + (ABSOLUTE + (PORT pclk[0] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge pclk[0]) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge pclk[0]) (4000:4000:4000)) + (HOLD datain (posedge pclk[0]) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE MDR\[7\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE MDR\[7\].preg) + (DELAY + (ABSOLUTE + (PORT pclk[0] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge pclk[0]) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge pclk[0]) (4000:4000:4000)) + (HOLD datain (posedge pclk[0]) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE MD\[0\]\~24.pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] combout (7000:7000:7000) (7000:7000:7000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE comb\~4.pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] combout (7000:7000:7000) (7000:7000:7000)) + (IOPATH pterm1[1] combout (7000:7000:7000) (7000:7000:7000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE MD\[1\]\~26.pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] combout (7000:7000:7000) (7000:7000:7000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE MD\[2\]\~28.pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] combout (7000:7000:7000) (7000:7000:7000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE MD\[3\]\~30.pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] combout (7000:7000:7000) (7000:7000:7000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE MD\[4\]\~32.pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] combout (7000:7000:7000) (7000:7000:7000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE MD\[5\]\~34.pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] combout (7000:7000:7000) (7000:7000:7000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE MD\[6\]\~36.pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] combout (7000:7000:7000) (7000:7000:7000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE MD\[7\]\~38.pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] combout (7000:7000:7000) (7000:7000:7000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE nWE80\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE nRWE\~reg0.pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE nRWE\~reg0.preg) + (DELAY + (ABSOLUTE + (PORT pclk[0] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge pclk[0]) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge pclk[0]) (4000:4000:4000)) + (HOLD datain (posedge pclk[0]) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE C14M\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (3000:3000:3000) (3000:3000:3000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE nRAS\~reg0.pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm0[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm0[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm0[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm0[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm0[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm4[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm4[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm4[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm4[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE nRAS\~reg0.preg) + (DELAY + (ABSOLUTE + (PORT clk (0:0:0) (0:0:0)) + (IOPATH (posedge clk) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (4000:4000:4000)) + (HOLD datain (posedge clk) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE nCAS\~reg0.pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm4[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm4[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm4[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm4[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm4[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE nCAS\~reg0.preg) + (DELAY + (ABSOLUTE + (PORT pclk[0] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge pclk[0]) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge pclk[0]) (4000:4000:4000)) + (HOLD datain (posedge pclk[0]) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE VDR\[0\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE VDR\[0\].preg) + (DELAY + (ABSOLUTE + (PORT pclk[0] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge pclk[0]) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge pclk[0]) (4000:4000:4000)) + (HOLD datain (posedge pclk[0]) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE PHI1\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE VDR\[1\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE VDR\[1\].preg) + (DELAY + (ABSOLUTE + (PORT pclk[0] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge pclk[0]) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge pclk[0]) (4000:4000:4000)) + (HOLD datain (posedge pclk[0]) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE VDR\[2\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE VDR\[2\].preg) + (DELAY + (ABSOLUTE + (PORT pclk[0] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge pclk[0]) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge pclk[0]) (4000:4000:4000)) + (HOLD datain (posedge pclk[0]) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE VDR\[3\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE VDR\[3\].preg) + (DELAY + (ABSOLUTE + (PORT pclk[0] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge pclk[0]) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge pclk[0]) (4000:4000:4000)) + (HOLD datain (posedge pclk[0]) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE VDR\[4\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE VDR\[4\].preg) + (DELAY + (ABSOLUTE + (PORT pclk[0] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge pclk[0]) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge pclk[0]) (4000:4000:4000)) + (HOLD datain (posedge pclk[0]) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE VDR\[5\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE VDR\[5\].preg) + (DELAY + (ABSOLUTE + (PORT pclk[0] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge pclk[0]) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge pclk[0]) (4000:4000:4000)) + (HOLD datain (posedge pclk[0]) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE MA\[0\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE MA\[1\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE MA\[2\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE MA\[3\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE MA\[4\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE MA\[5\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE MA\[6\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE MA\[7\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE XX73SEL.pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[7] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE XX73SEL.preg) + (DELAY + (ABSOLUTE + (PORT clk (0:0:0) (0:0:0)) + (PORT pena[0] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[1] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[2] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[3] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[4] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge clk) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (4000:4000:4000)) + (SETUP pena[0] (posedge clk) (4000:4000:4000)) + (SETUP pena[1] (posedge clk) (4000:4000:4000)) + (SETUP pena[2] (posedge clk) (4000:4000:4000)) + (SETUP pena[3] (posedge clk) (4000:4000:4000)) + (SETUP pena[4] (posedge clk) (4000:4000:4000)) + (HOLD datain (posedge clk) (4000:4000:4000)) + (HOLD pena[0] (posedge clk) (4000:4000:4000)) + (HOLD pena[1] (posedge clk) (4000:4000:4000)) + (HOLD pena[2] (posedge clk) (4000:4000:4000)) + (HOLD pena[3] (posedge clk) (4000:4000:4000)) + (HOLD pena[4] (posedge clk) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE nC07X\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH padio dataout (2000:2000:2000) (2000:2000:2000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE BA\[5\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE BA\[5\].preg) + (DELAY + (ABSOLUTE + (PORT clk (0:0:0) (0:0:0)) + (PORT pena[0] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[1] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[2] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[3] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[4] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[5] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[6] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[7] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge clk) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (4000:4000:4000)) + (SETUP pena[0] (posedge clk) (4000:4000:4000)) + (SETUP pena[1] (posedge clk) (4000:4000:4000)) + (SETUP pena[2] (posedge clk) (4000:4000:4000)) + (SETUP pena[3] (posedge clk) (4000:4000:4000)) + (SETUP pena[4] (posedge clk) (4000:4000:4000)) + (SETUP pena[5] (posedge clk) (4000:4000:4000)) + (SETUP pena[6] (posedge clk) (4000:4000:4000)) + (SETUP pena[7] (posedge clk) (4000:4000:4000)) + (HOLD datain (posedge clk) (4000:4000:4000)) + (HOLD pena[0] (posedge clk) (4000:4000:4000)) + (HOLD pena[1] (posedge clk) (4000:4000:4000)) + (HOLD pena[2] (posedge clk) (4000:4000:4000)) + (HOLD pena[3] (posedge clk) (4000:4000:4000)) + (HOLD pena[4] (posedge clk) (4000:4000:4000)) + (HOLD pena[5] (posedge clk) (4000:4000:4000)) + (HOLD pena[6] (posedge clk) (4000:4000:4000)) + (HOLD pena[7] (posedge clk) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE RA\[11\]\~reg0.pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE RA\[11\]\~reg0.preg) + (DELAY + (ABSOLUTE + (PORT clk (0:0:0) (0:0:0)) + (IOPATH (posedge clk) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (4000:4000:4000)) + (HOLD datain (posedge clk) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE BA\[3\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE BA\[3\].preg) + (DELAY + (ABSOLUTE + (PORT clk (0:0:0) (0:0:0)) + (PORT pena[0] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[1] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[2] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[3] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[4] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[5] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[6] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[7] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge clk) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (4000:4000:4000)) + (SETUP pena[0] (posedge clk) (4000:4000:4000)) + (SETUP pena[1] (posedge clk) (4000:4000:4000)) + (SETUP pena[2] (posedge clk) (4000:4000:4000)) + (SETUP pena[3] (posedge clk) (4000:4000:4000)) + (SETUP pena[4] (posedge clk) (4000:4000:4000)) + (SETUP pena[5] (posedge clk) (4000:4000:4000)) + (SETUP pena[6] (posedge clk) (4000:4000:4000)) + (SETUP pena[7] (posedge clk) (4000:4000:4000)) + (HOLD datain (posedge clk) (4000:4000:4000)) + (HOLD pena[0] (posedge clk) (4000:4000:4000)) + (HOLD pena[1] (posedge clk) (4000:4000:4000)) + (HOLD pena[2] (posedge clk) (4000:4000:4000)) + (HOLD pena[3] (posedge clk) (4000:4000:4000)) + (HOLD pena[4] (posedge clk) (4000:4000:4000)) + (HOLD pena[5] (posedge clk) (4000:4000:4000)) + (HOLD pena[6] (posedge clk) (4000:4000:4000)) + (HOLD pena[7] (posedge clk) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE BA\[0\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE BA\[0\].preg) + (DELAY + (ABSOLUTE + (PORT clk (0:0:0) (0:0:0)) + (PORT pena[0] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[1] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[2] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[3] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[4] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[5] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[6] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[7] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge clk) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (4000:4000:4000)) + (SETUP pena[0] (posedge clk) (4000:4000:4000)) + (SETUP pena[1] (posedge clk) (4000:4000:4000)) + (SETUP pena[2] (posedge clk) (4000:4000:4000)) + (SETUP pena[3] (posedge clk) (4000:4000:4000)) + (SETUP pena[4] (posedge clk) (4000:4000:4000)) + (SETUP pena[5] (posedge clk) (4000:4000:4000)) + (SETUP pena[6] (posedge clk) (4000:4000:4000)) + (SETUP pena[7] (posedge clk) (4000:4000:4000)) + (HOLD datain (posedge clk) (4000:4000:4000)) + (HOLD pena[0] (posedge clk) (4000:4000:4000)) + (HOLD pena[1] (posedge clk) (4000:4000:4000)) + (HOLD pena[2] (posedge clk) (4000:4000:4000)) + (HOLD pena[3] (posedge clk) (4000:4000:4000)) + (HOLD pena[4] (posedge clk) (4000:4000:4000)) + (HOLD pena[5] (posedge clk) (4000:4000:4000)) + (HOLD pena[6] (posedge clk) (4000:4000:4000)) + (HOLD pena[7] (posedge clk) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE RA\[8\]\~reg0.pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE RA\[8\]\~reg0.preg) + (DELAY + (ABSOLUTE + (PORT clk (0:0:0) (0:0:0)) + (IOPATH (posedge clk) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (4000:4000:4000)) + (HOLD datain (posedge clk) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE BA\[4\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE BA\[4\].preg) + (DELAY + (ABSOLUTE + (PORT clk (0:0:0) (0:0:0)) + (PORT pena[0] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[1] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[2] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[3] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[4] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[5] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[6] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[7] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge clk) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (4000:4000:4000)) + (SETUP pena[0] (posedge clk) (4000:4000:4000)) + (SETUP pena[1] (posedge clk) (4000:4000:4000)) + (SETUP pena[2] (posedge clk) (4000:4000:4000)) + (SETUP pena[3] (posedge clk) (4000:4000:4000)) + (SETUP pena[4] (posedge clk) (4000:4000:4000)) + (SETUP pena[5] (posedge clk) (4000:4000:4000)) + (SETUP pena[6] (posedge clk) (4000:4000:4000)) + (SETUP pena[7] (posedge clk) (4000:4000:4000)) + (HOLD datain (posedge clk) (4000:4000:4000)) + (HOLD pena[0] (posedge clk) (4000:4000:4000)) + (HOLD pena[1] (posedge clk) (4000:4000:4000)) + (HOLD pena[2] (posedge clk) (4000:4000:4000)) + (HOLD pena[3] (posedge clk) (4000:4000:4000)) + (HOLD pena[4] (posedge clk) (4000:4000:4000)) + (HOLD pena[5] (posedge clk) (4000:4000:4000)) + (HOLD pena[6] (posedge clk) (4000:4000:4000)) + (HOLD pena[7] (posedge clk) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE BA\[1\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE BA\[1\].preg) + (DELAY + (ABSOLUTE + (PORT clk (0:0:0) (0:0:0)) + (PORT pena[0] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[1] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[2] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[3] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[4] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[5] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[6] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[7] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge clk) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (4000:4000:4000)) + (SETUP pena[0] (posedge clk) (4000:4000:4000)) + (SETUP pena[1] (posedge clk) (4000:4000:4000)) + (SETUP pena[2] (posedge clk) (4000:4000:4000)) + (SETUP pena[3] (posedge clk) (4000:4000:4000)) + (SETUP pena[4] (posedge clk) (4000:4000:4000)) + (SETUP pena[5] (posedge clk) (4000:4000:4000)) + (SETUP pena[6] (posedge clk) (4000:4000:4000)) + (SETUP pena[7] (posedge clk) (4000:4000:4000)) + (HOLD datain (posedge clk) (4000:4000:4000)) + (HOLD pena[0] (posedge clk) (4000:4000:4000)) + (HOLD pena[1] (posedge clk) (4000:4000:4000)) + (HOLD pena[2] (posedge clk) (4000:4000:4000)) + (HOLD pena[3] (posedge clk) (4000:4000:4000)) + (HOLD pena[4] (posedge clk) (4000:4000:4000)) + (HOLD pena[5] (posedge clk) (4000:4000:4000)) + (HOLD pena[6] (posedge clk) (4000:4000:4000)) + (HOLD pena[7] (posedge clk) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE RA\[9\]\~reg0.pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE RA\[9\]\~reg0.preg) + (DELAY + (ABSOLUTE + (PORT clk (0:0:0) (0:0:0)) + (IOPATH (posedge clk) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (4000:4000:4000)) + (HOLD datain (posedge clk) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE BA\[2\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE BA\[2\].preg) + (DELAY + (ABSOLUTE + (PORT clk (0:0:0) (0:0:0)) + (PORT pena[0] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[1] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[2] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[3] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[4] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[5] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[6] (6000:6000:6000) (6000:6000:6000)) + (PORT pena[7] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge clk) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (4000:4000:4000)) + (SETUP pena[0] (posedge clk) (4000:4000:4000)) + (SETUP pena[1] (posedge clk) (4000:4000:4000)) + (SETUP pena[2] (posedge clk) (4000:4000:4000)) + (SETUP pena[3] (posedge clk) (4000:4000:4000)) + (SETUP pena[4] (posedge clk) (4000:4000:4000)) + (SETUP pena[5] (posedge clk) (4000:4000:4000)) + (SETUP pena[6] (posedge clk) (4000:4000:4000)) + (SETUP pena[7] (posedge clk) (4000:4000:4000)) + (HOLD datain (posedge clk) (4000:4000:4000)) + (HOLD pena[0] (posedge clk) (4000:4000:4000)) + (HOLD pena[1] (posedge clk) (4000:4000:4000)) + (HOLD pena[2] (posedge clk) (4000:4000:4000)) + (HOLD pena[3] (posedge clk) (4000:4000:4000)) + (HOLD pena[4] (posedge clk) (4000:4000:4000)) + (HOLD pena[5] (posedge clk) (4000:4000:4000)) + (HOLD pena[6] (posedge clk) (4000:4000:4000)) + (HOLD pena[7] (posedge clk) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE RA\[10\]\~reg0.pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm3[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE RA\[10\]\~reg0.preg) + (DELAY + (ABSOLUTE + (PORT clk (0:0:0) (0:0:0)) + (IOPATH (posedge clk) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge clk) (4000:4000:4000)) + (HOLD datain (posedge clk) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE VDR\[6\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE VDR\[6\].preg) + (DELAY + (ABSOLUTE + (PORT pclk[0] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge pclk[0]) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge pclk[0]) (4000:4000:4000)) + (HOLD datain (posedge pclk[0]) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_mcell") + (INSTANCE VDR\[7\].pcom) + (DELAY + (ABSOLUTE + (IOPATH pterm1[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm1[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[0] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[1] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[2] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[3] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[4] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[5] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH pterm2[6] regin (6000:6000:6000) (6000:6000:6000)) + (IOPATH fbkin regin (8000:8000:8000) (8000:8000:8000)) + ) + ) + ) + (CELL + (CELLTYPE "max_mcell_register") + (INSTANCE VDR\[7\].preg) + (DELAY + (ABSOLUTE + (PORT pclk[0] (6000:6000:6000) (6000:6000:6000)) + (IOPATH (posedge pclk[0]) regout (1000:1000:1000) (1000:1000:1000)) + ) + ) + (TIMINGCHECK + (SETUP datain (posedge pclk[0]) (4000:4000:4000)) + (HOLD datain (posedge pclk[0]) (4000:4000:4000)) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE DelayOut\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE nRWE\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE nRAS\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE nCAS\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE VD\[0\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE VD\[1\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE VD\[2\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE VD\[3\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE VD\[4\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE VD\[5\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE RA\[11\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE RA\[8\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE RA\[9\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE RA\[10\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE VD\[6\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) + (CELL + (CELLTYPE "max_asynch_io") + (INSTANCE VD\[7\]\~I.asynch_inst) + (DELAY + (ABSOLUTE + (PORT oe (0:0:0) (0:0:0)) + (IOPATH (posedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH (negedge oe) padio (9000:9000:9000) (9000:9000:9000)) + (IOPATH datain padio (4000:4000:4000) (4000:4000:4000)) + ) + ) + ) +) diff --git a/fp-lib-table b/fp-lib-table new file mode 100644 index 0000000..0d7b8e4 --- /dev/null +++ b/fp-lib-table @@ -0,0 +1,3 @@ +(fp_lib_table + (lib (name stdpads)(type KiCad)(uri "$(KIPRJMOD)/../stdpads.pretty")(options "")(descr "")) +) diff --git a/gerber/RAM2E-B_Cu.gbl b/gerber/RAM2E-B_Cu.gbl new file mode 100644 index 0000000..d885ffe --- /dev/null +++ b/gerber/RAM2E-B_Cu.gbl @@ -0,0 +1,9257 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.2-1)-1* +G04 #@! TF.CreationDate,2019-07-30T17:06:57-04:00* +G04 #@! TF.ProjectId,RAM2E,52414d32-452e-46b6-9963-61645f706362,rev?* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Copper,L2,Bot* +G04 #@! TF.FilePolarity,Positive* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW (5.1.2-1)-1) date 2019-07-30 17:06:57* +%MOMM*% +%LPD*% +G04 APERTURE LIST* +%ADD10C,2.000000*% +%ADD11C,0.100000*% +%ADD12C,1.524000*% +%ADD13C,0.800000*% +%ADD14C,0.600000*% +%ADD15C,1.524000*% +%ADD16C,0.762000*% +%ADD17C,0.152400*% +G04 APERTURE END LIST* +D10* +X270002000Y-93091000D03* +D11* +G36* +X201078345Y-131613835D02* +G01* +X201115329Y-131619321D01* +X201151598Y-131628406D01* +X201186802Y-131641002D01* +X201220602Y-131656988D01* +X201252672Y-131676210D01* +X201282704Y-131698483D01* +X201310408Y-131723592D01* +X201335517Y-131751296D01* +X201357790Y-131781328D01* +X201377012Y-131813398D01* +X201392998Y-131847198D01* +X201405594Y-131882402D01* +X201414679Y-131918671D01* +X201420165Y-131955655D01* +X201422000Y-131993000D01* +X201422000Y-138771000D01* +X201420165Y-138808345D01* +X201414679Y-138845329D01* +X201405594Y-138881598D01* +X201392998Y-138916802D01* +X201377012Y-138950602D01* +X201357790Y-138982672D01* +X201335517Y-139012704D01* +X201310408Y-139040408D01* +X201282704Y-139065517D01* +X201252672Y-139087790D01* +X201220602Y-139107012D01* +X201186802Y-139122998D01* +X201151598Y-139135594D01* +X201115329Y-139144679D01* +X201078345Y-139150165D01* +X201041000Y-139152000D01* +X200279000Y-139152000D01* +X200241655Y-139150165D01* +X200204671Y-139144679D01* +X200168402Y-139135594D01* +X200133198Y-139122998D01* +X200099398Y-139107012D01* +X200067328Y-139087790D01* +X200037296Y-139065517D01* +X200009592Y-139040408D01* +X199984483Y-139012704D01* +X199962210Y-138982672D01* +X199942988Y-138950602D01* +X199927002Y-138916802D01* +X199914406Y-138881598D01* +X199905321Y-138845329D01* +X199899835Y-138808345D01* +X199898000Y-138771000D01* +X199898000Y-131993000D01* +X199899835Y-131955655D01* +X199905321Y-131918671D01* +X199914406Y-131882402D01* +X199927002Y-131847198D01* +X199942988Y-131813398D01* +X199962210Y-131781328D01* +X199984483Y-131751296D01* +X200009592Y-131723592D01* +X200037296Y-131698483D01* +X200067328Y-131676210D01* +X200099398Y-131656988D01* +X200133198Y-131641002D01* +X200168402Y-131628406D01* +X200204671Y-131619321D01* +X200241655Y-131613835D01* +X200279000Y-131612000D01* +X201041000Y-131612000D01* +X201078345Y-131613835D01* +X201078345Y-131613835D01* +G37* +D12* +X200660000Y-135382000D03* +D11* +G36* +X203618345Y-131613835D02* +G01* +X203655329Y-131619321D01* +X203691598Y-131628406D01* +X203726802Y-131641002D01* +X203760602Y-131656988D01* +X203792672Y-131676210D01* +X203822704Y-131698483D01* +X203850408Y-131723592D01* +X203875517Y-131751296D01* +X203897790Y-131781328D01* +X203917012Y-131813398D01* +X203932998Y-131847198D01* +X203945594Y-131882402D01* +X203954679Y-131918671D01* +X203960165Y-131955655D01* +X203962000Y-131993000D01* +X203962000Y-138771000D01* +X203960165Y-138808345D01* +X203954679Y-138845329D01* +X203945594Y-138881598D01* +X203932998Y-138916802D01* +X203917012Y-138950602D01* +X203897790Y-138982672D01* +X203875517Y-139012704D01* +X203850408Y-139040408D01* +X203822704Y-139065517D01* +X203792672Y-139087790D01* +X203760602Y-139107012D01* +X203726802Y-139122998D01* +X203691598Y-139135594D01* +X203655329Y-139144679D01* +X203618345Y-139150165D01* +X203581000Y-139152000D01* +X202819000Y-139152000D01* +X202781655Y-139150165D01* +X202744671Y-139144679D01* +X202708402Y-139135594D01* +X202673198Y-139122998D01* +X202639398Y-139107012D01* +X202607328Y-139087790D01* +X202577296Y-139065517D01* +X202549592Y-139040408D01* +X202524483Y-139012704D01* +X202502210Y-138982672D01* +X202482988Y-138950602D01* +X202467002Y-138916802D01* +X202454406Y-138881598D01* +X202445321Y-138845329D01* +X202439835Y-138808345D01* +X202438000Y-138771000D01* +X202438000Y-131993000D01* +X202439835Y-131955655D01* +X202445321Y-131918671D01* +X202454406Y-131882402D01* +X202467002Y-131847198D01* +X202482988Y-131813398D01* +X202502210Y-131781328D01* +X202524483Y-131751296D01* +X202549592Y-131723592D01* +X202577296Y-131698483D01* +X202607328Y-131676210D01* +X202639398Y-131656988D01* +X202673198Y-131641002D01* +X202708402Y-131628406D01* +X202744671Y-131619321D01* +X202781655Y-131613835D01* +X202819000Y-131612000D01* +X203581000Y-131612000D01* +X203618345Y-131613835D01* +X203618345Y-131613835D01* +G37* +D12* +X203200000Y-135382000D03* +D11* +G36* +X206158345Y-131613835D02* +G01* +X206195329Y-131619321D01* +X206231598Y-131628406D01* +X206266802Y-131641002D01* +X206300602Y-131656988D01* +X206332672Y-131676210D01* +X206362704Y-131698483D01* +X206390408Y-131723592D01* +X206415517Y-131751296D01* +X206437790Y-131781328D01* +X206457012Y-131813398D01* +X206472998Y-131847198D01* +X206485594Y-131882402D01* +X206494679Y-131918671D01* +X206500165Y-131955655D01* +X206502000Y-131993000D01* +X206502000Y-138771000D01* +X206500165Y-138808345D01* +X206494679Y-138845329D01* +X206485594Y-138881598D01* +X206472998Y-138916802D01* +X206457012Y-138950602D01* +X206437790Y-138982672D01* +X206415517Y-139012704D01* +X206390408Y-139040408D01* +X206362704Y-139065517D01* +X206332672Y-139087790D01* +X206300602Y-139107012D01* +X206266802Y-139122998D01* +X206231598Y-139135594D01* +X206195329Y-139144679D01* +X206158345Y-139150165D01* +X206121000Y-139152000D01* +X205359000Y-139152000D01* +X205321655Y-139150165D01* +X205284671Y-139144679D01* +X205248402Y-139135594D01* +X205213198Y-139122998D01* +X205179398Y-139107012D01* +X205147328Y-139087790D01* +X205117296Y-139065517D01* +X205089592Y-139040408D01* +X205064483Y-139012704D01* +X205042210Y-138982672D01* +X205022988Y-138950602D01* +X205007002Y-138916802D01* +X204994406Y-138881598D01* +X204985321Y-138845329D01* +X204979835Y-138808345D01* +X204978000Y-138771000D01* +X204978000Y-131993000D01* +X204979835Y-131955655D01* +X204985321Y-131918671D01* +X204994406Y-131882402D01* +X205007002Y-131847198D01* +X205022988Y-131813398D01* +X205042210Y-131781328D01* +X205064483Y-131751296D01* +X205089592Y-131723592D01* +X205117296Y-131698483D01* +X205147328Y-131676210D01* +X205179398Y-131656988D01* +X205213198Y-131641002D01* +X205248402Y-131628406D01* +X205284671Y-131619321D01* +X205321655Y-131613835D01* +X205359000Y-131612000D01* +X206121000Y-131612000D01* +X206158345Y-131613835D01* +X206158345Y-131613835D01* +G37* +D12* +X205740000Y-135382000D03* +D11* +G36* +X208698345Y-131613835D02* +G01* +X208735329Y-131619321D01* +X208771598Y-131628406D01* +X208806802Y-131641002D01* +X208840602Y-131656988D01* +X208872672Y-131676210D01* +X208902704Y-131698483D01* +X208930408Y-131723592D01* +X208955517Y-131751296D01* +X208977790Y-131781328D01* +X208997012Y-131813398D01* +X209012998Y-131847198D01* +X209025594Y-131882402D01* +X209034679Y-131918671D01* +X209040165Y-131955655D01* +X209042000Y-131993000D01* +X209042000Y-138771000D01* +X209040165Y-138808345D01* +X209034679Y-138845329D01* +X209025594Y-138881598D01* +X209012998Y-138916802D01* +X208997012Y-138950602D01* +X208977790Y-138982672D01* +X208955517Y-139012704D01* +X208930408Y-139040408D01* +X208902704Y-139065517D01* +X208872672Y-139087790D01* +X208840602Y-139107012D01* +X208806802Y-139122998D01* +X208771598Y-139135594D01* +X208735329Y-139144679D01* +X208698345Y-139150165D01* +X208661000Y-139152000D01* +X207899000Y-139152000D01* +X207861655Y-139150165D01* +X207824671Y-139144679D01* +X207788402Y-139135594D01* +X207753198Y-139122998D01* +X207719398Y-139107012D01* +X207687328Y-139087790D01* +X207657296Y-139065517D01* +X207629592Y-139040408D01* +X207604483Y-139012704D01* +X207582210Y-138982672D01* +X207562988Y-138950602D01* +X207547002Y-138916802D01* +X207534406Y-138881598D01* +X207525321Y-138845329D01* +X207519835Y-138808345D01* +X207518000Y-138771000D01* +X207518000Y-131993000D01* +X207519835Y-131955655D01* +X207525321Y-131918671D01* +X207534406Y-131882402D01* +X207547002Y-131847198D01* +X207562988Y-131813398D01* +X207582210Y-131781328D01* +X207604483Y-131751296D01* +X207629592Y-131723592D01* +X207657296Y-131698483D01* +X207687328Y-131676210D01* +X207719398Y-131656988D01* +X207753198Y-131641002D01* +X207788402Y-131628406D01* +X207824671Y-131619321D01* +X207861655Y-131613835D01* +X207899000Y-131612000D01* +X208661000Y-131612000D01* +X208698345Y-131613835D01* +X208698345Y-131613835D01* +G37* +D12* +X208280000Y-135382000D03* +D11* +G36* +X211238345Y-131613835D02* +G01* +X211275329Y-131619321D01* +X211311598Y-131628406D01* +X211346802Y-131641002D01* +X211380602Y-131656988D01* +X211412672Y-131676210D01* +X211442704Y-131698483D01* +X211470408Y-131723592D01* +X211495517Y-131751296D01* +X211517790Y-131781328D01* +X211537012Y-131813398D01* +X211552998Y-131847198D01* +X211565594Y-131882402D01* +X211574679Y-131918671D01* +X211580165Y-131955655D01* +X211582000Y-131993000D01* +X211582000Y-138771000D01* +X211580165Y-138808345D01* +X211574679Y-138845329D01* +X211565594Y-138881598D01* +X211552998Y-138916802D01* +X211537012Y-138950602D01* +X211517790Y-138982672D01* +X211495517Y-139012704D01* +X211470408Y-139040408D01* +X211442704Y-139065517D01* +X211412672Y-139087790D01* +X211380602Y-139107012D01* +X211346802Y-139122998D01* +X211311598Y-139135594D01* +X211275329Y-139144679D01* +X211238345Y-139150165D01* +X211201000Y-139152000D01* +X210439000Y-139152000D01* +X210401655Y-139150165D01* +X210364671Y-139144679D01* +X210328402Y-139135594D01* +X210293198Y-139122998D01* +X210259398Y-139107012D01* +X210227328Y-139087790D01* +X210197296Y-139065517D01* +X210169592Y-139040408D01* +X210144483Y-139012704D01* +X210122210Y-138982672D01* +X210102988Y-138950602D01* +X210087002Y-138916802D01* +X210074406Y-138881598D01* +X210065321Y-138845329D01* +X210059835Y-138808345D01* +X210058000Y-138771000D01* +X210058000Y-131993000D01* +X210059835Y-131955655D01* +X210065321Y-131918671D01* +X210074406Y-131882402D01* +X210087002Y-131847198D01* +X210102988Y-131813398D01* +X210122210Y-131781328D01* +X210144483Y-131751296D01* +X210169592Y-131723592D01* +X210197296Y-131698483D01* +X210227328Y-131676210D01* +X210259398Y-131656988D01* +X210293198Y-131641002D01* +X210328402Y-131628406D01* +X210364671Y-131619321D01* +X210401655Y-131613835D01* +X210439000Y-131612000D01* +X211201000Y-131612000D01* +X211238345Y-131613835D01* +X211238345Y-131613835D01* +G37* +D12* +X210820000Y-135382000D03* +D11* +G36* +X213778345Y-131613835D02* +G01* +X213815329Y-131619321D01* +X213851598Y-131628406D01* +X213886802Y-131641002D01* +X213920602Y-131656988D01* +X213952672Y-131676210D01* +X213982704Y-131698483D01* +X214010408Y-131723592D01* +X214035517Y-131751296D01* +X214057790Y-131781328D01* +X214077012Y-131813398D01* +X214092998Y-131847198D01* +X214105594Y-131882402D01* +X214114679Y-131918671D01* +X214120165Y-131955655D01* +X214122000Y-131993000D01* +X214122000Y-138771000D01* +X214120165Y-138808345D01* +X214114679Y-138845329D01* +X214105594Y-138881598D01* +X214092998Y-138916802D01* +X214077012Y-138950602D01* +X214057790Y-138982672D01* +X214035517Y-139012704D01* +X214010408Y-139040408D01* +X213982704Y-139065517D01* +X213952672Y-139087790D01* +X213920602Y-139107012D01* +X213886802Y-139122998D01* +X213851598Y-139135594D01* +X213815329Y-139144679D01* +X213778345Y-139150165D01* +X213741000Y-139152000D01* +X212979000Y-139152000D01* +X212941655Y-139150165D01* +X212904671Y-139144679D01* +X212868402Y-139135594D01* +X212833198Y-139122998D01* +X212799398Y-139107012D01* +X212767328Y-139087790D01* +X212737296Y-139065517D01* +X212709592Y-139040408D01* +X212684483Y-139012704D01* +X212662210Y-138982672D01* +X212642988Y-138950602D01* +X212627002Y-138916802D01* +X212614406Y-138881598D01* +X212605321Y-138845329D01* +X212599835Y-138808345D01* +X212598000Y-138771000D01* +X212598000Y-131993000D01* +X212599835Y-131955655D01* +X212605321Y-131918671D01* +X212614406Y-131882402D01* +X212627002Y-131847198D01* +X212642988Y-131813398D01* +X212662210Y-131781328D01* +X212684483Y-131751296D01* +X212709592Y-131723592D01* +X212737296Y-131698483D01* +X212767328Y-131676210D01* +X212799398Y-131656988D01* +X212833198Y-131641002D01* +X212868402Y-131628406D01* +X212904671Y-131619321D01* +X212941655Y-131613835D01* +X212979000Y-131612000D01* +X213741000Y-131612000D01* +X213778345Y-131613835D01* +X213778345Y-131613835D01* +G37* +D12* +X213360000Y-135382000D03* +D11* +G36* +X216318345Y-131613835D02* +G01* +X216355329Y-131619321D01* +X216391598Y-131628406D01* +X216426802Y-131641002D01* +X216460602Y-131656988D01* +X216492672Y-131676210D01* +X216522704Y-131698483D01* +X216550408Y-131723592D01* +X216575517Y-131751296D01* +X216597790Y-131781328D01* +X216617012Y-131813398D01* +X216632998Y-131847198D01* +X216645594Y-131882402D01* +X216654679Y-131918671D01* +X216660165Y-131955655D01* +X216662000Y-131993000D01* +X216662000Y-138771000D01* +X216660165Y-138808345D01* +X216654679Y-138845329D01* +X216645594Y-138881598D01* +X216632998Y-138916802D01* +X216617012Y-138950602D01* +X216597790Y-138982672D01* +X216575517Y-139012704D01* +X216550408Y-139040408D01* +X216522704Y-139065517D01* +X216492672Y-139087790D01* +X216460602Y-139107012D01* +X216426802Y-139122998D01* +X216391598Y-139135594D01* +X216355329Y-139144679D01* +X216318345Y-139150165D01* +X216281000Y-139152000D01* +X215519000Y-139152000D01* +X215481655Y-139150165D01* +X215444671Y-139144679D01* +X215408402Y-139135594D01* +X215373198Y-139122998D01* +X215339398Y-139107012D01* +X215307328Y-139087790D01* +X215277296Y-139065517D01* +X215249592Y-139040408D01* +X215224483Y-139012704D01* +X215202210Y-138982672D01* +X215182988Y-138950602D01* +X215167002Y-138916802D01* +X215154406Y-138881598D01* +X215145321Y-138845329D01* +X215139835Y-138808345D01* +X215138000Y-138771000D01* +X215138000Y-131993000D01* +X215139835Y-131955655D01* +X215145321Y-131918671D01* +X215154406Y-131882402D01* +X215167002Y-131847198D01* +X215182988Y-131813398D01* +X215202210Y-131781328D01* +X215224483Y-131751296D01* +X215249592Y-131723592D01* +X215277296Y-131698483D01* +X215307328Y-131676210D01* +X215339398Y-131656988D01* +X215373198Y-131641002D01* +X215408402Y-131628406D01* +X215444671Y-131619321D01* +X215481655Y-131613835D01* +X215519000Y-131612000D01* +X216281000Y-131612000D01* +X216318345Y-131613835D01* +X216318345Y-131613835D01* +G37* +D12* +X215900000Y-135382000D03* +D11* +G36* +X218858345Y-131613835D02* +G01* +X218895329Y-131619321D01* +X218931598Y-131628406D01* +X218966802Y-131641002D01* +X219000602Y-131656988D01* +X219032672Y-131676210D01* +X219062704Y-131698483D01* +X219090408Y-131723592D01* +X219115517Y-131751296D01* +X219137790Y-131781328D01* +X219157012Y-131813398D01* +X219172998Y-131847198D01* +X219185594Y-131882402D01* +X219194679Y-131918671D01* +X219200165Y-131955655D01* +X219202000Y-131993000D01* +X219202000Y-138771000D01* +X219200165Y-138808345D01* +X219194679Y-138845329D01* +X219185594Y-138881598D01* +X219172998Y-138916802D01* +X219157012Y-138950602D01* +X219137790Y-138982672D01* +X219115517Y-139012704D01* +X219090408Y-139040408D01* +X219062704Y-139065517D01* +X219032672Y-139087790D01* +X219000602Y-139107012D01* +X218966802Y-139122998D01* +X218931598Y-139135594D01* +X218895329Y-139144679D01* +X218858345Y-139150165D01* +X218821000Y-139152000D01* +X218059000Y-139152000D01* +X218021655Y-139150165D01* +X217984671Y-139144679D01* +X217948402Y-139135594D01* +X217913198Y-139122998D01* +X217879398Y-139107012D01* +X217847328Y-139087790D01* +X217817296Y-139065517D01* +X217789592Y-139040408D01* +X217764483Y-139012704D01* +X217742210Y-138982672D01* +X217722988Y-138950602D01* +X217707002Y-138916802D01* +X217694406Y-138881598D01* +X217685321Y-138845329D01* +X217679835Y-138808345D01* +X217678000Y-138771000D01* +X217678000Y-131993000D01* +X217679835Y-131955655D01* +X217685321Y-131918671D01* +X217694406Y-131882402D01* +X217707002Y-131847198D01* +X217722988Y-131813398D01* +X217742210Y-131781328D01* +X217764483Y-131751296D01* +X217789592Y-131723592D01* +X217817296Y-131698483D01* +X217847328Y-131676210D01* +X217879398Y-131656988D01* +X217913198Y-131641002D01* +X217948402Y-131628406D01* +X217984671Y-131619321D01* +X218021655Y-131613835D01* +X218059000Y-131612000D01* +X218821000Y-131612000D01* +X218858345Y-131613835D01* +X218858345Y-131613835D01* +G37* +D12* +X218440000Y-135382000D03* +D11* +G36* +X221398345Y-131613835D02* +G01* +X221435329Y-131619321D01* +X221471598Y-131628406D01* +X221506802Y-131641002D01* +X221540602Y-131656988D01* +X221572672Y-131676210D01* +X221602704Y-131698483D01* +X221630408Y-131723592D01* +X221655517Y-131751296D01* +X221677790Y-131781328D01* +X221697012Y-131813398D01* +X221712998Y-131847198D01* +X221725594Y-131882402D01* +X221734679Y-131918671D01* +X221740165Y-131955655D01* +X221742000Y-131993000D01* +X221742000Y-138771000D01* +X221740165Y-138808345D01* +X221734679Y-138845329D01* +X221725594Y-138881598D01* +X221712998Y-138916802D01* +X221697012Y-138950602D01* +X221677790Y-138982672D01* +X221655517Y-139012704D01* +X221630408Y-139040408D01* +X221602704Y-139065517D01* +X221572672Y-139087790D01* +X221540602Y-139107012D01* +X221506802Y-139122998D01* +X221471598Y-139135594D01* +X221435329Y-139144679D01* +X221398345Y-139150165D01* +X221361000Y-139152000D01* +X220599000Y-139152000D01* +X220561655Y-139150165D01* +X220524671Y-139144679D01* +X220488402Y-139135594D01* +X220453198Y-139122998D01* +X220419398Y-139107012D01* +X220387328Y-139087790D01* +X220357296Y-139065517D01* +X220329592Y-139040408D01* +X220304483Y-139012704D01* +X220282210Y-138982672D01* +X220262988Y-138950602D01* +X220247002Y-138916802D01* +X220234406Y-138881598D01* +X220225321Y-138845329D01* +X220219835Y-138808345D01* +X220218000Y-138771000D01* +X220218000Y-131993000D01* +X220219835Y-131955655D01* +X220225321Y-131918671D01* +X220234406Y-131882402D01* +X220247002Y-131847198D01* +X220262988Y-131813398D01* +X220282210Y-131781328D01* +X220304483Y-131751296D01* +X220329592Y-131723592D01* +X220357296Y-131698483D01* +X220387328Y-131676210D01* +X220419398Y-131656988D01* +X220453198Y-131641002D01* +X220488402Y-131628406D01* +X220524671Y-131619321D01* +X220561655Y-131613835D01* +X220599000Y-131612000D01* +X221361000Y-131612000D01* +X221398345Y-131613835D01* +X221398345Y-131613835D01* +G37* +D12* +X220980000Y-135382000D03* +D11* +G36* +X223938345Y-131613835D02* +G01* +X223975329Y-131619321D01* +X224011598Y-131628406D01* +X224046802Y-131641002D01* +X224080602Y-131656988D01* +X224112672Y-131676210D01* +X224142704Y-131698483D01* +X224170408Y-131723592D01* +X224195517Y-131751296D01* +X224217790Y-131781328D01* +X224237012Y-131813398D01* +X224252998Y-131847198D01* +X224265594Y-131882402D01* +X224274679Y-131918671D01* +X224280165Y-131955655D01* +X224282000Y-131993000D01* +X224282000Y-138771000D01* +X224280165Y-138808345D01* +X224274679Y-138845329D01* +X224265594Y-138881598D01* +X224252998Y-138916802D01* +X224237012Y-138950602D01* +X224217790Y-138982672D01* +X224195517Y-139012704D01* +X224170408Y-139040408D01* +X224142704Y-139065517D01* +X224112672Y-139087790D01* +X224080602Y-139107012D01* +X224046802Y-139122998D01* +X224011598Y-139135594D01* +X223975329Y-139144679D01* +X223938345Y-139150165D01* +X223901000Y-139152000D01* +X223139000Y-139152000D01* +X223101655Y-139150165D01* +X223064671Y-139144679D01* +X223028402Y-139135594D01* +X222993198Y-139122998D01* +X222959398Y-139107012D01* +X222927328Y-139087790D01* +X222897296Y-139065517D01* +X222869592Y-139040408D01* +X222844483Y-139012704D01* +X222822210Y-138982672D01* +X222802988Y-138950602D01* +X222787002Y-138916802D01* +X222774406Y-138881598D01* +X222765321Y-138845329D01* +X222759835Y-138808345D01* +X222758000Y-138771000D01* +X222758000Y-131993000D01* +X222759835Y-131955655D01* +X222765321Y-131918671D01* +X222774406Y-131882402D01* +X222787002Y-131847198D01* +X222802988Y-131813398D01* +X222822210Y-131781328D01* +X222844483Y-131751296D01* +X222869592Y-131723592D01* +X222897296Y-131698483D01* +X222927328Y-131676210D01* +X222959398Y-131656988D01* +X222993198Y-131641002D01* +X223028402Y-131628406D01* +X223064671Y-131619321D01* +X223101655Y-131613835D01* +X223139000Y-131612000D01* +X223901000Y-131612000D01* +X223938345Y-131613835D01* +X223938345Y-131613835D01* +G37* +D12* +X223520000Y-135382000D03* +D11* +G36* +X226478345Y-131613835D02* +G01* +X226515329Y-131619321D01* +X226551598Y-131628406D01* +X226586802Y-131641002D01* +X226620602Y-131656988D01* +X226652672Y-131676210D01* +X226682704Y-131698483D01* +X226710408Y-131723592D01* +X226735517Y-131751296D01* +X226757790Y-131781328D01* +X226777012Y-131813398D01* +X226792998Y-131847198D01* +X226805594Y-131882402D01* +X226814679Y-131918671D01* +X226820165Y-131955655D01* +X226822000Y-131993000D01* +X226822000Y-138771000D01* +X226820165Y-138808345D01* +X226814679Y-138845329D01* +X226805594Y-138881598D01* +X226792998Y-138916802D01* +X226777012Y-138950602D01* +X226757790Y-138982672D01* +X226735517Y-139012704D01* +X226710408Y-139040408D01* +X226682704Y-139065517D01* +X226652672Y-139087790D01* +X226620602Y-139107012D01* +X226586802Y-139122998D01* +X226551598Y-139135594D01* +X226515329Y-139144679D01* +X226478345Y-139150165D01* +X226441000Y-139152000D01* +X225679000Y-139152000D01* +X225641655Y-139150165D01* +X225604671Y-139144679D01* +X225568402Y-139135594D01* +X225533198Y-139122998D01* +X225499398Y-139107012D01* +X225467328Y-139087790D01* +X225437296Y-139065517D01* +X225409592Y-139040408D01* +X225384483Y-139012704D01* +X225362210Y-138982672D01* +X225342988Y-138950602D01* +X225327002Y-138916802D01* +X225314406Y-138881598D01* +X225305321Y-138845329D01* +X225299835Y-138808345D01* +X225298000Y-138771000D01* +X225298000Y-131993000D01* +X225299835Y-131955655D01* +X225305321Y-131918671D01* +X225314406Y-131882402D01* +X225327002Y-131847198D01* +X225342988Y-131813398D01* +X225362210Y-131781328D01* +X225384483Y-131751296D01* +X225409592Y-131723592D01* +X225437296Y-131698483D01* +X225467328Y-131676210D01* +X225499398Y-131656988D01* +X225533198Y-131641002D01* +X225568402Y-131628406D01* +X225604671Y-131619321D01* +X225641655Y-131613835D01* +X225679000Y-131612000D01* +X226441000Y-131612000D01* +X226478345Y-131613835D01* +X226478345Y-131613835D01* +G37* +D12* +X226060000Y-135382000D03* +D11* +G36* +X229018345Y-131613835D02* +G01* +X229055329Y-131619321D01* +X229091598Y-131628406D01* +X229126802Y-131641002D01* +X229160602Y-131656988D01* +X229192672Y-131676210D01* +X229222704Y-131698483D01* +X229250408Y-131723592D01* +X229275517Y-131751296D01* +X229297790Y-131781328D01* +X229317012Y-131813398D01* +X229332998Y-131847198D01* +X229345594Y-131882402D01* +X229354679Y-131918671D01* +X229360165Y-131955655D01* +X229362000Y-131993000D01* +X229362000Y-138771000D01* +X229360165Y-138808345D01* +X229354679Y-138845329D01* +X229345594Y-138881598D01* +X229332998Y-138916802D01* +X229317012Y-138950602D01* +X229297790Y-138982672D01* +X229275517Y-139012704D01* +X229250408Y-139040408D01* +X229222704Y-139065517D01* +X229192672Y-139087790D01* +X229160602Y-139107012D01* +X229126802Y-139122998D01* +X229091598Y-139135594D01* +X229055329Y-139144679D01* +X229018345Y-139150165D01* +X228981000Y-139152000D01* +X228219000Y-139152000D01* +X228181655Y-139150165D01* +X228144671Y-139144679D01* +X228108402Y-139135594D01* +X228073198Y-139122998D01* +X228039398Y-139107012D01* +X228007328Y-139087790D01* +X227977296Y-139065517D01* +X227949592Y-139040408D01* +X227924483Y-139012704D01* +X227902210Y-138982672D01* +X227882988Y-138950602D01* +X227867002Y-138916802D01* +X227854406Y-138881598D01* +X227845321Y-138845329D01* +X227839835Y-138808345D01* +X227838000Y-138771000D01* +X227838000Y-131993000D01* +X227839835Y-131955655D01* +X227845321Y-131918671D01* +X227854406Y-131882402D01* +X227867002Y-131847198D01* +X227882988Y-131813398D01* +X227902210Y-131781328D01* +X227924483Y-131751296D01* +X227949592Y-131723592D01* +X227977296Y-131698483D01* +X228007328Y-131676210D01* +X228039398Y-131656988D01* +X228073198Y-131641002D01* +X228108402Y-131628406D01* +X228144671Y-131619321D01* +X228181655Y-131613835D01* +X228219000Y-131612000D01* +X228981000Y-131612000D01* +X229018345Y-131613835D01* +X229018345Y-131613835D01* +G37* +D12* +X228600000Y-135382000D03* +D11* +G36* +X231558345Y-131613835D02* +G01* +X231595329Y-131619321D01* +X231631598Y-131628406D01* +X231666802Y-131641002D01* +X231700602Y-131656988D01* +X231732672Y-131676210D01* +X231762704Y-131698483D01* +X231790408Y-131723592D01* +X231815517Y-131751296D01* +X231837790Y-131781328D01* +X231857012Y-131813398D01* +X231872998Y-131847198D01* +X231885594Y-131882402D01* +X231894679Y-131918671D01* +X231900165Y-131955655D01* +X231902000Y-131993000D01* +X231902000Y-138771000D01* +X231900165Y-138808345D01* +X231894679Y-138845329D01* +X231885594Y-138881598D01* +X231872998Y-138916802D01* +X231857012Y-138950602D01* +X231837790Y-138982672D01* +X231815517Y-139012704D01* +X231790408Y-139040408D01* +X231762704Y-139065517D01* +X231732672Y-139087790D01* +X231700602Y-139107012D01* +X231666802Y-139122998D01* +X231631598Y-139135594D01* +X231595329Y-139144679D01* +X231558345Y-139150165D01* +X231521000Y-139152000D01* +X230759000Y-139152000D01* +X230721655Y-139150165D01* +X230684671Y-139144679D01* +X230648402Y-139135594D01* +X230613198Y-139122998D01* +X230579398Y-139107012D01* +X230547328Y-139087790D01* +X230517296Y-139065517D01* +X230489592Y-139040408D01* +X230464483Y-139012704D01* +X230442210Y-138982672D01* +X230422988Y-138950602D01* +X230407002Y-138916802D01* +X230394406Y-138881598D01* +X230385321Y-138845329D01* +X230379835Y-138808345D01* +X230378000Y-138771000D01* +X230378000Y-131993000D01* +X230379835Y-131955655D01* +X230385321Y-131918671D01* +X230394406Y-131882402D01* +X230407002Y-131847198D01* +X230422988Y-131813398D01* +X230442210Y-131781328D01* +X230464483Y-131751296D01* +X230489592Y-131723592D01* +X230517296Y-131698483D01* +X230547328Y-131676210D01* +X230579398Y-131656988D01* +X230613198Y-131641002D01* +X230648402Y-131628406D01* +X230684671Y-131619321D01* +X230721655Y-131613835D01* +X230759000Y-131612000D01* +X231521000Y-131612000D01* +X231558345Y-131613835D01* +X231558345Y-131613835D01* +G37* +D12* +X231140000Y-135382000D03* +D11* +G36* +X234098345Y-131613835D02* +G01* +X234135329Y-131619321D01* +X234171598Y-131628406D01* +X234206802Y-131641002D01* +X234240602Y-131656988D01* +X234272672Y-131676210D01* +X234302704Y-131698483D01* +X234330408Y-131723592D01* +X234355517Y-131751296D01* +X234377790Y-131781328D01* +X234397012Y-131813398D01* +X234412998Y-131847198D01* +X234425594Y-131882402D01* +X234434679Y-131918671D01* +X234440165Y-131955655D01* +X234442000Y-131993000D01* +X234442000Y-138771000D01* +X234440165Y-138808345D01* +X234434679Y-138845329D01* +X234425594Y-138881598D01* +X234412998Y-138916802D01* +X234397012Y-138950602D01* +X234377790Y-138982672D01* +X234355517Y-139012704D01* +X234330408Y-139040408D01* +X234302704Y-139065517D01* +X234272672Y-139087790D01* +X234240602Y-139107012D01* +X234206802Y-139122998D01* +X234171598Y-139135594D01* +X234135329Y-139144679D01* +X234098345Y-139150165D01* +X234061000Y-139152000D01* +X233299000Y-139152000D01* +X233261655Y-139150165D01* +X233224671Y-139144679D01* +X233188402Y-139135594D01* +X233153198Y-139122998D01* +X233119398Y-139107012D01* +X233087328Y-139087790D01* +X233057296Y-139065517D01* +X233029592Y-139040408D01* +X233004483Y-139012704D01* +X232982210Y-138982672D01* +X232962988Y-138950602D01* +X232947002Y-138916802D01* +X232934406Y-138881598D01* +X232925321Y-138845329D01* +X232919835Y-138808345D01* +X232918000Y-138771000D01* +X232918000Y-131993000D01* +X232919835Y-131955655D01* +X232925321Y-131918671D01* +X232934406Y-131882402D01* +X232947002Y-131847198D01* +X232962988Y-131813398D01* +X232982210Y-131781328D01* +X233004483Y-131751296D01* +X233029592Y-131723592D01* +X233057296Y-131698483D01* +X233087328Y-131676210D01* +X233119398Y-131656988D01* +X233153198Y-131641002D01* +X233188402Y-131628406D01* +X233224671Y-131619321D01* +X233261655Y-131613835D01* +X233299000Y-131612000D01* +X234061000Y-131612000D01* +X234098345Y-131613835D01* +X234098345Y-131613835D01* +G37* +D12* +X233680000Y-135382000D03* +D11* +G36* +X236638345Y-131613835D02* +G01* +X236675329Y-131619321D01* +X236711598Y-131628406D01* +X236746802Y-131641002D01* +X236780602Y-131656988D01* +X236812672Y-131676210D01* +X236842704Y-131698483D01* +X236870408Y-131723592D01* +X236895517Y-131751296D01* +X236917790Y-131781328D01* +X236937012Y-131813398D01* +X236952998Y-131847198D01* +X236965594Y-131882402D01* +X236974679Y-131918671D01* +X236980165Y-131955655D01* +X236982000Y-131993000D01* +X236982000Y-138771000D01* +X236980165Y-138808345D01* +X236974679Y-138845329D01* +X236965594Y-138881598D01* +X236952998Y-138916802D01* +X236937012Y-138950602D01* +X236917790Y-138982672D01* +X236895517Y-139012704D01* +X236870408Y-139040408D01* +X236842704Y-139065517D01* +X236812672Y-139087790D01* +X236780602Y-139107012D01* +X236746802Y-139122998D01* +X236711598Y-139135594D01* +X236675329Y-139144679D01* +X236638345Y-139150165D01* +X236601000Y-139152000D01* +X235839000Y-139152000D01* +X235801655Y-139150165D01* +X235764671Y-139144679D01* +X235728402Y-139135594D01* +X235693198Y-139122998D01* +X235659398Y-139107012D01* +X235627328Y-139087790D01* +X235597296Y-139065517D01* +X235569592Y-139040408D01* +X235544483Y-139012704D01* +X235522210Y-138982672D01* +X235502988Y-138950602D01* +X235487002Y-138916802D01* +X235474406Y-138881598D01* +X235465321Y-138845329D01* +X235459835Y-138808345D01* +X235458000Y-138771000D01* +X235458000Y-131993000D01* +X235459835Y-131955655D01* +X235465321Y-131918671D01* +X235474406Y-131882402D01* +X235487002Y-131847198D01* +X235502988Y-131813398D01* +X235522210Y-131781328D01* +X235544483Y-131751296D01* +X235569592Y-131723592D01* +X235597296Y-131698483D01* +X235627328Y-131676210D01* +X235659398Y-131656988D01* +X235693198Y-131641002D01* +X235728402Y-131628406D01* +X235764671Y-131619321D01* +X235801655Y-131613835D01* +X235839000Y-131612000D01* +X236601000Y-131612000D01* +X236638345Y-131613835D01* +X236638345Y-131613835D01* +G37* +D12* +X236220000Y-135382000D03* +D11* +G36* +X239178345Y-131613835D02* +G01* +X239215329Y-131619321D01* +X239251598Y-131628406D01* +X239286802Y-131641002D01* +X239320602Y-131656988D01* +X239352672Y-131676210D01* +X239382704Y-131698483D01* +X239410408Y-131723592D01* +X239435517Y-131751296D01* +X239457790Y-131781328D01* +X239477012Y-131813398D01* +X239492998Y-131847198D01* +X239505594Y-131882402D01* +X239514679Y-131918671D01* +X239520165Y-131955655D01* +X239522000Y-131993000D01* +X239522000Y-138771000D01* +X239520165Y-138808345D01* +X239514679Y-138845329D01* +X239505594Y-138881598D01* +X239492998Y-138916802D01* +X239477012Y-138950602D01* +X239457790Y-138982672D01* +X239435517Y-139012704D01* +X239410408Y-139040408D01* +X239382704Y-139065517D01* +X239352672Y-139087790D01* +X239320602Y-139107012D01* +X239286802Y-139122998D01* +X239251598Y-139135594D01* +X239215329Y-139144679D01* +X239178345Y-139150165D01* +X239141000Y-139152000D01* +X238379000Y-139152000D01* +X238341655Y-139150165D01* +X238304671Y-139144679D01* +X238268402Y-139135594D01* +X238233198Y-139122998D01* +X238199398Y-139107012D01* +X238167328Y-139087790D01* +X238137296Y-139065517D01* +X238109592Y-139040408D01* +X238084483Y-139012704D01* +X238062210Y-138982672D01* +X238042988Y-138950602D01* +X238027002Y-138916802D01* +X238014406Y-138881598D01* +X238005321Y-138845329D01* +X237999835Y-138808345D01* +X237998000Y-138771000D01* +X237998000Y-131993000D01* +X237999835Y-131955655D01* +X238005321Y-131918671D01* +X238014406Y-131882402D01* +X238027002Y-131847198D01* +X238042988Y-131813398D01* +X238062210Y-131781328D01* +X238084483Y-131751296D01* +X238109592Y-131723592D01* +X238137296Y-131698483D01* +X238167328Y-131676210D01* +X238199398Y-131656988D01* +X238233198Y-131641002D01* +X238268402Y-131628406D01* +X238304671Y-131619321D01* +X238341655Y-131613835D01* +X238379000Y-131612000D01* +X239141000Y-131612000D01* +X239178345Y-131613835D01* +X239178345Y-131613835D01* +G37* +D12* +X238760000Y-135382000D03* +D11* +G36* +X241718345Y-131613835D02* +G01* +X241755329Y-131619321D01* +X241791598Y-131628406D01* +X241826802Y-131641002D01* +X241860602Y-131656988D01* +X241892672Y-131676210D01* +X241922704Y-131698483D01* +X241950408Y-131723592D01* +X241975517Y-131751296D01* +X241997790Y-131781328D01* +X242017012Y-131813398D01* +X242032998Y-131847198D01* +X242045594Y-131882402D01* +X242054679Y-131918671D01* +X242060165Y-131955655D01* +X242062000Y-131993000D01* +X242062000Y-138771000D01* +X242060165Y-138808345D01* +X242054679Y-138845329D01* +X242045594Y-138881598D01* +X242032998Y-138916802D01* +X242017012Y-138950602D01* +X241997790Y-138982672D01* +X241975517Y-139012704D01* +X241950408Y-139040408D01* +X241922704Y-139065517D01* +X241892672Y-139087790D01* +X241860602Y-139107012D01* +X241826802Y-139122998D01* +X241791598Y-139135594D01* +X241755329Y-139144679D01* +X241718345Y-139150165D01* +X241681000Y-139152000D01* +X240919000Y-139152000D01* +X240881655Y-139150165D01* +X240844671Y-139144679D01* +X240808402Y-139135594D01* +X240773198Y-139122998D01* +X240739398Y-139107012D01* +X240707328Y-139087790D01* +X240677296Y-139065517D01* +X240649592Y-139040408D01* +X240624483Y-139012704D01* +X240602210Y-138982672D01* +X240582988Y-138950602D01* +X240567002Y-138916802D01* +X240554406Y-138881598D01* +X240545321Y-138845329D01* +X240539835Y-138808345D01* +X240538000Y-138771000D01* +X240538000Y-131993000D01* +X240539835Y-131955655D01* +X240545321Y-131918671D01* +X240554406Y-131882402D01* +X240567002Y-131847198D01* +X240582988Y-131813398D01* +X240602210Y-131781328D01* +X240624483Y-131751296D01* +X240649592Y-131723592D01* +X240677296Y-131698483D01* +X240707328Y-131676210D01* +X240739398Y-131656988D01* +X240773198Y-131641002D01* +X240808402Y-131628406D01* +X240844671Y-131619321D01* +X240881655Y-131613835D01* +X240919000Y-131612000D01* +X241681000Y-131612000D01* +X241718345Y-131613835D01* +X241718345Y-131613835D01* +G37* +D12* +X241300000Y-135382000D03* +D11* +G36* +X244258345Y-131613835D02* +G01* +X244295329Y-131619321D01* +X244331598Y-131628406D01* +X244366802Y-131641002D01* +X244400602Y-131656988D01* +X244432672Y-131676210D01* +X244462704Y-131698483D01* +X244490408Y-131723592D01* +X244515517Y-131751296D01* +X244537790Y-131781328D01* +X244557012Y-131813398D01* +X244572998Y-131847198D01* +X244585594Y-131882402D01* +X244594679Y-131918671D01* +X244600165Y-131955655D01* +X244602000Y-131993000D01* +X244602000Y-138771000D01* +X244600165Y-138808345D01* +X244594679Y-138845329D01* +X244585594Y-138881598D01* +X244572998Y-138916802D01* +X244557012Y-138950602D01* +X244537790Y-138982672D01* +X244515517Y-139012704D01* +X244490408Y-139040408D01* +X244462704Y-139065517D01* +X244432672Y-139087790D01* +X244400602Y-139107012D01* +X244366802Y-139122998D01* +X244331598Y-139135594D01* +X244295329Y-139144679D01* +X244258345Y-139150165D01* +X244221000Y-139152000D01* +X243459000Y-139152000D01* +X243421655Y-139150165D01* +X243384671Y-139144679D01* +X243348402Y-139135594D01* +X243313198Y-139122998D01* +X243279398Y-139107012D01* +X243247328Y-139087790D01* +X243217296Y-139065517D01* +X243189592Y-139040408D01* +X243164483Y-139012704D01* +X243142210Y-138982672D01* +X243122988Y-138950602D01* +X243107002Y-138916802D01* +X243094406Y-138881598D01* +X243085321Y-138845329D01* +X243079835Y-138808345D01* +X243078000Y-138771000D01* +X243078000Y-131993000D01* +X243079835Y-131955655D01* +X243085321Y-131918671D01* +X243094406Y-131882402D01* +X243107002Y-131847198D01* +X243122988Y-131813398D01* +X243142210Y-131781328D01* +X243164483Y-131751296D01* +X243189592Y-131723592D01* +X243217296Y-131698483D01* +X243247328Y-131676210D01* +X243279398Y-131656988D01* +X243313198Y-131641002D01* +X243348402Y-131628406D01* +X243384671Y-131619321D01* +X243421655Y-131613835D01* +X243459000Y-131612000D01* +X244221000Y-131612000D01* +X244258345Y-131613835D01* +X244258345Y-131613835D01* +G37* +D12* +X243840000Y-135382000D03* +D11* +G36* +X246798345Y-131613835D02* +G01* +X246835329Y-131619321D01* +X246871598Y-131628406D01* +X246906802Y-131641002D01* +X246940602Y-131656988D01* +X246972672Y-131676210D01* +X247002704Y-131698483D01* +X247030408Y-131723592D01* +X247055517Y-131751296D01* +X247077790Y-131781328D01* +X247097012Y-131813398D01* +X247112998Y-131847198D01* +X247125594Y-131882402D01* +X247134679Y-131918671D01* +X247140165Y-131955655D01* +X247142000Y-131993000D01* +X247142000Y-138771000D01* +X247140165Y-138808345D01* +X247134679Y-138845329D01* +X247125594Y-138881598D01* +X247112998Y-138916802D01* +X247097012Y-138950602D01* +X247077790Y-138982672D01* +X247055517Y-139012704D01* +X247030408Y-139040408D01* +X247002704Y-139065517D01* +X246972672Y-139087790D01* +X246940602Y-139107012D01* +X246906802Y-139122998D01* +X246871598Y-139135594D01* +X246835329Y-139144679D01* +X246798345Y-139150165D01* +X246761000Y-139152000D01* +X245999000Y-139152000D01* +X245961655Y-139150165D01* +X245924671Y-139144679D01* +X245888402Y-139135594D01* +X245853198Y-139122998D01* +X245819398Y-139107012D01* +X245787328Y-139087790D01* +X245757296Y-139065517D01* +X245729592Y-139040408D01* +X245704483Y-139012704D01* +X245682210Y-138982672D01* +X245662988Y-138950602D01* +X245647002Y-138916802D01* +X245634406Y-138881598D01* +X245625321Y-138845329D01* +X245619835Y-138808345D01* +X245618000Y-138771000D01* +X245618000Y-131993000D01* +X245619835Y-131955655D01* +X245625321Y-131918671D01* +X245634406Y-131882402D01* +X245647002Y-131847198D01* +X245662988Y-131813398D01* +X245682210Y-131781328D01* +X245704483Y-131751296D01* +X245729592Y-131723592D01* +X245757296Y-131698483D01* +X245787328Y-131676210D01* +X245819398Y-131656988D01* +X245853198Y-131641002D01* +X245888402Y-131628406D01* +X245924671Y-131619321D01* +X245961655Y-131613835D01* +X245999000Y-131612000D01* +X246761000Y-131612000D01* +X246798345Y-131613835D01* +X246798345Y-131613835D01* +G37* +D12* +X246380000Y-135382000D03* +D11* +G36* +X249338345Y-131613835D02* +G01* +X249375329Y-131619321D01* +X249411598Y-131628406D01* +X249446802Y-131641002D01* +X249480602Y-131656988D01* +X249512672Y-131676210D01* +X249542704Y-131698483D01* +X249570408Y-131723592D01* +X249595517Y-131751296D01* +X249617790Y-131781328D01* +X249637012Y-131813398D01* +X249652998Y-131847198D01* +X249665594Y-131882402D01* +X249674679Y-131918671D01* +X249680165Y-131955655D01* +X249682000Y-131993000D01* +X249682000Y-138771000D01* +X249680165Y-138808345D01* +X249674679Y-138845329D01* +X249665594Y-138881598D01* +X249652998Y-138916802D01* +X249637012Y-138950602D01* +X249617790Y-138982672D01* +X249595517Y-139012704D01* +X249570408Y-139040408D01* +X249542704Y-139065517D01* +X249512672Y-139087790D01* +X249480602Y-139107012D01* +X249446802Y-139122998D01* +X249411598Y-139135594D01* +X249375329Y-139144679D01* +X249338345Y-139150165D01* +X249301000Y-139152000D01* +X248539000Y-139152000D01* +X248501655Y-139150165D01* +X248464671Y-139144679D01* +X248428402Y-139135594D01* +X248393198Y-139122998D01* +X248359398Y-139107012D01* +X248327328Y-139087790D01* +X248297296Y-139065517D01* +X248269592Y-139040408D01* +X248244483Y-139012704D01* +X248222210Y-138982672D01* +X248202988Y-138950602D01* +X248187002Y-138916802D01* +X248174406Y-138881598D01* +X248165321Y-138845329D01* +X248159835Y-138808345D01* +X248158000Y-138771000D01* +X248158000Y-131993000D01* +X248159835Y-131955655D01* +X248165321Y-131918671D01* +X248174406Y-131882402D01* +X248187002Y-131847198D01* +X248202988Y-131813398D01* +X248222210Y-131781328D01* +X248244483Y-131751296D01* +X248269592Y-131723592D01* +X248297296Y-131698483D01* +X248327328Y-131676210D01* +X248359398Y-131656988D01* +X248393198Y-131641002D01* +X248428402Y-131628406D01* +X248464671Y-131619321D01* +X248501655Y-131613835D01* +X248539000Y-131612000D01* +X249301000Y-131612000D01* +X249338345Y-131613835D01* +X249338345Y-131613835D01* +G37* +D12* +X248920000Y-135382000D03* +D11* +G36* +X251878345Y-131613835D02* +G01* +X251915329Y-131619321D01* +X251951598Y-131628406D01* +X251986802Y-131641002D01* +X252020602Y-131656988D01* +X252052672Y-131676210D01* +X252082704Y-131698483D01* +X252110408Y-131723592D01* +X252135517Y-131751296D01* +X252157790Y-131781328D01* +X252177012Y-131813398D01* +X252192998Y-131847198D01* +X252205594Y-131882402D01* +X252214679Y-131918671D01* +X252220165Y-131955655D01* +X252222000Y-131993000D01* +X252222000Y-138771000D01* +X252220165Y-138808345D01* +X252214679Y-138845329D01* +X252205594Y-138881598D01* +X252192998Y-138916802D01* +X252177012Y-138950602D01* +X252157790Y-138982672D01* +X252135517Y-139012704D01* +X252110408Y-139040408D01* +X252082704Y-139065517D01* +X252052672Y-139087790D01* +X252020602Y-139107012D01* +X251986802Y-139122998D01* +X251951598Y-139135594D01* +X251915329Y-139144679D01* +X251878345Y-139150165D01* +X251841000Y-139152000D01* +X251079000Y-139152000D01* +X251041655Y-139150165D01* +X251004671Y-139144679D01* +X250968402Y-139135594D01* +X250933198Y-139122998D01* +X250899398Y-139107012D01* +X250867328Y-139087790D01* +X250837296Y-139065517D01* +X250809592Y-139040408D01* +X250784483Y-139012704D01* +X250762210Y-138982672D01* +X250742988Y-138950602D01* +X250727002Y-138916802D01* +X250714406Y-138881598D01* +X250705321Y-138845329D01* +X250699835Y-138808345D01* +X250698000Y-138771000D01* +X250698000Y-131993000D01* +X250699835Y-131955655D01* +X250705321Y-131918671D01* +X250714406Y-131882402D01* +X250727002Y-131847198D01* +X250742988Y-131813398D01* +X250762210Y-131781328D01* +X250784483Y-131751296D01* +X250809592Y-131723592D01* +X250837296Y-131698483D01* +X250867328Y-131676210D01* +X250899398Y-131656988D01* +X250933198Y-131641002D01* +X250968402Y-131628406D01* +X251004671Y-131619321D01* +X251041655Y-131613835D01* +X251079000Y-131612000D01* +X251841000Y-131612000D01* +X251878345Y-131613835D01* +X251878345Y-131613835D01* +G37* +D12* +X251460000Y-135382000D03* +D11* +G36* +X254418345Y-131613835D02* +G01* +X254455329Y-131619321D01* +X254491598Y-131628406D01* +X254526802Y-131641002D01* +X254560602Y-131656988D01* +X254592672Y-131676210D01* +X254622704Y-131698483D01* +X254650408Y-131723592D01* +X254675517Y-131751296D01* +X254697790Y-131781328D01* +X254717012Y-131813398D01* +X254732998Y-131847198D01* +X254745594Y-131882402D01* +X254754679Y-131918671D01* +X254760165Y-131955655D01* +X254762000Y-131993000D01* +X254762000Y-138771000D01* +X254760165Y-138808345D01* +X254754679Y-138845329D01* +X254745594Y-138881598D01* +X254732998Y-138916802D01* +X254717012Y-138950602D01* +X254697790Y-138982672D01* +X254675517Y-139012704D01* +X254650408Y-139040408D01* +X254622704Y-139065517D01* +X254592672Y-139087790D01* +X254560602Y-139107012D01* +X254526802Y-139122998D01* +X254491598Y-139135594D01* +X254455329Y-139144679D01* +X254418345Y-139150165D01* +X254381000Y-139152000D01* +X253619000Y-139152000D01* +X253581655Y-139150165D01* +X253544671Y-139144679D01* +X253508402Y-139135594D01* +X253473198Y-139122998D01* +X253439398Y-139107012D01* +X253407328Y-139087790D01* +X253377296Y-139065517D01* +X253349592Y-139040408D01* +X253324483Y-139012704D01* +X253302210Y-138982672D01* +X253282988Y-138950602D01* +X253267002Y-138916802D01* +X253254406Y-138881598D01* +X253245321Y-138845329D01* +X253239835Y-138808345D01* +X253238000Y-138771000D01* +X253238000Y-131993000D01* +X253239835Y-131955655D01* +X253245321Y-131918671D01* +X253254406Y-131882402D01* +X253267002Y-131847198D01* +X253282988Y-131813398D01* +X253302210Y-131781328D01* +X253324483Y-131751296D01* +X253349592Y-131723592D01* +X253377296Y-131698483D01* +X253407328Y-131676210D01* +X253439398Y-131656988D01* +X253473198Y-131641002D01* +X253508402Y-131628406D01* +X253544671Y-131619321D01* +X253581655Y-131613835D01* +X253619000Y-131612000D01* +X254381000Y-131612000D01* +X254418345Y-131613835D01* +X254418345Y-131613835D01* +G37* +D12* +X254000000Y-135382000D03* +D11* +G36* +X256958345Y-131613835D02* +G01* +X256995329Y-131619321D01* +X257031598Y-131628406D01* +X257066802Y-131641002D01* +X257100602Y-131656988D01* +X257132672Y-131676210D01* +X257162704Y-131698483D01* +X257190408Y-131723592D01* +X257215517Y-131751296D01* +X257237790Y-131781328D01* +X257257012Y-131813398D01* +X257272998Y-131847198D01* +X257285594Y-131882402D01* +X257294679Y-131918671D01* +X257300165Y-131955655D01* +X257302000Y-131993000D01* +X257302000Y-138771000D01* +X257300165Y-138808345D01* +X257294679Y-138845329D01* +X257285594Y-138881598D01* +X257272998Y-138916802D01* +X257257012Y-138950602D01* +X257237790Y-138982672D01* +X257215517Y-139012704D01* +X257190408Y-139040408D01* +X257162704Y-139065517D01* +X257132672Y-139087790D01* +X257100602Y-139107012D01* +X257066802Y-139122998D01* +X257031598Y-139135594D01* +X256995329Y-139144679D01* +X256958345Y-139150165D01* +X256921000Y-139152000D01* +X256159000Y-139152000D01* +X256121655Y-139150165D01* +X256084671Y-139144679D01* +X256048402Y-139135594D01* +X256013198Y-139122998D01* +X255979398Y-139107012D01* +X255947328Y-139087790D01* +X255917296Y-139065517D01* +X255889592Y-139040408D01* +X255864483Y-139012704D01* +X255842210Y-138982672D01* +X255822988Y-138950602D01* +X255807002Y-138916802D01* +X255794406Y-138881598D01* +X255785321Y-138845329D01* +X255779835Y-138808345D01* +X255778000Y-138771000D01* +X255778000Y-131993000D01* +X255779835Y-131955655D01* +X255785321Y-131918671D01* +X255794406Y-131882402D01* +X255807002Y-131847198D01* +X255822988Y-131813398D01* +X255842210Y-131781328D01* +X255864483Y-131751296D01* +X255889592Y-131723592D01* +X255917296Y-131698483D01* +X255947328Y-131676210D01* +X255979398Y-131656988D01* +X256013198Y-131641002D01* +X256048402Y-131628406D01* +X256084671Y-131619321D01* +X256121655Y-131613835D01* +X256159000Y-131612000D01* +X256921000Y-131612000D01* +X256958345Y-131613835D01* +X256958345Y-131613835D01* +G37* +D12* +X256540000Y-135382000D03* +D11* +G36* +X259498345Y-131613835D02* +G01* +X259535329Y-131619321D01* +X259571598Y-131628406D01* +X259606802Y-131641002D01* +X259640602Y-131656988D01* +X259672672Y-131676210D01* +X259702704Y-131698483D01* +X259730408Y-131723592D01* +X259755517Y-131751296D01* +X259777790Y-131781328D01* +X259797012Y-131813398D01* +X259812998Y-131847198D01* +X259825594Y-131882402D01* +X259834679Y-131918671D01* +X259840165Y-131955655D01* +X259842000Y-131993000D01* +X259842000Y-138771000D01* +X259840165Y-138808345D01* +X259834679Y-138845329D01* +X259825594Y-138881598D01* +X259812998Y-138916802D01* +X259797012Y-138950602D01* +X259777790Y-138982672D01* +X259755517Y-139012704D01* +X259730408Y-139040408D01* +X259702704Y-139065517D01* +X259672672Y-139087790D01* +X259640602Y-139107012D01* +X259606802Y-139122998D01* +X259571598Y-139135594D01* +X259535329Y-139144679D01* +X259498345Y-139150165D01* +X259461000Y-139152000D01* +X258699000Y-139152000D01* +X258661655Y-139150165D01* +X258624671Y-139144679D01* +X258588402Y-139135594D01* +X258553198Y-139122998D01* +X258519398Y-139107012D01* +X258487328Y-139087790D01* +X258457296Y-139065517D01* +X258429592Y-139040408D01* +X258404483Y-139012704D01* +X258382210Y-138982672D01* +X258362988Y-138950602D01* +X258347002Y-138916802D01* +X258334406Y-138881598D01* +X258325321Y-138845329D01* +X258319835Y-138808345D01* +X258318000Y-138771000D01* +X258318000Y-131993000D01* +X258319835Y-131955655D01* +X258325321Y-131918671D01* +X258334406Y-131882402D01* +X258347002Y-131847198D01* +X258362988Y-131813398D01* +X258382210Y-131781328D01* +X258404483Y-131751296D01* +X258429592Y-131723592D01* +X258457296Y-131698483D01* +X258487328Y-131676210D01* +X258519398Y-131656988D01* +X258553198Y-131641002D01* +X258588402Y-131628406D01* +X258624671Y-131619321D01* +X258661655Y-131613835D01* +X258699000Y-131612000D01* +X259461000Y-131612000D01* +X259498345Y-131613835D01* +X259498345Y-131613835D01* +G37* +D12* +X259080000Y-135382000D03* +D11* +G36* +X262038345Y-131613835D02* +G01* +X262075329Y-131619321D01* +X262111598Y-131628406D01* +X262146802Y-131641002D01* +X262180602Y-131656988D01* +X262212672Y-131676210D01* +X262242704Y-131698483D01* +X262270408Y-131723592D01* +X262295517Y-131751296D01* +X262317790Y-131781328D01* +X262337012Y-131813398D01* +X262352998Y-131847198D01* +X262365594Y-131882402D01* +X262374679Y-131918671D01* +X262380165Y-131955655D01* +X262382000Y-131993000D01* +X262382000Y-138771000D01* +X262380165Y-138808345D01* +X262374679Y-138845329D01* +X262365594Y-138881598D01* +X262352998Y-138916802D01* +X262337012Y-138950602D01* +X262317790Y-138982672D01* +X262295517Y-139012704D01* +X262270408Y-139040408D01* +X262242704Y-139065517D01* +X262212672Y-139087790D01* +X262180602Y-139107012D01* +X262146802Y-139122998D01* +X262111598Y-139135594D01* +X262075329Y-139144679D01* +X262038345Y-139150165D01* +X262001000Y-139152000D01* +X261239000Y-139152000D01* +X261201655Y-139150165D01* +X261164671Y-139144679D01* +X261128402Y-139135594D01* +X261093198Y-139122998D01* +X261059398Y-139107012D01* +X261027328Y-139087790D01* +X260997296Y-139065517D01* +X260969592Y-139040408D01* +X260944483Y-139012704D01* +X260922210Y-138982672D01* +X260902988Y-138950602D01* +X260887002Y-138916802D01* +X260874406Y-138881598D01* +X260865321Y-138845329D01* +X260859835Y-138808345D01* +X260858000Y-138771000D01* +X260858000Y-131993000D01* +X260859835Y-131955655D01* +X260865321Y-131918671D01* +X260874406Y-131882402D01* +X260887002Y-131847198D01* +X260902988Y-131813398D01* +X260922210Y-131781328D01* +X260944483Y-131751296D01* +X260969592Y-131723592D01* +X260997296Y-131698483D01* +X261027328Y-131676210D01* +X261059398Y-131656988D01* +X261093198Y-131641002D01* +X261128402Y-131628406D01* +X261164671Y-131619321D01* +X261201655Y-131613835D01* +X261239000Y-131612000D01* +X262001000Y-131612000D01* +X262038345Y-131613835D01* +X262038345Y-131613835D01* +G37* +D12* +X261620000Y-135382000D03* +D11* +G36* +X264578345Y-131613835D02* +G01* +X264615329Y-131619321D01* +X264651598Y-131628406D01* +X264686802Y-131641002D01* +X264720602Y-131656988D01* +X264752672Y-131676210D01* +X264782704Y-131698483D01* +X264810408Y-131723592D01* +X264835517Y-131751296D01* +X264857790Y-131781328D01* +X264877012Y-131813398D01* +X264892998Y-131847198D01* +X264905594Y-131882402D01* +X264914679Y-131918671D01* +X264920165Y-131955655D01* +X264922000Y-131993000D01* +X264922000Y-138771000D01* +X264920165Y-138808345D01* +X264914679Y-138845329D01* +X264905594Y-138881598D01* +X264892998Y-138916802D01* +X264877012Y-138950602D01* +X264857790Y-138982672D01* +X264835517Y-139012704D01* +X264810408Y-139040408D01* +X264782704Y-139065517D01* +X264752672Y-139087790D01* +X264720602Y-139107012D01* +X264686802Y-139122998D01* +X264651598Y-139135594D01* +X264615329Y-139144679D01* +X264578345Y-139150165D01* +X264541000Y-139152000D01* +X263779000Y-139152000D01* +X263741655Y-139150165D01* +X263704671Y-139144679D01* +X263668402Y-139135594D01* +X263633198Y-139122998D01* +X263599398Y-139107012D01* +X263567328Y-139087790D01* +X263537296Y-139065517D01* +X263509592Y-139040408D01* +X263484483Y-139012704D01* +X263462210Y-138982672D01* +X263442988Y-138950602D01* +X263427002Y-138916802D01* +X263414406Y-138881598D01* +X263405321Y-138845329D01* +X263399835Y-138808345D01* +X263398000Y-138771000D01* +X263398000Y-131993000D01* +X263399835Y-131955655D01* +X263405321Y-131918671D01* +X263414406Y-131882402D01* +X263427002Y-131847198D01* +X263442988Y-131813398D01* +X263462210Y-131781328D01* +X263484483Y-131751296D01* +X263509592Y-131723592D01* +X263537296Y-131698483D01* +X263567328Y-131676210D01* +X263599398Y-131656988D01* +X263633198Y-131641002D01* +X263668402Y-131628406D01* +X263704671Y-131619321D01* +X263741655Y-131613835D01* +X263779000Y-131612000D01* +X264541000Y-131612000D01* +X264578345Y-131613835D01* +X264578345Y-131613835D01* +G37* +D12* +X264160000Y-135382000D03* +D11* +G36* +X267118345Y-131613835D02* +G01* +X267155329Y-131619321D01* +X267191598Y-131628406D01* +X267226802Y-131641002D01* +X267260602Y-131656988D01* +X267292672Y-131676210D01* +X267322704Y-131698483D01* +X267350408Y-131723592D01* +X267375517Y-131751296D01* +X267397790Y-131781328D01* +X267417012Y-131813398D01* +X267432998Y-131847198D01* +X267445594Y-131882402D01* +X267454679Y-131918671D01* +X267460165Y-131955655D01* +X267462000Y-131993000D01* +X267462000Y-138771000D01* +X267460165Y-138808345D01* +X267454679Y-138845329D01* +X267445594Y-138881598D01* +X267432998Y-138916802D01* +X267417012Y-138950602D01* +X267397790Y-138982672D01* +X267375517Y-139012704D01* +X267350408Y-139040408D01* +X267322704Y-139065517D01* +X267292672Y-139087790D01* +X267260602Y-139107012D01* +X267226802Y-139122998D01* +X267191598Y-139135594D01* +X267155329Y-139144679D01* +X267118345Y-139150165D01* +X267081000Y-139152000D01* +X266319000Y-139152000D01* +X266281655Y-139150165D01* +X266244671Y-139144679D01* +X266208402Y-139135594D01* +X266173198Y-139122998D01* +X266139398Y-139107012D01* +X266107328Y-139087790D01* +X266077296Y-139065517D01* +X266049592Y-139040408D01* +X266024483Y-139012704D01* +X266002210Y-138982672D01* +X265982988Y-138950602D01* +X265967002Y-138916802D01* +X265954406Y-138881598D01* +X265945321Y-138845329D01* +X265939835Y-138808345D01* +X265938000Y-138771000D01* +X265938000Y-131993000D01* +X265939835Y-131955655D01* +X265945321Y-131918671D01* +X265954406Y-131882402D01* +X265967002Y-131847198D01* +X265982988Y-131813398D01* +X266002210Y-131781328D01* +X266024483Y-131751296D01* +X266049592Y-131723592D01* +X266077296Y-131698483D01* +X266107328Y-131676210D01* +X266139398Y-131656988D01* +X266173198Y-131641002D01* +X266208402Y-131628406D01* +X266244671Y-131619321D01* +X266281655Y-131613835D01* +X266319000Y-131612000D01* +X267081000Y-131612000D01* +X267118345Y-131613835D01* +X267118345Y-131613835D01* +G37* +D12* +X266700000Y-135382000D03* +D11* +G36* +X269658345Y-131613835D02* +G01* +X269695329Y-131619321D01* +X269731598Y-131628406D01* +X269766802Y-131641002D01* +X269800602Y-131656988D01* +X269832672Y-131676210D01* +X269862704Y-131698483D01* +X269890408Y-131723592D01* +X269915517Y-131751296D01* +X269937790Y-131781328D01* +X269957012Y-131813398D01* +X269972998Y-131847198D01* +X269985594Y-131882402D01* +X269994679Y-131918671D01* +X270000165Y-131955655D01* +X270002000Y-131993000D01* +X270002000Y-138771000D01* +X270000165Y-138808345D01* +X269994679Y-138845329D01* +X269985594Y-138881598D01* +X269972998Y-138916802D01* +X269957012Y-138950602D01* +X269937790Y-138982672D01* +X269915517Y-139012704D01* +X269890408Y-139040408D01* +X269862704Y-139065517D01* +X269832672Y-139087790D01* +X269800602Y-139107012D01* +X269766802Y-139122998D01* +X269731598Y-139135594D01* +X269695329Y-139144679D01* +X269658345Y-139150165D01* +X269621000Y-139152000D01* +X268859000Y-139152000D01* +X268821655Y-139150165D01* +X268784671Y-139144679D01* +X268748402Y-139135594D01* +X268713198Y-139122998D01* +X268679398Y-139107012D01* +X268647328Y-139087790D01* +X268617296Y-139065517D01* +X268589592Y-139040408D01* +X268564483Y-139012704D01* +X268542210Y-138982672D01* +X268522988Y-138950602D01* +X268507002Y-138916802D01* +X268494406Y-138881598D01* +X268485321Y-138845329D01* +X268479835Y-138808345D01* +X268478000Y-138771000D01* +X268478000Y-131993000D01* +X268479835Y-131955655D01* +X268485321Y-131918671D01* +X268494406Y-131882402D01* +X268507002Y-131847198D01* +X268522988Y-131813398D01* +X268542210Y-131781328D01* +X268564483Y-131751296D01* +X268589592Y-131723592D01* +X268617296Y-131698483D01* +X268647328Y-131676210D01* +X268679398Y-131656988D01* +X268713198Y-131641002D01* +X268748402Y-131628406D01* +X268784671Y-131619321D01* +X268821655Y-131613835D01* +X268859000Y-131612000D01* +X269621000Y-131612000D01* +X269658345Y-131613835D01* +X269658345Y-131613835D01* +G37* +D12* +X269240000Y-135382000D03* +D11* +G36* +X272198345Y-131613835D02* +G01* +X272235329Y-131619321D01* +X272271598Y-131628406D01* +X272306802Y-131641002D01* +X272340602Y-131656988D01* +X272372672Y-131676210D01* +X272402704Y-131698483D01* +X272430408Y-131723592D01* +X272455517Y-131751296D01* +X272477790Y-131781328D01* +X272497012Y-131813398D01* +X272512998Y-131847198D01* +X272525594Y-131882402D01* +X272534679Y-131918671D01* +X272540165Y-131955655D01* +X272542000Y-131993000D01* +X272542000Y-138771000D01* +X272540165Y-138808345D01* +X272534679Y-138845329D01* +X272525594Y-138881598D01* +X272512998Y-138916802D01* +X272497012Y-138950602D01* +X272477790Y-138982672D01* +X272455517Y-139012704D01* +X272430408Y-139040408D01* +X272402704Y-139065517D01* +X272372672Y-139087790D01* +X272340602Y-139107012D01* +X272306802Y-139122998D01* +X272271598Y-139135594D01* +X272235329Y-139144679D01* +X272198345Y-139150165D01* +X272161000Y-139152000D01* +X271399000Y-139152000D01* +X271361655Y-139150165D01* +X271324671Y-139144679D01* +X271288402Y-139135594D01* +X271253198Y-139122998D01* +X271219398Y-139107012D01* +X271187328Y-139087790D01* +X271157296Y-139065517D01* +X271129592Y-139040408D01* +X271104483Y-139012704D01* +X271082210Y-138982672D01* +X271062988Y-138950602D01* +X271047002Y-138916802D01* +X271034406Y-138881598D01* +X271025321Y-138845329D01* +X271019835Y-138808345D01* +X271018000Y-138771000D01* +X271018000Y-131993000D01* +X271019835Y-131955655D01* +X271025321Y-131918671D01* +X271034406Y-131882402D01* +X271047002Y-131847198D01* +X271062988Y-131813398D01* +X271082210Y-131781328D01* +X271104483Y-131751296D01* +X271129592Y-131723592D01* +X271157296Y-131698483D01* +X271187328Y-131676210D01* +X271219398Y-131656988D01* +X271253198Y-131641002D01* +X271288402Y-131628406D01* +X271324671Y-131619321D01* +X271361655Y-131613835D01* +X271399000Y-131612000D01* +X272161000Y-131612000D01* +X272198345Y-131613835D01* +X272198345Y-131613835D01* +G37* +D12* +X271780000Y-135382000D03* +D11* +G36* +X274738345Y-131613835D02* +G01* +X274775329Y-131619321D01* +X274811598Y-131628406D01* +X274846802Y-131641002D01* +X274880602Y-131656988D01* +X274912672Y-131676210D01* +X274942704Y-131698483D01* +X274970408Y-131723592D01* +X274995517Y-131751296D01* +X275017790Y-131781328D01* +X275037012Y-131813398D01* +X275052998Y-131847198D01* +X275065594Y-131882402D01* +X275074679Y-131918671D01* +X275080165Y-131955655D01* +X275082000Y-131993000D01* +X275082000Y-138771000D01* +X275080165Y-138808345D01* +X275074679Y-138845329D01* +X275065594Y-138881598D01* +X275052998Y-138916802D01* +X275037012Y-138950602D01* +X275017790Y-138982672D01* +X274995517Y-139012704D01* +X274970408Y-139040408D01* +X274942704Y-139065517D01* +X274912672Y-139087790D01* +X274880602Y-139107012D01* +X274846802Y-139122998D01* +X274811598Y-139135594D01* +X274775329Y-139144679D01* +X274738345Y-139150165D01* +X274701000Y-139152000D01* +X273939000Y-139152000D01* +X273901655Y-139150165D01* +X273864671Y-139144679D01* +X273828402Y-139135594D01* +X273793198Y-139122998D01* +X273759398Y-139107012D01* +X273727328Y-139087790D01* +X273697296Y-139065517D01* +X273669592Y-139040408D01* +X273644483Y-139012704D01* +X273622210Y-138982672D01* +X273602988Y-138950602D01* +X273587002Y-138916802D01* +X273574406Y-138881598D01* +X273565321Y-138845329D01* +X273559835Y-138808345D01* +X273558000Y-138771000D01* +X273558000Y-131993000D01* +X273559835Y-131955655D01* +X273565321Y-131918671D01* +X273574406Y-131882402D01* +X273587002Y-131847198D01* +X273602988Y-131813398D01* +X273622210Y-131781328D01* +X273644483Y-131751296D01* +X273669592Y-131723592D01* +X273697296Y-131698483D01* +X273727328Y-131676210D01* +X273759398Y-131656988D01* +X273793198Y-131641002D01* +X273828402Y-131628406D01* +X273864671Y-131619321D01* +X273901655Y-131613835D01* +X273939000Y-131612000D01* +X274701000Y-131612000D01* +X274738345Y-131613835D01* +X274738345Y-131613835D01* +G37* +D12* +X274320000Y-135382000D03* +D10* +X207010000Y-129540000D03* +X204978000Y-89281000D03* +X267970000Y-129540000D03* +D13* +X226060000Y-111760000D03* +D12* +X205232000Y-111760000D03* +D13* +X211201000Y-117475000D03* +X206883000Y-117475000D03* +X224155000Y-106045000D03* +X224155000Y-98425000D03* +X221488000Y-97536000D03* +X221488000Y-115824000D03* +X224155000Y-114935000D03* +X216916000Y-117475000D03* +X215773000Y-118745000D03* +X215773000Y-94615000D03* +X216916000Y-95885000D03* +X228600000Y-110109000D03* +X226060000Y-110109000D03* +D14* +X232029000Y-116840000D03* +X234569000Y-116840000D03* +X232029000Y-110490000D03* +X234569000Y-110490000D03* +X251460000Y-96139000D03* +X251460000Y-98679000D03* +X234950000Y-98679000D03* +X234950000Y-96139000D03* +X260731000Y-115570000D03* +X263271000Y-115570000D03* +X260731000Y-104140000D03* +X263271000Y-104140000D03* +X257810000Y-124841000D03* +X257810000Y-127381000D03* +X241300000Y-127381000D03* +X241300000Y-124841000D03* +D13* +X266700000Y-107569000D03* +X269240000Y-107569000D03* +X267970000Y-106426000D03* +X226060000Y-122809000D03* +X228600000Y-122809000D03* +X227330000Y-121666000D03* +D14* +X219710000Y-131064000D03* +X222250000Y-131064000D03* +X224790000Y-131064000D03* +X227330000Y-131064000D03* +X229870000Y-131064000D03* +X232410000Y-131064000D03* +X224028000Y-129667000D03* +X227330000Y-129286000D03* +X231140000Y-128524000D03* +X229489000Y-128905000D03* +X234950000Y-131064000D03* +X234950000Y-107315000D03* +X217170000Y-131064000D03* +X214630000Y-131064000D03* +X212090000Y-131064000D03* +X209550000Y-131064000D03* +X204470000Y-131064000D03* +X201930000Y-131064000D03* +X199136000Y-131064000D03* +X275844000Y-131064000D03* +X273050000Y-131064000D03* +X270510000Y-131064000D03* +X265430000Y-131064000D03* +X262890000Y-131064000D03* +X260350000Y-131064000D03* +X257810000Y-131064000D03* +X255270000Y-131064000D03* +X252730000Y-131064000D03* +X250190000Y-131064000D03* +X247650000Y-131064000D03* +X245110000Y-131064000D03* +X242570000Y-131064000D03* +X240030000Y-131064000D03* +X237490000Y-131064000D03* +X199136000Y-125730000D03* +X199136000Y-110490000D03* +X199136000Y-115570000D03* +X199136000Y-105410000D03* +X199136000Y-100330000D03* +X199136000Y-95250000D03* +X275844000Y-128270000D03* +X275844000Y-125730000D03* +X275844000Y-123190000D03* +X275844000Y-120650000D03* +X275844000Y-118110000D03* +X275844000Y-115570000D03* +X275844000Y-113030000D03* +X275844000Y-110490000D03* +X275844000Y-107950000D03* +X275844000Y-105410000D03* +X275844000Y-102870000D03* +X275844000Y-100330000D03* +X199136000Y-90170000D03* +X275844000Y-90170000D03* +X275844000Y-97790000D03* +X275844000Y-92710000D03* +X275844000Y-95250000D03* +X207264000Y-116586000D03* +X207264000Y-118364000D03* +X210693000Y-118364000D03* +X210693000Y-116586000D03* +X208661000Y-110490000D03* +X207264000Y-113030000D03* +X210947000Y-114554000D03* +X227457000Y-113665000D03* +X228219000Y-114935000D03* +X229108000Y-116205000D03* +X227203000Y-117729000D03* +X231013000Y-120777000D03* +X231013000Y-122555000D03* +X231775000Y-106045000D03* +X273050000Y-119761000D03* +X271018000Y-119761000D03* +X271018000Y-121539000D03* +X273050000Y-121539000D03* +X266700000Y-121539000D03* +X265430000Y-121539000D03* +X265430000Y-119761000D03* +X264160000Y-121539000D03* +X264287000Y-118745000D03* +X264287000Y-117475000D03* +X273050000Y-108331000D03* +X273050000Y-105410000D03* +X273050000Y-102870000D03* +X273050000Y-100330000D03* +X273050000Y-97790000D03* +X273050000Y-95250000D03* +X273050000Y-110109000D03* +X273050000Y-118110000D03* +X273050000Y-115570000D03* +X273050000Y-113030000D03* +X273050000Y-125730000D03* +X273050000Y-123190000D03* +X273050000Y-128270000D03* +X206502000Y-110490000D03* +D13* +X267970000Y-117856000D03* +X266700000Y-118999000D03* +X269240000Y-118999000D03* +D14* +X213550500Y-127317500D03* +X212661500Y-126428500D03* +X211772500Y-125539500D03* +X210883500Y-124650500D03* +X209994500Y-123761500D03* +X209105500Y-122872500D03* +X216090500Y-124777500D03* +X214312500Y-122999500D03* +X211645500Y-120332500D03* +X213423500Y-122110500D03* +X212534500Y-121221500D03* +X215201500Y-123888500D03* +X225425000Y-126873000D03* +X224155000Y-126873000D03* +X225933000Y-129667000D03* +X221615000Y-126873000D03* +X220345000Y-126873000D03* +X235204000Y-100330000D03* +X235458000Y-101600000D03* +X235712000Y-102870000D03* +X235966000Y-104140000D03* +X237363000Y-104521000D03* +X236474000Y-109220000D03* +X236347000Y-115570000D03* +X236347000Y-114300000D03* +X236347000Y-113030000D03* +X236347000Y-116840000D03* +X226314000Y-116840000D03* +X225298000Y-115951000D03* +X235077000Y-118110000D03* +X231140000Y-118745000D03* +X237617000Y-116840000D03* +X206121000Y-115443000D03* +X206502000Y-94996000D03* +X211201000Y-90043000D03* +X213233000Y-90043000D03* +X215011000Y-90043000D03* +X268351000Y-90043000D03* +X269494000Y-121539000D03* +X218313000Y-126873000D03* +X272923000Y-87503000D03* +X199771000Y-88011000D03* +X270510000Y-87503000D03* +X267970000Y-87503000D03* +X265430000Y-87503000D03* +X262890000Y-87503000D03* +X260350000Y-87503000D03* +X257810000Y-87503000D03* +X250190000Y-87503000D03* +X252730000Y-87503000D03* +X255270000Y-87503000D03* +X242570000Y-87503000D03* +X245110000Y-87503000D03* +X247650000Y-87503000D03* +X237490000Y-87503000D03* +X240030000Y-87503000D03* +X234950000Y-87503000D03* +X227330000Y-87503000D03* +X229870000Y-87503000D03* +X232410000Y-87503000D03* +X219710000Y-87503000D03* +X224790000Y-87503000D03* +X222250000Y-87503000D03* +X212090000Y-87503000D03* +X217170000Y-87503000D03* +X214630000Y-87503000D03* +X204470000Y-87503000D03* +X209550000Y-87503000D03* +X207010000Y-87503000D03* +X202057000Y-87503000D03* +X201930000Y-107950000D03* +X201930000Y-102870000D03* +X201930000Y-97790000D03* +X201930000Y-92710000D03* +X201930000Y-118110000D03* +X201930000Y-123190000D03* +X205740000Y-91440000D03* +X207010000Y-90170000D03* +X203200000Y-88900000D03* +X275209000Y-88011000D03* +X271653000Y-88773000D03* +X274320000Y-91440000D03* +X204470000Y-92710000D03* +X208280000Y-88900000D03* +X204470000Y-120650000D03* +X207264000Y-123190000D03* +X204343000Y-115570000D03* +X265430000Y-90043000D03* +X262890000Y-90043000D03* +X238760000Y-91313000D03* +X238760000Y-93853000D03* +X226060000Y-96393000D03* +X234950000Y-95123000D03* +X218440000Y-96393000D03* +X228600000Y-96393000D03* +X233680000Y-96393000D03* +X220980000Y-96393000D03* +X231140000Y-96393000D03* +X219710000Y-95123000D03* +X223520000Y-96393000D03* +X237490000Y-95123000D03* +X216027000Y-91313000D03* +X215011000Y-92583000D03* +X227330000Y-97663000D03* +X229870000Y-97663000D03* +X240030000Y-95123000D03* +X264160000Y-91313000D03* +X266954000Y-91313000D03* +X262890000Y-92583000D03* +X265430000Y-92583000D03* +X266954000Y-93853000D03* +X264160000Y-96393000D03* +X261493000Y-96393000D03* +X271780000Y-96520000D03* +X271780000Y-101600000D03* +X271780000Y-106934000D03* +X271780000Y-99060000D03* +X271780000Y-104140000D03* +X269367000Y-104140000D03* +X270510000Y-95250000D03* +X270510000Y-100330000D03* +X270510000Y-105410000D03* +X270510000Y-97790000D03* +X270510000Y-102870000D03* +X270510000Y-108331000D03* +X266954000Y-104140000D03* +X268224000Y-92583000D03* +X271780000Y-93980000D03* +X269494000Y-91313000D03* +X213233000Y-92583000D03* +X212217000Y-91313000D03* +X211201000Y-92583000D03* +X212217000Y-93599000D03* +X228092000Y-118618000D03* +X228981000Y-119507000D03* +X214439500Y-128206500D03* +X216979500Y-125666500D03* +X217805000Y-124460000D03* +X219583000Y-124460000D03* +X217805000Y-123190000D03* +X219583000Y-123190000D03* +X220091000Y-119507000D03* +X224536000Y-120269000D03* +X221742000Y-124587000D03* +X222758000Y-125603000D03* +X225171000Y-121412000D03* +X223520000Y-123063000D03* +X223647000Y-124714000D03* +X222631000Y-123825000D03* +X232410000Y-97663000D03* +X238760000Y-118110000D03* +X236347000Y-111760000D03* +X244475000Y-103505000D03* +X243205000Y-104775000D03* +X238125000Y-109855000D03* +X239395000Y-108585000D03* +X241935000Y-106045000D03* +X240665000Y-107315000D03* +X265430000Y-97790000D03* +X265430000Y-102870000D03* +X265430000Y-100330000D03* +X264160000Y-101600000D03* +X262890000Y-97790000D03* +X265430000Y-105410000D03* +X264160000Y-106680000D03* +X265430000Y-107950000D03* +X221488000Y-123063000D03* +X221107000Y-120269000D03* +X238760000Y-121920000D03* +X208661000Y-92583000D03* +X209931000Y-91313000D03* +X209931000Y-93599000D03* +X208661000Y-95123000D03* +X211201000Y-95123000D03* +X207518000Y-93726000D03* +X212217000Y-96393000D03* +X209931000Y-96393000D03* +X207645000Y-96393000D03* +X206502000Y-97790000D03* +X208661000Y-97790000D03* +X209931000Y-99060000D03* +X207645000Y-99060000D03* +X206502000Y-100330000D03* +X208661000Y-100330000D03* +X209931000Y-101600000D03* +X206502000Y-102870000D03* +X207645000Y-101600000D03* +X208661000Y-102870000D03* +X209931000Y-104140000D03* +X206502000Y-105410000D03* +X207645000Y-104140000D03* +X208661000Y-105410000D03* +X208661000Y-107950000D03* +X209931000Y-106680000D03* +X207645000Y-106680000D03* +X206502000Y-107950000D03* +X207645000Y-109220000D03* +X209931000Y-109220000D03* +X213106000Y-94615000D03* +X211201000Y-107950000D03* +X211201000Y-105410000D03* +X211201000Y-100330000D03* +X211201000Y-102870000D03* +X271780000Y-111760000D03* +X271780000Y-114300000D03* +X271780000Y-116840000D03* +X270510000Y-110490000D03* +X270510000Y-115570000D03* +X270510000Y-113030000D03* +X269240000Y-111760000D03* +X267970000Y-113030000D03* +X269240000Y-114300000D03* +X265430000Y-113030000D03* +X266700000Y-111760000D03* +X267970000Y-110490000D03* +X264160000Y-111760000D03* +X265430000Y-110490000D03* +X271018000Y-123190000D03* +X271018000Y-125730000D03* +X269494000Y-125730000D03* +X269494000Y-123190000D03* +X266700000Y-123190000D03* +X266700000Y-125730000D03* +X268097000Y-124460000D03* +X268097000Y-127000000D03* +X269494000Y-128270000D03* +X266700000Y-128270000D03* +X265430000Y-124460000D03* +X265430000Y-127000000D03* +X268097000Y-122428000D03* +X268351000Y-116205000D03* +X211201000Y-97790000D03* +X227330000Y-126873000D03* +X231140000Y-126873000D03* +X235585000Y-129540000D03* +X233807000Y-127762000D03* +X245110000Y-128524000D03* +X250190000Y-128524000D03* +X261620000Y-129667000D03* +X262890000Y-128270000D03* +X262890000Y-128270000D03* +X256540000Y-129794000D03* +X225552000Y-124714000D03* +X212598000Y-119253000D03* +X209296000Y-124968000D03* +X210058000Y-126238000D03* +X210820000Y-127254000D03* +X212090000Y-127762000D03* +X214122000Y-129540000D03* +X208661000Y-126238000D03* +X206121000Y-124968000D03* +X209550000Y-128651000D03* +X201295000Y-129794000D03* +X203200000Y-127889000D03* +X205740000Y-127381000D03* +X230505000Y-105410000D03* +X229235000Y-106045000D03* +X227965000Y-105410000D03* +X226695000Y-106045000D03* +X225425000Y-105410000D03* +X224663000Y-109855000D03* +X230251000Y-110871000D03* +X238760000Y-119507000D03* +X229489000Y-126873000D03* +X250190000Y-116840000D03* +X245110000Y-116840000D03* +X251460000Y-118110000D03* +X252730000Y-119380000D03* +X245110000Y-119380000D03* +X257810000Y-116840000D03* +X255270000Y-119380000D03* +X254000000Y-118110000D03* +X247650000Y-119380000D03* +X255270000Y-116840000D03* +X257810000Y-119380000D03* +X247650000Y-116840000D03* +X246380000Y-118110000D03* +X256540000Y-118110000D03* +X259080000Y-118110000D03* +X248920000Y-118110000D03* +X252730000Y-116840000D03* +X250190000Y-119380000D03* +X255270000Y-114300000D03* +X256540000Y-115570000D03* +X254000000Y-115570000D03* +X257810000Y-114300000D03* +X245110000Y-114300000D03* +X247650000Y-114300000D03* +X250190000Y-114300000D03* +X246380000Y-115570000D03* +X251460000Y-115570000D03* +X252730000Y-114300000D03* +X248920000Y-115570000D03* +X256540000Y-113030000D03* +X248920000Y-113030000D03* +X251460000Y-113030000D03* +X254000000Y-113030000D03* +X259080000Y-115570000D03* +X259080000Y-113030000D03* +X242570000Y-119380000D03* +X242570000Y-116840000D03* +X243840000Y-118110000D03* +X243840000Y-115570000D03* +X240030000Y-119380000D03* +X241300000Y-118110000D03* +X246380000Y-113030000D03* +D13* +X240919000Y-90170000D03* +X240919000Y-92710000D03* +X260858000Y-90170000D03* +X260858000Y-92710000D03* +X261874000Y-91440000D03* +X239776000Y-91440000D03* +D14* +X236220000Y-93853000D03* +X233680000Y-93853000D03* +X218440000Y-93853000D03* +X220980000Y-93853000D03* +X234950000Y-92583000D03* +X219710000Y-92583000D03* +X237490000Y-92583000D03* +X229870000Y-92583000D03* +X232410000Y-92583000D03* +X227330000Y-92583000D03* +X224790000Y-92583000D03* +X222250000Y-92583000D03* +X217170000Y-92583000D03* +X245110000Y-90043000D03* +X247650000Y-90043000D03* +X250190000Y-90043000D03* +X252730000Y-90043000D03* +X257810000Y-90043000D03* +X255270000Y-90043000D03* +X255270000Y-94996000D03* +X252730000Y-94996000D03* +X257810000Y-94996000D03* +X245110000Y-94996000D03* +X250317000Y-94996000D03* +X247650000Y-94996000D03* +X248920000Y-92837000D03* +X251460000Y-92837000D03* +X256540000Y-92837000D03* +X254000000Y-92837000D03* +X246380000Y-92837000D03* +X243840000Y-92837000D03* +X237490000Y-90043000D03* +X234950000Y-90043000D03* +X227330000Y-90043000D03* +X229870000Y-90043000D03* +X232410000Y-90043000D03* +X219710000Y-90043000D03* +X224790000Y-90043000D03* +X222250000Y-90043000D03* +X217170000Y-90043000D03* +X265430000Y-115570000D03* +X245110000Y-123190000D03* +X247650000Y-123190000D03* +X246380000Y-121920000D03* +X248920000Y-121920000D03* +X242570000Y-123190000D03* +X243840000Y-121920000D03* +X240030000Y-123190000D03* +X241300000Y-121920000D03* +X251460000Y-121920000D03* +X252730000Y-123190000D03* +X255270000Y-123190000D03* +X254000000Y-121920000D03* +X257810000Y-123190000D03* +X256540000Y-121920000D03* +X259080000Y-121920000D03* +X237490000Y-123190000D03* +X247015000Y-100965000D03* +X245745000Y-102235000D03* +X246380000Y-110490000D03* +X247904000Y-107315000D03* +X247904000Y-106045000D03* +X247904000Y-104775000D03* +X247650000Y-109220000D03* +X259080000Y-110490000D03* +X257810000Y-109220000D03* +X252730000Y-109220000D03* +X250190000Y-109220000D03* +X255270000Y-109220000D03* +X254000000Y-110490000D03* +X251460000Y-110490000D03* +X248920000Y-110490000D03* +X256540000Y-110490000D03* +X249174000Y-103505000D03* +X249174000Y-102235000D03* +X249174000Y-100965000D03* +X259080000Y-106045000D03* +X259080000Y-107315000D03* +X259080000Y-102235000D03* +X259080000Y-100965000D03* +X251460000Y-107315000D03* +X251460000Y-106045000D03* +X254000000Y-107315000D03* +X254000000Y-106045000D03* +X256540000Y-106045000D03* +X256540000Y-107315000D03* +X251460000Y-103505000D03* +X250190000Y-104775000D03* +X256540000Y-103505000D03* +X254000000Y-103505000D03* +X252730000Y-104775000D03* +X257810000Y-104775000D03* +X255270000Y-104775000D03* +X259080000Y-103505000D03* +X230251000Y-102743000D03* +X225679000Y-102743000D03* +X231140000Y-98933000D03* +X228600000Y-98933000D03* +X226060000Y-98933000D03* +X224790000Y-97663000D03* +X230886000Y-104140000D03* +X224917000Y-104140000D03* +X230886000Y-109474000D03* +X230505000Y-107315000D03* +X225425000Y-107315000D03* +X227965000Y-107315000D03* +X227965000Y-104140000D03* +X227965000Y-102489000D03* +X199136000Y-120650000D03* +X201930000Y-113030000D03* +X205867000Y-121920000D03* +X207264000Y-120650000D03* +X205867000Y-119380000D03* +X204470000Y-123190000D03* +X204470000Y-118110000D03* +X203200000Y-91440000D03* +X203200000Y-124460000D03* +X203200000Y-116840000D03* +X203200000Y-119380000D03* +X203200000Y-93980000D03* +X203200000Y-121920000D03* +X203200000Y-111760000D03* +X203200000Y-96520000D03* +X203200000Y-104140000D03* +X203200000Y-99060000D03* +X203200000Y-109220000D03* +X203200000Y-101600000D03* +X203200000Y-106680000D03* +X201930000Y-95250000D03* +X201930000Y-100330000D03* +X201930000Y-105410000D03* +X201930000Y-110490000D03* +X201930000Y-115570000D03* +X201930000Y-125730000D03* +X201930000Y-120650000D03* +X200533000Y-116840000D03* +X200533000Y-111760000D03* +X200533000Y-119380000D03* +X200533000Y-121920000D03* +X200533000Y-124460000D03* +X200533000Y-127000000D03* +X200533000Y-91440000D03* +X200533000Y-93980000D03* +X200533000Y-96520000D03* +X200533000Y-99060000D03* +X200533000Y-101600000D03* +X200533000Y-104140000D03* +X200533000Y-106680000D03* +X200533000Y-109220000D03* +X200533000Y-114300000D03* +X199136000Y-92710000D03* +X199136000Y-97790000D03* +X199136000Y-102870000D03* +X199136000Y-107950000D03* +X199136000Y-113030000D03* +X199136000Y-118110000D03* +X199136000Y-123190000D03* +X199136000Y-128270000D03* +X235585000Y-121285000D03* +X268224000Y-102870000D03* +X262890000Y-94742000D03* +X265430000Y-94742000D03* +X264160000Y-93599000D03* +X260350000Y-94996000D03* +X217805000Y-114935000D03* +X217805000Y-105410000D03* +X218059000Y-108585000D03* +X218059000Y-107315000D03* +X218059000Y-103505000D03* +X218059000Y-102235000D03* +X218059000Y-100965000D03* +X218059000Y-99695000D03* +X217805000Y-98425000D03* +X229362000Y-121158000D03* +X203581000Y-114554000D03* +X209169000Y-123698000D03* +X212471000Y-120396000D03* +X235712000Y-112395000D03* +X224409000Y-115824000D03* +X210947000Y-125476000D03* +X214249000Y-122174000D03* +X235712000Y-114935000D03* +X226441000Y-117602000D03* +X211836000Y-126365000D03* +X215138000Y-123063000D03* +X235712000Y-116205000D03* +X227330000Y-118491000D03* +X263271000Y-114300000D03* +X263271000Y-116840000D03* +X263271000Y-118110000D03* +X263271000Y-119380000D03* +X263271000Y-121920000D03* +X260350000Y-127381000D03* +X259080000Y-127381000D03* +X252730000Y-127381000D03* +X251460000Y-127381000D03* +X246380000Y-127381000D03* +X243840000Y-127381000D03* +X238760000Y-127381000D03* +X237490000Y-127381000D03* +X234950000Y-130175000D03* +X229870000Y-130175000D03* +X227330000Y-130175000D03* +X216027000Y-123952000D03* +X212725000Y-127254000D03* +X224790000Y-126365000D03* +X213360000Y-129286000D03* +X236982000Y-117475000D03* +X228981000Y-120396000D03* +X221869000Y-123825000D03* +X222250000Y-130175000D03* +X220980000Y-126365000D03* +X238252000Y-116205000D03* +X221996000Y-125349000D03* +X223393000Y-123952000D03* +X225933000Y-121539000D03* +X213614000Y-128143000D03* +X216916000Y-124841000D03* +X235712000Y-117475000D03* +X215900000Y-130683000D03* +X228219000Y-119380000D03* +X218694000Y-122682000D03* +X217995500Y-125920500D03* +X210058000Y-124587000D03* +X213360000Y-121285000D03* +X205105000Y-128905000D03* +X235712000Y-113665000D03* +X225552000Y-116713000D03* +D15* +X226060000Y-111760000D02* +X205232000Y-111760000D01* +D16* +X211201000Y-117475000D02* +X206883000Y-117475000D01* +D15* +X274320000Y-129540000D02* +X273050000Y-128270000D01* +X274320000Y-135382000D02* +X274320000Y-129540000D01* +D17* +X209169000Y-123698000D02* +X212471000Y-120396000D01* +X235712000Y-112395000D02* +X227838000Y-112395000D01* +X227838000Y-112395000D02* +X224409000Y-115824000D01* +X210947000Y-125476000D02* +X214249000Y-122174000D01* +X235712000Y-114935000D02* +X229108000Y-114935000D01* +X229108000Y-114935000D02* +X226441000Y-117602000D01* +X211836000Y-126365000D02* +X215138000Y-123063000D01* +X235712000Y-116205000D02* +X229997000Y-116205000D01* +X229997000Y-116205000D02* +X229362000Y-116840000D01* +X228981000Y-116840000D02* +X227330000Y-118491000D01* +X229362000Y-116840000D02* +X228981000Y-116840000D01* +X271780000Y-130810000D02* +X271780000Y-135382000D01* +X267335000Y-114300000D02* +X271780000Y-118745000D01* +X271780000Y-118745000D02* +X271780000Y-130810000D01* +X263271000Y-114300000D02* +X267335000Y-114300000D01* +X269240000Y-130810000D02* +X269240000Y-135382000D01* +X270129000Y-129921000D02* +X269240000Y-130810000D01* +X270129000Y-118491000D02* +X270129000Y-129921000D01* +X268478000Y-116840000D02* +X270129000Y-118491000D01* +X263271000Y-116840000D02* +X268478000Y-116840000D01* +X264160000Y-130810000D02* +X264160000Y-135382000D01* +X266065000Y-128905000D02* +X264160000Y-130810000D01* +X266065000Y-119380000D02* +X266065000Y-128905000D01* +X264795000Y-118110000D02* +X266065000Y-119380000D01* +X263271000Y-118110000D02* +X264795000Y-118110000D01* +X264795000Y-120015000D02* +X264160000Y-119380000D01* +X264160000Y-119380000D02* +X263271000Y-119380000D01* +X264795000Y-127635000D02* +X264795000Y-120015000D01* +X261620000Y-130810000D02* +X264795000Y-127635000D01* +X261620000Y-135382000D02* +X261620000Y-130810000D01* +X259080000Y-135382000D02* +X259080000Y-130810000D01* +X263271000Y-121920000D02* +X263271000Y-126619000D01* +X259080000Y-130810000D02* +X263271000Y-126619000D01* +X256540000Y-130810000D02* +X256540000Y-135382000D01* +X257175000Y-130175000D02* +X256540000Y-130810000D01* +X258445000Y-130175000D02* +X257175000Y-130175000D01* +X260350000Y-128270000D02* +X258445000Y-130175000D01* +X260350000Y-127381000D02* +X260350000Y-128270000D01* +X254000000Y-130810000D02* +X254000000Y-135382000D01* +X255905000Y-128905000D02* +X254000000Y-130810000D01* +X258445000Y-128905000D02* +X255905000Y-128905000D01* +X259080000Y-128270000D02* +X258445000Y-128905000D01* +X259080000Y-127381000D02* +X259080000Y-128270000D01* +X252730000Y-128270000D02* +X252730000Y-127381000D01* +X252730000Y-128270000D02* +X251460000Y-129540000D01* +X251460000Y-129540000D02* +X251460000Y-135382000D01* +X248920000Y-130810000D02* +X248920000Y-135382000D01* +X251460000Y-128270000D02* +X248920000Y-130810000D01* +X251460000Y-127381000D02* +X251460000Y-128270000D01* +X246380000Y-135382000D02* +X246380000Y-127381000D01* +X243840000Y-127381000D02* +X243840000Y-135382000D01* +X241300000Y-130810000D02* +X241300000Y-135382000D01* +X238760000Y-128270000D02* +X241300000Y-130810000D01* +X238760000Y-127381000D02* +X238760000Y-128270000D01* +X238760000Y-129540000D02* +X238760000Y-135382000D01* +X237490000Y-128270000D02* +X238760000Y-129540000D01* +X237490000Y-127381000D02* +X237490000Y-128270000D01* +X236220000Y-135382000D02* +X236220000Y-130810000D01* +X236220000Y-130810000D02* +X235585000Y-130175000D01* +X235585000Y-130175000D02* +X234950000Y-130175000D01* +X231140000Y-135382000D02* +X231140000Y-130810000D01* +X230505000Y-130175000D02* +X229870000Y-130175000D01* +X231140000Y-130810000D02* +X230505000Y-130175000D01* +X228600000Y-130810000D02* +X227965000Y-130175000D01* +X228600000Y-135382000D02* +X228600000Y-130810000D01* +X227965000Y-130175000D02* +X227330000Y-130175000D01* +X212725000Y-127254000D02* +X216027000Y-123952000D01* +X226060000Y-130810000D02* +X226060000Y-135382000D01* +X224790000Y-129540000D02* +X226060000Y-130810000D01* +X224790000Y-126365000D02* +X224790000Y-129540000D01* +X213360000Y-129286000D02* +X213360000Y-135382000D01* +X229362000Y-120015000D02* +X228981000Y-120396000D01* +X234569000Y-120015000D02* +X229362000Y-120015000D01* +X236982000Y-117602000D02* +X234569000Y-120015000D01* +X236982000Y-117475000D02* +X236982000Y-117602000D01* +X223520000Y-135382000D02* +X223520000Y-130810000D01* +X222885000Y-130175000D02* +X222250000Y-130175000D01* +X223520000Y-130810000D02* +X222885000Y-130175000D01* +X220980000Y-126365000D02* +X220980000Y-135382000D01* +X221996000Y-125349000D02* +X223393000Y-123952000D01* +X226568000Y-120904000D02* +X225933000Y-121539000D01* +X228092000Y-120904000D02* +X226568000Y-120904000D01* +X228981000Y-121793000D02* +X228092000Y-120904000D01* +X234569000Y-121285000D02* +X231521000Y-121285000D01* +X231013000Y-121793000D02* +X228981000Y-121793000D01* +X231521000Y-121285000D02* +X231013000Y-121793000D01* +X238252000Y-117602000D02* +X234569000Y-121285000D01* +X238252000Y-116205000D02* +X238252000Y-117602000D01* +X213614000Y-128143000D02* +X216916000Y-124841000D01* +X230124000Y-117475000D02* +X228219000Y-119380000D01* +X235712000Y-117475000D02* +X230124000Y-117475000D01* +X218694000Y-125222000D02* +X218694000Y-122682000D01* +X217995500Y-125920500D02* +X218694000Y-125222000D01* +X218440000Y-131064000D02* +X218440000Y-135382000D01* +X217805000Y-130429000D02* +X218440000Y-131064000D01* +X216154000Y-130429000D02* +X217805000Y-130429000D01* +X215900000Y-130683000D02* +X216154000Y-130429000D01* +X210058000Y-124587000D02* +X213360000Y-121285000D01* +X203200000Y-130810000D02* +X203200000Y-135382000D01* +X205105000Y-128905000D02* +X203200000Y-130810000D01* +X228600000Y-113665000D02* +X225552000Y-116713000D01* +X235712000Y-113665000D02* +X228600000Y-113665000D01* +G36* +X274490190Y-87138692D02* +G01* +X274898217Y-87261882D01* +X275274553Y-87461982D01* +X275604852Y-87731369D01* +X275876537Y-88059780D01* +X276079256Y-88434703D01* +X276205294Y-88841861D01* +X276251600Y-89282436D01* +X276251601Y-132003800D01* +X275362606Y-132003800D01* +X275362752Y-131612000D01* +X275357357Y-131557228D01* +X275341381Y-131504561D01* +X275315437Y-131456023D01* +X275280522Y-131413478D01* +X275237977Y-131378563D01* +X275189439Y-131352619D01* +X275136772Y-131336643D01* +X275082000Y-131331248D01* +X274463650Y-131332600D01* +X274393800Y-131402450D01* +X274393800Y-132003800D01* +X274246200Y-132003800D01* +X274246200Y-131402450D01* +X274176350Y-131332600D01* +X273558000Y-131331248D01* +X273503228Y-131336643D01* +X273450561Y-131352619D01* +X273402023Y-131378563D01* +X273359478Y-131413478D01* +X273324563Y-131456023D01* +X273298619Y-131504561D01* +X273282643Y-131557228D01* +X273277248Y-131612000D01* +X273277394Y-132003800D01* +X272822751Y-132003800D01* +X272822751Y-131993000D01* +X272810036Y-131863899D01* +X272772378Y-131739759D01* +X272711226Y-131625351D01* +X272628929Y-131525071D01* +X272528649Y-131442774D01* +X272414241Y-131381622D01* +X272290101Y-131343964D01* +X272161000Y-131331249D01* +X272135600Y-131331249D01* +X272135600Y-118762452D01* +X272137319Y-118744999D01* +X272135600Y-118727546D01* +X272135600Y-118727537D01* +X272130454Y-118675290D01* +X272110121Y-118608260D01* +X272077101Y-118546484D01* +X272073933Y-118542624D01* +X272043795Y-118505900D01* +X272043785Y-118505890D01* +X272032662Y-118492337D01* +X272019110Y-118481215D01* +X267598799Y-114060906D01* +X267587663Y-114047337D01* +X267533516Y-114002899D01* +X267471740Y-113969879D01* +X267404710Y-113949546D01* +X267352463Y-113944400D01* +X267352455Y-113944400D01* +X267335000Y-113942681D01* +X267317545Y-113944400D01* +X263730234Y-113944400D01* +X263721049Y-113930654D01* +X263640346Y-113849951D01* +X263545449Y-113786543D01* +X263440005Y-113742866D01* +X263328066Y-113720600D01* +X263213934Y-113720600D01* +X263101995Y-113742866D01* +X262996551Y-113786543D01* +X262901654Y-113849951D01* +X262820951Y-113930654D01* +X262757543Y-114025551D01* +X262713866Y-114130995D01* +X262691600Y-114242934D01* +X262691600Y-114357066D01* +X262713866Y-114469005D01* +X262757543Y-114574449D01* +X262820951Y-114669346D01* +X262901654Y-114750049D01* +X262996551Y-114813457D01* +X263101995Y-114857134D01* +X263213934Y-114879400D01* +X263328066Y-114879400D01* +X263440005Y-114857134D01* +X263545449Y-114813457D01* +X263640346Y-114750049D01* +X263721049Y-114669346D01* +X263730234Y-114655600D01* +X267187707Y-114655600D01* +X271424400Y-118892295D01* +X271424401Y-130792528D01* +X271424400Y-130792538D01* +X271424400Y-131331249D01* +X271399000Y-131331249D01* +X271269899Y-131343964D01* +X271145759Y-131381622D01* +X271031351Y-131442774D01* +X270931071Y-131525071D01* +X270848774Y-131625351D01* +X270787622Y-131739759D01* +X270749964Y-131863899D01* +X270737249Y-131993000D01* +X270737249Y-132003800D01* +X270282751Y-132003800D01* +X270282751Y-131993000D01* +X270270036Y-131863899D01* +X270232378Y-131739759D01* +X270171226Y-131625351D01* +X270088929Y-131525071D01* +X269988649Y-131442774D01* +X269874241Y-131381622D01* +X269750101Y-131343964D01* +X269621000Y-131331249D01* +X269595600Y-131331249D01* +X269595600Y-130957293D01* +X270368100Y-130184794D01* +X270381663Y-130173663D01* +X270426101Y-130119516D01* +X270459121Y-130057740D01* +X270479454Y-129990710D01* +X270484600Y-129938463D01* +X270484600Y-129938456D01* +X270486319Y-129921000D01* +X270484600Y-129903545D01* +X270484600Y-118508455D01* +X270486319Y-118491000D01* +X270484600Y-118473545D01* +X270484600Y-118473537D01* +X270479454Y-118421290D01* +X270459121Y-118354260D01* +X270426101Y-118292484D01* +X270415039Y-118279005D01* +X270392795Y-118251901D01* +X270392790Y-118251896D01* +X270381662Y-118238337D01* +X270368104Y-118227210D01* +X268741799Y-116600906D01* +X268730663Y-116587337D01* +X268676516Y-116542899D01* +X268614740Y-116509879D01* +X268547710Y-116489546D01* +X268495463Y-116484400D01* +X268495455Y-116484400D01* +X268478000Y-116482681D01* +X268460545Y-116484400D01* +X263730234Y-116484400D01* +X263721049Y-116470654D01* +X263640346Y-116389951D01* +X263545449Y-116326543D01* +X263440005Y-116282866D01* +X263328066Y-116260600D01* +X263213934Y-116260600D01* +X263101995Y-116282866D01* +X262996551Y-116326543D01* +X262901654Y-116389951D01* +X262820951Y-116470654D01* +X262757543Y-116565551D01* +X262713866Y-116670995D01* +X262691600Y-116782934D01* +X262691600Y-116897066D01* +X262713866Y-117009005D01* +X262757543Y-117114449D01* +X262820951Y-117209346D01* +X262901654Y-117290049D01* +X262996551Y-117353457D01* +X263101995Y-117397134D01* +X263213934Y-117419400D01* +X263328066Y-117419400D01* +X263440005Y-117397134D01* +X263545449Y-117353457D01* +X263640346Y-117290049D01* +X263721049Y-117209346D01* +X263730234Y-117195600D01* +X268330707Y-117195600D01* +X269773400Y-118638294D01* +X269773401Y-129773705D01* +X269000901Y-130546205D01* +X268987337Y-130557338D01* +X268942899Y-130611485D01* +X268909879Y-130673261D01* +X268899742Y-130706680D01* +X268889547Y-130740289D01* +X268889546Y-130740291D01* +X268884400Y-130792538D01* +X268884400Y-130792545D01* +X268882681Y-130810000D01* +X268884400Y-130827456D01* +X268884400Y-131331249D01* +X268859000Y-131331249D01* +X268729899Y-131343964D01* +X268605759Y-131381622D01* +X268491351Y-131442774D01* +X268391071Y-131525071D01* +X268308774Y-131625351D01* +X268247622Y-131739759D01* +X268209964Y-131863899D01* +X268197249Y-131993000D01* +X268197249Y-132003800D01* +X267742751Y-132003800D01* +X267742751Y-131993000D01* +X267730036Y-131863899D01* +X267692378Y-131739759D01* +X267631226Y-131625351D01* +X267548929Y-131525071D01* +X267448649Y-131442774D01* +X267334241Y-131381622D01* +X267210101Y-131343964D01* +X267081000Y-131331249D01* +X266319000Y-131331249D01* +X266189899Y-131343964D01* +X266065759Y-131381622D01* +X265951351Y-131442774D01* +X265851071Y-131525071D01* +X265768774Y-131625351D01* +X265707622Y-131739759D01* +X265669964Y-131863899D01* +X265657249Y-131993000D01* +X265657249Y-132003800D01* +X265202751Y-132003800D01* +X265202751Y-131993000D01* +X265190036Y-131863899D01* +X265152378Y-131739759D01* +X265091226Y-131625351D01* +X265008929Y-131525071D01* +X264908649Y-131442774D01* +X264794241Y-131381622D01* +X264670101Y-131343964D01* +X264541000Y-131331249D01* +X264515600Y-131331249D01* +X264515600Y-130957293D01* +X266304100Y-129168794D01* +X266317663Y-129157663D01* +X266362101Y-129103516D01* +X266395121Y-129041740D01* +X266415454Y-128974710D01* +X266420600Y-128922463D01* +X266420600Y-128922456D01* +X266422319Y-128905000D01* +X266420600Y-128887545D01* +X266420600Y-119397452D01* +X266422319Y-119379999D01* +X266420600Y-119362546D01* +X266420600Y-119362537D01* +X266415454Y-119310290D01* +X266395121Y-119243260D01* +X266362101Y-119181484D01* +X266358933Y-119177624D01* +X266328795Y-119140900D01* +X266328785Y-119140890D01* +X266317662Y-119127337D01* +X266304110Y-119116215D01* +X265058799Y-117870906D01* +X265047663Y-117857337D01* +X264993516Y-117812899D01* +X264931740Y-117779879D01* +X264864710Y-117759546D01* +X264812463Y-117754400D01* +X264812455Y-117754400D01* +X264795000Y-117752681D01* +X264777545Y-117754400D01* +X263730234Y-117754400D01* +X263721049Y-117740654D01* +X263640346Y-117659951D01* +X263545449Y-117596543D01* +X263440005Y-117552866D01* +X263328066Y-117530600D01* +X263213934Y-117530600D01* +X263101995Y-117552866D01* +X262996551Y-117596543D01* +X262901654Y-117659951D01* +X262820951Y-117740654D01* +X262757543Y-117835551D01* +X262713866Y-117940995D01* +X262691600Y-118052934D01* +X262691600Y-118167066D01* +X262713866Y-118279005D01* +X262757543Y-118384449D01* +X262820951Y-118479346D01* +X262901654Y-118560049D01* +X262996551Y-118623457D01* +X263101995Y-118667134D01* +X263213934Y-118689400D01* +X263328066Y-118689400D01* +X263440005Y-118667134D01* +X263545449Y-118623457D01* +X263640346Y-118560049D01* +X263721049Y-118479346D01* +X263730234Y-118465600D01* +X264647707Y-118465600D01* +X265709400Y-119527295D01* +X265709401Y-128757705D01* +X263920902Y-130546205D01* +X263907337Y-130557338D01* +X263862899Y-130611485D01* +X263829879Y-130673261D01* +X263819742Y-130706680D01* +X263809547Y-130740289D01* +X263809546Y-130740291D01* +X263804400Y-130792538D01* +X263804400Y-130792545D01* +X263802681Y-130810000D01* +X263804400Y-130827456D01* +X263804400Y-131331249D01* +X263779000Y-131331249D01* +X263649899Y-131343964D01* +X263525759Y-131381622D01* +X263411351Y-131442774D01* +X263311071Y-131525071D01* +X263228774Y-131625351D01* +X263167622Y-131739759D01* +X263129964Y-131863899D01* +X263117249Y-131993000D01* +X263117249Y-132003800D01* +X262662751Y-132003800D01* +X262662751Y-131993000D01* +X262650036Y-131863899D01* +X262612378Y-131739759D01* +X262551226Y-131625351D01* +X262468929Y-131525071D01* +X262368649Y-131442774D01* +X262254241Y-131381622D01* +X262130101Y-131343964D01* +X262001000Y-131331249D01* +X261975600Y-131331249D01* +X261975600Y-130957293D01* +X265034110Y-127898785D01* +X265047662Y-127887663D01* +X265058785Y-127874110D01* +X265058795Y-127874100D01* +X265092100Y-127833517D01* +X265092102Y-127833515D01* +X265125121Y-127771740D01* +X265145454Y-127704710D01* +X265150600Y-127652463D01* +X265150600Y-127652456D01* +X265152319Y-127635001D01* +X265150600Y-127617545D01* +X265150600Y-120032455D01* +X265152319Y-120015000D01* +X265150600Y-119997545D01* +X265150600Y-119997537D01* +X265145454Y-119945290D01* +X265125121Y-119878260D01* +X265092101Y-119816484D01* +X265047663Y-119762337D01* +X265034099Y-119751205D01* +X264423799Y-119140906D01* +X264412663Y-119127337D01* +X264358516Y-119082899D01* +X264296740Y-119049879D01* +X264229710Y-119029546D01* +X264177463Y-119024400D01* +X264177455Y-119024400D01* +X264160000Y-119022681D01* +X264142545Y-119024400D01* +X263730234Y-119024400D01* +X263721049Y-119010654D01* +X263640346Y-118929951D01* +X263545449Y-118866543D01* +X263440005Y-118822866D01* +X263328066Y-118800600D01* +X263213934Y-118800600D01* +X263101995Y-118822866D01* +X262996551Y-118866543D01* +X262901654Y-118929951D01* +X262820951Y-119010654D01* +X262757543Y-119105551D01* +X262713866Y-119210995D01* +X262691600Y-119322934D01* +X262691600Y-119437066D01* +X262713866Y-119549005D01* +X262757543Y-119654449D01* +X262820951Y-119749346D01* +X262901654Y-119830049D01* +X262996551Y-119893457D01* +X263101995Y-119937134D01* +X263213934Y-119959400D01* +X263328066Y-119959400D01* +X263440005Y-119937134D01* +X263545449Y-119893457D01* +X263640346Y-119830049D01* +X263721049Y-119749346D01* +X263730234Y-119735600D01* +X264012707Y-119735600D01* +X264439401Y-120162295D01* +X264439400Y-127487705D01* +X261380901Y-130546206D01* +X261367338Y-130557337D01* +X261322900Y-130611484D01* +X261314331Y-130627515D01* +X261289880Y-130673259D01* +X261269546Y-130740290D01* +X261262681Y-130810000D01* +X261264401Y-130827463D01* +X261264401Y-131331249D01* +X261239000Y-131331249D01* +X261109899Y-131343964D01* +X260985759Y-131381622D01* +X260871351Y-131442774D01* +X260771071Y-131525071D01* +X260688774Y-131625351D01* +X260627622Y-131739759D01* +X260589964Y-131863899D01* +X260577249Y-131993000D01* +X260577249Y-132003800D01* +X260122751Y-132003800D01* +X260122751Y-131993000D01* +X260110036Y-131863899D01* +X260072378Y-131739759D01* +X260011226Y-131625351D01* +X259928929Y-131525071D01* +X259828649Y-131442774D01* +X259714241Y-131381622D01* +X259590101Y-131343964D01* +X259461000Y-131331249D01* +X259435600Y-131331249D01* +X259435600Y-130957293D01* +X263510100Y-126882794D01* +X263523663Y-126871663D01* +X263568101Y-126817516D01* +X263601121Y-126755740D01* +X263621454Y-126688710D01* +X263626600Y-126636463D01* +X263626600Y-126636456D01* +X263628319Y-126619001D01* +X263626600Y-126601545D01* +X263626600Y-122379234D01* +X263640346Y-122370049D01* +X263721049Y-122289346D01* +X263784457Y-122194449D01* +X263828134Y-122089005D01* +X263850400Y-121977066D01* +X263850400Y-121862934D01* +X263828134Y-121750995D01* +X263784457Y-121645551D01* +X263721049Y-121550654D01* +X263640346Y-121469951D01* +X263545449Y-121406543D01* +X263440005Y-121362866D01* +X263328066Y-121340600D01* +X263213934Y-121340600D01* +X263101995Y-121362866D01* +X262996551Y-121406543D01* +X262901654Y-121469951D01* +X262820951Y-121550654D01* +X262757543Y-121645551D01* +X262713866Y-121750995D01* +X262691600Y-121862934D01* +X262691600Y-121977066D01* +X262713866Y-122089005D01* +X262757543Y-122194449D01* +X262820951Y-122289346D01* +X262901654Y-122370049D01* +X262915400Y-122379234D01* +X262915401Y-126471705D01* +X258840901Y-130546206D01* +X258827338Y-130557337D01* +X258782900Y-130611484D01* +X258774331Y-130627515D01* +X258749880Y-130673259D01* +X258729546Y-130740290D01* +X258722681Y-130810000D01* +X258724401Y-130827463D01* +X258724401Y-131331249D01* +X258699000Y-131331249D01* +X258569899Y-131343964D01* +X258445759Y-131381622D01* +X258331351Y-131442774D01* +X258231071Y-131525071D01* +X258148774Y-131625351D01* +X258087622Y-131739759D01* +X258049964Y-131863899D01* +X258037249Y-131993000D01* +X258037249Y-132003800D01* +X257582751Y-132003800D01* +X257582751Y-131993000D01* +X257570036Y-131863899D01* +X257532378Y-131739759D01* +X257471226Y-131625351D01* +X257388929Y-131525071D01* +X257288649Y-131442774D01* +X257174241Y-131381622D01* +X257050101Y-131343964D01* +X256921000Y-131331249D01* +X256895600Y-131331249D01* +X256895600Y-130957293D01* +X257322294Y-130530600D01* +X258427545Y-130530600D01* +X258445000Y-130532319D01* +X258462455Y-130530600D01* +X258462463Y-130530600D01* +X258514710Y-130525454D01* +X258581740Y-130505121D01* +X258643516Y-130472101D01* +X258697663Y-130427663D01* +X258708799Y-130414094D01* +X260589100Y-128533794D01* +X260602663Y-128522663D01* +X260647101Y-128468516D01* +X260680121Y-128406740D01* +X260697980Y-128347866D01* +X260700454Y-128339711D01* +X260701844Y-128325600D01* +X260705600Y-128287463D01* +X260705600Y-128287456D01* +X260707319Y-128270000D01* +X260705600Y-128252545D01* +X260705600Y-127840234D01* +X260719346Y-127831049D01* +X260800049Y-127750346D01* +X260863457Y-127655449D01* +X260907134Y-127550005D01* +X260929400Y-127438066D01* +X260929400Y-127323934D01* +X260907134Y-127211995D01* +X260863457Y-127106551D01* +X260800049Y-127011654D01* +X260719346Y-126930951D01* +X260624449Y-126867543D01* +X260519005Y-126823866D01* +X260407066Y-126801600D01* +X260292934Y-126801600D01* +X260180995Y-126823866D01* +X260075551Y-126867543D01* +X259980654Y-126930951D01* +X259899951Y-127011654D01* +X259836543Y-127106551D01* +X259792866Y-127211995D01* +X259770600Y-127323934D01* +X259770600Y-127438066D01* +X259792866Y-127550005D01* +X259836543Y-127655449D01* +X259899951Y-127750346D01* +X259980654Y-127831049D01* +X259994401Y-127840234D01* +X259994401Y-128122705D01* +X258297707Y-129819400D01* +X257192455Y-129819400D01* +X257175000Y-129817681D01* +X257157545Y-129819400D01* +X257157537Y-129819400D01* +X257105290Y-129824546D01* +X257038260Y-129844879D01* +X256976484Y-129877899D01* +X256922337Y-129922337D01* +X256911206Y-129935900D01* +X256300901Y-130546206D01* +X256287337Y-130557338D01* +X256242899Y-130611485D01* +X256209879Y-130673261D01* +X256199742Y-130706680D01* +X256189547Y-130740289D01* +X256189546Y-130740291D01* +X256184400Y-130792538D01* +X256184400Y-130792545D01* +X256182681Y-130810000D01* +X256184400Y-130827456D01* +X256184400Y-131331249D01* +X256159000Y-131331249D01* +X256029899Y-131343964D01* +X255905759Y-131381622D01* +X255791351Y-131442774D01* +X255691071Y-131525071D01* +X255608774Y-131625351D01* +X255547622Y-131739759D01* +X255509964Y-131863899D01* +X255497249Y-131993000D01* +X255497249Y-132003800D01* +X255042751Y-132003800D01* +X255042751Y-131993000D01* +X255030036Y-131863899D01* +X254992378Y-131739759D01* +X254931226Y-131625351D01* +X254848929Y-131525071D01* +X254748649Y-131442774D01* +X254634241Y-131381622D01* +X254510101Y-131343964D01* +X254381000Y-131331249D01* +X254355600Y-131331249D01* +X254355600Y-130957293D01* +X256052294Y-129260600D01* +X258427545Y-129260600D01* +X258445000Y-129262319D01* +X258462455Y-129260600D01* +X258462463Y-129260600D01* +X258514710Y-129255454D01* +X258581740Y-129235121D01* +X258643516Y-129202101D01* +X258697663Y-129157663D01* +X258708799Y-129144094D01* +X259319100Y-128533794D01* +X259332663Y-128522663D01* +X259377101Y-128468516D01* +X259410121Y-128406740D01* +X259430454Y-128339710D01* +X259435600Y-128287463D01* +X259435600Y-128287455D01* +X259437319Y-128270000D01* +X259435600Y-128252545D01* +X259435600Y-127840234D01* +X259449346Y-127831049D01* +X259530049Y-127750346D01* +X259593457Y-127655449D01* +X259637134Y-127550005D01* +X259659400Y-127438066D01* +X259659400Y-127323934D01* +X259637134Y-127211995D01* +X259593457Y-127106551D01* +X259530049Y-127011654D01* +X259449346Y-126930951D01* +X259354449Y-126867543D01* +X259249005Y-126823866D01* +X259137066Y-126801600D01* +X259022934Y-126801600D01* +X258910995Y-126823866D01* +X258805551Y-126867543D01* +X258710654Y-126930951D01* +X258629951Y-127011654D01* +X258566543Y-127106551D01* +X258522866Y-127211995D01* +X258500600Y-127323934D01* +X258500600Y-127438066D01* +X258522866Y-127550005D01* +X258566543Y-127655449D01* +X258629951Y-127750346D01* +X258710654Y-127831049D01* +X258724401Y-127840234D01* +X258724401Y-128122705D01* +X258297707Y-128549400D01* +X255922455Y-128549400D01* +X255905000Y-128547681D01* +X255887544Y-128549400D01* +X255887537Y-128549400D01* +X255841566Y-128553928D01* +X255835289Y-128554546D01* +X255814956Y-128560714D01* +X255768260Y-128574879D01* +X255706484Y-128607899D01* +X255652337Y-128652337D01* +X255641206Y-128665900D01* +X253760902Y-130546205D01* +X253747337Y-130557338D01* +X253702899Y-130611485D01* +X253669879Y-130673261D01* +X253659742Y-130706680D01* +X253649547Y-130740289D01* +X253649546Y-130740291D01* +X253644400Y-130792538D01* +X253644400Y-130792545D01* +X253642681Y-130810000D01* +X253644400Y-130827456D01* +X253644400Y-131331249D01* +X253619000Y-131331249D01* +X253489899Y-131343964D01* +X253365759Y-131381622D01* +X253251351Y-131442774D01* +X253151071Y-131525071D01* +X253068774Y-131625351D01* +X253007622Y-131739759D01* +X252969964Y-131863899D01* +X252957249Y-131993000D01* +X252957249Y-132003800D01* +X252502751Y-132003800D01* +X252502751Y-131993000D01* +X252490036Y-131863899D01* +X252452378Y-131739759D01* +X252391226Y-131625351D01* +X252308929Y-131525071D01* +X252208649Y-131442774D01* +X252094241Y-131381622D01* +X251970101Y-131343964D01* +X251841000Y-131331249D01* +X251815600Y-131331249D01* +X251815600Y-129687293D01* +X252969110Y-128533785D01* +X252982662Y-128522663D01* +X252993785Y-128509110D01* +X252993795Y-128509100D01* +X253027100Y-128468517D01* +X253027102Y-128468515D01* +X253060121Y-128406740D01* +X253080454Y-128339710D01* +X253085600Y-128287463D01* +X253085600Y-128287454D01* +X253087319Y-128270001D01* +X253085600Y-128252548D01* +X253085600Y-127840234D01* +X253099346Y-127831049D01* +X253180049Y-127750346D01* +X253243457Y-127655449D01* +X253287134Y-127550005D01* +X253309400Y-127438066D01* +X253309400Y-127323934D01* +X253287134Y-127211995D01* +X253243457Y-127106551D01* +X253180049Y-127011654D01* +X253099346Y-126930951D01* +X253004449Y-126867543D01* +X252899005Y-126823866D01* +X252787066Y-126801600D01* +X252672934Y-126801600D01* +X252560995Y-126823866D01* +X252455551Y-126867543D01* +X252360654Y-126930951D01* +X252279951Y-127011654D01* +X252216543Y-127106551D01* +X252172866Y-127211995D01* +X252150600Y-127323934D01* +X252150600Y-127438066D01* +X252172866Y-127550005D01* +X252216543Y-127655449D01* +X252279951Y-127750346D01* +X252360654Y-127831049D01* +X252374400Y-127840234D01* +X252374400Y-128122705D01* +X251220902Y-129276205D01* +X251207337Y-129287338D01* +X251162899Y-129341485D01* +X251129879Y-129403261D01* +X251118400Y-129441103D01* +X251109547Y-129470289D01* +X251109546Y-129470291D01* +X251104400Y-129522538D01* +X251104400Y-129522545D01* +X251102681Y-129540000D01* +X251104400Y-129557455D01* +X251104400Y-131331249D01* +X251079000Y-131331249D01* +X250949899Y-131343964D01* +X250825759Y-131381622D01* +X250711351Y-131442774D01* +X250611071Y-131525071D01* +X250528774Y-131625351D01* +X250467622Y-131739759D01* +X250429964Y-131863899D01* +X250417249Y-131993000D01* +X250417249Y-132003800D01* +X249962751Y-132003800D01* +X249962751Y-131993000D01* +X249950036Y-131863899D01* +X249912378Y-131739759D01* +X249851226Y-131625351D01* +X249768929Y-131525071D01* +X249668649Y-131442774D01* +X249554241Y-131381622D01* +X249430101Y-131343964D01* +X249301000Y-131331249D01* +X249275600Y-131331249D01* +X249275600Y-130957293D01* +X251699105Y-128533790D01* +X251712663Y-128522663D01* +X251723790Y-128509105D01* +X251723795Y-128509100D01* +X251751844Y-128474922D01* +X251757101Y-128468516D01* +X251790121Y-128406740D01* +X251810454Y-128339710D01* +X251815600Y-128287463D01* +X251815600Y-128287454D01* +X251817319Y-128270001D01* +X251815600Y-128252548D01* +X251815600Y-127840234D01* +X251829346Y-127831049D01* +X251910049Y-127750346D01* +X251973457Y-127655449D01* +X252017134Y-127550005D01* +X252039400Y-127438066D01* +X252039400Y-127323934D01* +X252017134Y-127211995D01* +X251973457Y-127106551D01* +X251910049Y-127011654D01* +X251829346Y-126930951D01* +X251734449Y-126867543D01* +X251629005Y-126823866D01* +X251517066Y-126801600D01* +X251402934Y-126801600D01* +X251290995Y-126823866D01* +X251185551Y-126867543D01* +X251090654Y-126930951D01* +X251009951Y-127011654D01* +X250946543Y-127106551D01* +X250902866Y-127211995D01* +X250880600Y-127323934D01* +X250880600Y-127438066D01* +X250902866Y-127550005D01* +X250946543Y-127655449D01* +X251009951Y-127750346D01* +X251090654Y-127831049D01* +X251104401Y-127840234D01* +X251104401Y-128122704D01* +X248680902Y-130546205D01* +X248667337Y-130557338D01* +X248622899Y-130611485D01* +X248589879Y-130673261D01* +X248579742Y-130706680D01* +X248569547Y-130740289D01* +X248569546Y-130740291D01* +X248564400Y-130792538D01* +X248564400Y-130792545D01* +X248562681Y-130810000D01* +X248564400Y-130827456D01* +X248564400Y-131331249D01* +X248539000Y-131331249D01* +X248409899Y-131343964D01* +X248285759Y-131381622D01* +X248171351Y-131442774D01* +X248071071Y-131525071D01* +X247988774Y-131625351D01* +X247927622Y-131739759D01* +X247889964Y-131863899D01* +X247877249Y-131993000D01* +X247877249Y-132003800D01* +X247422751Y-132003800D01* +X247422751Y-131993000D01* +X247410036Y-131863899D01* +X247372378Y-131739759D01* +X247311226Y-131625351D01* +X247228929Y-131525071D01* +X247128649Y-131442774D01* +X247014241Y-131381622D01* +X246890101Y-131343964D01* +X246761000Y-131331249D01* +X246735600Y-131331249D01* +X246735600Y-127840234D01* +X246749346Y-127831049D01* +X246830049Y-127750346D01* +X246893457Y-127655449D01* +X246937134Y-127550005D01* +X246959400Y-127438066D01* +X246959400Y-127323934D01* +X246937134Y-127211995D01* +X246893457Y-127106551D01* +X246830049Y-127011654D01* +X246749346Y-126930951D01* +X246654449Y-126867543D01* +X246549005Y-126823866D01* +X246437066Y-126801600D01* +X246322934Y-126801600D01* +X246210995Y-126823866D01* +X246105551Y-126867543D01* +X246010654Y-126930951D01* +X245929951Y-127011654D01* +X245866543Y-127106551D01* +X245822866Y-127211995D01* +X245800600Y-127323934D01* +X245800600Y-127438066D01* +X245822866Y-127550005D01* +X245866543Y-127655449D01* +X245929951Y-127750346D01* +X246010654Y-127831049D01* +X246024401Y-127840234D01* +X246024401Y-131331249D01* +X245999000Y-131331249D01* +X245869899Y-131343964D01* +X245745759Y-131381622D01* +X245631351Y-131442774D01* +X245531071Y-131525071D01* +X245448774Y-131625351D01* +X245387622Y-131739759D01* +X245349964Y-131863899D01* +X245337249Y-131993000D01* +X245337249Y-132003800D01* +X244882751Y-132003800D01* +X244882751Y-131993000D01* +X244870036Y-131863899D01* +X244832378Y-131739759D01* +X244771226Y-131625351D01* +X244688929Y-131525071D01* +X244588649Y-131442774D01* +X244474241Y-131381622D01* +X244350101Y-131343964D01* +X244221000Y-131331249D01* +X244195600Y-131331249D01* +X244195600Y-127840234D01* +X244209346Y-127831049D01* +X244290049Y-127750346D01* +X244353457Y-127655449D01* +X244397134Y-127550005D01* +X244419400Y-127438066D01* +X244419400Y-127323934D01* +X244397134Y-127211995D01* +X244353457Y-127106551D01* +X244290049Y-127011654D01* +X244209346Y-126930951D01* +X244114449Y-126867543D01* +X244009005Y-126823866D01* +X243897066Y-126801600D01* +X243782934Y-126801600D01* +X243670995Y-126823866D01* +X243565551Y-126867543D01* +X243470654Y-126930951D01* +X243389951Y-127011654D01* +X243326543Y-127106551D01* +X243282866Y-127211995D01* +X243260600Y-127323934D01* +X243260600Y-127438066D01* +X243282866Y-127550005D01* +X243326543Y-127655449D01* +X243389951Y-127750346D01* +X243470654Y-127831049D01* +X243484400Y-127840234D01* +X243484400Y-131331249D01* +X243459000Y-131331249D01* +X243329899Y-131343964D01* +X243205759Y-131381622D01* +X243091351Y-131442774D01* +X242991071Y-131525071D01* +X242908774Y-131625351D01* +X242847622Y-131739759D01* +X242809964Y-131863899D01* +X242797249Y-131993000D01* +X242797249Y-132003800D01* +X242342751Y-132003800D01* +X242342751Y-131993000D01* +X242330036Y-131863899D01* +X242292378Y-131739759D01* +X242231226Y-131625351D01* +X242148929Y-131525071D01* +X242048649Y-131442774D01* +X241934241Y-131381622D01* +X241810101Y-131343964D01* +X241681000Y-131331249D01* +X241655600Y-131331249D01* +X241655600Y-130827452D01* +X241657319Y-130809999D01* +X241655600Y-130792546D01* +X241655600Y-130792537D01* +X241650454Y-130740290D01* +X241630121Y-130673260D01* +X241597101Y-130611484D01* +X241593933Y-130607624D01* +X241563795Y-130570900D01* +X241563785Y-130570890D01* +X241552662Y-130557337D01* +X241539110Y-130546215D01* +X239115600Y-128122707D01* +X239115600Y-127840234D01* +X239129346Y-127831049D01* +X239210049Y-127750346D01* +X239273457Y-127655449D01* +X239317134Y-127550005D01* +X239339400Y-127438066D01* +X239339400Y-127323934D01* +X239317134Y-127211995D01* +X239273457Y-127106551D01* +X239210049Y-127011654D01* +X239129346Y-126930951D01* +X239034449Y-126867543D01* +X238929005Y-126823866D01* +X238817066Y-126801600D01* +X238702934Y-126801600D01* +X238590995Y-126823866D01* +X238485551Y-126867543D01* +X238390654Y-126930951D01* +X238309951Y-127011654D01* +X238246543Y-127106551D01* +X238202866Y-127211995D01* +X238180600Y-127323934D01* +X238180600Y-127438066D01* +X238202866Y-127550005D01* +X238246543Y-127655449D01* +X238309951Y-127750346D01* +X238390654Y-127831049D01* +X238404401Y-127840234D01* +X238404401Y-128252535D01* +X238402681Y-128270000D01* +X238409546Y-128339710D01* +X238429880Y-128406741D01* +X238429881Y-128406742D01* +X238462900Y-128468516D01* +X238507338Y-128522663D01* +X238520901Y-128533794D01* +X240944400Y-130957295D01* +X240944400Y-131331249D01* +X240919000Y-131331249D01* +X240789899Y-131343964D01* +X240665759Y-131381622D01* +X240551351Y-131442774D01* +X240451071Y-131525071D01* +X240368774Y-131625351D01* +X240307622Y-131739759D01* +X240269964Y-131863899D01* +X240257249Y-131993000D01* +X240257249Y-132003800D01* +X239802751Y-132003800D01* +X239802751Y-131993000D01* +X239790036Y-131863899D01* +X239752378Y-131739759D01* +X239691226Y-131625351D01* +X239608929Y-131525071D01* +X239508649Y-131442774D01* +X239394241Y-131381622D01* +X239270101Y-131343964D01* +X239141000Y-131331249D01* +X239115600Y-131331249D01* +X239115600Y-129557452D01* +X239117319Y-129539999D01* +X239115600Y-129522546D01* +X239115600Y-129522537D01* +X239110454Y-129470290D01* +X239090121Y-129403260D01* +X239057101Y-129341484D01* +X239053933Y-129337624D01* +X239023795Y-129300900D01* +X239023785Y-129300890D01* +X239012662Y-129287337D01* +X238999110Y-129276215D01* +X237845600Y-128122707D01* +X237845600Y-127840234D01* +X237859346Y-127831049D01* +X237940049Y-127750346D01* +X238003457Y-127655449D01* +X238047134Y-127550005D01* +X238069400Y-127438066D01* +X238069400Y-127323934D01* +X238047134Y-127211995D01* +X238003457Y-127106551D01* +X237940049Y-127011654D01* +X237859346Y-126930951D01* +X237764449Y-126867543D01* +X237659005Y-126823866D01* +X237547066Y-126801600D01* +X237432934Y-126801600D01* +X237320995Y-126823866D01* +X237215551Y-126867543D01* +X237120654Y-126930951D01* +X237039951Y-127011654D01* +X236976543Y-127106551D01* +X236932866Y-127211995D01* +X236910600Y-127323934D01* +X236910600Y-127438066D01* +X236932866Y-127550005D01* +X236976543Y-127655449D01* +X237039951Y-127750346D01* +X237120654Y-127831049D01* +X237134401Y-127840234D01* +X237134401Y-128252535D01* +X237132681Y-128270000D01* +X237139546Y-128339710D01* +X237159880Y-128406741D01* +X237159881Y-128406742D01* +X237192900Y-128468516D01* +X237237338Y-128522663D01* +X237250901Y-128533794D01* +X238404400Y-129687295D01* +X238404400Y-131331249D01* +X238379000Y-131331249D01* +X238249899Y-131343964D01* +X238125759Y-131381622D01* +X238011351Y-131442774D01* +X237911071Y-131525071D01* +X237828774Y-131625351D01* +X237767622Y-131739759D01* +X237729964Y-131863899D01* +X237717249Y-131993000D01* +X237717249Y-132003800D01* +X237262751Y-132003800D01* +X237262751Y-131993000D01* +X237250036Y-131863899D01* +X237212378Y-131739759D01* +X237151226Y-131625351D01* +X237068929Y-131525071D01* +X236968649Y-131442774D01* +X236854241Y-131381622D01* +X236730101Y-131343964D01* +X236601000Y-131331249D01* +X236575600Y-131331249D01* +X236575600Y-130827455D01* +X236577319Y-130810000D01* +X236575600Y-130792545D01* +X236575600Y-130792537D01* +X236570454Y-130740290D01* +X236550121Y-130673260D01* +X236517101Y-130611484D01* +X236472663Y-130557337D01* +X236459100Y-130546206D01* +X235848799Y-129935906D01* +X235837663Y-129922337D01* +X235783516Y-129877899D01* +X235721740Y-129844879D01* +X235654710Y-129824546D01* +X235602463Y-129819400D01* +X235602455Y-129819400D01* +X235585000Y-129817681D01* +X235567545Y-129819400D01* +X235409234Y-129819400D01* +X235400049Y-129805654D01* +X235319346Y-129724951D01* +X235224449Y-129661543D01* +X235119005Y-129617866D01* +X235007066Y-129595600D01* +X234892934Y-129595600D01* +X234780995Y-129617866D01* +X234675551Y-129661543D01* +X234580654Y-129724951D01* +X234499951Y-129805654D01* +X234436543Y-129900551D01* +X234392866Y-130005995D01* +X234370600Y-130117934D01* +X234370600Y-130232066D01* +X234392866Y-130344005D01* +X234436543Y-130449449D01* +X234499951Y-130544346D01* +X234580654Y-130625049D01* +X234675551Y-130688457D01* +X234780995Y-130732134D01* +X234892934Y-130754400D01* +X235007066Y-130754400D01* +X235119005Y-130732134D01* +X235224449Y-130688457D01* +X235319346Y-130625049D01* +X235400049Y-130544346D01* +X235409234Y-130530600D01* +X235437707Y-130530600D01* +X235864401Y-130957295D01* +X235864401Y-131331249D01* +X235839000Y-131331249D01* +X235709899Y-131343964D01* +X235585759Y-131381622D01* +X235471351Y-131442774D01* +X235371071Y-131525071D01* +X235288774Y-131625351D01* +X235227622Y-131739759D01* +X235189964Y-131863899D01* +X235177249Y-131993000D01* +X235177249Y-132003800D01* +X234722751Y-132003800D01* +X234722751Y-131993000D01* +X234710036Y-131863899D01* +X234672378Y-131739759D01* +X234611226Y-131625351D01* +X234528929Y-131525071D01* +X234428649Y-131442774D01* +X234314241Y-131381622D01* +X234190101Y-131343964D01* +X234061000Y-131331249D01* +X233299000Y-131331249D01* +X233169899Y-131343964D01* +X233045759Y-131381622D01* +X232931351Y-131442774D01* +X232831071Y-131525071D01* +X232748774Y-131625351D01* +X232687622Y-131739759D01* +X232649964Y-131863899D01* +X232637249Y-131993000D01* +X232637249Y-132003800D01* +X232182751Y-132003800D01* +X232182751Y-131993000D01* +X232170036Y-131863899D01* +X232132378Y-131739759D01* +X232071226Y-131625351D01* +X231988929Y-131525071D01* +X231888649Y-131442774D01* +X231774241Y-131381622D01* +X231650101Y-131343964D01* +X231521000Y-131331249D01* +X231495600Y-131331249D01* +X231495600Y-130827455D01* +X231497319Y-130810000D01* +X231495600Y-130792545D01* +X231495600Y-130792537D01* +X231490454Y-130740290D01* +X231470121Y-130673260D01* +X231437101Y-130611484D01* +X231392663Y-130557337D01* +X231379100Y-130546206D01* +X230768799Y-129935906D01* +X230757663Y-129922337D01* +X230703516Y-129877899D01* +X230641740Y-129844879D01* +X230574710Y-129824546D01* +X230522463Y-129819400D01* +X230522455Y-129819400D01* +X230505000Y-129817681D01* +X230487545Y-129819400D01* +X230329234Y-129819400D01* +X230320049Y-129805654D01* +X230239346Y-129724951D01* +X230144449Y-129661543D01* +X230039005Y-129617866D01* +X229927066Y-129595600D01* +X229812934Y-129595600D01* +X229700995Y-129617866D01* +X229595551Y-129661543D01* +X229500654Y-129724951D01* +X229419951Y-129805654D01* +X229356543Y-129900551D01* +X229312866Y-130005995D01* +X229290600Y-130117934D01* +X229290600Y-130232066D01* +X229312866Y-130344005D01* +X229356543Y-130449449D01* +X229419951Y-130544346D01* +X229500654Y-130625049D01* +X229595551Y-130688457D01* +X229700995Y-130732134D01* +X229812934Y-130754400D01* +X229927066Y-130754400D01* +X230039005Y-130732134D01* +X230144449Y-130688457D01* +X230239346Y-130625049D01* +X230320049Y-130544346D01* +X230329234Y-130530600D01* +X230357707Y-130530600D01* +X230784401Y-130957295D01* +X230784401Y-131331249D01* +X230759000Y-131331249D01* +X230629899Y-131343964D01* +X230505759Y-131381622D01* +X230391351Y-131442774D01* +X230291071Y-131525071D01* +X230208774Y-131625351D01* +X230147622Y-131739759D01* +X230109964Y-131863899D01* +X230097249Y-131993000D01* +X230097249Y-132003800D01* +X229642751Y-132003800D01* +X229642751Y-131993000D01* +X229630036Y-131863899D01* +X229592378Y-131739759D01* +X229531226Y-131625351D01* +X229448929Y-131525071D01* +X229348649Y-131442774D01* +X229234241Y-131381622D01* +X229110101Y-131343964D01* +X228981000Y-131331249D01* +X228955600Y-131331249D01* +X228955600Y-130827455D01* +X228957319Y-130810000D01* +X228955600Y-130792545D01* +X228955600Y-130792537D01* +X228950454Y-130740290D01* +X228930121Y-130673260D01* +X228897101Y-130611484D01* +X228852663Y-130557337D01* +X228839100Y-130546206D01* +X228228799Y-129935906D01* +X228217663Y-129922337D01* +X228163516Y-129877899D01* +X228101740Y-129844879D01* +X228034710Y-129824546D01* +X227982463Y-129819400D01* +X227982455Y-129819400D01* +X227965000Y-129817681D01* +X227947545Y-129819400D01* +X227789234Y-129819400D01* +X227780049Y-129805654D01* +X227699346Y-129724951D01* +X227604449Y-129661543D01* +X227499005Y-129617866D01* +X227387066Y-129595600D01* +X227272934Y-129595600D01* +X227160995Y-129617866D01* +X227055551Y-129661543D01* +X226960654Y-129724951D01* +X226879951Y-129805654D01* +X226816543Y-129900551D01* +X226772866Y-130005995D01* +X226750600Y-130117934D01* +X226750600Y-130232066D01* +X226772866Y-130344005D01* +X226816543Y-130449449D01* +X226879951Y-130544346D01* +X226960654Y-130625049D01* +X227055551Y-130688457D01* +X227160995Y-130732134D01* +X227272934Y-130754400D01* +X227387066Y-130754400D01* +X227499005Y-130732134D01* +X227604449Y-130688457D01* +X227699346Y-130625049D01* +X227780049Y-130544346D01* +X227789234Y-130530600D01* +X227817707Y-130530600D01* +X228244401Y-130957295D01* +X228244401Y-131331249D01* +X228219000Y-131331249D01* +X228089899Y-131343964D01* +X227965759Y-131381622D01* +X227851351Y-131442774D01* +X227751071Y-131525071D01* +X227668774Y-131625351D01* +X227607622Y-131739759D01* +X227569964Y-131863899D01* +X227557249Y-131993000D01* +X227557249Y-132003800D01* +X227102751Y-132003800D01* +X227102751Y-131993000D01* +X227090036Y-131863899D01* +X227052378Y-131739759D01* +X226991226Y-131625351D01* +X226908929Y-131525071D01* +X226808649Y-131442774D01* +X226694241Y-131381622D01* +X226570101Y-131343964D01* +X226441000Y-131331249D01* +X226415600Y-131331249D01* +X226415600Y-130827452D01* +X226417319Y-130809999D01* +X226415600Y-130792546D01* +X226415600Y-130792537D01* +X226410454Y-130740290D01* +X226390121Y-130673260D01* +X226357101Y-130611484D01* +X226353933Y-130607624D01* +X226323795Y-130570900D01* +X226323785Y-130570890D01* +X226312662Y-130557337D01* +X226299110Y-130546215D01* +X225145600Y-129392707D01* +X225145600Y-126824234D01* +X225159346Y-126815049D01* +X225240049Y-126734346D01* +X225303457Y-126639449D01* +X225347134Y-126534005D01* +X225369400Y-126422066D01* +X225369400Y-126307934D01* +X225347134Y-126195995D01* +X225303457Y-126090551D01* +X225240049Y-125995654D01* +X225159346Y-125914951D01* +X225064449Y-125851543D01* +X224959005Y-125807866D01* +X224847066Y-125785600D01* +X224732934Y-125785600D01* +X224620995Y-125807866D01* +X224515551Y-125851543D01* +X224420654Y-125914951D01* +X224339951Y-125995654D01* +X224276543Y-126090551D01* +X224232866Y-126195995D01* +X224210600Y-126307934D01* +X224210600Y-126422066D01* +X224232866Y-126534005D01* +X224276543Y-126639449D01* +X224339951Y-126734346D01* +X224420654Y-126815049D01* +X224434400Y-126824234D01* +X224434401Y-129522535D01* +X224432681Y-129540000D01* +X224439546Y-129609710D01* +X224459880Y-129676741D01* +X224476390Y-129707628D01* +X224492900Y-129738516D01* +X224537338Y-129792663D01* +X224550901Y-129803794D01* +X225704400Y-130957295D01* +X225704400Y-131331249D01* +X225679000Y-131331249D01* +X225549899Y-131343964D01* +X225425759Y-131381622D01* +X225311351Y-131442774D01* +X225211071Y-131525071D01* +X225128774Y-131625351D01* +X225067622Y-131739759D01* +X225029964Y-131863899D01* +X225017249Y-131993000D01* +X225017249Y-132003800D01* +X224562751Y-132003800D01* +X224562751Y-131993000D01* +X224550036Y-131863899D01* +X224512378Y-131739759D01* +X224451226Y-131625351D01* +X224368929Y-131525071D01* +X224268649Y-131442774D01* +X224154241Y-131381622D01* +X224030101Y-131343964D01* +X223901000Y-131331249D01* +X223875600Y-131331249D01* +X223875600Y-130827455D01* +X223877319Y-130810000D01* +X223875600Y-130792545D01* +X223875600Y-130792537D01* +X223870454Y-130740290D01* +X223850121Y-130673260D01* +X223817101Y-130611484D01* +X223772663Y-130557337D01* +X223759100Y-130546206D01* +X223148799Y-129935906D01* +X223137663Y-129922337D01* +X223083516Y-129877899D01* +X223021740Y-129844879D01* +X222954710Y-129824546D01* +X222902463Y-129819400D01* +X222902455Y-129819400D01* +X222885000Y-129817681D01* +X222867545Y-129819400D01* +X222709234Y-129819400D01* +X222700049Y-129805654D01* +X222619346Y-129724951D01* +X222524449Y-129661543D01* +X222419005Y-129617866D01* +X222307066Y-129595600D01* +X222192934Y-129595600D01* +X222080995Y-129617866D01* +X221975551Y-129661543D01* +X221880654Y-129724951D01* +X221799951Y-129805654D01* +X221736543Y-129900551D01* +X221692866Y-130005995D01* +X221670600Y-130117934D01* +X221670600Y-130232066D01* +X221692866Y-130344005D01* +X221736543Y-130449449D01* +X221799951Y-130544346D01* +X221880654Y-130625049D01* +X221975551Y-130688457D01* +X222080995Y-130732134D01* +X222192934Y-130754400D01* +X222307066Y-130754400D01* +X222419005Y-130732134D01* +X222524449Y-130688457D01* +X222619346Y-130625049D01* +X222700049Y-130544346D01* +X222709234Y-130530600D01* +X222737707Y-130530600D01* +X223164401Y-130957295D01* +X223164401Y-131331249D01* +X223139000Y-131331249D01* +X223009899Y-131343964D01* +X222885759Y-131381622D01* +X222771351Y-131442774D01* +X222671071Y-131525071D01* +X222588774Y-131625351D01* +X222527622Y-131739759D01* +X222489964Y-131863899D01* +X222477249Y-131993000D01* +X222477249Y-132003800D01* +X222022751Y-132003800D01* +X222022751Y-131993000D01* +X222010036Y-131863899D01* +X221972378Y-131739759D01* +X221911226Y-131625351D01* +X221828929Y-131525071D01* +X221728649Y-131442774D01* +X221614241Y-131381622D01* +X221490101Y-131343964D01* +X221361000Y-131331249D01* +X221335600Y-131331249D01* +X221335600Y-126824234D01* +X221349346Y-126815049D01* +X221430049Y-126734346D01* +X221493457Y-126639449D01* +X221537134Y-126534005D01* +X221559400Y-126422066D01* +X221559400Y-126307934D01* +X221537134Y-126195995D01* +X221493457Y-126090551D01* +X221430049Y-125995654D01* +X221349346Y-125914951D01* +X221254449Y-125851543D01* +X221149005Y-125807866D01* +X221037066Y-125785600D01* +X220922934Y-125785600D01* +X220810995Y-125807866D01* +X220705551Y-125851543D01* +X220610654Y-125914951D01* +X220529951Y-125995654D01* +X220466543Y-126090551D01* +X220422866Y-126195995D01* +X220400600Y-126307934D01* +X220400600Y-126422066D01* +X220422866Y-126534005D01* +X220466543Y-126639449D01* +X220529951Y-126734346D01* +X220610654Y-126815049D01* +X220624400Y-126824234D01* +X220624401Y-131331249D01* +X220599000Y-131331249D01* +X220469899Y-131343964D01* +X220345759Y-131381622D01* +X220231351Y-131442774D01* +X220131071Y-131525071D01* +X220048774Y-131625351D01* +X219987622Y-131739759D01* +X219949964Y-131863899D01* +X219937249Y-131993000D01* +X219937249Y-132003800D01* +X219482751Y-132003800D01* +X219482751Y-131993000D01* +X219470036Y-131863899D01* +X219432378Y-131739759D01* +X219371226Y-131625351D01* +X219288929Y-131525071D01* +X219188649Y-131442774D01* +X219074241Y-131381622D01* +X218950101Y-131343964D01* +X218821000Y-131331249D01* +X218795600Y-131331249D01* +X218795600Y-131081455D01* +X218797319Y-131064000D01* +X218795600Y-131046545D01* +X218795600Y-131046537D01* +X218790454Y-130994290D01* +X218770121Y-130927260D01* +X218737101Y-130865484D01* +X218726039Y-130852005D01* +X218703795Y-130824901D01* +X218703790Y-130824896D01* +X218692662Y-130811337D01* +X218679104Y-130800210D01* +X218068799Y-130189906D01* +X218057663Y-130176337D01* +X218003516Y-130131899D01* +X217941740Y-130098879D01* +X217874710Y-130078546D01* +X217822463Y-130073400D01* +X217822455Y-130073400D01* +X217805000Y-130071681D01* +X217787545Y-130073400D01* +X216171452Y-130073400D01* +X216153999Y-130071681D01* +X216136546Y-130073400D01* +X216136537Y-130073400D01* +X216084290Y-130078546D01* +X216017260Y-130098879D01* +X215994498Y-130111046D01* +X215957066Y-130103600D01* +X215842934Y-130103600D01* +X215730995Y-130125866D01* +X215625551Y-130169543D01* +X215530654Y-130232951D01* +X215449951Y-130313654D01* +X215386543Y-130408551D01* +X215342866Y-130513995D01* +X215320600Y-130625934D01* +X215320600Y-130740066D01* +X215342866Y-130852005D01* +X215386543Y-130957449D01* +X215449951Y-131052346D01* +X215530654Y-131133049D01* +X215625551Y-131196457D01* +X215730995Y-131240134D01* +X215842934Y-131262400D01* +X215957066Y-131262400D01* +X216069005Y-131240134D01* +X216174449Y-131196457D01* +X216269346Y-131133049D01* +X216350049Y-131052346D01* +X216413457Y-130957449D01* +X216457134Y-130852005D01* +X216470542Y-130784600D01* +X217657707Y-130784600D01* +X218084400Y-131211294D01* +X218084400Y-131331249D01* +X218059000Y-131331249D01* +X217929899Y-131343964D01* +X217805759Y-131381622D01* +X217691351Y-131442774D01* +X217591071Y-131525071D01* +X217508774Y-131625351D01* +X217447622Y-131739759D01* +X217409964Y-131863899D01* +X217397249Y-131993000D01* +X217397249Y-132003800D01* +X216942751Y-132003800D01* +X216942751Y-131993000D01* +X216930036Y-131863899D01* +X216892378Y-131739759D01* +X216831226Y-131625351D01* +X216748929Y-131525071D01* +X216648649Y-131442774D01* +X216534241Y-131381622D01* +X216410101Y-131343964D01* +X216281000Y-131331249D01* +X215519000Y-131331249D01* +X215389899Y-131343964D01* +X215265759Y-131381622D01* +X215151351Y-131442774D01* +X215051071Y-131525071D01* +X214968774Y-131625351D01* +X214907622Y-131739759D01* +X214869964Y-131863899D01* +X214857249Y-131993000D01* +X214857249Y-132003800D01* +X214402751Y-132003800D01* +X214402751Y-131993000D01* +X214390036Y-131863899D01* +X214352378Y-131739759D01* +X214291226Y-131625351D01* +X214208929Y-131525071D01* +X214108649Y-131442774D01* +X213994241Y-131381622D01* +X213870101Y-131343964D01* +X213741000Y-131331249D01* +X213715600Y-131331249D01* +X213715600Y-129745234D01* +X213729346Y-129736049D01* +X213810049Y-129655346D01* +X213873457Y-129560449D01* +X213917134Y-129455005D01* +X213939400Y-129343066D01* +X213939400Y-129228934D01* +X213917134Y-129116995D01* +X213873457Y-129011551D01* +X213810049Y-128916654D01* +X213729346Y-128835951D01* +X213634449Y-128772543D01* +X213529005Y-128728866D01* +X213417066Y-128706600D01* +X213302934Y-128706600D01* +X213190995Y-128728866D01* +X213085551Y-128772543D01* +X212990654Y-128835951D01* +X212909951Y-128916654D01* +X212846543Y-129011551D01* +X212802866Y-129116995D01* +X212780600Y-129228934D01* +X212780600Y-129343066D01* +X212802866Y-129455005D01* +X212846543Y-129560449D01* +X212909951Y-129655346D01* +X212990654Y-129736049D01* +X213004400Y-129745234D01* +X213004400Y-131331249D01* +X212979000Y-131331249D01* +X212849899Y-131343964D01* +X212725759Y-131381622D01* +X212611351Y-131442774D01* +X212511071Y-131525071D01* +X212428774Y-131625351D01* +X212367622Y-131739759D01* +X212329964Y-131863899D01* +X212317249Y-131993000D01* +X212317249Y-132003800D01* +X211862751Y-132003800D01* +X211862751Y-131993000D01* +X211850036Y-131863899D01* +X211812378Y-131739759D01* +X211751226Y-131625351D01* +X211668929Y-131525071D01* +X211568649Y-131442774D01* +X211454241Y-131381622D01* +X211330101Y-131343964D01* +X211201000Y-131331249D01* +X210439000Y-131331249D01* +X210309899Y-131343964D01* +X210185759Y-131381622D01* +X210071351Y-131442774D01* +X209971071Y-131525071D01* +X209888774Y-131625351D01* +X209827622Y-131739759D01* +X209789964Y-131863899D01* +X209777249Y-131993000D01* +X209777249Y-132003800D01* +X209322751Y-132003800D01* +X209322751Y-131993000D01* +X209310036Y-131863899D01* +X209272378Y-131739759D01* +X209211226Y-131625351D01* +X209128929Y-131525071D01* +X209028649Y-131442774D01* +X208914241Y-131381622D01* +X208790101Y-131343964D01* +X208661000Y-131331249D01* +X207899000Y-131331249D01* +X207769899Y-131343964D01* +X207645759Y-131381622D01* +X207531351Y-131442774D01* +X207431071Y-131525071D01* +X207348774Y-131625351D01* +X207287622Y-131739759D01* +X207249964Y-131863899D01* +X207237249Y-131993000D01* +X207237249Y-132003800D01* +X206782751Y-132003800D01* +X206782751Y-131993000D01* +X206770036Y-131863899D01* +X206732378Y-131739759D01* +X206671226Y-131625351D01* +X206588929Y-131525071D01* +X206488649Y-131442774D01* +X206374241Y-131381622D01* +X206250101Y-131343964D01* +X206121000Y-131331249D01* +X205359000Y-131331249D01* +X205229899Y-131343964D01* +X205105759Y-131381622D01* +X204991351Y-131442774D01* +X204891071Y-131525071D01* +X204808774Y-131625351D01* +X204747622Y-131739759D01* +X204709964Y-131863899D01* +X204697249Y-131993000D01* +X204697249Y-132003800D01* +X204242751Y-132003800D01* +X204242751Y-131993000D01* +X204230036Y-131863899D01* +X204192378Y-131739759D01* +X204131226Y-131625351D01* +X204048929Y-131525071D01* +X203948649Y-131442774D01* +X203834241Y-131381622D01* +X203710101Y-131343964D01* +X203581000Y-131331249D01* +X203555600Y-131331249D01* +X203555600Y-130957293D01* +X205031719Y-129481175D01* +X205047934Y-129484400D01* +X205162066Y-129484400D01* +X205274005Y-129462134D01* +X205379449Y-129418457D01* +X205474346Y-129355049D01* +X205555049Y-129274346D01* +X205618457Y-129179449D01* +X205662134Y-129074005D01* +X205684400Y-128962066D01* +X205684400Y-128847934D01* +X205662134Y-128735995D01* +X205618457Y-128630551D01* +X205555049Y-128535654D01* +X205474346Y-128454951D01* +X205379449Y-128391543D01* +X205274005Y-128347866D01* +X205162066Y-128325600D01* +X205047934Y-128325600D01* +X204935995Y-128347866D01* +X204830551Y-128391543D01* +X204735654Y-128454951D01* +X204654951Y-128535654D01* +X204591543Y-128630551D01* +X204547866Y-128735995D01* +X204525600Y-128847934D01* +X204525600Y-128962066D01* +X204528825Y-128978281D01* +X202960902Y-130546205D01* +X202947337Y-130557338D01* +X202902899Y-130611485D01* +X202869879Y-130673261D01* +X202859742Y-130706680D01* +X202849547Y-130740289D01* +X202849546Y-130740291D01* +X202844400Y-130792538D01* +X202844400Y-130792545D01* +X202842681Y-130810000D01* +X202844400Y-130827456D01* +X202844400Y-131331249D01* +X202819000Y-131331249D01* +X202689899Y-131343964D01* +X202565759Y-131381622D01* +X202451351Y-131442774D01* +X202351071Y-131525071D01* +X202268774Y-131625351D01* +X202207622Y-131739759D01* +X202169964Y-131863899D01* +X202157249Y-131993000D01* +X202157249Y-132003800D01* +X201702751Y-132003800D01* +X201702751Y-131993000D01* +X201690036Y-131863899D01* +X201652378Y-131739759D01* +X201591226Y-131625351D01* +X201508929Y-131525071D01* +X201408649Y-131442774D01* +X201294241Y-131381622D01* +X201170101Y-131343964D01* +X201041000Y-131331249D01* +X200279000Y-131331249D01* +X200149899Y-131343964D01* +X200025759Y-131381622D01* +X199911351Y-131442774D01* +X199811071Y-131525071D01* +X199728774Y-131625351D01* +X199667622Y-131739759D01* +X199629964Y-131863899D01* +X199617249Y-131993000D01* +X199617249Y-132003800D01* +X198728400Y-132003800D01* +X198728400Y-128085934D01* +X213034600Y-128085934D01* +X213034600Y-128200066D01* +X213056866Y-128312005D01* +X213100543Y-128417449D01* +X213163951Y-128512346D01* +X213244654Y-128593049D01* +X213339551Y-128656457D01* +X213444995Y-128700134D01* +X213556934Y-128722400D01* +X213671066Y-128722400D01* +X213783005Y-128700134D01* +X213888449Y-128656457D01* +X213983346Y-128593049D01* +X214064049Y-128512346D01* +X214127457Y-128417449D01* +X214171134Y-128312005D01* +X214193400Y-128200066D01* +X214193400Y-128085934D01* +X214190175Y-128069719D01* +X216396460Y-125863434D01* +X217416100Y-125863434D01* +X217416100Y-125977566D01* +X217438366Y-126089505D01* +X217482043Y-126194949D01* +X217545451Y-126289846D01* +X217626154Y-126370549D01* +X217721051Y-126433957D01* +X217826495Y-126477634D01* +X217938434Y-126499900D01* +X218052566Y-126499900D01* +X218164505Y-126477634D01* +X218269949Y-126433957D01* +X218364846Y-126370549D01* +X218445549Y-126289846D01* +X218508957Y-126194949D01* +X218552634Y-126089505D01* +X218574900Y-125977566D01* +X218574900Y-125863434D01* +X218571675Y-125847219D01* +X218933110Y-125485785D01* +X218946662Y-125474663D01* +X218957785Y-125461110D01* +X218957795Y-125461100D01* +X218991100Y-125420517D01* +X218991102Y-125420515D01* +X219024121Y-125358740D01* +X219035600Y-125320898D01* +X219044386Y-125291934D01* +X221416600Y-125291934D01* +X221416600Y-125406066D01* +X221438866Y-125518005D01* +X221482543Y-125623449D01* +X221545951Y-125718346D01* +X221626654Y-125799049D01* +X221721551Y-125862457D01* +X221826995Y-125906134D01* +X221938934Y-125928400D01* +X222053066Y-125928400D01* +X222165005Y-125906134D01* +X222270449Y-125862457D01* +X222365346Y-125799049D01* +X222446049Y-125718346D01* +X222509457Y-125623449D01* +X222553134Y-125518005D01* +X222575400Y-125406066D01* +X222575400Y-125291934D01* +X222572175Y-125275719D01* +X223319720Y-124528175D01* +X223335934Y-124531400D01* +X223450066Y-124531400D01* +X223562005Y-124509134D01* +X223667449Y-124465457D01* +X223762346Y-124402049D01* +X223843049Y-124321346D01* +X223906457Y-124226449D01* +X223950134Y-124121005D01* +X223972400Y-124009066D01* +X223972400Y-123894934D01* +X223950134Y-123782995D01* +X223906457Y-123677551D01* +X223843049Y-123582654D01* +X223762346Y-123501951D01* +X223667449Y-123438543D01* +X223562005Y-123394866D01* +X223450066Y-123372600D01* +X223335934Y-123372600D01* +X223223995Y-123394866D01* +X223118551Y-123438543D01* +X223023654Y-123501951D01* +X222942951Y-123582654D01* +X222879543Y-123677551D01* +X222835866Y-123782995D01* +X222813600Y-123894934D01* +X222813600Y-124009066D01* +X222816825Y-124025280D01* +X222069281Y-124772825D01* +X222053066Y-124769600D01* +X221938934Y-124769600D01* +X221826995Y-124791866D01* +X221721551Y-124835543D01* +X221626654Y-124898951D01* +X221545951Y-124979654D01* +X221482543Y-125074551D01* +X221438866Y-125179995D01* +X221416600Y-125291934D01* +X219044386Y-125291934D01* +X219044454Y-125291711D01* +X219045855Y-125277485D01* +X219049600Y-125239463D01* +X219049600Y-125239456D01* +X219051319Y-125222001D01* +X219049600Y-125204546D01* +X219049600Y-123767934D01* +X221289600Y-123767934D01* +X221289600Y-123882066D01* +X221311866Y-123994005D01* +X221355543Y-124099449D01* +X221418951Y-124194346D01* +X221499654Y-124275049D01* +X221594551Y-124338457D01* +X221699995Y-124382134D01* +X221811934Y-124404400D01* +X221926066Y-124404400D01* +X222038005Y-124382134D01* +X222143449Y-124338457D01* +X222238346Y-124275049D01* +X222319049Y-124194346D01* +X222382457Y-124099449D01* +X222426134Y-123994005D01* +X222448400Y-123882066D01* +X222448400Y-123767934D01* +X222426134Y-123655995D01* +X222382457Y-123550551D01* +X222319049Y-123455654D01* +X222238346Y-123374951D01* +X222143449Y-123311543D01* +X222038005Y-123267866D01* +X221926066Y-123245600D01* +X221811934Y-123245600D01* +X221699995Y-123267866D01* +X221594551Y-123311543D01* +X221499654Y-123374951D01* +X221418951Y-123455654D01* +X221355543Y-123550551D01* +X221311866Y-123655995D01* +X221289600Y-123767934D01* +X219049600Y-123767934D01* +X219049600Y-123141234D01* +X219063346Y-123132049D01* +X219144049Y-123051346D01* +X219207457Y-122956449D01* +X219251134Y-122851005D01* +X219273400Y-122739066D01* +X219273400Y-122624934D01* +X219251134Y-122512995D01* +X219207457Y-122407551D01* +X219144049Y-122312654D01* +X219063346Y-122231951D01* +X218968449Y-122168543D01* +X218863005Y-122124866D01* +X218751066Y-122102600D01* +X218636934Y-122102600D01* +X218524995Y-122124866D01* +X218419551Y-122168543D01* +X218324654Y-122231951D01* +X218243951Y-122312654D01* +X218180543Y-122407551D01* +X218136866Y-122512995D01* +X218114600Y-122624934D01* +X218114600Y-122739066D01* +X218136866Y-122851005D01* +X218180543Y-122956449D01* +X218243951Y-123051346D01* +X218324654Y-123132049D01* +X218338401Y-123141234D01* +X218338400Y-125074705D01* +X218068781Y-125344325D01* +X218052566Y-125341100D01* +X217938434Y-125341100D01* +X217826495Y-125363366D01* +X217721051Y-125407043D01* +X217626154Y-125470451D01* +X217545451Y-125551154D01* +X217482043Y-125646051D01* +X217438366Y-125751495D01* +X217416100Y-125863434D01* +X216396460Y-125863434D01* +X216842720Y-125417175D01* +X216858934Y-125420400D01* +X216973066Y-125420400D01* +X217085005Y-125398134D01* +X217190449Y-125354457D01* +X217285346Y-125291049D01* +X217366049Y-125210346D01* +X217429457Y-125115449D01* +X217473134Y-125010005D01* +X217495400Y-124898066D01* +X217495400Y-124783934D01* +X217473134Y-124671995D01* +X217429457Y-124566551D01* +X217366049Y-124471654D01* +X217285346Y-124390951D01* +X217190449Y-124327543D01* +X217085005Y-124283866D01* +X216973066Y-124261600D01* +X216858934Y-124261600D01* +X216746995Y-124283866D01* +X216641551Y-124327543D01* +X216546654Y-124390951D01* +X216465951Y-124471654D01* +X216402543Y-124566551D01* +X216358866Y-124671995D01* +X216336600Y-124783934D01* +X216336600Y-124898066D01* +X216339825Y-124914280D01* +X213687281Y-127566825D01* +X213671066Y-127563600D01* +X213556934Y-127563600D01* +X213444995Y-127585866D01* +X213339551Y-127629543D01* +X213244654Y-127692951D01* +X213163951Y-127773654D01* +X213100543Y-127868551D01* +X213056866Y-127973995D01* +X213034600Y-128085934D01* +X198728400Y-128085934D01* +X198728400Y-127196934D01* +X212145600Y-127196934D01* +X212145600Y-127311066D01* +X212167866Y-127423005D01* +X212211543Y-127528449D01* +X212274951Y-127623346D01* +X212355654Y-127704049D01* +X212450551Y-127767457D01* +X212555995Y-127811134D01* +X212667934Y-127833400D01* +X212782066Y-127833400D01* +X212894005Y-127811134D01* +X212999449Y-127767457D01* +X213094346Y-127704049D01* +X213175049Y-127623346D01* +X213238457Y-127528449D01* +X213282134Y-127423005D01* +X213304400Y-127311066D01* +X213304400Y-127196934D01* +X213301175Y-127180719D01* +X215953720Y-124528175D01* +X215969934Y-124531400D01* +X216084066Y-124531400D01* +X216196005Y-124509134D01* +X216301449Y-124465457D01* +X216396346Y-124402049D01* +X216477049Y-124321346D01* +X216540457Y-124226449D01* +X216584134Y-124121005D01* +X216606400Y-124009066D01* +X216606400Y-123894934D01* +X216584134Y-123782995D01* +X216540457Y-123677551D01* +X216477049Y-123582654D01* +X216396346Y-123501951D01* +X216301449Y-123438543D01* +X216196005Y-123394866D01* +X216084066Y-123372600D01* +X215969934Y-123372600D01* +X215857995Y-123394866D01* +X215752551Y-123438543D01* +X215657654Y-123501951D01* +X215576951Y-123582654D01* +X215513543Y-123677551D01* +X215469866Y-123782995D01* +X215447600Y-123894934D01* +X215447600Y-124009066D01* +X215450825Y-124025280D01* +X212798281Y-126677825D01* +X212782066Y-126674600D01* +X212667934Y-126674600D01* +X212555995Y-126696866D01* +X212450551Y-126740543D01* +X212355654Y-126803951D01* +X212274951Y-126884654D01* +X212211543Y-126979551D01* +X212167866Y-127084995D01* +X212145600Y-127196934D01* +X198728400Y-127196934D01* +X198728400Y-126307934D01* +X211256600Y-126307934D01* +X211256600Y-126422066D01* +X211278866Y-126534005D01* +X211322543Y-126639449D01* +X211385951Y-126734346D01* +X211466654Y-126815049D01* +X211561551Y-126878457D01* +X211666995Y-126922134D01* +X211778934Y-126944400D01* +X211893066Y-126944400D01* +X212005005Y-126922134D01* +X212110449Y-126878457D01* +X212205346Y-126815049D01* +X212286049Y-126734346D01* +X212349457Y-126639449D01* +X212393134Y-126534005D01* +X212415400Y-126422066D01* +X212415400Y-126307934D01* +X212412175Y-126291719D01* +X215064720Y-123639175D01* +X215080934Y-123642400D01* +X215195066Y-123642400D01* +X215307005Y-123620134D01* +X215412449Y-123576457D01* +X215507346Y-123513049D01* +X215588049Y-123432346D01* +X215651457Y-123337449D01* +X215695134Y-123232005D01* +X215717400Y-123120066D01* +X215717400Y-123005934D01* +X215695134Y-122893995D01* +X215651457Y-122788551D01* +X215588049Y-122693654D01* +X215507346Y-122612951D01* +X215412449Y-122549543D01* +X215307005Y-122505866D01* +X215195066Y-122483600D01* +X215080934Y-122483600D01* +X214968995Y-122505866D01* +X214863551Y-122549543D01* +X214768654Y-122612951D01* +X214687951Y-122693654D01* +X214624543Y-122788551D01* +X214580866Y-122893995D01* +X214558600Y-123005934D01* +X214558600Y-123120066D01* +X214561825Y-123136280D01* +X211909281Y-125788825D01* +X211893066Y-125785600D01* +X211778934Y-125785600D01* +X211666995Y-125807866D01* +X211561551Y-125851543D01* +X211466654Y-125914951D01* +X211385951Y-125995654D01* +X211322543Y-126090551D01* +X211278866Y-126195995D01* +X211256600Y-126307934D01* +X198728400Y-126307934D01* +X198728400Y-125418934D01* +X210367600Y-125418934D01* +X210367600Y-125533066D01* +X210389866Y-125645005D01* +X210433543Y-125750449D01* +X210496951Y-125845346D01* +X210577654Y-125926049D01* +X210672551Y-125989457D01* +X210777995Y-126033134D01* +X210889934Y-126055400D01* +X211004066Y-126055400D01* +X211116005Y-126033134D01* +X211221449Y-125989457D01* +X211316346Y-125926049D01* +X211397049Y-125845346D01* +X211460457Y-125750449D01* +X211504134Y-125645005D01* +X211526400Y-125533066D01* +X211526400Y-125418934D01* +X211523175Y-125402719D01* +X214175720Y-122750175D01* +X214191934Y-122753400D01* +X214306066Y-122753400D01* +X214418005Y-122731134D01* +X214523449Y-122687457D01* +X214618346Y-122624049D01* +X214699049Y-122543346D01* +X214762457Y-122448449D01* +X214806134Y-122343005D01* +X214828400Y-122231066D01* +X214828400Y-122116934D01* +X214806134Y-122004995D01* +X214762457Y-121899551D01* +X214699049Y-121804654D01* +X214618346Y-121723951D01* +X214523449Y-121660543D01* +X214418005Y-121616866D01* +X214306066Y-121594600D01* +X214191934Y-121594600D01* +X214079995Y-121616866D01* +X213974551Y-121660543D01* +X213879654Y-121723951D01* +X213798951Y-121804654D01* +X213735543Y-121899551D01* +X213691866Y-122004995D01* +X213669600Y-122116934D01* +X213669600Y-122231066D01* +X213672825Y-122247280D01* +X211020281Y-124899825D01* +X211004066Y-124896600D01* +X210889934Y-124896600D01* +X210777995Y-124918866D01* +X210672551Y-124962543D01* +X210577654Y-125025951D01* +X210496951Y-125106654D01* +X210433543Y-125201551D01* +X210389866Y-125306995D01* +X210367600Y-125418934D01* +X198728400Y-125418934D01* +X198728400Y-124529934D01* +X209478600Y-124529934D01* +X209478600Y-124644066D01* +X209500866Y-124756005D01* +X209544543Y-124861449D01* +X209607951Y-124956346D01* +X209688654Y-125037049D01* +X209783551Y-125100457D01* +X209888995Y-125144134D01* +X210000934Y-125166400D01* +X210115066Y-125166400D01* +X210227005Y-125144134D01* +X210332449Y-125100457D01* +X210427346Y-125037049D01* +X210508049Y-124956346D01* +X210571457Y-124861449D01* +X210615134Y-124756005D01* +X210637400Y-124644066D01* +X210637400Y-124529934D01* +X210634175Y-124513719D01* +X213286720Y-121861175D01* +X213302934Y-121864400D01* +X213417066Y-121864400D01* +X213529005Y-121842134D01* +X213634449Y-121798457D01* +X213729346Y-121735049D01* +X213810049Y-121654346D01* +X213873457Y-121559449D01* +X213905565Y-121481934D01* +X225353600Y-121481934D01* +X225353600Y-121596066D01* +X225375866Y-121708005D01* +X225419543Y-121813449D01* +X225482951Y-121908346D01* +X225563654Y-121989049D01* +X225658551Y-122052457D01* +X225763995Y-122096134D01* +X225875934Y-122118400D01* +X225990066Y-122118400D01* +X226102005Y-122096134D01* +X226207449Y-122052457D01* +X226302346Y-121989049D01* +X226383049Y-121908346D01* +X226446457Y-121813449D01* +X226490134Y-121708005D01* +X226512400Y-121596066D01* +X226512400Y-121481934D01* +X226509175Y-121465719D01* +X226715294Y-121259600D01* +X227944707Y-121259600D01* +X228717206Y-122032100D01* +X228728337Y-122045663D01* +X228782484Y-122090101D01* +X228844260Y-122123121D01* +X228890956Y-122137286D01* +X228911289Y-122143454D01* +X228917566Y-122144072D01* +X228963537Y-122148600D01* +X228963544Y-122148600D01* +X228981000Y-122150319D01* +X228998455Y-122148600D01* +X230995545Y-122148600D01* +X231013000Y-122150319D01* +X231030455Y-122148600D01* +X231030463Y-122148600D01* +X231082710Y-122143454D01* +X231149740Y-122123121D01* +X231211516Y-122090101D01* +X231265663Y-122045663D01* +X231276798Y-122032095D01* +X231668295Y-121640600D01* +X234551545Y-121640600D01* +X234569000Y-121642319D01* +X234586455Y-121640600D01* +X234586463Y-121640600D01* +X234638710Y-121635454D01* +X234705740Y-121615121D01* +X234767516Y-121582101D01* +X234821663Y-121537663D01* +X234832799Y-121524094D01* +X238491100Y-117865794D01* +X238504663Y-117854663D01* +X238549101Y-117800516D01* +X238582121Y-117738740D01* +X238602454Y-117671710D01* +X238607600Y-117619463D01* +X238607600Y-117619455D01* +X238609319Y-117602000D01* +X238607600Y-117584545D01* +X238607600Y-116664234D01* +X238621346Y-116655049D01* +X238702049Y-116574346D01* +X238765457Y-116479449D01* +X238809134Y-116374005D01* +X238831400Y-116262066D01* +X238831400Y-116147934D01* +X238809134Y-116035995D01* +X238765457Y-115930551D01* +X238702049Y-115835654D01* +X238621346Y-115754951D01* +X238526449Y-115691543D01* +X238421005Y-115647866D01* +X238309066Y-115625600D01* +X238194934Y-115625600D01* +X238082995Y-115647866D01* +X237977551Y-115691543D01* +X237882654Y-115754951D01* +X237801951Y-115835654D01* +X237738543Y-115930551D01* +X237694866Y-116035995D01* +X237672600Y-116147934D01* +X237672600Y-116262066D01* +X237694866Y-116374005D01* +X237738543Y-116479449D01* +X237801951Y-116574346D01* +X237882654Y-116655049D01* +X237896400Y-116664234D01* +X237896401Y-117454705D01* +X234421707Y-120929400D01* +X231538452Y-120929400D01* +X231520999Y-120927681D01* +X231503546Y-120929400D01* +X231503537Y-120929400D01* +X231451290Y-120934546D01* +X231384260Y-120954879D01* +X231322484Y-120987899D01* +X231322482Y-120987900D01* +X231322483Y-120987900D01* +X231281900Y-121021205D01* +X231281895Y-121021210D01* +X231268337Y-121032337D01* +X231257210Y-121045895D01* +X230865707Y-121437400D01* +X229128294Y-121437400D01* +X228355799Y-120664906D01* +X228344663Y-120651337D01* +X228290516Y-120606899D01* +X228228740Y-120573879D01* +X228161710Y-120553546D01* +X228109463Y-120548400D01* +X228109455Y-120548400D01* +X228092000Y-120546681D01* +X228074545Y-120548400D01* +X226585455Y-120548400D01* +X226568000Y-120546681D01* +X226550545Y-120548400D01* +X226550537Y-120548400D01* +X226498290Y-120553546D01* +X226431260Y-120573879D01* +X226392653Y-120594515D01* +X226369484Y-120606899D01* +X226335122Y-120635100D01* +X226315337Y-120651337D01* +X226304206Y-120664900D01* +X226006281Y-120962825D01* +X225990066Y-120959600D01* +X225875934Y-120959600D01* +X225763995Y-120981866D01* +X225658551Y-121025543D01* +X225563654Y-121088951D01* +X225482951Y-121169654D01* +X225419543Y-121264551D01* +X225375866Y-121369995D01* +X225353600Y-121481934D01* +X213905565Y-121481934D01* +X213917134Y-121454005D01* +X213939400Y-121342066D01* +X213939400Y-121227934D01* +X213917134Y-121115995D01* +X213873457Y-121010551D01* +X213810049Y-120915654D01* +X213729346Y-120834951D01* +X213634449Y-120771543D01* +X213529005Y-120727866D01* +X213417066Y-120705600D01* +X213302934Y-120705600D01* +X213190995Y-120727866D01* +X213085551Y-120771543D01* +X212990654Y-120834951D01* +X212909951Y-120915654D01* +X212846543Y-121010551D01* +X212802866Y-121115995D01* +X212780600Y-121227934D01* +X212780600Y-121342066D01* +X212783825Y-121358280D01* +X210131281Y-124010825D01* +X210115066Y-124007600D01* +X210000934Y-124007600D01* +X209888995Y-124029866D01* +X209783551Y-124073543D01* +X209688654Y-124136951D01* +X209607951Y-124217654D01* +X209544543Y-124312551D01* +X209500866Y-124417995D01* +X209478600Y-124529934D01* +X198728400Y-124529934D01* +X198728400Y-123640934D01* +X208589600Y-123640934D01* +X208589600Y-123755066D01* +X208611866Y-123867005D01* +X208655543Y-123972449D01* +X208718951Y-124067346D01* +X208799654Y-124148049D01* +X208894551Y-124211457D01* +X208999995Y-124255134D01* +X209111934Y-124277400D01* +X209226066Y-124277400D01* +X209338005Y-124255134D01* +X209443449Y-124211457D01* +X209538346Y-124148049D01* +X209619049Y-124067346D01* +X209682457Y-123972449D01* +X209726134Y-123867005D01* +X209748400Y-123755066D01* +X209748400Y-123640934D01* +X209745175Y-123624719D01* +X212397720Y-120972175D01* +X212413934Y-120975400D01* +X212528066Y-120975400D01* +X212640005Y-120953134D01* +X212745449Y-120909457D01* +X212840346Y-120846049D01* +X212921049Y-120765346D01* +X212984457Y-120670449D01* +X213028134Y-120565005D01* +X213050400Y-120453066D01* +X213050400Y-120338934D01* +X228401600Y-120338934D01* +X228401600Y-120453066D01* +X228423866Y-120565005D01* +X228467543Y-120670449D01* +X228530951Y-120765346D01* +X228611654Y-120846049D01* +X228706551Y-120909457D01* +X228811995Y-120953134D01* +X228923934Y-120975400D01* +X229038066Y-120975400D01* +X229150005Y-120953134D01* +X229255449Y-120909457D01* +X229350346Y-120846049D01* +X229431049Y-120765346D01* +X229494457Y-120670449D01* +X229538134Y-120565005D01* +X229560400Y-120453066D01* +X229560400Y-120370600D01* +X234551545Y-120370600D01* +X234569000Y-120372319D01* +X234586455Y-120370600D01* +X234586463Y-120370600D01* +X234638710Y-120365454D01* +X234705740Y-120345121D01* +X234767516Y-120312101D01* +X234821663Y-120267663D01* +X234832799Y-120254094D01* +X237032495Y-118054400D01* +X237039066Y-118054400D01* +X237151005Y-118032134D01* +X237256449Y-117988457D01* +X237351346Y-117925049D01* +X237432049Y-117844346D01* +X237495457Y-117749449D01* +X237539134Y-117644005D01* +X237561400Y-117532066D01* +X237561400Y-117417934D01* +X237539134Y-117305995D01* +X237495457Y-117200551D01* +X237432049Y-117105654D01* +X237351346Y-117024951D01* +X237256449Y-116961543D01* +X237151005Y-116917866D01* +X237039066Y-116895600D01* +X236924934Y-116895600D01* +X236812995Y-116917866D01* +X236707551Y-116961543D01* +X236612654Y-117024951D01* +X236531951Y-117105654D01* +X236468543Y-117200551D01* +X236424866Y-117305995D01* +X236402600Y-117417934D01* +X236402600Y-117532066D01* +X236424866Y-117644005D01* +X236428449Y-117652656D01* +X234421707Y-119659400D01* +X229379452Y-119659400D01* +X229361999Y-119657681D01* +X229344546Y-119659400D01* +X229344537Y-119659400D01* +X229292290Y-119664546D01* +X229225260Y-119684879D01* +X229163484Y-119717899D01* +X229163482Y-119717900D01* +X229163483Y-119717900D01* +X229122900Y-119751205D01* +X229122895Y-119751210D01* +X229109337Y-119762337D01* +X229098210Y-119775895D01* +X229054280Y-119819825D01* +X229038066Y-119816600D01* +X228923934Y-119816600D01* +X228811995Y-119838866D01* +X228706551Y-119882543D01* +X228611654Y-119945951D01* +X228530951Y-120026654D01* +X228467543Y-120121551D01* +X228423866Y-120226995D01* +X228401600Y-120338934D01* +X213050400Y-120338934D01* +X213028134Y-120226995D01* +X212984457Y-120121551D01* +X212921049Y-120026654D01* +X212840346Y-119945951D01* +X212745449Y-119882543D01* +X212640005Y-119838866D01* +X212528066Y-119816600D01* +X212413934Y-119816600D01* +X212301995Y-119838866D01* +X212196551Y-119882543D01* +X212101654Y-119945951D01* +X212020951Y-120026654D01* +X211957543Y-120121551D01* +X211913866Y-120226995D01* +X211891600Y-120338934D01* +X211891600Y-120453066D01* +X211894825Y-120469280D01* +X209242281Y-123121825D01* +X209226066Y-123118600D01* +X209111934Y-123118600D01* +X208999995Y-123140866D01* +X208894551Y-123184543D01* +X208799654Y-123247951D01* +X208718951Y-123328654D01* +X208655543Y-123423551D01* +X208611866Y-123528995D01* +X208589600Y-123640934D01* +X198728400Y-123640934D01* +X198728400Y-119322934D01* +X227639600Y-119322934D01* +X227639600Y-119437066D01* +X227661866Y-119549005D01* +X227705543Y-119654449D01* +X227768951Y-119749346D01* +X227849654Y-119830049D01* +X227944551Y-119893457D01* +X228049995Y-119937134D01* +X228161934Y-119959400D01* +X228276066Y-119959400D01* +X228388005Y-119937134D01* +X228493449Y-119893457D01* +X228588346Y-119830049D01* +X228669049Y-119749346D01* +X228732457Y-119654449D01* +X228776134Y-119549005D01* +X228798400Y-119437066D01* +X228798400Y-119322934D01* +X228795175Y-119306719D01* +X230271294Y-117830600D01* +X235252766Y-117830600D01* +X235261951Y-117844346D01* +X235342654Y-117925049D01* +X235437551Y-117988457D01* +X235542995Y-118032134D01* +X235654934Y-118054400D01* +X235769066Y-118054400D01* +X235881005Y-118032134D01* +X235986449Y-117988457D01* +X236081346Y-117925049D01* +X236162049Y-117844346D01* +X236225457Y-117749449D01* +X236269134Y-117644005D01* +X236291400Y-117532066D01* +X236291400Y-117417934D01* +X236269134Y-117305995D01* +X236225457Y-117200551D01* +X236162049Y-117105654D01* +X236081346Y-117024951D01* +X235986449Y-116961543D01* +X235881005Y-116917866D01* +X235769066Y-116895600D01* +X235654934Y-116895600D01* +X235542995Y-116917866D01* +X235437551Y-116961543D01* +X235342654Y-117024951D01* +X235261951Y-117105654D01* +X235252766Y-117119400D01* +X230141455Y-117119400D01* +X230124000Y-117117681D01* +X230106544Y-117119400D01* +X230106537Y-117119400D01* +X230060566Y-117123928D01* +X230054289Y-117124546D01* +X230033956Y-117130714D01* +X229987260Y-117144879D01* +X229925484Y-117177899D01* +X229871337Y-117222337D01* +X229860206Y-117235900D01* +X228292281Y-118803825D01* +X228276066Y-118800600D01* +X228161934Y-118800600D01* +X228049995Y-118822866D01* +X227944551Y-118866543D01* +X227849654Y-118929951D01* +X227768951Y-119010654D01* +X227705543Y-119105551D01* +X227661866Y-119210995D01* +X227639600Y-119322934D01* +X198728400Y-119322934D01* +X198728400Y-118433934D01* +X226750600Y-118433934D01* +X226750600Y-118548066D01* +X226772866Y-118660005D01* +X226816543Y-118765449D01* +X226879951Y-118860346D01* +X226960654Y-118941049D01* +X227055551Y-119004457D01* +X227160995Y-119048134D01* +X227272934Y-119070400D01* +X227387066Y-119070400D01* +X227499005Y-119048134D01* +X227604449Y-119004457D01* +X227699346Y-118941049D01* +X227780049Y-118860346D01* +X227843457Y-118765449D01* +X227887134Y-118660005D01* +X227909400Y-118548066D01* +X227909400Y-118433934D01* +X227906175Y-118417719D01* +X229128294Y-117195600D01* +X229344545Y-117195600D01* +X229362000Y-117197319D01* +X229379455Y-117195600D01* +X229379463Y-117195600D01* +X229431710Y-117190454D01* +X229498740Y-117170121D01* +X229560516Y-117137101D01* +X229614663Y-117092663D01* +X229625799Y-117079094D01* +X230144294Y-116560600D01* +X235252766Y-116560600D01* +X235261951Y-116574346D01* +X235342654Y-116655049D01* +X235437551Y-116718457D01* +X235542995Y-116762134D01* +X235654934Y-116784400D01* +X235769066Y-116784400D01* +X235881005Y-116762134D01* +X235986449Y-116718457D01* +X236081346Y-116655049D01* +X236162049Y-116574346D01* +X236225457Y-116479449D01* +X236269134Y-116374005D01* +X236291400Y-116262066D01* +X236291400Y-116147934D01* +X236269134Y-116035995D01* +X236225457Y-115930551D01* +X236162049Y-115835654D01* +X236081346Y-115754951D01* +X235986449Y-115691543D01* +X235881005Y-115647866D01* +X235769066Y-115625600D01* +X235654934Y-115625600D01* +X235542995Y-115647866D01* +X235437551Y-115691543D01* +X235342654Y-115754951D01* +X235261951Y-115835654D01* +X235252766Y-115849400D01* +X230014455Y-115849400D01* +X229997000Y-115847681D01* +X229979545Y-115849400D01* +X229979537Y-115849400D01* +X229927290Y-115854546D01* +X229860260Y-115874879D01* +X229798484Y-115907899D01* +X229744337Y-115952337D01* +X229733206Y-115965900D01* +X229214707Y-116484400D01* +X228998455Y-116484400D01* +X228981000Y-116482681D01* +X228963545Y-116484400D01* +X228963537Y-116484400D01* +X228911290Y-116489546D01* +X228844260Y-116509879D01* +X228782484Y-116542899D01* +X228728337Y-116587337D01* +X228717206Y-116600900D01* +X227403281Y-117914825D01* +X227387066Y-117911600D01* +X227272934Y-117911600D01* +X227160995Y-117933866D01* +X227055551Y-117977543D01* +X226960654Y-118040951D01* +X226879951Y-118121654D01* +X226816543Y-118216551D01* +X226772866Y-118321995D01* +X226750600Y-118433934D01* +X198728400Y-118433934D01* +X198728400Y-117408085D01* +X206203600Y-117408085D01* +X206203600Y-117541915D01* +X206229709Y-117673174D01* +X206280924Y-117796816D01* +X206355276Y-117908092D01* +X206449908Y-118002724D01* +X206561184Y-118077076D01* +X206684826Y-118128291D01* +X206816085Y-118154400D01* +X206949915Y-118154400D01* +X207045435Y-118135400D01* +X211038565Y-118135400D01* +X211134085Y-118154400D01* +X211267915Y-118154400D01* +X211399174Y-118128291D01* +X211522816Y-118077076D01* +X211634092Y-118002724D01* +X211728724Y-117908092D01* +X211803076Y-117796816D01* +X211854291Y-117673174D01* +X211879799Y-117544934D01* +X225861600Y-117544934D01* +X225861600Y-117659066D01* +X225883866Y-117771005D01* +X225927543Y-117876449D01* +X225990951Y-117971346D01* +X226071654Y-118052049D01* +X226166551Y-118115457D01* +X226271995Y-118159134D01* +X226383934Y-118181400D01* +X226498066Y-118181400D01* +X226610005Y-118159134D01* +X226715449Y-118115457D01* +X226810346Y-118052049D01* +X226891049Y-117971346D01* +X226954457Y-117876449D01* +X226998134Y-117771005D01* +X227020400Y-117659066D01* +X227020400Y-117544934D01* +X227017175Y-117528719D01* +X229255294Y-115290600D01* +X235252766Y-115290600D01* +X235261951Y-115304346D01* +X235342654Y-115385049D01* +X235437551Y-115448457D01* +X235542995Y-115492134D01* +X235654934Y-115514400D01* +X235769066Y-115514400D01* +X235881005Y-115492134D01* +X235986449Y-115448457D01* +X236081346Y-115385049D01* +X236162049Y-115304346D01* +X236225457Y-115209449D01* +X236269134Y-115104005D01* +X236291400Y-114992066D01* +X236291400Y-114877934D01* +X236269134Y-114765995D01* +X236225457Y-114660551D01* +X236162049Y-114565654D01* +X236081346Y-114484951D01* +X235986449Y-114421543D01* +X235881005Y-114377866D01* +X235769066Y-114355600D01* +X235654934Y-114355600D01* +X235542995Y-114377866D01* +X235437551Y-114421543D01* +X235342654Y-114484951D01* +X235261951Y-114565654D01* +X235252766Y-114579400D01* +X229125455Y-114579400D01* +X229108000Y-114577681D01* +X229090545Y-114579400D01* +X229090537Y-114579400D01* +X229038290Y-114584546D01* +X228971260Y-114604879D01* +X228909484Y-114637899D01* +X228855337Y-114682337D01* +X228844206Y-114695900D01* +X226514281Y-117025825D01* +X226498066Y-117022600D01* +X226383934Y-117022600D01* +X226271995Y-117044866D01* +X226166551Y-117088543D01* +X226071654Y-117151951D01* +X225990951Y-117232654D01* +X225927543Y-117327551D01* +X225883866Y-117432995D01* +X225861600Y-117544934D01* +X211879799Y-117544934D01* +X211880400Y-117541915D01* +X211880400Y-117408085D01* +X211854291Y-117276826D01* +X211803076Y-117153184D01* +X211728724Y-117041908D01* +X211634092Y-116947276D01* +X211522816Y-116872924D01* +X211399174Y-116821709D01* +X211267915Y-116795600D01* +X211134085Y-116795600D01* +X211038565Y-116814600D01* +X207045435Y-116814600D01* +X206949915Y-116795600D01* +X206816085Y-116795600D01* +X206684826Y-116821709D01* +X206561184Y-116872924D01* +X206449908Y-116947276D01* +X206355276Y-117041908D01* +X206280924Y-117153184D01* +X206229709Y-117276826D01* +X206203600Y-117408085D01* +X198728400Y-117408085D01* +X198728400Y-116655934D01* +X224972600Y-116655934D01* +X224972600Y-116770066D01* +X224994866Y-116882005D01* +X225038543Y-116987449D01* +X225101951Y-117082346D01* +X225182654Y-117163049D01* +X225277551Y-117226457D01* +X225382995Y-117270134D01* +X225494934Y-117292400D01* +X225609066Y-117292400D01* +X225721005Y-117270134D01* +X225826449Y-117226457D01* +X225921346Y-117163049D01* +X226002049Y-117082346D01* +X226065457Y-116987449D01* +X226109134Y-116882005D01* +X226131400Y-116770066D01* +X226131400Y-116655934D01* +X226128175Y-116639719D01* +X228747294Y-114020600D01* +X235252766Y-114020600D01* +X235261951Y-114034346D01* +X235342654Y-114115049D01* +X235437551Y-114178457D01* +X235542995Y-114222134D01* +X235654934Y-114244400D01* +X235769066Y-114244400D01* +X235881005Y-114222134D01* +X235986449Y-114178457D01* +X236081346Y-114115049D01* +X236162049Y-114034346D01* +X236225457Y-113939449D01* +X236269134Y-113834005D01* +X236291400Y-113722066D01* +X236291400Y-113607934D01* +X236269134Y-113495995D01* +X236225457Y-113390551D01* +X236162049Y-113295654D01* +X236081346Y-113214951D01* +X235986449Y-113151543D01* +X235881005Y-113107866D01* +X235769066Y-113085600D01* +X235654934Y-113085600D01* +X235542995Y-113107866D01* +X235437551Y-113151543D01* +X235342654Y-113214951D01* +X235261951Y-113295654D01* +X235252766Y-113309400D01* +X228617455Y-113309400D01* +X228600000Y-113307681D01* +X228582544Y-113309400D01* +X228582537Y-113309400D01* +X228536566Y-113313928D01* +X228530289Y-113314546D01* +X228509956Y-113320714D01* +X228463260Y-113334879D01* +X228401484Y-113367899D01* +X228347337Y-113412337D01* +X228336206Y-113425900D01* +X225625281Y-116136825D01* +X225609066Y-116133600D01* +X225494934Y-116133600D01* +X225382995Y-116155866D01* +X225277551Y-116199543D01* +X225182654Y-116262951D01* +X225101951Y-116343654D01* +X225038543Y-116438551D01* +X224994866Y-116543995D01* +X224972600Y-116655934D01* +X198728400Y-116655934D01* +X198728400Y-115766934D01* +X223829600Y-115766934D01* +X223829600Y-115881066D01* +X223851866Y-115993005D01* +X223895543Y-116098449D01* +X223958951Y-116193346D01* +X224039654Y-116274049D01* +X224134551Y-116337457D01* +X224239995Y-116381134D01* +X224351934Y-116403400D01* +X224466066Y-116403400D01* +X224578005Y-116381134D01* +X224683449Y-116337457D01* +X224778346Y-116274049D01* +X224859049Y-116193346D01* +X224922457Y-116098449D01* +X224966134Y-115993005D01* +X224988400Y-115881066D01* +X224988400Y-115766934D01* +X224985175Y-115750719D01* +X227985295Y-112750600D01* +X235252766Y-112750600D01* +X235261951Y-112764346D01* +X235342654Y-112845049D01* +X235437551Y-112908457D01* +X235542995Y-112952134D01* +X235654934Y-112974400D01* +X235769066Y-112974400D01* +X235881005Y-112952134D01* +X235986449Y-112908457D01* +X236081346Y-112845049D01* +X236162049Y-112764346D01* +X236225457Y-112669449D01* +X236269134Y-112564005D01* +X236291400Y-112452066D01* +X236291400Y-112337934D01* +X236269134Y-112225995D01* +X236225457Y-112120551D01* +X236162049Y-112025654D01* +X236081346Y-111944951D01* +X235986449Y-111881543D01* +X235881005Y-111837866D01* +X235769066Y-111815600D01* +X235654934Y-111815600D01* +X235542995Y-111837866D01* +X235437551Y-111881543D01* +X235342654Y-111944951D01* +X235261951Y-112025654D01* +X235252766Y-112039400D01* +X227855452Y-112039400D01* +X227837999Y-112037681D01* +X227820546Y-112039400D01* +X227820537Y-112039400D01* +X227768290Y-112044546D01* +X227701260Y-112064879D01* +X227639484Y-112097899D01* +X227639482Y-112097900D01* +X227639483Y-112097900D01* +X227598900Y-112131205D01* +X227598895Y-112131210D01* +X227585337Y-112142337D01* +X227574210Y-112155895D01* +X224482281Y-115247825D01* +X224466066Y-115244600D01* +X224351934Y-115244600D01* +X224239995Y-115266866D01* +X224134551Y-115310543D01* +X224039654Y-115373951D01* +X223958951Y-115454654D01* +X223895543Y-115549551D01* +X223851866Y-115654995D01* +X223829600Y-115766934D01* +X198728400Y-115766934D01* +X198728400Y-111760000D01* +X204185562Y-111760000D01* +X204190600Y-111811152D01* +X204190600Y-111862569D01* +X204200631Y-111912999D01* +X204205669Y-111964150D01* +X204220589Y-112013334D01* +X204230620Y-112063765D01* +X204250297Y-112111270D01* +X204265217Y-112160454D01* +X204289445Y-112205782D01* +X204309123Y-112253288D01* +X204337691Y-112296043D01* +X204361919Y-112341370D01* +X204394524Y-112381099D01* +X204423092Y-112423854D01* +X204459453Y-112460215D01* +X204492057Y-112499943D01* +X204531785Y-112532547D01* +X204568146Y-112568908D01* +X204610901Y-112597476D01* +X204650630Y-112630081D01* +X204695957Y-112654309D01* +X204738712Y-112682877D01* +X204786218Y-112702555D01* +X204831546Y-112726783D01* +X204880730Y-112741703D01* +X204928235Y-112761380D01* +X204978666Y-112771411D01* +X205027850Y-112786331D01* +X205079001Y-112791369D01* +X205129431Y-112801400D01* +X226111152Y-112801400D01* +X226264150Y-112786331D01* +X226460454Y-112726783D01* +X226641370Y-112630081D01* +X226799943Y-112499943D01* +X226930081Y-112341370D01* +X227026783Y-112160454D01* +X227086331Y-111964150D01* +X227106438Y-111760000D01* +X227086331Y-111555850D01* +X227026783Y-111359546D01* +X226930081Y-111178630D01* +X226799943Y-111020057D01* +X226641370Y-110889919D01* +X226460454Y-110793217D01* +X226264150Y-110733669D01* +X226111152Y-110718600D01* +X205129431Y-110718600D01* +X205079001Y-110728631D01* +X205027850Y-110733669D01* +X204978666Y-110748589D01* +X204928235Y-110758620D01* +X204880730Y-110778297D01* +X204831546Y-110793217D01* +X204786218Y-110817445D01* +X204738712Y-110837123D01* +X204695957Y-110865691D01* +X204650630Y-110889919D01* +X204610901Y-110922524D01* +X204568146Y-110951092D01* +X204531785Y-110987453D01* +X204492057Y-111020057D01* +X204459453Y-111059785D01* +X204423092Y-111096146D01* +X204394524Y-111138901D01* +X204361919Y-111178630D01* +X204337691Y-111223957D01* +X204309123Y-111266712D01* +X204289445Y-111314218D01* +X204265217Y-111359546D01* +X204250297Y-111408730D01* +X204230620Y-111456235D01* +X204220589Y-111506666D01* +X204205669Y-111555850D01* +X204200631Y-111607001D01* +X204190600Y-111657431D01* +X204190600Y-111708848D01* +X204185562Y-111760000D01* +X198728400Y-111760000D01* +X198728400Y-89298337D01* +X198771692Y-88856810D01* +X198894882Y-88448783D01* +X199094982Y-88072447D01* +X199364369Y-87742148D01* +X199692780Y-87470463D01* +X200067703Y-87267744D01* +X200474861Y-87141706D01* +X200915436Y-87095400D01* +X274048663Y-87095400D01* +X274490190Y-87138692D01* +X274490190Y-87138692D01* +G37* +X274490190Y-87138692D02* +X274898217Y-87261882D01* +X275274553Y-87461982D01* +X275604852Y-87731369D01* +X275876537Y-88059780D01* +X276079256Y-88434703D01* +X276205294Y-88841861D01* +X276251600Y-89282436D01* +X276251601Y-132003800D01* +X275362606Y-132003800D01* +X275362752Y-131612000D01* +X275357357Y-131557228D01* +X275341381Y-131504561D01* +X275315437Y-131456023D01* +X275280522Y-131413478D01* +X275237977Y-131378563D01* +X275189439Y-131352619D01* +X275136772Y-131336643D01* +X275082000Y-131331248D01* +X274463650Y-131332600D01* +X274393800Y-131402450D01* +X274393800Y-132003800D01* +X274246200Y-132003800D01* +X274246200Y-131402450D01* +X274176350Y-131332600D01* +X273558000Y-131331248D01* +X273503228Y-131336643D01* +X273450561Y-131352619D01* +X273402023Y-131378563D01* +X273359478Y-131413478D01* +X273324563Y-131456023D01* +X273298619Y-131504561D01* +X273282643Y-131557228D01* +X273277248Y-131612000D01* +X273277394Y-132003800D01* +X272822751Y-132003800D01* +X272822751Y-131993000D01* +X272810036Y-131863899D01* +X272772378Y-131739759D01* +X272711226Y-131625351D01* +X272628929Y-131525071D01* +X272528649Y-131442774D01* +X272414241Y-131381622D01* +X272290101Y-131343964D01* +X272161000Y-131331249D01* +X272135600Y-131331249D01* +X272135600Y-118762452D01* +X272137319Y-118744999D01* +X272135600Y-118727546D01* +X272135600Y-118727537D01* +X272130454Y-118675290D01* +X272110121Y-118608260D01* +X272077101Y-118546484D01* +X272073933Y-118542624D01* +X272043795Y-118505900D01* +X272043785Y-118505890D01* +X272032662Y-118492337D01* +X272019110Y-118481215D01* +X267598799Y-114060906D01* +X267587663Y-114047337D01* +X267533516Y-114002899D01* +X267471740Y-113969879D01* +X267404710Y-113949546D01* +X267352463Y-113944400D01* +X267352455Y-113944400D01* +X267335000Y-113942681D01* +X267317545Y-113944400D01* +X263730234Y-113944400D01* +X263721049Y-113930654D01* +X263640346Y-113849951D01* +X263545449Y-113786543D01* +X263440005Y-113742866D01* +X263328066Y-113720600D01* +X263213934Y-113720600D01* +X263101995Y-113742866D01* +X262996551Y-113786543D01* +X262901654Y-113849951D01* +X262820951Y-113930654D01* +X262757543Y-114025551D01* +X262713866Y-114130995D01* +X262691600Y-114242934D01* +X262691600Y-114357066D01* +X262713866Y-114469005D01* +X262757543Y-114574449D01* +X262820951Y-114669346D01* +X262901654Y-114750049D01* +X262996551Y-114813457D01* +X263101995Y-114857134D01* +X263213934Y-114879400D01* +X263328066Y-114879400D01* +X263440005Y-114857134D01* +X263545449Y-114813457D01* +X263640346Y-114750049D01* +X263721049Y-114669346D01* +X263730234Y-114655600D01* +X267187707Y-114655600D01* +X271424400Y-118892295D01* +X271424401Y-130792528D01* +X271424400Y-130792538D01* +X271424400Y-131331249D01* +X271399000Y-131331249D01* +X271269899Y-131343964D01* +X271145759Y-131381622D01* +X271031351Y-131442774D01* +X270931071Y-131525071D01* +X270848774Y-131625351D01* +X270787622Y-131739759D01* +X270749964Y-131863899D01* +X270737249Y-131993000D01* +X270737249Y-132003800D01* +X270282751Y-132003800D01* +X270282751Y-131993000D01* +X270270036Y-131863899D01* +X270232378Y-131739759D01* +X270171226Y-131625351D01* +X270088929Y-131525071D01* +X269988649Y-131442774D01* +X269874241Y-131381622D01* +X269750101Y-131343964D01* +X269621000Y-131331249D01* +X269595600Y-131331249D01* +X269595600Y-130957293D01* +X270368100Y-130184794D01* +X270381663Y-130173663D01* +X270426101Y-130119516D01* +X270459121Y-130057740D01* +X270479454Y-129990710D01* +X270484600Y-129938463D01* +X270484600Y-129938456D01* +X270486319Y-129921000D01* +X270484600Y-129903545D01* +X270484600Y-118508455D01* +X270486319Y-118491000D01* +X270484600Y-118473545D01* +X270484600Y-118473537D01* +X270479454Y-118421290D01* +X270459121Y-118354260D01* +X270426101Y-118292484D01* +X270415039Y-118279005D01* +X270392795Y-118251901D01* +X270392790Y-118251896D01* +X270381662Y-118238337D01* +X270368104Y-118227210D01* +X268741799Y-116600906D01* +X268730663Y-116587337D01* +X268676516Y-116542899D01* +X268614740Y-116509879D01* +X268547710Y-116489546D01* +X268495463Y-116484400D01* +X268495455Y-116484400D01* +X268478000Y-116482681D01* +X268460545Y-116484400D01* +X263730234Y-116484400D01* +X263721049Y-116470654D01* +X263640346Y-116389951D01* +X263545449Y-116326543D01* +X263440005Y-116282866D01* +X263328066Y-116260600D01* +X263213934Y-116260600D01* +X263101995Y-116282866D01* +X262996551Y-116326543D01* +X262901654Y-116389951D01* +X262820951Y-116470654D01* +X262757543Y-116565551D01* +X262713866Y-116670995D01* +X262691600Y-116782934D01* +X262691600Y-116897066D01* +X262713866Y-117009005D01* +X262757543Y-117114449D01* +X262820951Y-117209346D01* +X262901654Y-117290049D01* +X262996551Y-117353457D01* +X263101995Y-117397134D01* +X263213934Y-117419400D01* +X263328066Y-117419400D01* +X263440005Y-117397134D01* +X263545449Y-117353457D01* +X263640346Y-117290049D01* +X263721049Y-117209346D01* +X263730234Y-117195600D01* +X268330707Y-117195600D01* +X269773400Y-118638294D01* +X269773401Y-129773705D01* +X269000901Y-130546205D01* +X268987337Y-130557338D01* +X268942899Y-130611485D01* +X268909879Y-130673261D01* +X268899742Y-130706680D01* +X268889547Y-130740289D01* +X268889546Y-130740291D01* +X268884400Y-130792538D01* +X268884400Y-130792545D01* +X268882681Y-130810000D01* +X268884400Y-130827456D01* +X268884400Y-131331249D01* +X268859000Y-131331249D01* +X268729899Y-131343964D01* +X268605759Y-131381622D01* +X268491351Y-131442774D01* +X268391071Y-131525071D01* +X268308774Y-131625351D01* +X268247622Y-131739759D01* +X268209964Y-131863899D01* +X268197249Y-131993000D01* +X268197249Y-132003800D01* +X267742751Y-132003800D01* +X267742751Y-131993000D01* +X267730036Y-131863899D01* +X267692378Y-131739759D01* +X267631226Y-131625351D01* +X267548929Y-131525071D01* +X267448649Y-131442774D01* +X267334241Y-131381622D01* +X267210101Y-131343964D01* +X267081000Y-131331249D01* +X266319000Y-131331249D01* +X266189899Y-131343964D01* +X266065759Y-131381622D01* +X265951351Y-131442774D01* +X265851071Y-131525071D01* +X265768774Y-131625351D01* +X265707622Y-131739759D01* +X265669964Y-131863899D01* +X265657249Y-131993000D01* +X265657249Y-132003800D01* +X265202751Y-132003800D01* +X265202751Y-131993000D01* +X265190036Y-131863899D01* +X265152378Y-131739759D01* +X265091226Y-131625351D01* +X265008929Y-131525071D01* +X264908649Y-131442774D01* +X264794241Y-131381622D01* +X264670101Y-131343964D01* +X264541000Y-131331249D01* +X264515600Y-131331249D01* +X264515600Y-130957293D01* +X266304100Y-129168794D01* +X266317663Y-129157663D01* +X266362101Y-129103516D01* +X266395121Y-129041740D01* +X266415454Y-128974710D01* +X266420600Y-128922463D01* +X266420600Y-128922456D01* +X266422319Y-128905000D01* +X266420600Y-128887545D01* +X266420600Y-119397452D01* +X266422319Y-119379999D01* +X266420600Y-119362546D01* +X266420600Y-119362537D01* +X266415454Y-119310290D01* +X266395121Y-119243260D01* +X266362101Y-119181484D01* +X266358933Y-119177624D01* +X266328795Y-119140900D01* +X266328785Y-119140890D01* +X266317662Y-119127337D01* +X266304110Y-119116215D01* +X265058799Y-117870906D01* +X265047663Y-117857337D01* +X264993516Y-117812899D01* +X264931740Y-117779879D01* +X264864710Y-117759546D01* +X264812463Y-117754400D01* +X264812455Y-117754400D01* +X264795000Y-117752681D01* +X264777545Y-117754400D01* +X263730234Y-117754400D01* +X263721049Y-117740654D01* +X263640346Y-117659951D01* +X263545449Y-117596543D01* +X263440005Y-117552866D01* +X263328066Y-117530600D01* +X263213934Y-117530600D01* +X263101995Y-117552866D01* +X262996551Y-117596543D01* +X262901654Y-117659951D01* +X262820951Y-117740654D01* +X262757543Y-117835551D01* +X262713866Y-117940995D01* +X262691600Y-118052934D01* +X262691600Y-118167066D01* +X262713866Y-118279005D01* +X262757543Y-118384449D01* +X262820951Y-118479346D01* +X262901654Y-118560049D01* +X262996551Y-118623457D01* +X263101995Y-118667134D01* +X263213934Y-118689400D01* +X263328066Y-118689400D01* +X263440005Y-118667134D01* +X263545449Y-118623457D01* +X263640346Y-118560049D01* +X263721049Y-118479346D01* +X263730234Y-118465600D01* +X264647707Y-118465600D01* +X265709400Y-119527295D01* +X265709401Y-128757705D01* +X263920902Y-130546205D01* +X263907337Y-130557338D01* +X263862899Y-130611485D01* +X263829879Y-130673261D01* +X263819742Y-130706680D01* +X263809547Y-130740289D01* +X263809546Y-130740291D01* +X263804400Y-130792538D01* +X263804400Y-130792545D01* +X263802681Y-130810000D01* +X263804400Y-130827456D01* +X263804400Y-131331249D01* +X263779000Y-131331249D01* +X263649899Y-131343964D01* +X263525759Y-131381622D01* +X263411351Y-131442774D01* +X263311071Y-131525071D01* +X263228774Y-131625351D01* +X263167622Y-131739759D01* +X263129964Y-131863899D01* +X263117249Y-131993000D01* +X263117249Y-132003800D01* +X262662751Y-132003800D01* +X262662751Y-131993000D01* +X262650036Y-131863899D01* +X262612378Y-131739759D01* +X262551226Y-131625351D01* +X262468929Y-131525071D01* +X262368649Y-131442774D01* +X262254241Y-131381622D01* +X262130101Y-131343964D01* +X262001000Y-131331249D01* +X261975600Y-131331249D01* +X261975600Y-130957293D01* +X265034110Y-127898785D01* +X265047662Y-127887663D01* +X265058785Y-127874110D01* +X265058795Y-127874100D01* +X265092100Y-127833517D01* +X265092102Y-127833515D01* +X265125121Y-127771740D01* +X265145454Y-127704710D01* +X265150600Y-127652463D01* +X265150600Y-127652456D01* +X265152319Y-127635001D01* +X265150600Y-127617545D01* +X265150600Y-120032455D01* +X265152319Y-120015000D01* +X265150600Y-119997545D01* +X265150600Y-119997537D01* +X265145454Y-119945290D01* +X265125121Y-119878260D01* +X265092101Y-119816484D01* +X265047663Y-119762337D01* +X265034099Y-119751205D01* +X264423799Y-119140906D01* +X264412663Y-119127337D01* +X264358516Y-119082899D01* +X264296740Y-119049879D01* +X264229710Y-119029546D01* +X264177463Y-119024400D01* +X264177455Y-119024400D01* +X264160000Y-119022681D01* +X264142545Y-119024400D01* +X263730234Y-119024400D01* +X263721049Y-119010654D01* +X263640346Y-118929951D01* +X263545449Y-118866543D01* +X263440005Y-118822866D01* +X263328066Y-118800600D01* +X263213934Y-118800600D01* +X263101995Y-118822866D01* +X262996551Y-118866543D01* +X262901654Y-118929951D01* +X262820951Y-119010654D01* +X262757543Y-119105551D01* +X262713866Y-119210995D01* +X262691600Y-119322934D01* +X262691600Y-119437066D01* +X262713866Y-119549005D01* +X262757543Y-119654449D01* +X262820951Y-119749346D01* +X262901654Y-119830049D01* +X262996551Y-119893457D01* +X263101995Y-119937134D01* +X263213934Y-119959400D01* +X263328066Y-119959400D01* +X263440005Y-119937134D01* +X263545449Y-119893457D01* +X263640346Y-119830049D01* +X263721049Y-119749346D01* +X263730234Y-119735600D01* +X264012707Y-119735600D01* +X264439401Y-120162295D01* +X264439400Y-127487705D01* +X261380901Y-130546206D01* +X261367338Y-130557337D01* +X261322900Y-130611484D01* +X261314331Y-130627515D01* +X261289880Y-130673259D01* +X261269546Y-130740290D01* +X261262681Y-130810000D01* +X261264401Y-130827463D01* +X261264401Y-131331249D01* +X261239000Y-131331249D01* +X261109899Y-131343964D01* +X260985759Y-131381622D01* +X260871351Y-131442774D01* +X260771071Y-131525071D01* +X260688774Y-131625351D01* +X260627622Y-131739759D01* +X260589964Y-131863899D01* +X260577249Y-131993000D01* +X260577249Y-132003800D01* +X260122751Y-132003800D01* +X260122751Y-131993000D01* +X260110036Y-131863899D01* +X260072378Y-131739759D01* +X260011226Y-131625351D01* +X259928929Y-131525071D01* +X259828649Y-131442774D01* +X259714241Y-131381622D01* +X259590101Y-131343964D01* +X259461000Y-131331249D01* +X259435600Y-131331249D01* +X259435600Y-130957293D01* +X263510100Y-126882794D01* +X263523663Y-126871663D01* +X263568101Y-126817516D01* +X263601121Y-126755740D01* +X263621454Y-126688710D01* +X263626600Y-126636463D01* +X263626600Y-126636456D01* +X263628319Y-126619001D01* +X263626600Y-126601545D01* +X263626600Y-122379234D01* +X263640346Y-122370049D01* +X263721049Y-122289346D01* +X263784457Y-122194449D01* +X263828134Y-122089005D01* +X263850400Y-121977066D01* +X263850400Y-121862934D01* +X263828134Y-121750995D01* +X263784457Y-121645551D01* +X263721049Y-121550654D01* +X263640346Y-121469951D01* +X263545449Y-121406543D01* +X263440005Y-121362866D01* +X263328066Y-121340600D01* +X263213934Y-121340600D01* +X263101995Y-121362866D01* +X262996551Y-121406543D01* +X262901654Y-121469951D01* +X262820951Y-121550654D01* +X262757543Y-121645551D01* +X262713866Y-121750995D01* +X262691600Y-121862934D01* +X262691600Y-121977066D01* +X262713866Y-122089005D01* +X262757543Y-122194449D01* +X262820951Y-122289346D01* +X262901654Y-122370049D01* +X262915400Y-122379234D01* +X262915401Y-126471705D01* +X258840901Y-130546206D01* +X258827338Y-130557337D01* +X258782900Y-130611484D01* +X258774331Y-130627515D01* +X258749880Y-130673259D01* +X258729546Y-130740290D01* +X258722681Y-130810000D01* +X258724401Y-130827463D01* +X258724401Y-131331249D01* +X258699000Y-131331249D01* +X258569899Y-131343964D01* +X258445759Y-131381622D01* +X258331351Y-131442774D01* +X258231071Y-131525071D01* +X258148774Y-131625351D01* +X258087622Y-131739759D01* +X258049964Y-131863899D01* +X258037249Y-131993000D01* +X258037249Y-132003800D01* +X257582751Y-132003800D01* +X257582751Y-131993000D01* +X257570036Y-131863899D01* +X257532378Y-131739759D01* +X257471226Y-131625351D01* +X257388929Y-131525071D01* +X257288649Y-131442774D01* +X257174241Y-131381622D01* +X257050101Y-131343964D01* +X256921000Y-131331249D01* +X256895600Y-131331249D01* +X256895600Y-130957293D01* +X257322294Y-130530600D01* +X258427545Y-130530600D01* +X258445000Y-130532319D01* +X258462455Y-130530600D01* +X258462463Y-130530600D01* +X258514710Y-130525454D01* +X258581740Y-130505121D01* +X258643516Y-130472101D01* +X258697663Y-130427663D01* +X258708799Y-130414094D01* +X260589100Y-128533794D01* +X260602663Y-128522663D01* +X260647101Y-128468516D01* +X260680121Y-128406740D01* +X260697980Y-128347866D01* +X260700454Y-128339711D01* +X260701844Y-128325600D01* +X260705600Y-128287463D01* +X260705600Y-128287456D01* +X260707319Y-128270000D01* +X260705600Y-128252545D01* +X260705600Y-127840234D01* +X260719346Y-127831049D01* +X260800049Y-127750346D01* +X260863457Y-127655449D01* +X260907134Y-127550005D01* +X260929400Y-127438066D01* +X260929400Y-127323934D01* +X260907134Y-127211995D01* +X260863457Y-127106551D01* +X260800049Y-127011654D01* +X260719346Y-126930951D01* +X260624449Y-126867543D01* +X260519005Y-126823866D01* +X260407066Y-126801600D01* +X260292934Y-126801600D01* +X260180995Y-126823866D01* +X260075551Y-126867543D01* +X259980654Y-126930951D01* +X259899951Y-127011654D01* +X259836543Y-127106551D01* +X259792866Y-127211995D01* +X259770600Y-127323934D01* +X259770600Y-127438066D01* +X259792866Y-127550005D01* +X259836543Y-127655449D01* +X259899951Y-127750346D01* +X259980654Y-127831049D01* +X259994401Y-127840234D01* +X259994401Y-128122705D01* +X258297707Y-129819400D01* +X257192455Y-129819400D01* +X257175000Y-129817681D01* +X257157545Y-129819400D01* +X257157537Y-129819400D01* +X257105290Y-129824546D01* +X257038260Y-129844879D01* +X256976484Y-129877899D01* +X256922337Y-129922337D01* +X256911206Y-129935900D01* +X256300901Y-130546206D01* +X256287337Y-130557338D01* +X256242899Y-130611485D01* +X256209879Y-130673261D01* +X256199742Y-130706680D01* +X256189547Y-130740289D01* +X256189546Y-130740291D01* +X256184400Y-130792538D01* +X256184400Y-130792545D01* +X256182681Y-130810000D01* +X256184400Y-130827456D01* +X256184400Y-131331249D01* +X256159000Y-131331249D01* +X256029899Y-131343964D01* +X255905759Y-131381622D01* +X255791351Y-131442774D01* +X255691071Y-131525071D01* +X255608774Y-131625351D01* +X255547622Y-131739759D01* +X255509964Y-131863899D01* +X255497249Y-131993000D01* +X255497249Y-132003800D01* +X255042751Y-132003800D01* +X255042751Y-131993000D01* +X255030036Y-131863899D01* +X254992378Y-131739759D01* +X254931226Y-131625351D01* +X254848929Y-131525071D01* +X254748649Y-131442774D01* +X254634241Y-131381622D01* +X254510101Y-131343964D01* +X254381000Y-131331249D01* +X254355600Y-131331249D01* +X254355600Y-130957293D01* +X256052294Y-129260600D01* +X258427545Y-129260600D01* +X258445000Y-129262319D01* +X258462455Y-129260600D01* +X258462463Y-129260600D01* +X258514710Y-129255454D01* +X258581740Y-129235121D01* +X258643516Y-129202101D01* +X258697663Y-129157663D01* +X258708799Y-129144094D01* +X259319100Y-128533794D01* +X259332663Y-128522663D01* +X259377101Y-128468516D01* +X259410121Y-128406740D01* +X259430454Y-128339710D01* +X259435600Y-128287463D01* +X259435600Y-128287455D01* +X259437319Y-128270000D01* +X259435600Y-128252545D01* +X259435600Y-127840234D01* +X259449346Y-127831049D01* +X259530049Y-127750346D01* +X259593457Y-127655449D01* +X259637134Y-127550005D01* +X259659400Y-127438066D01* +X259659400Y-127323934D01* +X259637134Y-127211995D01* +X259593457Y-127106551D01* +X259530049Y-127011654D01* +X259449346Y-126930951D01* +X259354449Y-126867543D01* +X259249005Y-126823866D01* +X259137066Y-126801600D01* +X259022934Y-126801600D01* +X258910995Y-126823866D01* +X258805551Y-126867543D01* +X258710654Y-126930951D01* +X258629951Y-127011654D01* +X258566543Y-127106551D01* +X258522866Y-127211995D01* +X258500600Y-127323934D01* +X258500600Y-127438066D01* +X258522866Y-127550005D01* +X258566543Y-127655449D01* +X258629951Y-127750346D01* +X258710654Y-127831049D01* +X258724401Y-127840234D01* +X258724401Y-128122705D01* +X258297707Y-128549400D01* +X255922455Y-128549400D01* +X255905000Y-128547681D01* +X255887544Y-128549400D01* +X255887537Y-128549400D01* +X255841566Y-128553928D01* +X255835289Y-128554546D01* +X255814956Y-128560714D01* +X255768260Y-128574879D01* +X255706484Y-128607899D01* +X255652337Y-128652337D01* +X255641206Y-128665900D01* +X253760902Y-130546205D01* +X253747337Y-130557338D01* +X253702899Y-130611485D01* +X253669879Y-130673261D01* +X253659742Y-130706680D01* +X253649547Y-130740289D01* +X253649546Y-130740291D01* +X253644400Y-130792538D01* +X253644400Y-130792545D01* +X253642681Y-130810000D01* +X253644400Y-130827456D01* +X253644400Y-131331249D01* +X253619000Y-131331249D01* +X253489899Y-131343964D01* +X253365759Y-131381622D01* +X253251351Y-131442774D01* +X253151071Y-131525071D01* +X253068774Y-131625351D01* +X253007622Y-131739759D01* +X252969964Y-131863899D01* +X252957249Y-131993000D01* +X252957249Y-132003800D01* +X252502751Y-132003800D01* +X252502751Y-131993000D01* +X252490036Y-131863899D01* +X252452378Y-131739759D01* +X252391226Y-131625351D01* +X252308929Y-131525071D01* +X252208649Y-131442774D01* +X252094241Y-131381622D01* +X251970101Y-131343964D01* +X251841000Y-131331249D01* +X251815600Y-131331249D01* +X251815600Y-129687293D01* +X252969110Y-128533785D01* +X252982662Y-128522663D01* +X252993785Y-128509110D01* +X252993795Y-128509100D01* +X253027100Y-128468517D01* +X253027102Y-128468515D01* +X253060121Y-128406740D01* +X253080454Y-128339710D01* +X253085600Y-128287463D01* +X253085600Y-128287454D01* +X253087319Y-128270001D01* +X253085600Y-128252548D01* +X253085600Y-127840234D01* +X253099346Y-127831049D01* +X253180049Y-127750346D01* +X253243457Y-127655449D01* +X253287134Y-127550005D01* +X253309400Y-127438066D01* +X253309400Y-127323934D01* +X253287134Y-127211995D01* +X253243457Y-127106551D01* +X253180049Y-127011654D01* +X253099346Y-126930951D01* +X253004449Y-126867543D01* +X252899005Y-126823866D01* +X252787066Y-126801600D01* +X252672934Y-126801600D01* +X252560995Y-126823866D01* +X252455551Y-126867543D01* +X252360654Y-126930951D01* +X252279951Y-127011654D01* +X252216543Y-127106551D01* +X252172866Y-127211995D01* +X252150600Y-127323934D01* +X252150600Y-127438066D01* +X252172866Y-127550005D01* +X252216543Y-127655449D01* +X252279951Y-127750346D01* +X252360654Y-127831049D01* +X252374400Y-127840234D01* +X252374400Y-128122705D01* +X251220902Y-129276205D01* +X251207337Y-129287338D01* +X251162899Y-129341485D01* +X251129879Y-129403261D01* +X251118400Y-129441103D01* +X251109547Y-129470289D01* +X251109546Y-129470291D01* +X251104400Y-129522538D01* +X251104400Y-129522545D01* +X251102681Y-129540000D01* +X251104400Y-129557455D01* +X251104400Y-131331249D01* +X251079000Y-131331249D01* +X250949899Y-131343964D01* +X250825759Y-131381622D01* +X250711351Y-131442774D01* +X250611071Y-131525071D01* +X250528774Y-131625351D01* +X250467622Y-131739759D01* +X250429964Y-131863899D01* +X250417249Y-131993000D01* +X250417249Y-132003800D01* +X249962751Y-132003800D01* +X249962751Y-131993000D01* +X249950036Y-131863899D01* +X249912378Y-131739759D01* +X249851226Y-131625351D01* +X249768929Y-131525071D01* +X249668649Y-131442774D01* +X249554241Y-131381622D01* +X249430101Y-131343964D01* +X249301000Y-131331249D01* +X249275600Y-131331249D01* +X249275600Y-130957293D01* +X251699105Y-128533790D01* +X251712663Y-128522663D01* +X251723790Y-128509105D01* +X251723795Y-128509100D01* +X251751844Y-128474922D01* +X251757101Y-128468516D01* +X251790121Y-128406740D01* +X251810454Y-128339710D01* +X251815600Y-128287463D01* +X251815600Y-128287454D01* +X251817319Y-128270001D01* +X251815600Y-128252548D01* +X251815600Y-127840234D01* +X251829346Y-127831049D01* +X251910049Y-127750346D01* +X251973457Y-127655449D01* +X252017134Y-127550005D01* +X252039400Y-127438066D01* +X252039400Y-127323934D01* +X252017134Y-127211995D01* +X251973457Y-127106551D01* +X251910049Y-127011654D01* +X251829346Y-126930951D01* +X251734449Y-126867543D01* +X251629005Y-126823866D01* +X251517066Y-126801600D01* +X251402934Y-126801600D01* +X251290995Y-126823866D01* +X251185551Y-126867543D01* +X251090654Y-126930951D01* +X251009951Y-127011654D01* +X250946543Y-127106551D01* +X250902866Y-127211995D01* +X250880600Y-127323934D01* +X250880600Y-127438066D01* +X250902866Y-127550005D01* +X250946543Y-127655449D01* +X251009951Y-127750346D01* +X251090654Y-127831049D01* +X251104401Y-127840234D01* +X251104401Y-128122704D01* +X248680902Y-130546205D01* +X248667337Y-130557338D01* +X248622899Y-130611485D01* +X248589879Y-130673261D01* +X248579742Y-130706680D01* +X248569547Y-130740289D01* +X248569546Y-130740291D01* +X248564400Y-130792538D01* +X248564400Y-130792545D01* +X248562681Y-130810000D01* +X248564400Y-130827456D01* +X248564400Y-131331249D01* +X248539000Y-131331249D01* +X248409899Y-131343964D01* +X248285759Y-131381622D01* +X248171351Y-131442774D01* +X248071071Y-131525071D01* +X247988774Y-131625351D01* +X247927622Y-131739759D01* +X247889964Y-131863899D01* +X247877249Y-131993000D01* +X247877249Y-132003800D01* +X247422751Y-132003800D01* +X247422751Y-131993000D01* +X247410036Y-131863899D01* +X247372378Y-131739759D01* +X247311226Y-131625351D01* +X247228929Y-131525071D01* +X247128649Y-131442774D01* +X247014241Y-131381622D01* +X246890101Y-131343964D01* +X246761000Y-131331249D01* +X246735600Y-131331249D01* +X246735600Y-127840234D01* +X246749346Y-127831049D01* +X246830049Y-127750346D01* +X246893457Y-127655449D01* +X246937134Y-127550005D01* +X246959400Y-127438066D01* +X246959400Y-127323934D01* +X246937134Y-127211995D01* +X246893457Y-127106551D01* +X246830049Y-127011654D01* +X246749346Y-126930951D01* +X246654449Y-126867543D01* +X246549005Y-126823866D01* +X246437066Y-126801600D01* +X246322934Y-126801600D01* +X246210995Y-126823866D01* +X246105551Y-126867543D01* +X246010654Y-126930951D01* +X245929951Y-127011654D01* +X245866543Y-127106551D01* +X245822866Y-127211995D01* +X245800600Y-127323934D01* +X245800600Y-127438066D01* +X245822866Y-127550005D01* +X245866543Y-127655449D01* +X245929951Y-127750346D01* +X246010654Y-127831049D01* +X246024401Y-127840234D01* +X246024401Y-131331249D01* +X245999000Y-131331249D01* +X245869899Y-131343964D01* +X245745759Y-131381622D01* +X245631351Y-131442774D01* +X245531071Y-131525071D01* +X245448774Y-131625351D01* +X245387622Y-131739759D01* +X245349964Y-131863899D01* +X245337249Y-131993000D01* +X245337249Y-132003800D01* +X244882751Y-132003800D01* +X244882751Y-131993000D01* +X244870036Y-131863899D01* +X244832378Y-131739759D01* +X244771226Y-131625351D01* +X244688929Y-131525071D01* +X244588649Y-131442774D01* +X244474241Y-131381622D01* +X244350101Y-131343964D01* +X244221000Y-131331249D01* +X244195600Y-131331249D01* +X244195600Y-127840234D01* +X244209346Y-127831049D01* +X244290049Y-127750346D01* +X244353457Y-127655449D01* +X244397134Y-127550005D01* +X244419400Y-127438066D01* +X244419400Y-127323934D01* +X244397134Y-127211995D01* +X244353457Y-127106551D01* +X244290049Y-127011654D01* +X244209346Y-126930951D01* +X244114449Y-126867543D01* +X244009005Y-126823866D01* +X243897066Y-126801600D01* +X243782934Y-126801600D01* +X243670995Y-126823866D01* +X243565551Y-126867543D01* +X243470654Y-126930951D01* +X243389951Y-127011654D01* +X243326543Y-127106551D01* +X243282866Y-127211995D01* +X243260600Y-127323934D01* +X243260600Y-127438066D01* +X243282866Y-127550005D01* +X243326543Y-127655449D01* +X243389951Y-127750346D01* +X243470654Y-127831049D01* +X243484400Y-127840234D01* +X243484400Y-131331249D01* +X243459000Y-131331249D01* +X243329899Y-131343964D01* +X243205759Y-131381622D01* +X243091351Y-131442774D01* +X242991071Y-131525071D01* +X242908774Y-131625351D01* +X242847622Y-131739759D01* +X242809964Y-131863899D01* +X242797249Y-131993000D01* +X242797249Y-132003800D01* +X242342751Y-132003800D01* +X242342751Y-131993000D01* +X242330036Y-131863899D01* +X242292378Y-131739759D01* +X242231226Y-131625351D01* +X242148929Y-131525071D01* +X242048649Y-131442774D01* +X241934241Y-131381622D01* +X241810101Y-131343964D01* +X241681000Y-131331249D01* +X241655600Y-131331249D01* +X241655600Y-130827452D01* +X241657319Y-130809999D01* +X241655600Y-130792546D01* +X241655600Y-130792537D01* +X241650454Y-130740290D01* +X241630121Y-130673260D01* +X241597101Y-130611484D01* +X241593933Y-130607624D01* +X241563795Y-130570900D01* +X241563785Y-130570890D01* +X241552662Y-130557337D01* +X241539110Y-130546215D01* +X239115600Y-128122707D01* +X239115600Y-127840234D01* +X239129346Y-127831049D01* +X239210049Y-127750346D01* +X239273457Y-127655449D01* +X239317134Y-127550005D01* +X239339400Y-127438066D01* +X239339400Y-127323934D01* +X239317134Y-127211995D01* +X239273457Y-127106551D01* +X239210049Y-127011654D01* +X239129346Y-126930951D01* +X239034449Y-126867543D01* +X238929005Y-126823866D01* +X238817066Y-126801600D01* +X238702934Y-126801600D01* +X238590995Y-126823866D01* +X238485551Y-126867543D01* +X238390654Y-126930951D01* +X238309951Y-127011654D01* +X238246543Y-127106551D01* +X238202866Y-127211995D01* +X238180600Y-127323934D01* +X238180600Y-127438066D01* +X238202866Y-127550005D01* +X238246543Y-127655449D01* +X238309951Y-127750346D01* +X238390654Y-127831049D01* +X238404401Y-127840234D01* +X238404401Y-128252535D01* +X238402681Y-128270000D01* +X238409546Y-128339710D01* +X238429880Y-128406741D01* +X238429881Y-128406742D01* +X238462900Y-128468516D01* +X238507338Y-128522663D01* +X238520901Y-128533794D01* +X240944400Y-130957295D01* +X240944400Y-131331249D01* +X240919000Y-131331249D01* +X240789899Y-131343964D01* +X240665759Y-131381622D01* +X240551351Y-131442774D01* +X240451071Y-131525071D01* +X240368774Y-131625351D01* +X240307622Y-131739759D01* +X240269964Y-131863899D01* +X240257249Y-131993000D01* +X240257249Y-132003800D01* +X239802751Y-132003800D01* +X239802751Y-131993000D01* +X239790036Y-131863899D01* +X239752378Y-131739759D01* +X239691226Y-131625351D01* +X239608929Y-131525071D01* +X239508649Y-131442774D01* +X239394241Y-131381622D01* +X239270101Y-131343964D01* +X239141000Y-131331249D01* +X239115600Y-131331249D01* +X239115600Y-129557452D01* +X239117319Y-129539999D01* +X239115600Y-129522546D01* +X239115600Y-129522537D01* +X239110454Y-129470290D01* +X239090121Y-129403260D01* +X239057101Y-129341484D01* +X239053933Y-129337624D01* +X239023795Y-129300900D01* +X239023785Y-129300890D01* +X239012662Y-129287337D01* +X238999110Y-129276215D01* +X237845600Y-128122707D01* +X237845600Y-127840234D01* +X237859346Y-127831049D01* +X237940049Y-127750346D01* +X238003457Y-127655449D01* +X238047134Y-127550005D01* +X238069400Y-127438066D01* +X238069400Y-127323934D01* +X238047134Y-127211995D01* +X238003457Y-127106551D01* +X237940049Y-127011654D01* +X237859346Y-126930951D01* +X237764449Y-126867543D01* +X237659005Y-126823866D01* +X237547066Y-126801600D01* +X237432934Y-126801600D01* +X237320995Y-126823866D01* +X237215551Y-126867543D01* +X237120654Y-126930951D01* +X237039951Y-127011654D01* +X236976543Y-127106551D01* +X236932866Y-127211995D01* +X236910600Y-127323934D01* +X236910600Y-127438066D01* +X236932866Y-127550005D01* +X236976543Y-127655449D01* +X237039951Y-127750346D01* +X237120654Y-127831049D01* +X237134401Y-127840234D01* +X237134401Y-128252535D01* +X237132681Y-128270000D01* +X237139546Y-128339710D01* +X237159880Y-128406741D01* +X237159881Y-128406742D01* +X237192900Y-128468516D01* +X237237338Y-128522663D01* +X237250901Y-128533794D01* +X238404400Y-129687295D01* +X238404400Y-131331249D01* +X238379000Y-131331249D01* +X238249899Y-131343964D01* +X238125759Y-131381622D01* +X238011351Y-131442774D01* +X237911071Y-131525071D01* +X237828774Y-131625351D01* +X237767622Y-131739759D01* +X237729964Y-131863899D01* +X237717249Y-131993000D01* +X237717249Y-132003800D01* +X237262751Y-132003800D01* +X237262751Y-131993000D01* +X237250036Y-131863899D01* +X237212378Y-131739759D01* +X237151226Y-131625351D01* +X237068929Y-131525071D01* +X236968649Y-131442774D01* +X236854241Y-131381622D01* +X236730101Y-131343964D01* +X236601000Y-131331249D01* +X236575600Y-131331249D01* +X236575600Y-130827455D01* +X236577319Y-130810000D01* +X236575600Y-130792545D01* +X236575600Y-130792537D01* +X236570454Y-130740290D01* +X236550121Y-130673260D01* +X236517101Y-130611484D01* +X236472663Y-130557337D01* +X236459100Y-130546206D01* +X235848799Y-129935906D01* +X235837663Y-129922337D01* +X235783516Y-129877899D01* +X235721740Y-129844879D01* +X235654710Y-129824546D01* +X235602463Y-129819400D01* +X235602455Y-129819400D01* +X235585000Y-129817681D01* +X235567545Y-129819400D01* +X235409234Y-129819400D01* +X235400049Y-129805654D01* +X235319346Y-129724951D01* +X235224449Y-129661543D01* +X235119005Y-129617866D01* +X235007066Y-129595600D01* +X234892934Y-129595600D01* +X234780995Y-129617866D01* +X234675551Y-129661543D01* +X234580654Y-129724951D01* +X234499951Y-129805654D01* +X234436543Y-129900551D01* +X234392866Y-130005995D01* +X234370600Y-130117934D01* +X234370600Y-130232066D01* +X234392866Y-130344005D01* +X234436543Y-130449449D01* +X234499951Y-130544346D01* +X234580654Y-130625049D01* +X234675551Y-130688457D01* +X234780995Y-130732134D01* +X234892934Y-130754400D01* +X235007066Y-130754400D01* +X235119005Y-130732134D01* +X235224449Y-130688457D01* +X235319346Y-130625049D01* +X235400049Y-130544346D01* +X235409234Y-130530600D01* +X235437707Y-130530600D01* +X235864401Y-130957295D01* +X235864401Y-131331249D01* +X235839000Y-131331249D01* +X235709899Y-131343964D01* +X235585759Y-131381622D01* +X235471351Y-131442774D01* +X235371071Y-131525071D01* +X235288774Y-131625351D01* +X235227622Y-131739759D01* +X235189964Y-131863899D01* +X235177249Y-131993000D01* +X235177249Y-132003800D01* +X234722751Y-132003800D01* +X234722751Y-131993000D01* +X234710036Y-131863899D01* +X234672378Y-131739759D01* +X234611226Y-131625351D01* +X234528929Y-131525071D01* +X234428649Y-131442774D01* +X234314241Y-131381622D01* +X234190101Y-131343964D01* +X234061000Y-131331249D01* +X233299000Y-131331249D01* +X233169899Y-131343964D01* +X233045759Y-131381622D01* +X232931351Y-131442774D01* +X232831071Y-131525071D01* +X232748774Y-131625351D01* +X232687622Y-131739759D01* +X232649964Y-131863899D01* +X232637249Y-131993000D01* +X232637249Y-132003800D01* +X232182751Y-132003800D01* +X232182751Y-131993000D01* +X232170036Y-131863899D01* +X232132378Y-131739759D01* +X232071226Y-131625351D01* +X231988929Y-131525071D01* +X231888649Y-131442774D01* +X231774241Y-131381622D01* +X231650101Y-131343964D01* +X231521000Y-131331249D01* +X231495600Y-131331249D01* +X231495600Y-130827455D01* +X231497319Y-130810000D01* +X231495600Y-130792545D01* +X231495600Y-130792537D01* +X231490454Y-130740290D01* +X231470121Y-130673260D01* +X231437101Y-130611484D01* +X231392663Y-130557337D01* +X231379100Y-130546206D01* +X230768799Y-129935906D01* +X230757663Y-129922337D01* +X230703516Y-129877899D01* +X230641740Y-129844879D01* +X230574710Y-129824546D01* +X230522463Y-129819400D01* +X230522455Y-129819400D01* +X230505000Y-129817681D01* +X230487545Y-129819400D01* +X230329234Y-129819400D01* +X230320049Y-129805654D01* +X230239346Y-129724951D01* +X230144449Y-129661543D01* +X230039005Y-129617866D01* +X229927066Y-129595600D01* +X229812934Y-129595600D01* +X229700995Y-129617866D01* +X229595551Y-129661543D01* +X229500654Y-129724951D01* +X229419951Y-129805654D01* +X229356543Y-129900551D01* +X229312866Y-130005995D01* +X229290600Y-130117934D01* +X229290600Y-130232066D01* +X229312866Y-130344005D01* +X229356543Y-130449449D01* +X229419951Y-130544346D01* +X229500654Y-130625049D01* +X229595551Y-130688457D01* +X229700995Y-130732134D01* +X229812934Y-130754400D01* +X229927066Y-130754400D01* +X230039005Y-130732134D01* +X230144449Y-130688457D01* +X230239346Y-130625049D01* +X230320049Y-130544346D01* +X230329234Y-130530600D01* +X230357707Y-130530600D01* +X230784401Y-130957295D01* +X230784401Y-131331249D01* +X230759000Y-131331249D01* +X230629899Y-131343964D01* +X230505759Y-131381622D01* +X230391351Y-131442774D01* +X230291071Y-131525071D01* +X230208774Y-131625351D01* +X230147622Y-131739759D01* +X230109964Y-131863899D01* +X230097249Y-131993000D01* +X230097249Y-132003800D01* +X229642751Y-132003800D01* +X229642751Y-131993000D01* +X229630036Y-131863899D01* +X229592378Y-131739759D01* +X229531226Y-131625351D01* +X229448929Y-131525071D01* +X229348649Y-131442774D01* +X229234241Y-131381622D01* +X229110101Y-131343964D01* +X228981000Y-131331249D01* +X228955600Y-131331249D01* +X228955600Y-130827455D01* +X228957319Y-130810000D01* +X228955600Y-130792545D01* +X228955600Y-130792537D01* +X228950454Y-130740290D01* +X228930121Y-130673260D01* +X228897101Y-130611484D01* +X228852663Y-130557337D01* +X228839100Y-130546206D01* +X228228799Y-129935906D01* +X228217663Y-129922337D01* +X228163516Y-129877899D01* +X228101740Y-129844879D01* +X228034710Y-129824546D01* +X227982463Y-129819400D01* +X227982455Y-129819400D01* +X227965000Y-129817681D01* +X227947545Y-129819400D01* +X227789234Y-129819400D01* +X227780049Y-129805654D01* +X227699346Y-129724951D01* +X227604449Y-129661543D01* +X227499005Y-129617866D01* +X227387066Y-129595600D01* +X227272934Y-129595600D01* +X227160995Y-129617866D01* +X227055551Y-129661543D01* +X226960654Y-129724951D01* +X226879951Y-129805654D01* +X226816543Y-129900551D01* +X226772866Y-130005995D01* +X226750600Y-130117934D01* +X226750600Y-130232066D01* +X226772866Y-130344005D01* +X226816543Y-130449449D01* +X226879951Y-130544346D01* +X226960654Y-130625049D01* +X227055551Y-130688457D01* +X227160995Y-130732134D01* +X227272934Y-130754400D01* +X227387066Y-130754400D01* +X227499005Y-130732134D01* +X227604449Y-130688457D01* +X227699346Y-130625049D01* +X227780049Y-130544346D01* +X227789234Y-130530600D01* +X227817707Y-130530600D01* +X228244401Y-130957295D01* +X228244401Y-131331249D01* +X228219000Y-131331249D01* +X228089899Y-131343964D01* +X227965759Y-131381622D01* +X227851351Y-131442774D01* +X227751071Y-131525071D01* +X227668774Y-131625351D01* +X227607622Y-131739759D01* +X227569964Y-131863899D01* +X227557249Y-131993000D01* +X227557249Y-132003800D01* +X227102751Y-132003800D01* +X227102751Y-131993000D01* +X227090036Y-131863899D01* +X227052378Y-131739759D01* +X226991226Y-131625351D01* +X226908929Y-131525071D01* +X226808649Y-131442774D01* +X226694241Y-131381622D01* +X226570101Y-131343964D01* +X226441000Y-131331249D01* +X226415600Y-131331249D01* +X226415600Y-130827452D01* +X226417319Y-130809999D01* +X226415600Y-130792546D01* +X226415600Y-130792537D01* +X226410454Y-130740290D01* +X226390121Y-130673260D01* +X226357101Y-130611484D01* +X226353933Y-130607624D01* +X226323795Y-130570900D01* +X226323785Y-130570890D01* +X226312662Y-130557337D01* +X226299110Y-130546215D01* +X225145600Y-129392707D01* +X225145600Y-126824234D01* +X225159346Y-126815049D01* +X225240049Y-126734346D01* +X225303457Y-126639449D01* +X225347134Y-126534005D01* +X225369400Y-126422066D01* +X225369400Y-126307934D01* +X225347134Y-126195995D01* +X225303457Y-126090551D01* +X225240049Y-125995654D01* +X225159346Y-125914951D01* +X225064449Y-125851543D01* +X224959005Y-125807866D01* +X224847066Y-125785600D01* +X224732934Y-125785600D01* +X224620995Y-125807866D01* +X224515551Y-125851543D01* +X224420654Y-125914951D01* +X224339951Y-125995654D01* +X224276543Y-126090551D01* +X224232866Y-126195995D01* +X224210600Y-126307934D01* +X224210600Y-126422066D01* +X224232866Y-126534005D01* +X224276543Y-126639449D01* +X224339951Y-126734346D01* +X224420654Y-126815049D01* +X224434400Y-126824234D01* +X224434401Y-129522535D01* +X224432681Y-129540000D01* +X224439546Y-129609710D01* +X224459880Y-129676741D01* +X224476390Y-129707628D01* +X224492900Y-129738516D01* +X224537338Y-129792663D01* +X224550901Y-129803794D01* +X225704400Y-130957295D01* +X225704400Y-131331249D01* +X225679000Y-131331249D01* +X225549899Y-131343964D01* +X225425759Y-131381622D01* +X225311351Y-131442774D01* +X225211071Y-131525071D01* +X225128774Y-131625351D01* +X225067622Y-131739759D01* +X225029964Y-131863899D01* +X225017249Y-131993000D01* +X225017249Y-132003800D01* +X224562751Y-132003800D01* +X224562751Y-131993000D01* +X224550036Y-131863899D01* +X224512378Y-131739759D01* +X224451226Y-131625351D01* +X224368929Y-131525071D01* +X224268649Y-131442774D01* +X224154241Y-131381622D01* +X224030101Y-131343964D01* +X223901000Y-131331249D01* +X223875600Y-131331249D01* +X223875600Y-130827455D01* +X223877319Y-130810000D01* +X223875600Y-130792545D01* +X223875600Y-130792537D01* +X223870454Y-130740290D01* +X223850121Y-130673260D01* +X223817101Y-130611484D01* +X223772663Y-130557337D01* +X223759100Y-130546206D01* +X223148799Y-129935906D01* +X223137663Y-129922337D01* +X223083516Y-129877899D01* +X223021740Y-129844879D01* +X222954710Y-129824546D01* +X222902463Y-129819400D01* +X222902455Y-129819400D01* +X222885000Y-129817681D01* +X222867545Y-129819400D01* +X222709234Y-129819400D01* +X222700049Y-129805654D01* +X222619346Y-129724951D01* +X222524449Y-129661543D01* +X222419005Y-129617866D01* +X222307066Y-129595600D01* +X222192934Y-129595600D01* +X222080995Y-129617866D01* +X221975551Y-129661543D01* +X221880654Y-129724951D01* +X221799951Y-129805654D01* +X221736543Y-129900551D01* +X221692866Y-130005995D01* +X221670600Y-130117934D01* +X221670600Y-130232066D01* +X221692866Y-130344005D01* +X221736543Y-130449449D01* +X221799951Y-130544346D01* +X221880654Y-130625049D01* +X221975551Y-130688457D01* +X222080995Y-130732134D01* +X222192934Y-130754400D01* +X222307066Y-130754400D01* +X222419005Y-130732134D01* +X222524449Y-130688457D01* +X222619346Y-130625049D01* +X222700049Y-130544346D01* +X222709234Y-130530600D01* +X222737707Y-130530600D01* +X223164401Y-130957295D01* +X223164401Y-131331249D01* +X223139000Y-131331249D01* +X223009899Y-131343964D01* +X222885759Y-131381622D01* +X222771351Y-131442774D01* +X222671071Y-131525071D01* +X222588774Y-131625351D01* +X222527622Y-131739759D01* +X222489964Y-131863899D01* +X222477249Y-131993000D01* +X222477249Y-132003800D01* +X222022751Y-132003800D01* +X222022751Y-131993000D01* +X222010036Y-131863899D01* +X221972378Y-131739759D01* +X221911226Y-131625351D01* +X221828929Y-131525071D01* +X221728649Y-131442774D01* +X221614241Y-131381622D01* +X221490101Y-131343964D01* +X221361000Y-131331249D01* +X221335600Y-131331249D01* +X221335600Y-126824234D01* +X221349346Y-126815049D01* +X221430049Y-126734346D01* +X221493457Y-126639449D01* +X221537134Y-126534005D01* +X221559400Y-126422066D01* +X221559400Y-126307934D01* +X221537134Y-126195995D01* +X221493457Y-126090551D01* +X221430049Y-125995654D01* +X221349346Y-125914951D01* +X221254449Y-125851543D01* +X221149005Y-125807866D01* +X221037066Y-125785600D01* +X220922934Y-125785600D01* +X220810995Y-125807866D01* +X220705551Y-125851543D01* +X220610654Y-125914951D01* +X220529951Y-125995654D01* +X220466543Y-126090551D01* +X220422866Y-126195995D01* +X220400600Y-126307934D01* +X220400600Y-126422066D01* +X220422866Y-126534005D01* +X220466543Y-126639449D01* +X220529951Y-126734346D01* +X220610654Y-126815049D01* +X220624400Y-126824234D01* +X220624401Y-131331249D01* +X220599000Y-131331249D01* +X220469899Y-131343964D01* +X220345759Y-131381622D01* +X220231351Y-131442774D01* +X220131071Y-131525071D01* +X220048774Y-131625351D01* +X219987622Y-131739759D01* +X219949964Y-131863899D01* +X219937249Y-131993000D01* +X219937249Y-132003800D01* +X219482751Y-132003800D01* +X219482751Y-131993000D01* +X219470036Y-131863899D01* +X219432378Y-131739759D01* +X219371226Y-131625351D01* +X219288929Y-131525071D01* +X219188649Y-131442774D01* +X219074241Y-131381622D01* +X218950101Y-131343964D01* +X218821000Y-131331249D01* +X218795600Y-131331249D01* +X218795600Y-131081455D01* +X218797319Y-131064000D01* +X218795600Y-131046545D01* +X218795600Y-131046537D01* +X218790454Y-130994290D01* +X218770121Y-130927260D01* +X218737101Y-130865484D01* +X218726039Y-130852005D01* +X218703795Y-130824901D01* +X218703790Y-130824896D01* +X218692662Y-130811337D01* +X218679104Y-130800210D01* +X218068799Y-130189906D01* +X218057663Y-130176337D01* +X218003516Y-130131899D01* +X217941740Y-130098879D01* +X217874710Y-130078546D01* +X217822463Y-130073400D01* +X217822455Y-130073400D01* +X217805000Y-130071681D01* +X217787545Y-130073400D01* +X216171452Y-130073400D01* +X216153999Y-130071681D01* +X216136546Y-130073400D01* +X216136537Y-130073400D01* +X216084290Y-130078546D01* +X216017260Y-130098879D01* +X215994498Y-130111046D01* +X215957066Y-130103600D01* +X215842934Y-130103600D01* +X215730995Y-130125866D01* +X215625551Y-130169543D01* +X215530654Y-130232951D01* +X215449951Y-130313654D01* +X215386543Y-130408551D01* +X215342866Y-130513995D01* +X215320600Y-130625934D01* +X215320600Y-130740066D01* +X215342866Y-130852005D01* +X215386543Y-130957449D01* +X215449951Y-131052346D01* +X215530654Y-131133049D01* +X215625551Y-131196457D01* +X215730995Y-131240134D01* +X215842934Y-131262400D01* +X215957066Y-131262400D01* +X216069005Y-131240134D01* +X216174449Y-131196457D01* +X216269346Y-131133049D01* +X216350049Y-131052346D01* +X216413457Y-130957449D01* +X216457134Y-130852005D01* +X216470542Y-130784600D01* +X217657707Y-130784600D01* +X218084400Y-131211294D01* +X218084400Y-131331249D01* +X218059000Y-131331249D01* +X217929899Y-131343964D01* +X217805759Y-131381622D01* +X217691351Y-131442774D01* +X217591071Y-131525071D01* +X217508774Y-131625351D01* +X217447622Y-131739759D01* +X217409964Y-131863899D01* +X217397249Y-131993000D01* +X217397249Y-132003800D01* +X216942751Y-132003800D01* +X216942751Y-131993000D01* +X216930036Y-131863899D01* +X216892378Y-131739759D01* +X216831226Y-131625351D01* +X216748929Y-131525071D01* +X216648649Y-131442774D01* +X216534241Y-131381622D01* +X216410101Y-131343964D01* +X216281000Y-131331249D01* +X215519000Y-131331249D01* +X215389899Y-131343964D01* +X215265759Y-131381622D01* +X215151351Y-131442774D01* +X215051071Y-131525071D01* +X214968774Y-131625351D01* +X214907622Y-131739759D01* +X214869964Y-131863899D01* +X214857249Y-131993000D01* +X214857249Y-132003800D01* +X214402751Y-132003800D01* +X214402751Y-131993000D01* +X214390036Y-131863899D01* +X214352378Y-131739759D01* +X214291226Y-131625351D01* +X214208929Y-131525071D01* +X214108649Y-131442774D01* +X213994241Y-131381622D01* +X213870101Y-131343964D01* +X213741000Y-131331249D01* +X213715600Y-131331249D01* +X213715600Y-129745234D01* +X213729346Y-129736049D01* +X213810049Y-129655346D01* +X213873457Y-129560449D01* +X213917134Y-129455005D01* +X213939400Y-129343066D01* +X213939400Y-129228934D01* +X213917134Y-129116995D01* +X213873457Y-129011551D01* +X213810049Y-128916654D01* +X213729346Y-128835951D01* +X213634449Y-128772543D01* +X213529005Y-128728866D01* +X213417066Y-128706600D01* +X213302934Y-128706600D01* +X213190995Y-128728866D01* +X213085551Y-128772543D01* +X212990654Y-128835951D01* +X212909951Y-128916654D01* +X212846543Y-129011551D01* +X212802866Y-129116995D01* +X212780600Y-129228934D01* +X212780600Y-129343066D01* +X212802866Y-129455005D01* +X212846543Y-129560449D01* +X212909951Y-129655346D01* +X212990654Y-129736049D01* +X213004400Y-129745234D01* +X213004400Y-131331249D01* +X212979000Y-131331249D01* +X212849899Y-131343964D01* +X212725759Y-131381622D01* +X212611351Y-131442774D01* +X212511071Y-131525071D01* +X212428774Y-131625351D01* +X212367622Y-131739759D01* +X212329964Y-131863899D01* +X212317249Y-131993000D01* +X212317249Y-132003800D01* +X211862751Y-132003800D01* +X211862751Y-131993000D01* +X211850036Y-131863899D01* +X211812378Y-131739759D01* +X211751226Y-131625351D01* +X211668929Y-131525071D01* +X211568649Y-131442774D01* +X211454241Y-131381622D01* +X211330101Y-131343964D01* +X211201000Y-131331249D01* +X210439000Y-131331249D01* +X210309899Y-131343964D01* +X210185759Y-131381622D01* +X210071351Y-131442774D01* +X209971071Y-131525071D01* +X209888774Y-131625351D01* +X209827622Y-131739759D01* +X209789964Y-131863899D01* +X209777249Y-131993000D01* +X209777249Y-132003800D01* +X209322751Y-132003800D01* +X209322751Y-131993000D01* +X209310036Y-131863899D01* +X209272378Y-131739759D01* +X209211226Y-131625351D01* +X209128929Y-131525071D01* +X209028649Y-131442774D01* +X208914241Y-131381622D01* +X208790101Y-131343964D01* +X208661000Y-131331249D01* +X207899000Y-131331249D01* +X207769899Y-131343964D01* +X207645759Y-131381622D01* +X207531351Y-131442774D01* +X207431071Y-131525071D01* +X207348774Y-131625351D01* +X207287622Y-131739759D01* +X207249964Y-131863899D01* +X207237249Y-131993000D01* +X207237249Y-132003800D01* +X206782751Y-132003800D01* +X206782751Y-131993000D01* +X206770036Y-131863899D01* +X206732378Y-131739759D01* +X206671226Y-131625351D01* +X206588929Y-131525071D01* +X206488649Y-131442774D01* +X206374241Y-131381622D01* +X206250101Y-131343964D01* +X206121000Y-131331249D01* +X205359000Y-131331249D01* +X205229899Y-131343964D01* +X205105759Y-131381622D01* +X204991351Y-131442774D01* +X204891071Y-131525071D01* +X204808774Y-131625351D01* +X204747622Y-131739759D01* +X204709964Y-131863899D01* +X204697249Y-131993000D01* +X204697249Y-132003800D01* +X204242751Y-132003800D01* +X204242751Y-131993000D01* +X204230036Y-131863899D01* +X204192378Y-131739759D01* +X204131226Y-131625351D01* +X204048929Y-131525071D01* +X203948649Y-131442774D01* +X203834241Y-131381622D01* +X203710101Y-131343964D01* +X203581000Y-131331249D01* +X203555600Y-131331249D01* +X203555600Y-130957293D01* +X205031719Y-129481175D01* +X205047934Y-129484400D01* +X205162066Y-129484400D01* +X205274005Y-129462134D01* +X205379449Y-129418457D01* +X205474346Y-129355049D01* +X205555049Y-129274346D01* +X205618457Y-129179449D01* +X205662134Y-129074005D01* +X205684400Y-128962066D01* +X205684400Y-128847934D01* +X205662134Y-128735995D01* +X205618457Y-128630551D01* +X205555049Y-128535654D01* +X205474346Y-128454951D01* +X205379449Y-128391543D01* +X205274005Y-128347866D01* +X205162066Y-128325600D01* +X205047934Y-128325600D01* +X204935995Y-128347866D01* +X204830551Y-128391543D01* +X204735654Y-128454951D01* +X204654951Y-128535654D01* +X204591543Y-128630551D01* +X204547866Y-128735995D01* +X204525600Y-128847934D01* +X204525600Y-128962066D01* +X204528825Y-128978281D01* +X202960902Y-130546205D01* +X202947337Y-130557338D01* +X202902899Y-130611485D01* +X202869879Y-130673261D01* +X202859742Y-130706680D01* +X202849547Y-130740289D01* +X202849546Y-130740291D01* +X202844400Y-130792538D01* +X202844400Y-130792545D01* +X202842681Y-130810000D01* +X202844400Y-130827456D01* +X202844400Y-131331249D01* +X202819000Y-131331249D01* +X202689899Y-131343964D01* +X202565759Y-131381622D01* +X202451351Y-131442774D01* +X202351071Y-131525071D01* +X202268774Y-131625351D01* +X202207622Y-131739759D01* +X202169964Y-131863899D01* +X202157249Y-131993000D01* +X202157249Y-132003800D01* +X201702751Y-132003800D01* +X201702751Y-131993000D01* +X201690036Y-131863899D01* +X201652378Y-131739759D01* +X201591226Y-131625351D01* +X201508929Y-131525071D01* +X201408649Y-131442774D01* +X201294241Y-131381622D01* +X201170101Y-131343964D01* +X201041000Y-131331249D01* +X200279000Y-131331249D01* +X200149899Y-131343964D01* +X200025759Y-131381622D01* +X199911351Y-131442774D01* +X199811071Y-131525071D01* +X199728774Y-131625351D01* +X199667622Y-131739759D01* +X199629964Y-131863899D01* +X199617249Y-131993000D01* +X199617249Y-132003800D01* +X198728400Y-132003800D01* +X198728400Y-128085934D01* +X213034600Y-128085934D01* +X213034600Y-128200066D01* +X213056866Y-128312005D01* +X213100543Y-128417449D01* +X213163951Y-128512346D01* +X213244654Y-128593049D01* +X213339551Y-128656457D01* +X213444995Y-128700134D01* +X213556934Y-128722400D01* +X213671066Y-128722400D01* +X213783005Y-128700134D01* +X213888449Y-128656457D01* +X213983346Y-128593049D01* +X214064049Y-128512346D01* +X214127457Y-128417449D01* +X214171134Y-128312005D01* +X214193400Y-128200066D01* +X214193400Y-128085934D01* +X214190175Y-128069719D01* +X216396460Y-125863434D01* +X217416100Y-125863434D01* +X217416100Y-125977566D01* +X217438366Y-126089505D01* +X217482043Y-126194949D01* +X217545451Y-126289846D01* +X217626154Y-126370549D01* +X217721051Y-126433957D01* +X217826495Y-126477634D01* +X217938434Y-126499900D01* +X218052566Y-126499900D01* +X218164505Y-126477634D01* +X218269949Y-126433957D01* +X218364846Y-126370549D01* +X218445549Y-126289846D01* +X218508957Y-126194949D01* +X218552634Y-126089505D01* +X218574900Y-125977566D01* +X218574900Y-125863434D01* +X218571675Y-125847219D01* +X218933110Y-125485785D01* +X218946662Y-125474663D01* +X218957785Y-125461110D01* +X218957795Y-125461100D01* +X218991100Y-125420517D01* +X218991102Y-125420515D01* +X219024121Y-125358740D01* +X219035600Y-125320898D01* +X219044386Y-125291934D01* +X221416600Y-125291934D01* +X221416600Y-125406066D01* +X221438866Y-125518005D01* +X221482543Y-125623449D01* +X221545951Y-125718346D01* +X221626654Y-125799049D01* +X221721551Y-125862457D01* +X221826995Y-125906134D01* +X221938934Y-125928400D01* +X222053066Y-125928400D01* +X222165005Y-125906134D01* +X222270449Y-125862457D01* +X222365346Y-125799049D01* +X222446049Y-125718346D01* +X222509457Y-125623449D01* +X222553134Y-125518005D01* +X222575400Y-125406066D01* +X222575400Y-125291934D01* +X222572175Y-125275719D01* +X223319720Y-124528175D01* +X223335934Y-124531400D01* +X223450066Y-124531400D01* +X223562005Y-124509134D01* +X223667449Y-124465457D01* +X223762346Y-124402049D01* +X223843049Y-124321346D01* +X223906457Y-124226449D01* +X223950134Y-124121005D01* +X223972400Y-124009066D01* +X223972400Y-123894934D01* +X223950134Y-123782995D01* +X223906457Y-123677551D01* +X223843049Y-123582654D01* +X223762346Y-123501951D01* +X223667449Y-123438543D01* +X223562005Y-123394866D01* +X223450066Y-123372600D01* +X223335934Y-123372600D01* +X223223995Y-123394866D01* +X223118551Y-123438543D01* +X223023654Y-123501951D01* +X222942951Y-123582654D01* +X222879543Y-123677551D01* +X222835866Y-123782995D01* +X222813600Y-123894934D01* +X222813600Y-124009066D01* +X222816825Y-124025280D01* +X222069281Y-124772825D01* +X222053066Y-124769600D01* +X221938934Y-124769600D01* +X221826995Y-124791866D01* +X221721551Y-124835543D01* +X221626654Y-124898951D01* +X221545951Y-124979654D01* +X221482543Y-125074551D01* +X221438866Y-125179995D01* +X221416600Y-125291934D01* +X219044386Y-125291934D01* +X219044454Y-125291711D01* +X219045855Y-125277485D01* +X219049600Y-125239463D01* +X219049600Y-125239456D01* +X219051319Y-125222001D01* +X219049600Y-125204546D01* +X219049600Y-123767934D01* +X221289600Y-123767934D01* +X221289600Y-123882066D01* +X221311866Y-123994005D01* +X221355543Y-124099449D01* +X221418951Y-124194346D01* +X221499654Y-124275049D01* +X221594551Y-124338457D01* +X221699995Y-124382134D01* +X221811934Y-124404400D01* +X221926066Y-124404400D01* +X222038005Y-124382134D01* +X222143449Y-124338457D01* +X222238346Y-124275049D01* +X222319049Y-124194346D01* +X222382457Y-124099449D01* +X222426134Y-123994005D01* +X222448400Y-123882066D01* +X222448400Y-123767934D01* +X222426134Y-123655995D01* +X222382457Y-123550551D01* +X222319049Y-123455654D01* +X222238346Y-123374951D01* +X222143449Y-123311543D01* +X222038005Y-123267866D01* +X221926066Y-123245600D01* +X221811934Y-123245600D01* +X221699995Y-123267866D01* +X221594551Y-123311543D01* +X221499654Y-123374951D01* +X221418951Y-123455654D01* +X221355543Y-123550551D01* +X221311866Y-123655995D01* +X221289600Y-123767934D01* +X219049600Y-123767934D01* +X219049600Y-123141234D01* +X219063346Y-123132049D01* +X219144049Y-123051346D01* +X219207457Y-122956449D01* +X219251134Y-122851005D01* +X219273400Y-122739066D01* +X219273400Y-122624934D01* +X219251134Y-122512995D01* +X219207457Y-122407551D01* +X219144049Y-122312654D01* +X219063346Y-122231951D01* +X218968449Y-122168543D01* +X218863005Y-122124866D01* +X218751066Y-122102600D01* +X218636934Y-122102600D01* +X218524995Y-122124866D01* +X218419551Y-122168543D01* +X218324654Y-122231951D01* +X218243951Y-122312654D01* +X218180543Y-122407551D01* +X218136866Y-122512995D01* +X218114600Y-122624934D01* +X218114600Y-122739066D01* +X218136866Y-122851005D01* +X218180543Y-122956449D01* +X218243951Y-123051346D01* +X218324654Y-123132049D01* +X218338401Y-123141234D01* +X218338400Y-125074705D01* +X218068781Y-125344325D01* +X218052566Y-125341100D01* +X217938434Y-125341100D01* +X217826495Y-125363366D01* +X217721051Y-125407043D01* +X217626154Y-125470451D01* +X217545451Y-125551154D01* +X217482043Y-125646051D01* +X217438366Y-125751495D01* +X217416100Y-125863434D01* +X216396460Y-125863434D01* +X216842720Y-125417175D01* +X216858934Y-125420400D01* +X216973066Y-125420400D01* +X217085005Y-125398134D01* +X217190449Y-125354457D01* +X217285346Y-125291049D01* +X217366049Y-125210346D01* +X217429457Y-125115449D01* +X217473134Y-125010005D01* +X217495400Y-124898066D01* +X217495400Y-124783934D01* +X217473134Y-124671995D01* +X217429457Y-124566551D01* +X217366049Y-124471654D01* +X217285346Y-124390951D01* +X217190449Y-124327543D01* +X217085005Y-124283866D01* +X216973066Y-124261600D01* +X216858934Y-124261600D01* +X216746995Y-124283866D01* +X216641551Y-124327543D01* +X216546654Y-124390951D01* +X216465951Y-124471654D01* +X216402543Y-124566551D01* +X216358866Y-124671995D01* +X216336600Y-124783934D01* +X216336600Y-124898066D01* +X216339825Y-124914280D01* +X213687281Y-127566825D01* +X213671066Y-127563600D01* +X213556934Y-127563600D01* +X213444995Y-127585866D01* +X213339551Y-127629543D01* +X213244654Y-127692951D01* +X213163951Y-127773654D01* +X213100543Y-127868551D01* +X213056866Y-127973995D01* +X213034600Y-128085934D01* +X198728400Y-128085934D01* +X198728400Y-127196934D01* +X212145600Y-127196934D01* +X212145600Y-127311066D01* +X212167866Y-127423005D01* +X212211543Y-127528449D01* +X212274951Y-127623346D01* +X212355654Y-127704049D01* +X212450551Y-127767457D01* +X212555995Y-127811134D01* +X212667934Y-127833400D01* +X212782066Y-127833400D01* +X212894005Y-127811134D01* +X212999449Y-127767457D01* +X213094346Y-127704049D01* +X213175049Y-127623346D01* +X213238457Y-127528449D01* +X213282134Y-127423005D01* +X213304400Y-127311066D01* +X213304400Y-127196934D01* +X213301175Y-127180719D01* +X215953720Y-124528175D01* +X215969934Y-124531400D01* +X216084066Y-124531400D01* +X216196005Y-124509134D01* +X216301449Y-124465457D01* +X216396346Y-124402049D01* +X216477049Y-124321346D01* +X216540457Y-124226449D01* +X216584134Y-124121005D01* +X216606400Y-124009066D01* +X216606400Y-123894934D01* +X216584134Y-123782995D01* +X216540457Y-123677551D01* +X216477049Y-123582654D01* +X216396346Y-123501951D01* +X216301449Y-123438543D01* +X216196005Y-123394866D01* +X216084066Y-123372600D01* +X215969934Y-123372600D01* +X215857995Y-123394866D01* +X215752551Y-123438543D01* +X215657654Y-123501951D01* +X215576951Y-123582654D01* +X215513543Y-123677551D01* +X215469866Y-123782995D01* +X215447600Y-123894934D01* +X215447600Y-124009066D01* +X215450825Y-124025280D01* +X212798281Y-126677825D01* +X212782066Y-126674600D01* +X212667934Y-126674600D01* +X212555995Y-126696866D01* +X212450551Y-126740543D01* +X212355654Y-126803951D01* +X212274951Y-126884654D01* +X212211543Y-126979551D01* +X212167866Y-127084995D01* +X212145600Y-127196934D01* +X198728400Y-127196934D01* +X198728400Y-126307934D01* +X211256600Y-126307934D01* +X211256600Y-126422066D01* +X211278866Y-126534005D01* +X211322543Y-126639449D01* +X211385951Y-126734346D01* +X211466654Y-126815049D01* +X211561551Y-126878457D01* +X211666995Y-126922134D01* +X211778934Y-126944400D01* +X211893066Y-126944400D01* +X212005005Y-126922134D01* +X212110449Y-126878457D01* +X212205346Y-126815049D01* +X212286049Y-126734346D01* +X212349457Y-126639449D01* +X212393134Y-126534005D01* +X212415400Y-126422066D01* +X212415400Y-126307934D01* +X212412175Y-126291719D01* +X215064720Y-123639175D01* +X215080934Y-123642400D01* +X215195066Y-123642400D01* +X215307005Y-123620134D01* +X215412449Y-123576457D01* +X215507346Y-123513049D01* +X215588049Y-123432346D01* +X215651457Y-123337449D01* +X215695134Y-123232005D01* +X215717400Y-123120066D01* +X215717400Y-123005934D01* +X215695134Y-122893995D01* +X215651457Y-122788551D01* +X215588049Y-122693654D01* +X215507346Y-122612951D01* +X215412449Y-122549543D01* +X215307005Y-122505866D01* +X215195066Y-122483600D01* +X215080934Y-122483600D01* +X214968995Y-122505866D01* +X214863551Y-122549543D01* +X214768654Y-122612951D01* +X214687951Y-122693654D01* +X214624543Y-122788551D01* +X214580866Y-122893995D01* +X214558600Y-123005934D01* +X214558600Y-123120066D01* +X214561825Y-123136280D01* +X211909281Y-125788825D01* +X211893066Y-125785600D01* +X211778934Y-125785600D01* +X211666995Y-125807866D01* +X211561551Y-125851543D01* +X211466654Y-125914951D01* +X211385951Y-125995654D01* +X211322543Y-126090551D01* +X211278866Y-126195995D01* +X211256600Y-126307934D01* +X198728400Y-126307934D01* +X198728400Y-125418934D01* +X210367600Y-125418934D01* +X210367600Y-125533066D01* +X210389866Y-125645005D01* +X210433543Y-125750449D01* +X210496951Y-125845346D01* +X210577654Y-125926049D01* +X210672551Y-125989457D01* +X210777995Y-126033134D01* +X210889934Y-126055400D01* +X211004066Y-126055400D01* +X211116005Y-126033134D01* +X211221449Y-125989457D01* +X211316346Y-125926049D01* +X211397049Y-125845346D01* +X211460457Y-125750449D01* +X211504134Y-125645005D01* +X211526400Y-125533066D01* +X211526400Y-125418934D01* +X211523175Y-125402719D01* +X214175720Y-122750175D01* +X214191934Y-122753400D01* +X214306066Y-122753400D01* +X214418005Y-122731134D01* +X214523449Y-122687457D01* +X214618346Y-122624049D01* +X214699049Y-122543346D01* +X214762457Y-122448449D01* +X214806134Y-122343005D01* +X214828400Y-122231066D01* +X214828400Y-122116934D01* +X214806134Y-122004995D01* +X214762457Y-121899551D01* +X214699049Y-121804654D01* +X214618346Y-121723951D01* +X214523449Y-121660543D01* +X214418005Y-121616866D01* +X214306066Y-121594600D01* +X214191934Y-121594600D01* +X214079995Y-121616866D01* +X213974551Y-121660543D01* +X213879654Y-121723951D01* +X213798951Y-121804654D01* +X213735543Y-121899551D01* +X213691866Y-122004995D01* +X213669600Y-122116934D01* +X213669600Y-122231066D01* +X213672825Y-122247280D01* +X211020281Y-124899825D01* +X211004066Y-124896600D01* +X210889934Y-124896600D01* +X210777995Y-124918866D01* +X210672551Y-124962543D01* +X210577654Y-125025951D01* +X210496951Y-125106654D01* +X210433543Y-125201551D01* +X210389866Y-125306995D01* +X210367600Y-125418934D01* +X198728400Y-125418934D01* +X198728400Y-124529934D01* +X209478600Y-124529934D01* +X209478600Y-124644066D01* +X209500866Y-124756005D01* +X209544543Y-124861449D01* +X209607951Y-124956346D01* +X209688654Y-125037049D01* +X209783551Y-125100457D01* +X209888995Y-125144134D01* +X210000934Y-125166400D01* +X210115066Y-125166400D01* +X210227005Y-125144134D01* +X210332449Y-125100457D01* +X210427346Y-125037049D01* +X210508049Y-124956346D01* +X210571457Y-124861449D01* +X210615134Y-124756005D01* +X210637400Y-124644066D01* +X210637400Y-124529934D01* +X210634175Y-124513719D01* +X213286720Y-121861175D01* +X213302934Y-121864400D01* +X213417066Y-121864400D01* +X213529005Y-121842134D01* +X213634449Y-121798457D01* +X213729346Y-121735049D01* +X213810049Y-121654346D01* +X213873457Y-121559449D01* +X213905565Y-121481934D01* +X225353600Y-121481934D01* +X225353600Y-121596066D01* +X225375866Y-121708005D01* +X225419543Y-121813449D01* +X225482951Y-121908346D01* +X225563654Y-121989049D01* +X225658551Y-122052457D01* +X225763995Y-122096134D01* +X225875934Y-122118400D01* +X225990066Y-122118400D01* +X226102005Y-122096134D01* +X226207449Y-122052457D01* +X226302346Y-121989049D01* +X226383049Y-121908346D01* +X226446457Y-121813449D01* +X226490134Y-121708005D01* +X226512400Y-121596066D01* +X226512400Y-121481934D01* +X226509175Y-121465719D01* +X226715294Y-121259600D01* +X227944707Y-121259600D01* +X228717206Y-122032100D01* +X228728337Y-122045663D01* +X228782484Y-122090101D01* +X228844260Y-122123121D01* +X228890956Y-122137286D01* +X228911289Y-122143454D01* +X228917566Y-122144072D01* +X228963537Y-122148600D01* +X228963544Y-122148600D01* +X228981000Y-122150319D01* +X228998455Y-122148600D01* +X230995545Y-122148600D01* +X231013000Y-122150319D01* +X231030455Y-122148600D01* +X231030463Y-122148600D01* +X231082710Y-122143454D01* +X231149740Y-122123121D01* +X231211516Y-122090101D01* +X231265663Y-122045663D01* +X231276798Y-122032095D01* +X231668295Y-121640600D01* +X234551545Y-121640600D01* +X234569000Y-121642319D01* +X234586455Y-121640600D01* +X234586463Y-121640600D01* +X234638710Y-121635454D01* +X234705740Y-121615121D01* +X234767516Y-121582101D01* +X234821663Y-121537663D01* +X234832799Y-121524094D01* +X238491100Y-117865794D01* +X238504663Y-117854663D01* +X238549101Y-117800516D01* +X238582121Y-117738740D01* +X238602454Y-117671710D01* +X238607600Y-117619463D01* +X238607600Y-117619455D01* +X238609319Y-117602000D01* +X238607600Y-117584545D01* +X238607600Y-116664234D01* +X238621346Y-116655049D01* +X238702049Y-116574346D01* +X238765457Y-116479449D01* +X238809134Y-116374005D01* +X238831400Y-116262066D01* +X238831400Y-116147934D01* +X238809134Y-116035995D01* +X238765457Y-115930551D01* +X238702049Y-115835654D01* +X238621346Y-115754951D01* +X238526449Y-115691543D01* +X238421005Y-115647866D01* +X238309066Y-115625600D01* +X238194934Y-115625600D01* +X238082995Y-115647866D01* +X237977551Y-115691543D01* +X237882654Y-115754951D01* +X237801951Y-115835654D01* +X237738543Y-115930551D01* +X237694866Y-116035995D01* +X237672600Y-116147934D01* +X237672600Y-116262066D01* +X237694866Y-116374005D01* +X237738543Y-116479449D01* +X237801951Y-116574346D01* +X237882654Y-116655049D01* +X237896400Y-116664234D01* +X237896401Y-117454705D01* +X234421707Y-120929400D01* +X231538452Y-120929400D01* +X231520999Y-120927681D01* +X231503546Y-120929400D01* +X231503537Y-120929400D01* +X231451290Y-120934546D01* +X231384260Y-120954879D01* +X231322484Y-120987899D01* +X231322482Y-120987900D01* +X231322483Y-120987900D01* +X231281900Y-121021205D01* +X231281895Y-121021210D01* +X231268337Y-121032337D01* +X231257210Y-121045895D01* +X230865707Y-121437400D01* +X229128294Y-121437400D01* +X228355799Y-120664906D01* +X228344663Y-120651337D01* +X228290516Y-120606899D01* +X228228740Y-120573879D01* +X228161710Y-120553546D01* +X228109463Y-120548400D01* +X228109455Y-120548400D01* +X228092000Y-120546681D01* +X228074545Y-120548400D01* +X226585455Y-120548400D01* +X226568000Y-120546681D01* +X226550545Y-120548400D01* +X226550537Y-120548400D01* +X226498290Y-120553546D01* +X226431260Y-120573879D01* +X226392653Y-120594515D01* +X226369484Y-120606899D01* +X226335122Y-120635100D01* +X226315337Y-120651337D01* +X226304206Y-120664900D01* +X226006281Y-120962825D01* +X225990066Y-120959600D01* +X225875934Y-120959600D01* +X225763995Y-120981866D01* +X225658551Y-121025543D01* +X225563654Y-121088951D01* +X225482951Y-121169654D01* +X225419543Y-121264551D01* +X225375866Y-121369995D01* +X225353600Y-121481934D01* +X213905565Y-121481934D01* +X213917134Y-121454005D01* +X213939400Y-121342066D01* +X213939400Y-121227934D01* +X213917134Y-121115995D01* +X213873457Y-121010551D01* +X213810049Y-120915654D01* +X213729346Y-120834951D01* +X213634449Y-120771543D01* +X213529005Y-120727866D01* +X213417066Y-120705600D01* +X213302934Y-120705600D01* +X213190995Y-120727866D01* +X213085551Y-120771543D01* +X212990654Y-120834951D01* +X212909951Y-120915654D01* +X212846543Y-121010551D01* +X212802866Y-121115995D01* +X212780600Y-121227934D01* +X212780600Y-121342066D01* +X212783825Y-121358280D01* +X210131281Y-124010825D01* +X210115066Y-124007600D01* +X210000934Y-124007600D01* +X209888995Y-124029866D01* +X209783551Y-124073543D01* +X209688654Y-124136951D01* +X209607951Y-124217654D01* +X209544543Y-124312551D01* +X209500866Y-124417995D01* +X209478600Y-124529934D01* +X198728400Y-124529934D01* +X198728400Y-123640934D01* +X208589600Y-123640934D01* +X208589600Y-123755066D01* +X208611866Y-123867005D01* +X208655543Y-123972449D01* +X208718951Y-124067346D01* +X208799654Y-124148049D01* +X208894551Y-124211457D01* +X208999995Y-124255134D01* +X209111934Y-124277400D01* +X209226066Y-124277400D01* +X209338005Y-124255134D01* +X209443449Y-124211457D01* +X209538346Y-124148049D01* +X209619049Y-124067346D01* +X209682457Y-123972449D01* +X209726134Y-123867005D01* +X209748400Y-123755066D01* +X209748400Y-123640934D01* +X209745175Y-123624719D01* +X212397720Y-120972175D01* +X212413934Y-120975400D01* +X212528066Y-120975400D01* +X212640005Y-120953134D01* +X212745449Y-120909457D01* +X212840346Y-120846049D01* +X212921049Y-120765346D01* +X212984457Y-120670449D01* +X213028134Y-120565005D01* +X213050400Y-120453066D01* +X213050400Y-120338934D01* +X228401600Y-120338934D01* +X228401600Y-120453066D01* +X228423866Y-120565005D01* +X228467543Y-120670449D01* +X228530951Y-120765346D01* +X228611654Y-120846049D01* +X228706551Y-120909457D01* +X228811995Y-120953134D01* +X228923934Y-120975400D01* +X229038066Y-120975400D01* +X229150005Y-120953134D01* +X229255449Y-120909457D01* +X229350346Y-120846049D01* +X229431049Y-120765346D01* +X229494457Y-120670449D01* +X229538134Y-120565005D01* +X229560400Y-120453066D01* +X229560400Y-120370600D01* +X234551545Y-120370600D01* +X234569000Y-120372319D01* +X234586455Y-120370600D01* +X234586463Y-120370600D01* +X234638710Y-120365454D01* +X234705740Y-120345121D01* +X234767516Y-120312101D01* +X234821663Y-120267663D01* +X234832799Y-120254094D01* +X237032495Y-118054400D01* +X237039066Y-118054400D01* +X237151005Y-118032134D01* +X237256449Y-117988457D01* +X237351346Y-117925049D01* +X237432049Y-117844346D01* +X237495457Y-117749449D01* +X237539134Y-117644005D01* +X237561400Y-117532066D01* +X237561400Y-117417934D01* +X237539134Y-117305995D01* +X237495457Y-117200551D01* +X237432049Y-117105654D01* +X237351346Y-117024951D01* +X237256449Y-116961543D01* +X237151005Y-116917866D01* +X237039066Y-116895600D01* +X236924934Y-116895600D01* +X236812995Y-116917866D01* +X236707551Y-116961543D01* +X236612654Y-117024951D01* +X236531951Y-117105654D01* +X236468543Y-117200551D01* +X236424866Y-117305995D01* +X236402600Y-117417934D01* +X236402600Y-117532066D01* +X236424866Y-117644005D01* +X236428449Y-117652656D01* +X234421707Y-119659400D01* +X229379452Y-119659400D01* +X229361999Y-119657681D01* +X229344546Y-119659400D01* +X229344537Y-119659400D01* +X229292290Y-119664546D01* +X229225260Y-119684879D01* +X229163484Y-119717899D01* +X229163482Y-119717900D01* +X229163483Y-119717900D01* +X229122900Y-119751205D01* +X229122895Y-119751210D01* +X229109337Y-119762337D01* +X229098210Y-119775895D01* +X229054280Y-119819825D01* +X229038066Y-119816600D01* +X228923934Y-119816600D01* +X228811995Y-119838866D01* +X228706551Y-119882543D01* +X228611654Y-119945951D01* +X228530951Y-120026654D01* +X228467543Y-120121551D01* +X228423866Y-120226995D01* +X228401600Y-120338934D01* +X213050400Y-120338934D01* +X213028134Y-120226995D01* +X212984457Y-120121551D01* +X212921049Y-120026654D01* +X212840346Y-119945951D01* +X212745449Y-119882543D01* +X212640005Y-119838866D01* +X212528066Y-119816600D01* +X212413934Y-119816600D01* +X212301995Y-119838866D01* +X212196551Y-119882543D01* +X212101654Y-119945951D01* +X212020951Y-120026654D01* +X211957543Y-120121551D01* +X211913866Y-120226995D01* +X211891600Y-120338934D01* +X211891600Y-120453066D01* +X211894825Y-120469280D01* +X209242281Y-123121825D01* +X209226066Y-123118600D01* +X209111934Y-123118600D01* +X208999995Y-123140866D01* +X208894551Y-123184543D01* +X208799654Y-123247951D01* +X208718951Y-123328654D01* +X208655543Y-123423551D01* +X208611866Y-123528995D01* +X208589600Y-123640934D01* +X198728400Y-123640934D01* +X198728400Y-119322934D01* +X227639600Y-119322934D01* +X227639600Y-119437066D01* +X227661866Y-119549005D01* +X227705543Y-119654449D01* +X227768951Y-119749346D01* +X227849654Y-119830049D01* +X227944551Y-119893457D01* +X228049995Y-119937134D01* +X228161934Y-119959400D01* +X228276066Y-119959400D01* +X228388005Y-119937134D01* +X228493449Y-119893457D01* +X228588346Y-119830049D01* +X228669049Y-119749346D01* +X228732457Y-119654449D01* +X228776134Y-119549005D01* +X228798400Y-119437066D01* +X228798400Y-119322934D01* +X228795175Y-119306719D01* +X230271294Y-117830600D01* +X235252766Y-117830600D01* +X235261951Y-117844346D01* +X235342654Y-117925049D01* +X235437551Y-117988457D01* +X235542995Y-118032134D01* +X235654934Y-118054400D01* +X235769066Y-118054400D01* +X235881005Y-118032134D01* +X235986449Y-117988457D01* +X236081346Y-117925049D01* +X236162049Y-117844346D01* +X236225457Y-117749449D01* +X236269134Y-117644005D01* +X236291400Y-117532066D01* +X236291400Y-117417934D01* +X236269134Y-117305995D01* +X236225457Y-117200551D01* +X236162049Y-117105654D01* +X236081346Y-117024951D01* +X235986449Y-116961543D01* +X235881005Y-116917866D01* +X235769066Y-116895600D01* +X235654934Y-116895600D01* +X235542995Y-116917866D01* +X235437551Y-116961543D01* +X235342654Y-117024951D01* +X235261951Y-117105654D01* +X235252766Y-117119400D01* +X230141455Y-117119400D01* +X230124000Y-117117681D01* +X230106544Y-117119400D01* +X230106537Y-117119400D01* +X230060566Y-117123928D01* +X230054289Y-117124546D01* +X230033956Y-117130714D01* +X229987260Y-117144879D01* +X229925484Y-117177899D01* +X229871337Y-117222337D01* +X229860206Y-117235900D01* +X228292281Y-118803825D01* +X228276066Y-118800600D01* +X228161934Y-118800600D01* +X228049995Y-118822866D01* +X227944551Y-118866543D01* +X227849654Y-118929951D01* +X227768951Y-119010654D01* +X227705543Y-119105551D01* +X227661866Y-119210995D01* +X227639600Y-119322934D01* +X198728400Y-119322934D01* +X198728400Y-118433934D01* +X226750600Y-118433934D01* +X226750600Y-118548066D01* +X226772866Y-118660005D01* +X226816543Y-118765449D01* +X226879951Y-118860346D01* +X226960654Y-118941049D01* +X227055551Y-119004457D01* +X227160995Y-119048134D01* +X227272934Y-119070400D01* +X227387066Y-119070400D01* +X227499005Y-119048134D01* +X227604449Y-119004457D01* +X227699346Y-118941049D01* +X227780049Y-118860346D01* +X227843457Y-118765449D01* +X227887134Y-118660005D01* +X227909400Y-118548066D01* +X227909400Y-118433934D01* +X227906175Y-118417719D01* +X229128294Y-117195600D01* +X229344545Y-117195600D01* +X229362000Y-117197319D01* +X229379455Y-117195600D01* +X229379463Y-117195600D01* +X229431710Y-117190454D01* +X229498740Y-117170121D01* +X229560516Y-117137101D01* +X229614663Y-117092663D01* +X229625799Y-117079094D01* +X230144294Y-116560600D01* +X235252766Y-116560600D01* +X235261951Y-116574346D01* +X235342654Y-116655049D01* +X235437551Y-116718457D01* +X235542995Y-116762134D01* +X235654934Y-116784400D01* +X235769066Y-116784400D01* +X235881005Y-116762134D01* +X235986449Y-116718457D01* +X236081346Y-116655049D01* +X236162049Y-116574346D01* +X236225457Y-116479449D01* +X236269134Y-116374005D01* +X236291400Y-116262066D01* +X236291400Y-116147934D01* +X236269134Y-116035995D01* +X236225457Y-115930551D01* +X236162049Y-115835654D01* +X236081346Y-115754951D01* +X235986449Y-115691543D01* +X235881005Y-115647866D01* +X235769066Y-115625600D01* +X235654934Y-115625600D01* +X235542995Y-115647866D01* +X235437551Y-115691543D01* +X235342654Y-115754951D01* +X235261951Y-115835654D01* +X235252766Y-115849400D01* +X230014455Y-115849400D01* +X229997000Y-115847681D01* +X229979545Y-115849400D01* +X229979537Y-115849400D01* +X229927290Y-115854546D01* +X229860260Y-115874879D01* +X229798484Y-115907899D01* +X229744337Y-115952337D01* +X229733206Y-115965900D01* +X229214707Y-116484400D01* +X228998455Y-116484400D01* +X228981000Y-116482681D01* +X228963545Y-116484400D01* +X228963537Y-116484400D01* +X228911290Y-116489546D01* +X228844260Y-116509879D01* +X228782484Y-116542899D01* +X228728337Y-116587337D01* +X228717206Y-116600900D01* +X227403281Y-117914825D01* +X227387066Y-117911600D01* +X227272934Y-117911600D01* +X227160995Y-117933866D01* +X227055551Y-117977543D01* +X226960654Y-118040951D01* +X226879951Y-118121654D01* +X226816543Y-118216551D01* +X226772866Y-118321995D01* +X226750600Y-118433934D01* +X198728400Y-118433934D01* +X198728400Y-117408085D01* +X206203600Y-117408085D01* +X206203600Y-117541915D01* +X206229709Y-117673174D01* +X206280924Y-117796816D01* +X206355276Y-117908092D01* +X206449908Y-118002724D01* +X206561184Y-118077076D01* +X206684826Y-118128291D01* +X206816085Y-118154400D01* +X206949915Y-118154400D01* +X207045435Y-118135400D01* +X211038565Y-118135400D01* +X211134085Y-118154400D01* +X211267915Y-118154400D01* +X211399174Y-118128291D01* +X211522816Y-118077076D01* +X211634092Y-118002724D01* +X211728724Y-117908092D01* +X211803076Y-117796816D01* +X211854291Y-117673174D01* +X211879799Y-117544934D01* +X225861600Y-117544934D01* +X225861600Y-117659066D01* +X225883866Y-117771005D01* +X225927543Y-117876449D01* +X225990951Y-117971346D01* +X226071654Y-118052049D01* +X226166551Y-118115457D01* +X226271995Y-118159134D01* +X226383934Y-118181400D01* +X226498066Y-118181400D01* +X226610005Y-118159134D01* +X226715449Y-118115457D01* +X226810346Y-118052049D01* +X226891049Y-117971346D01* +X226954457Y-117876449D01* +X226998134Y-117771005D01* +X227020400Y-117659066D01* +X227020400Y-117544934D01* +X227017175Y-117528719D01* +X229255294Y-115290600D01* +X235252766Y-115290600D01* +X235261951Y-115304346D01* +X235342654Y-115385049D01* +X235437551Y-115448457D01* +X235542995Y-115492134D01* +X235654934Y-115514400D01* +X235769066Y-115514400D01* +X235881005Y-115492134D01* +X235986449Y-115448457D01* +X236081346Y-115385049D01* +X236162049Y-115304346D01* +X236225457Y-115209449D01* +X236269134Y-115104005D01* +X236291400Y-114992066D01* +X236291400Y-114877934D01* +X236269134Y-114765995D01* +X236225457Y-114660551D01* +X236162049Y-114565654D01* +X236081346Y-114484951D01* +X235986449Y-114421543D01* +X235881005Y-114377866D01* +X235769066Y-114355600D01* +X235654934Y-114355600D01* +X235542995Y-114377866D01* +X235437551Y-114421543D01* +X235342654Y-114484951D01* +X235261951Y-114565654D01* +X235252766Y-114579400D01* +X229125455Y-114579400D01* +X229108000Y-114577681D01* +X229090545Y-114579400D01* +X229090537Y-114579400D01* +X229038290Y-114584546D01* +X228971260Y-114604879D01* +X228909484Y-114637899D01* +X228855337Y-114682337D01* +X228844206Y-114695900D01* +X226514281Y-117025825D01* +X226498066Y-117022600D01* +X226383934Y-117022600D01* +X226271995Y-117044866D01* +X226166551Y-117088543D01* +X226071654Y-117151951D01* +X225990951Y-117232654D01* +X225927543Y-117327551D01* +X225883866Y-117432995D01* +X225861600Y-117544934D01* +X211879799Y-117544934D01* +X211880400Y-117541915D01* +X211880400Y-117408085D01* +X211854291Y-117276826D01* +X211803076Y-117153184D01* +X211728724Y-117041908D01* +X211634092Y-116947276D01* +X211522816Y-116872924D01* +X211399174Y-116821709D01* +X211267915Y-116795600D01* +X211134085Y-116795600D01* +X211038565Y-116814600D01* +X207045435Y-116814600D01* +X206949915Y-116795600D01* +X206816085Y-116795600D01* +X206684826Y-116821709D01* +X206561184Y-116872924D01* +X206449908Y-116947276D01* +X206355276Y-117041908D01* +X206280924Y-117153184D01* +X206229709Y-117276826D01* +X206203600Y-117408085D01* +X198728400Y-117408085D01* +X198728400Y-116655934D01* +X224972600Y-116655934D01* +X224972600Y-116770066D01* +X224994866Y-116882005D01* +X225038543Y-116987449D01* +X225101951Y-117082346D01* +X225182654Y-117163049D01* +X225277551Y-117226457D01* +X225382995Y-117270134D01* +X225494934Y-117292400D01* +X225609066Y-117292400D01* +X225721005Y-117270134D01* +X225826449Y-117226457D01* +X225921346Y-117163049D01* +X226002049Y-117082346D01* +X226065457Y-116987449D01* +X226109134Y-116882005D01* +X226131400Y-116770066D01* +X226131400Y-116655934D01* +X226128175Y-116639719D01* +X228747294Y-114020600D01* +X235252766Y-114020600D01* +X235261951Y-114034346D01* +X235342654Y-114115049D01* +X235437551Y-114178457D01* +X235542995Y-114222134D01* +X235654934Y-114244400D01* +X235769066Y-114244400D01* +X235881005Y-114222134D01* +X235986449Y-114178457D01* +X236081346Y-114115049D01* +X236162049Y-114034346D01* +X236225457Y-113939449D01* +X236269134Y-113834005D01* +X236291400Y-113722066D01* +X236291400Y-113607934D01* +X236269134Y-113495995D01* +X236225457Y-113390551D01* +X236162049Y-113295654D01* +X236081346Y-113214951D01* +X235986449Y-113151543D01* +X235881005Y-113107866D01* +X235769066Y-113085600D01* +X235654934Y-113085600D01* +X235542995Y-113107866D01* +X235437551Y-113151543D01* +X235342654Y-113214951D01* +X235261951Y-113295654D01* +X235252766Y-113309400D01* +X228617455Y-113309400D01* +X228600000Y-113307681D01* +X228582544Y-113309400D01* +X228582537Y-113309400D01* +X228536566Y-113313928D01* +X228530289Y-113314546D01* +X228509956Y-113320714D01* +X228463260Y-113334879D01* +X228401484Y-113367899D01* +X228347337Y-113412337D01* +X228336206Y-113425900D01* +X225625281Y-116136825D01* +X225609066Y-116133600D01* +X225494934Y-116133600D01* +X225382995Y-116155866D01* +X225277551Y-116199543D01* +X225182654Y-116262951D01* +X225101951Y-116343654D01* +X225038543Y-116438551D01* +X224994866Y-116543995D01* +X224972600Y-116655934D01* +X198728400Y-116655934D01* +X198728400Y-115766934D01* +X223829600Y-115766934D01* +X223829600Y-115881066D01* +X223851866Y-115993005D01* +X223895543Y-116098449D01* +X223958951Y-116193346D01* +X224039654Y-116274049D01* +X224134551Y-116337457D01* +X224239995Y-116381134D01* +X224351934Y-116403400D01* +X224466066Y-116403400D01* +X224578005Y-116381134D01* +X224683449Y-116337457D01* +X224778346Y-116274049D01* +X224859049Y-116193346D01* +X224922457Y-116098449D01* +X224966134Y-115993005D01* +X224988400Y-115881066D01* +X224988400Y-115766934D01* +X224985175Y-115750719D01* +X227985295Y-112750600D01* +X235252766Y-112750600D01* +X235261951Y-112764346D01* +X235342654Y-112845049D01* +X235437551Y-112908457D01* +X235542995Y-112952134D01* +X235654934Y-112974400D01* +X235769066Y-112974400D01* +X235881005Y-112952134D01* +X235986449Y-112908457D01* +X236081346Y-112845049D01* +X236162049Y-112764346D01* +X236225457Y-112669449D01* +X236269134Y-112564005D01* +X236291400Y-112452066D01* +X236291400Y-112337934D01* +X236269134Y-112225995D01* +X236225457Y-112120551D01* +X236162049Y-112025654D01* +X236081346Y-111944951D01* +X235986449Y-111881543D01* +X235881005Y-111837866D01* +X235769066Y-111815600D01* +X235654934Y-111815600D01* +X235542995Y-111837866D01* +X235437551Y-111881543D01* +X235342654Y-111944951D01* +X235261951Y-112025654D01* +X235252766Y-112039400D01* +X227855452Y-112039400D01* +X227837999Y-112037681D01* +X227820546Y-112039400D01* +X227820537Y-112039400D01* +X227768290Y-112044546D01* +X227701260Y-112064879D01* +X227639484Y-112097899D01* +X227639482Y-112097900D01* +X227639483Y-112097900D01* +X227598900Y-112131205D01* +X227598895Y-112131210D01* +X227585337Y-112142337D01* +X227574210Y-112155895D01* +X224482281Y-115247825D01* +X224466066Y-115244600D01* +X224351934Y-115244600D01* +X224239995Y-115266866D01* +X224134551Y-115310543D01* +X224039654Y-115373951D01* +X223958951Y-115454654D01* +X223895543Y-115549551D01* +X223851866Y-115654995D01* +X223829600Y-115766934D01* +X198728400Y-115766934D01* +X198728400Y-111760000D01* +X204185562Y-111760000D01* +X204190600Y-111811152D01* +X204190600Y-111862569D01* +X204200631Y-111912999D01* +X204205669Y-111964150D01* +X204220589Y-112013334D01* +X204230620Y-112063765D01* +X204250297Y-112111270D01* +X204265217Y-112160454D01* +X204289445Y-112205782D01* +X204309123Y-112253288D01* +X204337691Y-112296043D01* +X204361919Y-112341370D01* +X204394524Y-112381099D01* +X204423092Y-112423854D01* +X204459453Y-112460215D01* +X204492057Y-112499943D01* +X204531785Y-112532547D01* +X204568146Y-112568908D01* +X204610901Y-112597476D01* +X204650630Y-112630081D01* +X204695957Y-112654309D01* +X204738712Y-112682877D01* +X204786218Y-112702555D01* +X204831546Y-112726783D01* +X204880730Y-112741703D01* +X204928235Y-112761380D01* +X204978666Y-112771411D01* +X205027850Y-112786331D01* +X205079001Y-112791369D01* +X205129431Y-112801400D01* +X226111152Y-112801400D01* +X226264150Y-112786331D01* +X226460454Y-112726783D01* +X226641370Y-112630081D01* +X226799943Y-112499943D01* +X226930081Y-112341370D01* +X227026783Y-112160454D01* +X227086331Y-111964150D01* +X227106438Y-111760000D01* +X227086331Y-111555850D01* +X227026783Y-111359546D01* +X226930081Y-111178630D01* +X226799943Y-111020057D01* +X226641370Y-110889919D01* +X226460454Y-110793217D01* +X226264150Y-110733669D01* +X226111152Y-110718600D01* +X205129431Y-110718600D01* +X205079001Y-110728631D01* +X205027850Y-110733669D01* +X204978666Y-110748589D01* +X204928235Y-110758620D01* +X204880730Y-110778297D01* +X204831546Y-110793217D01* +X204786218Y-110817445D01* +X204738712Y-110837123D01* +X204695957Y-110865691D01* +X204650630Y-110889919D01* +X204610901Y-110922524D01* +X204568146Y-110951092D01* +X204531785Y-110987453D01* +X204492057Y-111020057D01* +X204459453Y-111059785D01* +X204423092Y-111096146D01* +X204394524Y-111138901D01* +X204361919Y-111178630D01* +X204337691Y-111223957D01* +X204309123Y-111266712D01* +X204289445Y-111314218D01* +X204265217Y-111359546D01* +X204250297Y-111408730D01* +X204230620Y-111456235D01* +X204220589Y-111506666D01* +X204205669Y-111555850D01* +X204200631Y-111607001D01* +X204190600Y-111657431D01* +X204190600Y-111708848D01* +X204185562Y-111760000D01* +X198728400Y-111760000D01* +X198728400Y-89298337D01* +X198771692Y-88856810D01* +X198894882Y-88448783D01* +X199094982Y-88072447D01* +X199364369Y-87742148D01* +X199692780Y-87470463D01* +X200067703Y-87267744D01* +X200474861Y-87141706D01* +X200915436Y-87095400D01* +X274048663Y-87095400D01* +X274490190Y-87138692D01* +M02* diff --git a/gerber/RAM2E-B_Mask.gbs b/gerber/RAM2E-B_Mask.gbs new file mode 100644 index 0000000..ca19740 --- /dev/null +++ b/gerber/RAM2E-B_Mask.gbs @@ -0,0 +1,1404 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.2-1)-1* +G04 #@! TF.CreationDate,2019-07-30T17:06:57-04:00* +G04 #@! TF.ProjectId,RAM2E,52414d32-452e-46b6-9963-61645f706362,rev?* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Soldermask,Bot* +G04 #@! TF.FilePolarity,Negative* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW (5.1.2-1)-1) date 2019-07-30 17:06:57* +%MOMM*% +%LPD*% +G04 APERTURE LIST* +%ADD10C,0.100000*% +G04 APERTURE END LIST* +D10* +G36* +X276606000Y-139446000D02* +G01* +X276098000Y-139954000D01* +X198882000Y-139954000D01* +X198374000Y-139446000D01* +X198374000Y-132080000D01* +X276606000Y-132080000D01* +X276606000Y-139446000D01* +G37* +G36* +X274846355Y-131543544D02* +G01* +X274918967Y-131565570D01* +X274985881Y-131601336D01* +X275044531Y-131649469D01* +X275092664Y-131708119D01* +X275128430Y-131775033D01* +X275150456Y-131847645D01* +X275158200Y-131926267D01* +X275158200Y-138837733D01* +X275150456Y-138916355D01* +X275128430Y-138988967D01* +X275092664Y-139055881D01* +X275044531Y-139114531D01* +X274985881Y-139162664D01* +X274918967Y-139198430D01* +X274846355Y-139220456D01* +X274767733Y-139228200D01* +X273872267Y-139228200D01* +X273793645Y-139220456D01* +X273721033Y-139198430D01* +X273654119Y-139162664D01* +X273595469Y-139114531D01* +X273547336Y-139055881D01* +X273511570Y-138988967D01* +X273489544Y-138916355D01* +X273481800Y-138837733D01* +X273481800Y-131926267D01* +X273489544Y-131847645D01* +X273511570Y-131775033D01* +X273547336Y-131708119D01* +X273595469Y-131649469D01* +X273654119Y-131601336D01* +X273721033Y-131565570D01* +X273793645Y-131543544D01* +X273872267Y-131535800D01* +X274767733Y-131535800D01* +X274846355Y-131543544D01* +X274846355Y-131543544D01* +G37* +G36* +X272306355Y-131543544D02* +G01* +X272378967Y-131565570D01* +X272445881Y-131601336D01* +X272504531Y-131649469D01* +X272552664Y-131708119D01* +X272588430Y-131775033D01* +X272610456Y-131847645D01* +X272618200Y-131926267D01* +X272618200Y-138837733D01* +X272610456Y-138916355D01* +X272588430Y-138988967D01* +X272552664Y-139055881D01* +X272504531Y-139114531D01* +X272445881Y-139162664D01* +X272378967Y-139198430D01* +X272306355Y-139220456D01* +X272227733Y-139228200D01* +X271332267Y-139228200D01* +X271253645Y-139220456D01* +X271181033Y-139198430D01* +X271114119Y-139162664D01* +X271055469Y-139114531D01* +X271007336Y-139055881D01* +X270971570Y-138988967D01* +X270949544Y-138916355D01* +X270941800Y-138837733D01* +X270941800Y-131926267D01* +X270949544Y-131847645D01* +X270971570Y-131775033D01* +X271007336Y-131708119D01* +X271055469Y-131649469D01* +X271114119Y-131601336D01* +X271181033Y-131565570D01* +X271253645Y-131543544D01* +X271332267Y-131535800D01* +X272227733Y-131535800D01* +X272306355Y-131543544D01* +X272306355Y-131543544D01* +G37* +G36* +X269766355Y-131543544D02* +G01* +X269838967Y-131565570D01* +X269905881Y-131601336D01* +X269964531Y-131649469D01* +X270012664Y-131708119D01* +X270048430Y-131775033D01* +X270070456Y-131847645D01* +X270078200Y-131926267D01* +X270078200Y-138837733D01* +X270070456Y-138916355D01* +X270048430Y-138988967D01* +X270012664Y-139055881D01* +X269964531Y-139114531D01* +X269905881Y-139162664D01* +X269838967Y-139198430D01* +X269766355Y-139220456D01* +X269687733Y-139228200D01* +X268792267Y-139228200D01* +X268713645Y-139220456D01* +X268641033Y-139198430D01* +X268574119Y-139162664D01* +X268515469Y-139114531D01* +X268467336Y-139055881D01* +X268431570Y-138988967D01* +X268409544Y-138916355D01* +X268401800Y-138837733D01* +X268401800Y-131926267D01* +X268409544Y-131847645D01* +X268431570Y-131775033D01* +X268467336Y-131708119D01* +X268515469Y-131649469D01* +X268574119Y-131601336D01* +X268641033Y-131565570D01* +X268713645Y-131543544D01* +X268792267Y-131535800D01* +X269687733Y-131535800D01* +X269766355Y-131543544D01* +X269766355Y-131543544D01* +G37* +G36* +X267226355Y-131543544D02* +G01* +X267298967Y-131565570D01* +X267365881Y-131601336D01* +X267424531Y-131649469D01* +X267472664Y-131708119D01* +X267508430Y-131775033D01* +X267530456Y-131847645D01* +X267538200Y-131926267D01* +X267538200Y-138837733D01* +X267530456Y-138916355D01* +X267508430Y-138988967D01* +X267472664Y-139055881D01* +X267424531Y-139114531D01* +X267365881Y-139162664D01* +X267298967Y-139198430D01* +X267226355Y-139220456D01* +X267147733Y-139228200D01* +X266252267Y-139228200D01* +X266173645Y-139220456D01* +X266101033Y-139198430D01* +X266034119Y-139162664D01* +X265975469Y-139114531D01* +X265927336Y-139055881D01* +X265891570Y-138988967D01* +X265869544Y-138916355D01* +X265861800Y-138837733D01* +X265861800Y-131926267D01* +X265869544Y-131847645D01* +X265891570Y-131775033D01* +X265927336Y-131708119D01* +X265975469Y-131649469D01* +X266034119Y-131601336D01* +X266101033Y-131565570D01* +X266173645Y-131543544D01* +X266252267Y-131535800D01* +X267147733Y-131535800D01* +X267226355Y-131543544D01* +X267226355Y-131543544D01* +G37* +G36* +X264686355Y-131543544D02* +G01* +X264758967Y-131565570D01* +X264825881Y-131601336D01* +X264884531Y-131649469D01* +X264932664Y-131708119D01* +X264968430Y-131775033D01* +X264990456Y-131847645D01* +X264998200Y-131926267D01* +X264998200Y-138837733D01* +X264990456Y-138916355D01* +X264968430Y-138988967D01* +X264932664Y-139055881D01* +X264884531Y-139114531D01* +X264825881Y-139162664D01* +X264758967Y-139198430D01* +X264686355Y-139220456D01* +X264607733Y-139228200D01* +X263712267Y-139228200D01* +X263633645Y-139220456D01* +X263561033Y-139198430D01* +X263494119Y-139162664D01* +X263435469Y-139114531D01* +X263387336Y-139055881D01* +X263351570Y-138988967D01* +X263329544Y-138916355D01* +X263321800Y-138837733D01* +X263321800Y-131926267D01* +X263329544Y-131847645D01* +X263351570Y-131775033D01* +X263387336Y-131708119D01* +X263435469Y-131649469D01* +X263494119Y-131601336D01* +X263561033Y-131565570D01* +X263633645Y-131543544D01* +X263712267Y-131535800D01* +X264607733Y-131535800D01* +X264686355Y-131543544D01* +X264686355Y-131543544D01* +G37* +G36* +X262146355Y-131543544D02* +G01* +X262218967Y-131565570D01* +X262285881Y-131601336D01* +X262344531Y-131649469D01* +X262392664Y-131708119D01* +X262428430Y-131775033D01* +X262450456Y-131847645D01* +X262458200Y-131926267D01* +X262458200Y-138837733D01* +X262450456Y-138916355D01* +X262428430Y-138988967D01* +X262392664Y-139055881D01* +X262344531Y-139114531D01* +X262285881Y-139162664D01* +X262218967Y-139198430D01* +X262146355Y-139220456D01* +X262067733Y-139228200D01* +X261172267Y-139228200D01* +X261093645Y-139220456D01* +X261021033Y-139198430D01* +X260954119Y-139162664D01* +X260895469Y-139114531D01* +X260847336Y-139055881D01* +X260811570Y-138988967D01* +X260789544Y-138916355D01* +X260781800Y-138837733D01* +X260781800Y-131926267D01* +X260789544Y-131847645D01* +X260811570Y-131775033D01* +X260847336Y-131708119D01* +X260895469Y-131649469D01* +X260954119Y-131601336D01* +X261021033Y-131565570D01* +X261093645Y-131543544D01* +X261172267Y-131535800D01* +X262067733Y-131535800D01* +X262146355Y-131543544D01* +X262146355Y-131543544D01* +G37* +G36* +X259606355Y-131543544D02* +G01* +X259678967Y-131565570D01* +X259745881Y-131601336D01* +X259804531Y-131649469D01* +X259852664Y-131708119D01* +X259888430Y-131775033D01* +X259910456Y-131847645D01* +X259918200Y-131926267D01* +X259918200Y-138837733D01* +X259910456Y-138916355D01* +X259888430Y-138988967D01* +X259852664Y-139055881D01* +X259804531Y-139114531D01* +X259745881Y-139162664D01* +X259678967Y-139198430D01* +X259606355Y-139220456D01* +X259527733Y-139228200D01* +X258632267Y-139228200D01* +X258553645Y-139220456D01* +X258481033Y-139198430D01* +X258414119Y-139162664D01* +X258355469Y-139114531D01* +X258307336Y-139055881D01* +X258271570Y-138988967D01* +X258249544Y-138916355D01* +X258241800Y-138837733D01* +X258241800Y-131926267D01* +X258249544Y-131847645D01* +X258271570Y-131775033D01* +X258307336Y-131708119D01* +X258355469Y-131649469D01* +X258414119Y-131601336D01* +X258481033Y-131565570D01* +X258553645Y-131543544D01* +X258632267Y-131535800D01* +X259527733Y-131535800D01* +X259606355Y-131543544D01* +X259606355Y-131543544D01* +G37* +G36* +X257066355Y-131543544D02* +G01* +X257138967Y-131565570D01* +X257205881Y-131601336D01* +X257264531Y-131649469D01* +X257312664Y-131708119D01* +X257348430Y-131775033D01* +X257370456Y-131847645D01* +X257378200Y-131926267D01* +X257378200Y-138837733D01* +X257370456Y-138916355D01* +X257348430Y-138988967D01* +X257312664Y-139055881D01* +X257264531Y-139114531D01* +X257205881Y-139162664D01* +X257138967Y-139198430D01* +X257066355Y-139220456D01* +X256987733Y-139228200D01* +X256092267Y-139228200D01* +X256013645Y-139220456D01* +X255941033Y-139198430D01* +X255874119Y-139162664D01* +X255815469Y-139114531D01* +X255767336Y-139055881D01* +X255731570Y-138988967D01* +X255709544Y-138916355D01* +X255701800Y-138837733D01* +X255701800Y-131926267D01* +X255709544Y-131847645D01* +X255731570Y-131775033D01* +X255767336Y-131708119D01* +X255815469Y-131649469D01* +X255874119Y-131601336D01* +X255941033Y-131565570D01* +X256013645Y-131543544D01* +X256092267Y-131535800D01* +X256987733Y-131535800D01* +X257066355Y-131543544D01* +X257066355Y-131543544D01* +G37* +G36* +X254526355Y-131543544D02* +G01* +X254598967Y-131565570D01* +X254665881Y-131601336D01* +X254724531Y-131649469D01* +X254772664Y-131708119D01* +X254808430Y-131775033D01* +X254830456Y-131847645D01* +X254838200Y-131926267D01* +X254838200Y-138837733D01* +X254830456Y-138916355D01* +X254808430Y-138988967D01* +X254772664Y-139055881D01* +X254724531Y-139114531D01* +X254665881Y-139162664D01* +X254598967Y-139198430D01* +X254526355Y-139220456D01* +X254447733Y-139228200D01* +X253552267Y-139228200D01* +X253473645Y-139220456D01* +X253401033Y-139198430D01* +X253334119Y-139162664D01* +X253275469Y-139114531D01* +X253227336Y-139055881D01* +X253191570Y-138988967D01* +X253169544Y-138916355D01* +X253161800Y-138837733D01* +X253161800Y-131926267D01* +X253169544Y-131847645D01* +X253191570Y-131775033D01* +X253227336Y-131708119D01* +X253275469Y-131649469D01* +X253334119Y-131601336D01* +X253401033Y-131565570D01* +X253473645Y-131543544D01* +X253552267Y-131535800D01* +X254447733Y-131535800D01* +X254526355Y-131543544D01* +X254526355Y-131543544D01* +G37* +G36* +X251986355Y-131543544D02* +G01* +X252058967Y-131565570D01* +X252125881Y-131601336D01* +X252184531Y-131649469D01* +X252232664Y-131708119D01* +X252268430Y-131775033D01* +X252290456Y-131847645D01* +X252298200Y-131926267D01* +X252298200Y-138837733D01* +X252290456Y-138916355D01* +X252268430Y-138988967D01* +X252232664Y-139055881D01* +X252184531Y-139114531D01* +X252125881Y-139162664D01* +X252058967Y-139198430D01* +X251986355Y-139220456D01* +X251907733Y-139228200D01* +X251012267Y-139228200D01* +X250933645Y-139220456D01* +X250861033Y-139198430D01* +X250794119Y-139162664D01* +X250735469Y-139114531D01* +X250687336Y-139055881D01* +X250651570Y-138988967D01* +X250629544Y-138916355D01* +X250621800Y-138837733D01* +X250621800Y-131926267D01* +X250629544Y-131847645D01* +X250651570Y-131775033D01* +X250687336Y-131708119D01* +X250735469Y-131649469D01* +X250794119Y-131601336D01* +X250861033Y-131565570D01* +X250933645Y-131543544D01* +X251012267Y-131535800D01* +X251907733Y-131535800D01* +X251986355Y-131543544D01* +X251986355Y-131543544D01* +G37* +G36* +X249446355Y-131543544D02* +G01* +X249518967Y-131565570D01* +X249585881Y-131601336D01* +X249644531Y-131649469D01* +X249692664Y-131708119D01* +X249728430Y-131775033D01* +X249750456Y-131847645D01* +X249758200Y-131926267D01* +X249758200Y-138837733D01* +X249750456Y-138916355D01* +X249728430Y-138988967D01* +X249692664Y-139055881D01* +X249644531Y-139114531D01* +X249585881Y-139162664D01* +X249518967Y-139198430D01* +X249446355Y-139220456D01* +X249367733Y-139228200D01* +X248472267Y-139228200D01* +X248393645Y-139220456D01* +X248321033Y-139198430D01* +X248254119Y-139162664D01* +X248195469Y-139114531D01* +X248147336Y-139055881D01* +X248111570Y-138988967D01* +X248089544Y-138916355D01* +X248081800Y-138837733D01* +X248081800Y-131926267D01* +X248089544Y-131847645D01* +X248111570Y-131775033D01* +X248147336Y-131708119D01* +X248195469Y-131649469D01* +X248254119Y-131601336D01* +X248321033Y-131565570D01* +X248393645Y-131543544D01* +X248472267Y-131535800D01* +X249367733Y-131535800D01* +X249446355Y-131543544D01* +X249446355Y-131543544D01* +G37* +G36* +X246906355Y-131543544D02* +G01* +X246978967Y-131565570D01* +X247045881Y-131601336D01* +X247104531Y-131649469D01* +X247152664Y-131708119D01* +X247188430Y-131775033D01* +X247210456Y-131847645D01* +X247218200Y-131926267D01* +X247218200Y-138837733D01* +X247210456Y-138916355D01* +X247188430Y-138988967D01* +X247152664Y-139055881D01* +X247104531Y-139114531D01* +X247045881Y-139162664D01* +X246978967Y-139198430D01* +X246906355Y-139220456D01* +X246827733Y-139228200D01* +X245932267Y-139228200D01* +X245853645Y-139220456D01* +X245781033Y-139198430D01* +X245714119Y-139162664D01* +X245655469Y-139114531D01* +X245607336Y-139055881D01* +X245571570Y-138988967D01* +X245549544Y-138916355D01* +X245541800Y-138837733D01* +X245541800Y-131926267D01* +X245549544Y-131847645D01* +X245571570Y-131775033D01* +X245607336Y-131708119D01* +X245655469Y-131649469D01* +X245714119Y-131601336D01* +X245781033Y-131565570D01* +X245853645Y-131543544D01* +X245932267Y-131535800D01* +X246827733Y-131535800D01* +X246906355Y-131543544D01* +X246906355Y-131543544D01* +G37* +G36* +X244366355Y-131543544D02* +G01* +X244438967Y-131565570D01* +X244505881Y-131601336D01* +X244564531Y-131649469D01* +X244612664Y-131708119D01* +X244648430Y-131775033D01* +X244670456Y-131847645D01* +X244678200Y-131926267D01* +X244678200Y-138837733D01* +X244670456Y-138916355D01* +X244648430Y-138988967D01* +X244612664Y-139055881D01* +X244564531Y-139114531D01* +X244505881Y-139162664D01* +X244438967Y-139198430D01* +X244366355Y-139220456D01* +X244287733Y-139228200D01* +X243392267Y-139228200D01* +X243313645Y-139220456D01* +X243241033Y-139198430D01* +X243174119Y-139162664D01* +X243115469Y-139114531D01* +X243067336Y-139055881D01* +X243031570Y-138988967D01* +X243009544Y-138916355D01* +X243001800Y-138837733D01* +X243001800Y-131926267D01* +X243009544Y-131847645D01* +X243031570Y-131775033D01* +X243067336Y-131708119D01* +X243115469Y-131649469D01* +X243174119Y-131601336D01* +X243241033Y-131565570D01* +X243313645Y-131543544D01* +X243392267Y-131535800D01* +X244287733Y-131535800D01* +X244366355Y-131543544D01* +X244366355Y-131543544D01* +G37* +G36* +X241826355Y-131543544D02* +G01* +X241898967Y-131565570D01* +X241965881Y-131601336D01* +X242024531Y-131649469D01* +X242072664Y-131708119D01* +X242108430Y-131775033D01* +X242130456Y-131847645D01* +X242138200Y-131926267D01* +X242138200Y-138837733D01* +X242130456Y-138916355D01* +X242108430Y-138988967D01* +X242072664Y-139055881D01* +X242024531Y-139114531D01* +X241965881Y-139162664D01* +X241898967Y-139198430D01* +X241826355Y-139220456D01* +X241747733Y-139228200D01* +X240852267Y-139228200D01* +X240773645Y-139220456D01* +X240701033Y-139198430D01* +X240634119Y-139162664D01* +X240575469Y-139114531D01* +X240527336Y-139055881D01* +X240491570Y-138988967D01* +X240469544Y-138916355D01* +X240461800Y-138837733D01* +X240461800Y-131926267D01* +X240469544Y-131847645D01* +X240491570Y-131775033D01* +X240527336Y-131708119D01* +X240575469Y-131649469D01* +X240634119Y-131601336D01* +X240701033Y-131565570D01* +X240773645Y-131543544D01* +X240852267Y-131535800D01* +X241747733Y-131535800D01* +X241826355Y-131543544D01* +X241826355Y-131543544D01* +G37* +G36* +X239286355Y-131543544D02* +G01* +X239358967Y-131565570D01* +X239425881Y-131601336D01* +X239484531Y-131649469D01* +X239532664Y-131708119D01* +X239568430Y-131775033D01* +X239590456Y-131847645D01* +X239598200Y-131926267D01* +X239598200Y-138837733D01* +X239590456Y-138916355D01* +X239568430Y-138988967D01* +X239532664Y-139055881D01* +X239484531Y-139114531D01* +X239425881Y-139162664D01* +X239358967Y-139198430D01* +X239286355Y-139220456D01* +X239207733Y-139228200D01* +X238312267Y-139228200D01* +X238233645Y-139220456D01* +X238161033Y-139198430D01* +X238094119Y-139162664D01* +X238035469Y-139114531D01* +X237987336Y-139055881D01* +X237951570Y-138988967D01* +X237929544Y-138916355D01* +X237921800Y-138837733D01* +X237921800Y-131926267D01* +X237929544Y-131847645D01* +X237951570Y-131775033D01* +X237987336Y-131708119D01* +X238035469Y-131649469D01* +X238094119Y-131601336D01* +X238161033Y-131565570D01* +X238233645Y-131543544D01* +X238312267Y-131535800D01* +X239207733Y-131535800D01* +X239286355Y-131543544D01* +X239286355Y-131543544D01* +G37* +G36* +X236746355Y-131543544D02* +G01* +X236818967Y-131565570D01* +X236885881Y-131601336D01* +X236944531Y-131649469D01* +X236992664Y-131708119D01* +X237028430Y-131775033D01* +X237050456Y-131847645D01* +X237058200Y-131926267D01* +X237058200Y-138837733D01* +X237050456Y-138916355D01* +X237028430Y-138988967D01* +X236992664Y-139055881D01* +X236944531Y-139114531D01* +X236885881Y-139162664D01* +X236818967Y-139198430D01* +X236746355Y-139220456D01* +X236667733Y-139228200D01* +X235772267Y-139228200D01* +X235693645Y-139220456D01* +X235621033Y-139198430D01* +X235554119Y-139162664D01* +X235495469Y-139114531D01* +X235447336Y-139055881D01* +X235411570Y-138988967D01* +X235389544Y-138916355D01* +X235381800Y-138837733D01* +X235381800Y-131926267D01* +X235389544Y-131847645D01* +X235411570Y-131775033D01* +X235447336Y-131708119D01* +X235495469Y-131649469D01* +X235554119Y-131601336D01* +X235621033Y-131565570D01* +X235693645Y-131543544D01* +X235772267Y-131535800D01* +X236667733Y-131535800D01* +X236746355Y-131543544D01* +X236746355Y-131543544D01* +G37* +G36* +X234206355Y-131543544D02* +G01* +X234278967Y-131565570D01* +X234345881Y-131601336D01* +X234404531Y-131649469D01* +X234452664Y-131708119D01* +X234488430Y-131775033D01* +X234510456Y-131847645D01* +X234518200Y-131926267D01* +X234518200Y-138837733D01* +X234510456Y-138916355D01* +X234488430Y-138988967D01* +X234452664Y-139055881D01* +X234404531Y-139114531D01* +X234345881Y-139162664D01* +X234278967Y-139198430D01* +X234206355Y-139220456D01* +X234127733Y-139228200D01* +X233232267Y-139228200D01* +X233153645Y-139220456D01* +X233081033Y-139198430D01* +X233014119Y-139162664D01* +X232955469Y-139114531D01* +X232907336Y-139055881D01* +X232871570Y-138988967D01* +X232849544Y-138916355D01* +X232841800Y-138837733D01* +X232841800Y-131926267D01* +X232849544Y-131847645D01* +X232871570Y-131775033D01* +X232907336Y-131708119D01* +X232955469Y-131649469D01* +X233014119Y-131601336D01* +X233081033Y-131565570D01* +X233153645Y-131543544D01* +X233232267Y-131535800D01* +X234127733Y-131535800D01* +X234206355Y-131543544D01* +X234206355Y-131543544D01* +G37* +G36* +X231666355Y-131543544D02* +G01* +X231738967Y-131565570D01* +X231805881Y-131601336D01* +X231864531Y-131649469D01* +X231912664Y-131708119D01* +X231948430Y-131775033D01* +X231970456Y-131847645D01* +X231978200Y-131926267D01* +X231978200Y-138837733D01* +X231970456Y-138916355D01* +X231948430Y-138988967D01* +X231912664Y-139055881D01* +X231864531Y-139114531D01* +X231805881Y-139162664D01* +X231738967Y-139198430D01* +X231666355Y-139220456D01* +X231587733Y-139228200D01* +X230692267Y-139228200D01* +X230613645Y-139220456D01* +X230541033Y-139198430D01* +X230474119Y-139162664D01* +X230415469Y-139114531D01* +X230367336Y-139055881D01* +X230331570Y-138988967D01* +X230309544Y-138916355D01* +X230301800Y-138837733D01* +X230301800Y-131926267D01* +X230309544Y-131847645D01* +X230331570Y-131775033D01* +X230367336Y-131708119D01* +X230415469Y-131649469D01* +X230474119Y-131601336D01* +X230541033Y-131565570D01* +X230613645Y-131543544D01* +X230692267Y-131535800D01* +X231587733Y-131535800D01* +X231666355Y-131543544D01* +X231666355Y-131543544D01* +G37* +G36* +X229126355Y-131543544D02* +G01* +X229198967Y-131565570D01* +X229265881Y-131601336D01* +X229324531Y-131649469D01* +X229372664Y-131708119D01* +X229408430Y-131775033D01* +X229430456Y-131847645D01* +X229438200Y-131926267D01* +X229438200Y-138837733D01* +X229430456Y-138916355D01* +X229408430Y-138988967D01* +X229372664Y-139055881D01* +X229324531Y-139114531D01* +X229265881Y-139162664D01* +X229198967Y-139198430D01* +X229126355Y-139220456D01* +X229047733Y-139228200D01* +X228152267Y-139228200D01* +X228073645Y-139220456D01* +X228001033Y-139198430D01* +X227934119Y-139162664D01* +X227875469Y-139114531D01* +X227827336Y-139055881D01* +X227791570Y-138988967D01* +X227769544Y-138916355D01* +X227761800Y-138837733D01* +X227761800Y-131926267D01* +X227769544Y-131847645D01* +X227791570Y-131775033D01* +X227827336Y-131708119D01* +X227875469Y-131649469D01* +X227934119Y-131601336D01* +X228001033Y-131565570D01* +X228073645Y-131543544D01* +X228152267Y-131535800D01* +X229047733Y-131535800D01* +X229126355Y-131543544D01* +X229126355Y-131543544D01* +G37* +G36* +X226586355Y-131543544D02* +G01* +X226658967Y-131565570D01* +X226725881Y-131601336D01* +X226784531Y-131649469D01* +X226832664Y-131708119D01* +X226868430Y-131775033D01* +X226890456Y-131847645D01* +X226898200Y-131926267D01* +X226898200Y-138837733D01* +X226890456Y-138916355D01* +X226868430Y-138988967D01* +X226832664Y-139055881D01* +X226784531Y-139114531D01* +X226725881Y-139162664D01* +X226658967Y-139198430D01* +X226586355Y-139220456D01* +X226507733Y-139228200D01* +X225612267Y-139228200D01* +X225533645Y-139220456D01* +X225461033Y-139198430D01* +X225394119Y-139162664D01* +X225335469Y-139114531D01* +X225287336Y-139055881D01* +X225251570Y-138988967D01* +X225229544Y-138916355D01* +X225221800Y-138837733D01* +X225221800Y-131926267D01* +X225229544Y-131847645D01* +X225251570Y-131775033D01* +X225287336Y-131708119D01* +X225335469Y-131649469D01* +X225394119Y-131601336D01* +X225461033Y-131565570D01* +X225533645Y-131543544D01* +X225612267Y-131535800D01* +X226507733Y-131535800D01* +X226586355Y-131543544D01* +X226586355Y-131543544D01* +G37* +G36* +X224046355Y-131543544D02* +G01* +X224118967Y-131565570D01* +X224185881Y-131601336D01* +X224244531Y-131649469D01* +X224292664Y-131708119D01* +X224328430Y-131775033D01* +X224350456Y-131847645D01* +X224358200Y-131926267D01* +X224358200Y-138837733D01* +X224350456Y-138916355D01* +X224328430Y-138988967D01* +X224292664Y-139055881D01* +X224244531Y-139114531D01* +X224185881Y-139162664D01* +X224118967Y-139198430D01* +X224046355Y-139220456D01* +X223967733Y-139228200D01* +X223072267Y-139228200D01* +X222993645Y-139220456D01* +X222921033Y-139198430D01* +X222854119Y-139162664D01* +X222795469Y-139114531D01* +X222747336Y-139055881D01* +X222711570Y-138988967D01* +X222689544Y-138916355D01* +X222681800Y-138837733D01* +X222681800Y-131926267D01* +X222689544Y-131847645D01* +X222711570Y-131775033D01* +X222747336Y-131708119D01* +X222795469Y-131649469D01* +X222854119Y-131601336D01* +X222921033Y-131565570D01* +X222993645Y-131543544D01* +X223072267Y-131535800D01* +X223967733Y-131535800D01* +X224046355Y-131543544D01* +X224046355Y-131543544D01* +G37* +G36* +X221506355Y-131543544D02* +G01* +X221578967Y-131565570D01* +X221645881Y-131601336D01* +X221704531Y-131649469D01* +X221752664Y-131708119D01* +X221788430Y-131775033D01* +X221810456Y-131847645D01* +X221818200Y-131926267D01* +X221818200Y-138837733D01* +X221810456Y-138916355D01* +X221788430Y-138988967D01* +X221752664Y-139055881D01* +X221704531Y-139114531D01* +X221645881Y-139162664D01* +X221578967Y-139198430D01* +X221506355Y-139220456D01* +X221427733Y-139228200D01* +X220532267Y-139228200D01* +X220453645Y-139220456D01* +X220381033Y-139198430D01* +X220314119Y-139162664D01* +X220255469Y-139114531D01* +X220207336Y-139055881D01* +X220171570Y-138988967D01* +X220149544Y-138916355D01* +X220141800Y-138837733D01* +X220141800Y-131926267D01* +X220149544Y-131847645D01* +X220171570Y-131775033D01* +X220207336Y-131708119D01* +X220255469Y-131649469D01* +X220314119Y-131601336D01* +X220381033Y-131565570D01* +X220453645Y-131543544D01* +X220532267Y-131535800D01* +X221427733Y-131535800D01* +X221506355Y-131543544D01* +X221506355Y-131543544D01* +G37* +G36* +X218966355Y-131543544D02* +G01* +X219038967Y-131565570D01* +X219105881Y-131601336D01* +X219164531Y-131649469D01* +X219212664Y-131708119D01* +X219248430Y-131775033D01* +X219270456Y-131847645D01* +X219278200Y-131926267D01* +X219278200Y-138837733D01* +X219270456Y-138916355D01* +X219248430Y-138988967D01* +X219212664Y-139055881D01* +X219164531Y-139114531D01* +X219105881Y-139162664D01* +X219038967Y-139198430D01* +X218966355Y-139220456D01* +X218887733Y-139228200D01* +X217992267Y-139228200D01* +X217913645Y-139220456D01* +X217841033Y-139198430D01* +X217774119Y-139162664D01* +X217715469Y-139114531D01* +X217667336Y-139055881D01* +X217631570Y-138988967D01* +X217609544Y-138916355D01* +X217601800Y-138837733D01* +X217601800Y-131926267D01* +X217609544Y-131847645D01* +X217631570Y-131775033D01* +X217667336Y-131708119D01* +X217715469Y-131649469D01* +X217774119Y-131601336D01* +X217841033Y-131565570D01* +X217913645Y-131543544D01* +X217992267Y-131535800D01* +X218887733Y-131535800D01* +X218966355Y-131543544D01* +X218966355Y-131543544D01* +G37* +G36* +X216426355Y-131543544D02* +G01* +X216498967Y-131565570D01* +X216565881Y-131601336D01* +X216624531Y-131649469D01* +X216672664Y-131708119D01* +X216708430Y-131775033D01* +X216730456Y-131847645D01* +X216738200Y-131926267D01* +X216738200Y-138837733D01* +X216730456Y-138916355D01* +X216708430Y-138988967D01* +X216672664Y-139055881D01* +X216624531Y-139114531D01* +X216565881Y-139162664D01* +X216498967Y-139198430D01* +X216426355Y-139220456D01* +X216347733Y-139228200D01* +X215452267Y-139228200D01* +X215373645Y-139220456D01* +X215301033Y-139198430D01* +X215234119Y-139162664D01* +X215175469Y-139114531D01* +X215127336Y-139055881D01* +X215091570Y-138988967D01* +X215069544Y-138916355D01* +X215061800Y-138837733D01* +X215061800Y-131926267D01* +X215069544Y-131847645D01* +X215091570Y-131775033D01* +X215127336Y-131708119D01* +X215175469Y-131649469D01* +X215234119Y-131601336D01* +X215301033Y-131565570D01* +X215373645Y-131543544D01* +X215452267Y-131535800D01* +X216347733Y-131535800D01* +X216426355Y-131543544D01* +X216426355Y-131543544D01* +G37* +G36* +X213886355Y-131543544D02* +G01* +X213958967Y-131565570D01* +X214025881Y-131601336D01* +X214084531Y-131649469D01* +X214132664Y-131708119D01* +X214168430Y-131775033D01* +X214190456Y-131847645D01* +X214198200Y-131926267D01* +X214198200Y-138837733D01* +X214190456Y-138916355D01* +X214168430Y-138988967D01* +X214132664Y-139055881D01* +X214084531Y-139114531D01* +X214025881Y-139162664D01* +X213958967Y-139198430D01* +X213886355Y-139220456D01* +X213807733Y-139228200D01* +X212912267Y-139228200D01* +X212833645Y-139220456D01* +X212761033Y-139198430D01* +X212694119Y-139162664D01* +X212635469Y-139114531D01* +X212587336Y-139055881D01* +X212551570Y-138988967D01* +X212529544Y-138916355D01* +X212521800Y-138837733D01* +X212521800Y-131926267D01* +X212529544Y-131847645D01* +X212551570Y-131775033D01* +X212587336Y-131708119D01* +X212635469Y-131649469D01* +X212694119Y-131601336D01* +X212761033Y-131565570D01* +X212833645Y-131543544D01* +X212912267Y-131535800D01* +X213807733Y-131535800D01* +X213886355Y-131543544D01* +X213886355Y-131543544D01* +G37* +G36* +X211346355Y-131543544D02* +G01* +X211418967Y-131565570D01* +X211485881Y-131601336D01* +X211544531Y-131649469D01* +X211592664Y-131708119D01* +X211628430Y-131775033D01* +X211650456Y-131847645D01* +X211658200Y-131926267D01* +X211658200Y-138837733D01* +X211650456Y-138916355D01* +X211628430Y-138988967D01* +X211592664Y-139055881D01* +X211544531Y-139114531D01* +X211485881Y-139162664D01* +X211418967Y-139198430D01* +X211346355Y-139220456D01* +X211267733Y-139228200D01* +X210372267Y-139228200D01* +X210293645Y-139220456D01* +X210221033Y-139198430D01* +X210154119Y-139162664D01* +X210095469Y-139114531D01* +X210047336Y-139055881D01* +X210011570Y-138988967D01* +X209989544Y-138916355D01* +X209981800Y-138837733D01* +X209981800Y-131926267D01* +X209989544Y-131847645D01* +X210011570Y-131775033D01* +X210047336Y-131708119D01* +X210095469Y-131649469D01* +X210154119Y-131601336D01* +X210221033Y-131565570D01* +X210293645Y-131543544D01* +X210372267Y-131535800D01* +X211267733Y-131535800D01* +X211346355Y-131543544D01* +X211346355Y-131543544D01* +G37* +G36* +X208806355Y-131543544D02* +G01* +X208878967Y-131565570D01* +X208945881Y-131601336D01* +X209004531Y-131649469D01* +X209052664Y-131708119D01* +X209088430Y-131775033D01* +X209110456Y-131847645D01* +X209118200Y-131926267D01* +X209118200Y-138837733D01* +X209110456Y-138916355D01* +X209088430Y-138988967D01* +X209052664Y-139055881D01* +X209004531Y-139114531D01* +X208945881Y-139162664D01* +X208878967Y-139198430D01* +X208806355Y-139220456D01* +X208727733Y-139228200D01* +X207832267Y-139228200D01* +X207753645Y-139220456D01* +X207681033Y-139198430D01* +X207614119Y-139162664D01* +X207555469Y-139114531D01* +X207507336Y-139055881D01* +X207471570Y-138988967D01* +X207449544Y-138916355D01* +X207441800Y-138837733D01* +X207441800Y-131926267D01* +X207449544Y-131847645D01* +X207471570Y-131775033D01* +X207507336Y-131708119D01* +X207555469Y-131649469D01* +X207614119Y-131601336D01* +X207681033Y-131565570D01* +X207753645Y-131543544D01* +X207832267Y-131535800D01* +X208727733Y-131535800D01* +X208806355Y-131543544D01* +X208806355Y-131543544D01* +G37* +G36* +X206266355Y-131543544D02* +G01* +X206338967Y-131565570D01* +X206405881Y-131601336D01* +X206464531Y-131649469D01* +X206512664Y-131708119D01* +X206548430Y-131775033D01* +X206570456Y-131847645D01* +X206578200Y-131926267D01* +X206578200Y-138837733D01* +X206570456Y-138916355D01* +X206548430Y-138988967D01* +X206512664Y-139055881D01* +X206464531Y-139114531D01* +X206405881Y-139162664D01* +X206338967Y-139198430D01* +X206266355Y-139220456D01* +X206187733Y-139228200D01* +X205292267Y-139228200D01* +X205213645Y-139220456D01* +X205141033Y-139198430D01* +X205074119Y-139162664D01* +X205015469Y-139114531D01* +X204967336Y-139055881D01* +X204931570Y-138988967D01* +X204909544Y-138916355D01* +X204901800Y-138837733D01* +X204901800Y-131926267D01* +X204909544Y-131847645D01* +X204931570Y-131775033D01* +X204967336Y-131708119D01* +X205015469Y-131649469D01* +X205074119Y-131601336D01* +X205141033Y-131565570D01* +X205213645Y-131543544D01* +X205292267Y-131535800D01* +X206187733Y-131535800D01* +X206266355Y-131543544D01* +X206266355Y-131543544D01* +G37* +G36* +X203726355Y-131543544D02* +G01* +X203798967Y-131565570D01* +X203865881Y-131601336D01* +X203924531Y-131649469D01* +X203972664Y-131708119D01* +X204008430Y-131775033D01* +X204030456Y-131847645D01* +X204038200Y-131926267D01* +X204038200Y-138837733D01* +X204030456Y-138916355D01* +X204008430Y-138988967D01* +X203972664Y-139055881D01* +X203924531Y-139114531D01* +X203865881Y-139162664D01* +X203798967Y-139198430D01* +X203726355Y-139220456D01* +X203647733Y-139228200D01* +X202752267Y-139228200D01* +X202673645Y-139220456D01* +X202601033Y-139198430D01* +X202534119Y-139162664D01* +X202475469Y-139114531D01* +X202427336Y-139055881D01* +X202391570Y-138988967D01* +X202369544Y-138916355D01* +X202361800Y-138837733D01* +X202361800Y-131926267D01* +X202369544Y-131847645D01* +X202391570Y-131775033D01* +X202427336Y-131708119D01* +X202475469Y-131649469D01* +X202534119Y-131601336D01* +X202601033Y-131565570D01* +X202673645Y-131543544D01* +X202752267Y-131535800D01* +X203647733Y-131535800D01* +X203726355Y-131543544D01* +X203726355Y-131543544D01* +G37* +G36* +X201186355Y-131543544D02* +G01* +X201258967Y-131565570D01* +X201325881Y-131601336D01* +X201384531Y-131649469D01* +X201432664Y-131708119D01* +X201468430Y-131775033D01* +X201490456Y-131847645D01* +X201498200Y-131926267D01* +X201498200Y-138837733D01* +X201490456Y-138916355D01* +X201468430Y-138988967D01* +X201432664Y-139055881D01* +X201384531Y-139114531D01* +X201325881Y-139162664D01* +X201258967Y-139198430D01* +X201186355Y-139220456D01* +X201107733Y-139228200D01* +X200212267Y-139228200D01* +X200133645Y-139220456D01* +X200061033Y-139198430D01* +X199994119Y-139162664D01* +X199935469Y-139114531D01* +X199887336Y-139055881D01* +X199851570Y-138988967D01* +X199829544Y-138916355D01* +X199821800Y-138837733D01* +X199821800Y-131926267D01* +X199829544Y-131847645D01* +X199851570Y-131775033D01* +X199887336Y-131708119D01* +X199935469Y-131649469D01* +X199994119Y-131601336D01* +X200061033Y-131565570D01* +X200133645Y-131543544D01* +X200212267Y-131535800D01* +X201107733Y-131535800D01* +X201186355Y-131543544D01* +X201186355Y-131543544D01* +G37* +G36* +X268283914Y-128505157D02* +G01* +X268479777Y-128586286D01* +X268656033Y-128704057D01* +X268805943Y-128853967D01* +X268923714Y-129030223D01* +X269004843Y-129226086D01* +X269046200Y-129434004D01* +X269046200Y-129645996D01* +X269004843Y-129853914D01* +X268923714Y-130049777D01* +X268805943Y-130226033D01* +X268656033Y-130375943D01* +X268479777Y-130493714D01* +X268283914Y-130574843D01* +X268075996Y-130616200D01* +X267864004Y-130616200D01* +X267656086Y-130574843D01* +X267460223Y-130493714D01* +X267283967Y-130375943D01* +X267134057Y-130226033D01* +X267016286Y-130049777D01* +X266935157Y-129853914D01* +X266893800Y-129645996D01* +X266893800Y-129434004D01* +X266935157Y-129226086D01* +X267016286Y-129030223D01* +X267134057Y-128853967D01* +X267283967Y-128704057D01* +X267460223Y-128586286D01* +X267656086Y-128505157D01* +X267864004Y-128463800D01* +X268075996Y-128463800D01* +X268283914Y-128505157D01* +X268283914Y-128505157D01* +G37* +G36* +X207323914Y-128505157D02* +G01* +X207519777Y-128586286D01* +X207696033Y-128704057D01* +X207845943Y-128853967D01* +X207963714Y-129030223D01* +X208044843Y-129226086D01* +X208086200Y-129434004D01* +X208086200Y-129645996D01* +X208044843Y-129853914D01* +X207963714Y-130049777D01* +X207845943Y-130226033D01* +X207696033Y-130375943D01* +X207519777Y-130493714D01* +X207323914Y-130574843D01* +X207115996Y-130616200D01* +X206904004Y-130616200D01* +X206696086Y-130574843D01* +X206500223Y-130493714D01* +X206323967Y-130375943D01* +X206174057Y-130226033D01* +X206056286Y-130049777D01* +X205975157Y-129853914D01* +X205933800Y-129645996D01* +X205933800Y-129434004D01* +X205975157Y-129226086D01* +X206056286Y-129030223D01* +X206174057Y-128853967D01* +X206323967Y-128704057D01* +X206500223Y-128586286D01* +X206696086Y-128505157D01* +X206904004Y-128463800D01* +X207115996Y-128463800D01* +X207323914Y-128505157D01* +X207323914Y-128505157D01* +G37* +G36* +X270315914Y-92056157D02* +G01* +X270511777Y-92137286D01* +X270688033Y-92255057D01* +X270837943Y-92404967D01* +X270955714Y-92581223D01* +X271036843Y-92777086D01* +X271078200Y-92985004D01* +X271078200Y-93196996D01* +X271036843Y-93404914D01* +X270955714Y-93600777D01* +X270837943Y-93777033D01* +X270688033Y-93926943D01* +X270511777Y-94044714D01* +X270315914Y-94125843D01* +X270107996Y-94167200D01* +X269896004Y-94167200D01* +X269688086Y-94125843D01* +X269492223Y-94044714D01* +X269315967Y-93926943D01* +X269166057Y-93777033D01* +X269048286Y-93600777D01* +X268967157Y-93404914D01* +X268925800Y-93196996D01* +X268925800Y-92985004D01* +X268967157Y-92777086D01* +X269048286Y-92581223D01* +X269166057Y-92404967D01* +X269315967Y-92255057D01* +X269492223Y-92137286D01* +X269688086Y-92056157D01* +X269896004Y-92014800D01* +X270107996Y-92014800D01* +X270315914Y-92056157D01* +X270315914Y-92056157D01* +G37* +G36* +X205291914Y-88246157D02* +G01* +X205487777Y-88327286D01* +X205664033Y-88445057D01* +X205813943Y-88594967D01* +X205931714Y-88771223D01* +X206012843Y-88967086D01* +X206054200Y-89175004D01* +X206054200Y-89386996D01* +X206012843Y-89594914D01* +X205931714Y-89790777D01* +X205813943Y-89967033D01* +X205664033Y-90116943D01* +X205487777Y-90234714D01* +X205291914Y-90315843D01* +X205083996Y-90357200D01* +X204872004Y-90357200D01* +X204664086Y-90315843D01* +X204468223Y-90234714D01* +X204291967Y-90116943D01* +X204142057Y-89967033D01* +X204024286Y-89790777D01* +X203943157Y-89594914D01* +X203901800Y-89386996D01* +X203901800Y-89175004D01* +X203943157Y-88967086D01* +X204024286Y-88771223D01* +X204142057Y-88594967D01* +X204291967Y-88445057D01* +X204468223Y-88327286D01* +X204664086Y-88246157D01* +X204872004Y-88204800D01* +X205083996Y-88204800D01* +X205291914Y-88246157D01* +X205291914Y-88246157D01* +G37* +M02* diff --git a/gerber/RAM2E-B_SilkS.gbo b/gerber/RAM2E-B_SilkS.gbo new file mode 100644 index 0000000..f66838c --- /dev/null +++ b/gerber/RAM2E-B_SilkS.gbo @@ -0,0 +1,2725 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.2-1)-1* +G04 #@! TF.CreationDate,2019-07-30T17:06:57-04:00* +G04 #@! TF.ProjectId,RAM2E,52414d32-452e-46b6-9963-61645f706362,rev?* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Legend,Bot* +G04 #@! TF.FilePolarity,Positive* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW (5.1.2-1)-1) date 2019-07-30 17:06:57* +%MOMM*% +%LPD*% +G04 APERTURE LIST* +%ADD10C,0.203200*% +%ADD11C,0.200000*% +%ADD12C,0.190500*% +%ADD13C,0.100000*% +%ADD14C,2.152400*% +%ADD15C,1.676400*% +G04 APERTURE END LIST* +D10* +X225340333Y-127443895D02* +X225340333Y-128024466D01* +X225379038Y-128140580D01* +X225456447Y-128217990D01* +X225572561Y-128256695D01* +X225649971Y-128256695D01* +X224566238Y-128256695D02* +X224953285Y-128256695D01* +X224953285Y-127443895D01* +X223830847Y-128179285D02* +X223869552Y-128217990D01* +X223985666Y-128256695D01* +X224063076Y-128256695D01* +X224179190Y-128217990D01* +X224256600Y-128140580D01* +X224295304Y-128063171D01* +X224334009Y-127908352D01* +X224334009Y-127792238D01* +X224295304Y-127637419D01* +X224256600Y-127560009D01* +X224179190Y-127482600D01* +X224063076Y-127443895D01* +X223985666Y-127443895D01* +X223869552Y-127482600D01* +X223830847Y-127521304D01* +X223250276Y-127443895D02* +X223250276Y-128024466D01* +X223288980Y-128140580D01* +X223366390Y-128217990D01* +X223482504Y-128256695D01* +X223559914Y-128256695D01* +X222476180Y-128256695D02* +X222863228Y-128256695D01* +X222863228Y-127443895D01* +X221740790Y-128179285D02* +X221779495Y-128217990D01* +X221895609Y-128256695D01* +X221973019Y-128256695D01* +X222089133Y-128217990D01* +X222166542Y-128140580D01* +X222205247Y-128063171D01* +X222243952Y-127908352D01* +X222243952Y-127792238D01* +X222205247Y-127637419D01* +X222166542Y-127560009D01* +X222089133Y-127482600D01* +X221973019Y-127443895D01* +X221895609Y-127443895D01* +X221779495Y-127482600D01* +X221740790Y-127521304D01* +X221160219Y-127443895D02* +X221160219Y-128024466D01* +X221198923Y-128140580D01* +X221276333Y-128217990D01* +X221392447Y-128256695D01* +X221469857Y-128256695D01* +X220386123Y-128256695D02* +X220773171Y-128256695D01* +X220773171Y-127443895D01* +X219650733Y-128179285D02* +X219689438Y-128217990D01* +X219805552Y-128256695D01* +X219882961Y-128256695D01* +X219999076Y-128217990D01* +X220076485Y-128140580D01* +X220115190Y-128063171D01* +X220153895Y-127908352D01* +X220153895Y-127792238D01* +X220115190Y-127637419D01* +X220076485Y-127560009D01* +X219999076Y-127482600D01* +X219882961Y-127443895D01* +X219805552Y-127443895D01* +X219689438Y-127482600D01* +X219650733Y-127521304D01* +X207675238Y-127189895D02* +X207133371Y-127189895D01* +X207675238Y-128002695D01* +X207133371Y-128002695D01* +X206823733Y-128002695D02* +X206823733Y-127189895D01* +X206359276Y-128002695D02* +X206707619Y-127538238D01* +X206359276Y-127189895D02* +X206823733Y-127654352D01* +X205972228Y-127963990D02* +X205972228Y-128002695D01* +X206010933Y-128080104D01* +X206049638Y-128118809D01* +X204578857Y-127228600D02* +X204656266Y-127189895D01* +X204772380Y-127189895D01* +X204888495Y-127228600D01* +X204965904Y-127306009D01* +X205004609Y-127383419D01* +X205043314Y-127538238D01* +X205043314Y-127654352D01* +X205004609Y-127809171D01* +X204965904Y-127886580D01* +X204888495Y-127963990D01* +X204772380Y-128002695D01* +X204694971Y-128002695D01* +X204578857Y-127963990D01* +X204540152Y-127925285D01* +X204540152Y-127654352D01* +X204694971Y-127654352D01* +X203920876Y-127576942D02* +X204191809Y-127576942D01* +X204191809Y-128002695D02* +X204191809Y-127189895D01* +X203804761Y-127189895D01* +D11* +X259207000Y-123571000D02* +X260223000Y-125349000D01* +X258191000Y-125349000D02* +X259207000Y-123571000D01* +X260223000Y-125349000D02* +X258191000Y-125349000D01* +X257090333Y-123764523D02* +X256364619Y-123764523D01* +X256727476Y-125034523D02* +X256727476Y-123764523D01* +X255941285Y-125034523D02* +X255941285Y-123764523D01* +X255397000Y-125034523D02* +X255397000Y-124369285D01* +X255457476Y-124248333D01* +X255578428Y-124187857D01* +X255759857Y-124187857D01* +X255880809Y-124248333D01* +X255941285Y-124308809D01* +X254792238Y-125034523D02* +X254792238Y-124187857D01* +X254792238Y-123764523D02* +X254852714Y-123825000D01* +X254792238Y-123885476D01* +X254731761Y-123825000D01* +X254792238Y-123764523D01* +X254792238Y-123885476D01* +X254247952Y-124974047D02* +X254127000Y-125034523D01* +X253885095Y-125034523D01* +X253764142Y-124974047D01* +X253703666Y-124853095D01* +X253703666Y-124792619D01* +X253764142Y-124671666D01* +X253885095Y-124611190D01* +X254066523Y-124611190D01* +X254187476Y-124550714D01* +X254247952Y-124429761D01* +X254247952Y-124369285D01* +X254187476Y-124248333D01* +X254066523Y-124187857D01* +X253885095Y-124187857D01* +X253764142Y-124248333D01* +X252252238Y-124974047D02* +X252070809Y-125034523D01* +X251768428Y-125034523D01* +X251647476Y-124974047D01* +X251587000Y-124913571D01* +X251526523Y-124792619D01* +X251526523Y-124671666D01* +X251587000Y-124550714D01* +X251647476Y-124490238D01* +X251768428Y-124429761D01* +X252010333Y-124369285D01* +X252131285Y-124308809D01* +X252191761Y-124248333D01* +X252252238Y-124127380D01* +X252252238Y-124006428D01* +X252191761Y-123885476D01* +X252131285Y-123825000D01* +X252010333Y-123764523D01* +X251707952Y-123764523D01* +X251526523Y-123825000D01* +X250982238Y-125034523D02* +X250982238Y-124187857D01* +X250982238Y-123764523D02* +X251042714Y-123825000D01* +X250982238Y-123885476D01* +X250921761Y-123825000D01* +X250982238Y-123764523D01* +X250982238Y-123885476D01* +X249833190Y-125034523D02* +X249833190Y-123764523D01* +X249833190Y-124974047D02* +X249954142Y-125034523D01* +X250196047Y-125034523D01* +X250317000Y-124974047D01* +X250377476Y-124913571D01* +X250437952Y-124792619D01* +X250437952Y-124429761D01* +X250377476Y-124308809D01* +X250317000Y-124248333D01* +X250196047Y-124187857D01* +X249954142Y-124187857D01* +X249833190Y-124248333D01* +X248744619Y-124974047D02* +X248865571Y-125034523D01* +X249107476Y-125034523D01* +X249228428Y-124974047D01* +X249288904Y-124853095D01* +X249288904Y-124369285D01* +X249228428Y-124248333D01* +X249107476Y-124187857D01* +X248865571Y-124187857D01* +X248744619Y-124248333D01* +X248684142Y-124369285D01* +X248684142Y-124490238D01* +X249288904Y-124611190D01* +X246748904Y-124369285D02* +X247172238Y-124369285D01* +X247172238Y-125034523D02* +X247172238Y-123764523D01* +X246567476Y-123764523D01* +X245539380Y-125034523D02* +X245539380Y-124369285D01* +X245599857Y-124248333D01* +X245720809Y-124187857D01* +X245962714Y-124187857D01* +X246083666Y-124248333D01* +X245539380Y-124974047D02* +X245660333Y-125034523D01* +X245962714Y-125034523D01* +X246083666Y-124974047D01* +X246144142Y-124853095D01* +X246144142Y-124732142D01* +X246083666Y-124611190D01* +X245962714Y-124550714D01* +X245660333Y-124550714D01* +X245539380Y-124490238D01* +X244390333Y-124974047D02* +X244511285Y-125034523D01* +X244753190Y-125034523D01* +X244874142Y-124974047D01* +X244934619Y-124913571D01* +X244995095Y-124792619D01* +X244995095Y-124429761D01* +X244934619Y-124308809D01* +X244874142Y-124248333D01* +X244753190Y-124187857D01* +X244511285Y-124187857D01* +X244390333Y-124248333D01* +X243362238Y-124974047D02* +X243483190Y-125034523D01* +X243725095Y-125034523D01* +X243846047Y-124974047D01* +X243906523Y-124853095D01* +X243906523Y-124369285D01* +X243846047Y-124248333D01* +X243725095Y-124187857D01* +X243483190Y-124187857D01* +X243362238Y-124248333D01* +X243301761Y-124369285D01* +X243301761Y-124490238D01* +X243906523Y-124611190D01* +X242817952Y-124974047D02* +X242697000Y-125034523D01* +X242455095Y-125034523D01* +X242334142Y-124974047D01* +X242273666Y-124853095D01* +X242273666Y-124792619D01* +X242334142Y-124671666D01* +X242455095Y-124611190D01* +X242636523Y-124611190D01* +X242757476Y-124550714D01* +X242817952Y-124429761D01* +X242817952Y-124369285D01* +X242757476Y-124248333D01* +X242636523Y-124187857D01* +X242455095Y-124187857D01* +X242334142Y-124248333D01* +X240761761Y-125034523D02* +X240761761Y-123764523D01* +X240277952Y-123764523D01* +X240157000Y-123825000D01* +X240096523Y-123885476D01* +X240036047Y-124006428D01* +X240036047Y-124187857D01* +X240096523Y-124308809D01* +X240157000Y-124369285D01* +X240277952Y-124429761D01* +X240761761Y-124429761D01* +X239310333Y-125034523D02* +X239431285Y-124974047D01* +X239491761Y-124913571D01* +X239552238Y-124792619D01* +X239552238Y-124429761D01* +X239491761Y-124308809D01* +X239431285Y-124248333D01* +X239310333Y-124187857D01* +X239128904Y-124187857D01* +X239007952Y-124248333D01* +X238947476Y-124308809D01* +X238887000Y-124429761D01* +X238887000Y-124792619D01* +X238947476Y-124913571D01* +X239007952Y-124974047D01* +X239128904Y-125034523D01* +X239310333Y-125034523D01* +X238463666Y-124187857D02* +X238221761Y-125034523D01* +X237979857Y-124429761D01* +X237737952Y-125034523D01* +X237496047Y-124187857D01* +X236528428Y-124974047D02* +X236649380Y-125034523D01* +X236891285Y-125034523D01* +X237012238Y-124974047D01* +X237072714Y-124853095D01* +X237072714Y-124369285D01* +X237012238Y-124248333D01* +X236891285Y-124187857D01* +X236649380Y-124187857D01* +X236528428Y-124248333D01* +X236467952Y-124369285D01* +X236467952Y-124490238D01* +X237072714Y-124611190D01* +X235923666Y-125034523D02* +X235923666Y-124187857D01* +X235923666Y-124429761D02* +X235863190Y-124308809D01* +X235802714Y-124248333D01* +X235681761Y-124187857D01* +X235560809Y-124187857D01* +X234230333Y-124974047D02* +X234048904Y-125034523D01* +X233746523Y-125034523D01* +X233625571Y-124974047D01* +X233565095Y-124913571D01* +X233504619Y-124792619D01* +X233504619Y-124671666D01* +X233565095Y-124550714D01* +X233625571Y-124490238D01* +X233746523Y-124429761D01* +X233988428Y-124369285D01* +X234109380Y-124308809D01* +X234169857Y-124248333D01* +X234230333Y-124127380D01* +X234230333Y-124006428D01* +X234169857Y-123885476D01* +X234109380Y-123825000D01* +X233988428Y-123764523D01* +X233686047Y-123764523D01* +X233504619Y-123825000D01* +X232416047Y-124187857D02* +X232416047Y-125034523D01* +X232960333Y-124187857D02* +X232960333Y-124853095D01* +X232899857Y-124974047D01* +X232778904Y-125034523D01* +X232597476Y-125034523D01* +X232476523Y-124974047D01* +X232416047Y-124913571D01* +X231811285Y-124187857D02* +X231811285Y-125457857D01* +X231811285Y-124248333D02* +X231690333Y-124187857D01* +X231448428Y-124187857D01* +X231327476Y-124248333D01* +X231267000Y-124308809D01* +X231206523Y-124429761D01* +X231206523Y-124792619D01* +X231267000Y-124913571D01* +X231327476Y-124974047D01* +X231448428Y-125034523D01* +X231690333Y-125034523D01* +X231811285Y-124974047D01* +X230662238Y-124187857D02* +X230662238Y-125457857D01* +X230662238Y-124248333D02* +X230541285Y-124187857D01* +X230299380Y-124187857D01* +X230178428Y-124248333D01* +X230117952Y-124308809D01* +X230057476Y-124429761D01* +X230057476Y-124792619D01* +X230117952Y-124913571D01* +X230178428Y-124974047D01* +X230299380Y-125034523D01* +X230541285Y-125034523D01* +X230662238Y-124974047D01* +X229331761Y-125034523D02* +X229452714Y-124974047D01* +X229513190Y-124853095D01* +X229513190Y-123764523D01* +X228968904Y-124187857D02* +X228666523Y-125034523D01* +X228364142Y-124187857D02* +X228666523Y-125034523D01* +X228787476Y-125336904D01* +X228847952Y-125397380D01* +X228968904Y-125457857D01* +D12* +X259207000Y-124986142D02* +X259170714Y-125022428D01* +X259207000Y-125058714D01* +X259243285Y-125022428D01* +X259207000Y-124986142D01* +X259207000Y-125058714D01* +X259207000Y-124768428D02* +X259243285Y-124333000D01* +X259207000Y-124296714D01* +X259170714Y-124333000D01* +X259207000Y-124768428D01* +X259207000Y-124296714D01* +%LPC*% +D13* +G36* +X276606000Y-139446000D02* +G01* +X276098000Y-139954000D01* +X198882000Y-139954000D01* +X198374000Y-139446000D01* +X198374000Y-132080000D01* +X276606000Y-132080000D01* +X276606000Y-139446000D01* +G37* +D14* +X270002000Y-93091000D03* +D13* +G36* +X201120179Y-131537818D02* +G01* +X201160862Y-131543853D01* +X201200758Y-131553846D01* +X201239483Y-131567702D01* +X201276662Y-131585287D01* +X201311939Y-131606431D01* +X201344974Y-131630931D01* +X201375448Y-131658552D01* +X201403069Y-131689026D01* +X201427569Y-131722061D01* +X201448713Y-131757338D01* +X201466298Y-131794517D01* +X201480154Y-131833242D01* +X201490147Y-131873138D01* +X201496182Y-131913821D01* +X201498200Y-131954900D01* +X201498200Y-138809100D01* +X201496182Y-138850179D01* +X201490147Y-138890862D01* +X201480154Y-138930758D01* +X201466298Y-138969483D01* +X201448713Y-139006662D01* +X201427569Y-139041939D01* +X201403069Y-139074974D01* +X201375448Y-139105448D01* +X201344974Y-139133069D01* +X201311939Y-139157569D01* +X201276662Y-139178713D01* +X201239483Y-139196298D01* +X201200758Y-139210154D01* +X201160862Y-139220147D01* +X201120179Y-139226182D01* +X201079100Y-139228200D01* +X200240900Y-139228200D01* +X200199821Y-139226182D01* +X200159138Y-139220147D01* +X200119242Y-139210154D01* +X200080517Y-139196298D01* +X200043338Y-139178713D01* +X200008061Y-139157569D01* +X199975026Y-139133069D01* +X199944552Y-139105448D01* +X199916931Y-139074974D01* +X199892431Y-139041939D01* +X199871287Y-139006662D01* +X199853702Y-138969483D01* +X199839846Y-138930758D01* +X199829853Y-138890862D01* +X199823818Y-138850179D01* +X199821800Y-138809100D01* +X199821800Y-131954900D01* +X199823818Y-131913821D01* +X199829853Y-131873138D01* +X199839846Y-131833242D01* +X199853702Y-131794517D01* +X199871287Y-131757338D01* +X199892431Y-131722061D01* +X199916931Y-131689026D01* +X199944552Y-131658552D01* +X199975026Y-131630931D01* +X200008061Y-131606431D01* +X200043338Y-131585287D01* +X200080517Y-131567702D01* +X200119242Y-131553846D01* +X200159138Y-131543853D01* +X200199821Y-131537818D01* +X200240900Y-131535800D01* +X201079100Y-131535800D01* +X201120179Y-131537818D01* +X201120179Y-131537818D01* +G37* +D15* +X200660000Y-135382000D03* +D13* +G36* +X203660179Y-131537818D02* +G01* +X203700862Y-131543853D01* +X203740758Y-131553846D01* +X203779483Y-131567702D01* +X203816662Y-131585287D01* +X203851939Y-131606431D01* +X203884974Y-131630931D01* +X203915448Y-131658552D01* +X203943069Y-131689026D01* +X203967569Y-131722061D01* +X203988713Y-131757338D01* +X204006298Y-131794517D01* +X204020154Y-131833242D01* +X204030147Y-131873138D01* +X204036182Y-131913821D01* +X204038200Y-131954900D01* +X204038200Y-138809100D01* +X204036182Y-138850179D01* +X204030147Y-138890862D01* +X204020154Y-138930758D01* +X204006298Y-138969483D01* +X203988713Y-139006662D01* +X203967569Y-139041939D01* +X203943069Y-139074974D01* +X203915448Y-139105448D01* +X203884974Y-139133069D01* +X203851939Y-139157569D01* +X203816662Y-139178713D01* +X203779483Y-139196298D01* +X203740758Y-139210154D01* +X203700862Y-139220147D01* +X203660179Y-139226182D01* +X203619100Y-139228200D01* +X202780900Y-139228200D01* +X202739821Y-139226182D01* +X202699138Y-139220147D01* +X202659242Y-139210154D01* +X202620517Y-139196298D01* +X202583338Y-139178713D01* +X202548061Y-139157569D01* +X202515026Y-139133069D01* +X202484552Y-139105448D01* +X202456931Y-139074974D01* +X202432431Y-139041939D01* +X202411287Y-139006662D01* +X202393702Y-138969483D01* +X202379846Y-138930758D01* +X202369853Y-138890862D01* +X202363818Y-138850179D01* +X202361800Y-138809100D01* +X202361800Y-131954900D01* +X202363818Y-131913821D01* +X202369853Y-131873138D01* +X202379846Y-131833242D01* +X202393702Y-131794517D01* +X202411287Y-131757338D01* +X202432431Y-131722061D01* +X202456931Y-131689026D01* +X202484552Y-131658552D01* +X202515026Y-131630931D01* +X202548061Y-131606431D01* +X202583338Y-131585287D01* +X202620517Y-131567702D01* +X202659242Y-131553846D01* +X202699138Y-131543853D01* +X202739821Y-131537818D01* +X202780900Y-131535800D01* +X203619100Y-131535800D01* +X203660179Y-131537818D01* +X203660179Y-131537818D01* +G37* +D15* +X203200000Y-135382000D03* +D13* +G36* +X206200179Y-131537818D02* +G01* +X206240862Y-131543853D01* +X206280758Y-131553846D01* +X206319483Y-131567702D01* +X206356662Y-131585287D01* +X206391939Y-131606431D01* +X206424974Y-131630931D01* +X206455448Y-131658552D01* +X206483069Y-131689026D01* +X206507569Y-131722061D01* +X206528713Y-131757338D01* +X206546298Y-131794517D01* +X206560154Y-131833242D01* +X206570147Y-131873138D01* +X206576182Y-131913821D01* +X206578200Y-131954900D01* +X206578200Y-138809100D01* +X206576182Y-138850179D01* +X206570147Y-138890862D01* +X206560154Y-138930758D01* +X206546298Y-138969483D01* +X206528713Y-139006662D01* +X206507569Y-139041939D01* +X206483069Y-139074974D01* +X206455448Y-139105448D01* +X206424974Y-139133069D01* +X206391939Y-139157569D01* +X206356662Y-139178713D01* +X206319483Y-139196298D01* +X206280758Y-139210154D01* +X206240862Y-139220147D01* +X206200179Y-139226182D01* +X206159100Y-139228200D01* +X205320900Y-139228200D01* +X205279821Y-139226182D01* +X205239138Y-139220147D01* +X205199242Y-139210154D01* +X205160517Y-139196298D01* +X205123338Y-139178713D01* +X205088061Y-139157569D01* +X205055026Y-139133069D01* +X205024552Y-139105448D01* +X204996931Y-139074974D01* +X204972431Y-139041939D01* +X204951287Y-139006662D01* +X204933702Y-138969483D01* +X204919846Y-138930758D01* +X204909853Y-138890862D01* +X204903818Y-138850179D01* +X204901800Y-138809100D01* +X204901800Y-131954900D01* +X204903818Y-131913821D01* +X204909853Y-131873138D01* +X204919846Y-131833242D01* +X204933702Y-131794517D01* +X204951287Y-131757338D01* +X204972431Y-131722061D01* +X204996931Y-131689026D01* +X205024552Y-131658552D01* +X205055026Y-131630931D01* +X205088061Y-131606431D01* +X205123338Y-131585287D01* +X205160517Y-131567702D01* +X205199242Y-131553846D01* +X205239138Y-131543853D01* +X205279821Y-131537818D01* +X205320900Y-131535800D01* +X206159100Y-131535800D01* +X206200179Y-131537818D01* +X206200179Y-131537818D01* +G37* +D15* +X205740000Y-135382000D03* +D13* +G36* +X208740179Y-131537818D02* +G01* +X208780862Y-131543853D01* +X208820758Y-131553846D01* +X208859483Y-131567702D01* +X208896662Y-131585287D01* +X208931939Y-131606431D01* +X208964974Y-131630931D01* +X208995448Y-131658552D01* +X209023069Y-131689026D01* +X209047569Y-131722061D01* +X209068713Y-131757338D01* +X209086298Y-131794517D01* +X209100154Y-131833242D01* +X209110147Y-131873138D01* +X209116182Y-131913821D01* +X209118200Y-131954900D01* +X209118200Y-138809100D01* +X209116182Y-138850179D01* +X209110147Y-138890862D01* +X209100154Y-138930758D01* +X209086298Y-138969483D01* +X209068713Y-139006662D01* +X209047569Y-139041939D01* +X209023069Y-139074974D01* +X208995448Y-139105448D01* +X208964974Y-139133069D01* +X208931939Y-139157569D01* +X208896662Y-139178713D01* +X208859483Y-139196298D01* +X208820758Y-139210154D01* +X208780862Y-139220147D01* +X208740179Y-139226182D01* +X208699100Y-139228200D01* +X207860900Y-139228200D01* +X207819821Y-139226182D01* +X207779138Y-139220147D01* +X207739242Y-139210154D01* +X207700517Y-139196298D01* +X207663338Y-139178713D01* +X207628061Y-139157569D01* +X207595026Y-139133069D01* +X207564552Y-139105448D01* +X207536931Y-139074974D01* +X207512431Y-139041939D01* +X207491287Y-139006662D01* +X207473702Y-138969483D01* +X207459846Y-138930758D01* +X207449853Y-138890862D01* +X207443818Y-138850179D01* +X207441800Y-138809100D01* +X207441800Y-131954900D01* +X207443818Y-131913821D01* +X207449853Y-131873138D01* +X207459846Y-131833242D01* +X207473702Y-131794517D01* +X207491287Y-131757338D01* +X207512431Y-131722061D01* +X207536931Y-131689026D01* +X207564552Y-131658552D01* +X207595026Y-131630931D01* +X207628061Y-131606431D01* +X207663338Y-131585287D01* +X207700517Y-131567702D01* +X207739242Y-131553846D01* +X207779138Y-131543853D01* +X207819821Y-131537818D01* +X207860900Y-131535800D01* +X208699100Y-131535800D01* +X208740179Y-131537818D01* +X208740179Y-131537818D01* +G37* +D15* +X208280000Y-135382000D03* +D13* +G36* +X211280179Y-131537818D02* +G01* +X211320862Y-131543853D01* +X211360758Y-131553846D01* +X211399483Y-131567702D01* +X211436662Y-131585287D01* +X211471939Y-131606431D01* +X211504974Y-131630931D01* +X211535448Y-131658552D01* +X211563069Y-131689026D01* +X211587569Y-131722061D01* +X211608713Y-131757338D01* +X211626298Y-131794517D01* +X211640154Y-131833242D01* +X211650147Y-131873138D01* +X211656182Y-131913821D01* +X211658200Y-131954900D01* +X211658200Y-138809100D01* +X211656182Y-138850179D01* +X211650147Y-138890862D01* +X211640154Y-138930758D01* +X211626298Y-138969483D01* +X211608713Y-139006662D01* +X211587569Y-139041939D01* +X211563069Y-139074974D01* +X211535448Y-139105448D01* +X211504974Y-139133069D01* +X211471939Y-139157569D01* +X211436662Y-139178713D01* +X211399483Y-139196298D01* +X211360758Y-139210154D01* +X211320862Y-139220147D01* +X211280179Y-139226182D01* +X211239100Y-139228200D01* +X210400900Y-139228200D01* +X210359821Y-139226182D01* +X210319138Y-139220147D01* +X210279242Y-139210154D01* +X210240517Y-139196298D01* +X210203338Y-139178713D01* +X210168061Y-139157569D01* +X210135026Y-139133069D01* +X210104552Y-139105448D01* +X210076931Y-139074974D01* +X210052431Y-139041939D01* +X210031287Y-139006662D01* +X210013702Y-138969483D01* +X209999846Y-138930758D01* +X209989853Y-138890862D01* +X209983818Y-138850179D01* +X209981800Y-138809100D01* +X209981800Y-131954900D01* +X209983818Y-131913821D01* +X209989853Y-131873138D01* +X209999846Y-131833242D01* +X210013702Y-131794517D01* +X210031287Y-131757338D01* +X210052431Y-131722061D01* +X210076931Y-131689026D01* +X210104552Y-131658552D01* +X210135026Y-131630931D01* +X210168061Y-131606431D01* +X210203338Y-131585287D01* +X210240517Y-131567702D01* +X210279242Y-131553846D01* +X210319138Y-131543853D01* +X210359821Y-131537818D01* +X210400900Y-131535800D01* +X211239100Y-131535800D01* +X211280179Y-131537818D01* +X211280179Y-131537818D01* +G37* +D15* +X210820000Y-135382000D03* +D13* +G36* +X213820179Y-131537818D02* +G01* +X213860862Y-131543853D01* +X213900758Y-131553846D01* +X213939483Y-131567702D01* +X213976662Y-131585287D01* +X214011939Y-131606431D01* +X214044974Y-131630931D01* +X214075448Y-131658552D01* +X214103069Y-131689026D01* +X214127569Y-131722061D01* +X214148713Y-131757338D01* +X214166298Y-131794517D01* +X214180154Y-131833242D01* +X214190147Y-131873138D01* +X214196182Y-131913821D01* +X214198200Y-131954900D01* +X214198200Y-138809100D01* +X214196182Y-138850179D01* +X214190147Y-138890862D01* +X214180154Y-138930758D01* +X214166298Y-138969483D01* +X214148713Y-139006662D01* +X214127569Y-139041939D01* +X214103069Y-139074974D01* +X214075448Y-139105448D01* +X214044974Y-139133069D01* +X214011939Y-139157569D01* +X213976662Y-139178713D01* +X213939483Y-139196298D01* +X213900758Y-139210154D01* +X213860862Y-139220147D01* +X213820179Y-139226182D01* +X213779100Y-139228200D01* +X212940900Y-139228200D01* +X212899821Y-139226182D01* +X212859138Y-139220147D01* +X212819242Y-139210154D01* +X212780517Y-139196298D01* +X212743338Y-139178713D01* +X212708061Y-139157569D01* +X212675026Y-139133069D01* +X212644552Y-139105448D01* +X212616931Y-139074974D01* +X212592431Y-139041939D01* +X212571287Y-139006662D01* +X212553702Y-138969483D01* +X212539846Y-138930758D01* +X212529853Y-138890862D01* +X212523818Y-138850179D01* +X212521800Y-138809100D01* +X212521800Y-131954900D01* +X212523818Y-131913821D01* +X212529853Y-131873138D01* +X212539846Y-131833242D01* +X212553702Y-131794517D01* +X212571287Y-131757338D01* +X212592431Y-131722061D01* +X212616931Y-131689026D01* +X212644552Y-131658552D01* +X212675026Y-131630931D01* +X212708061Y-131606431D01* +X212743338Y-131585287D01* +X212780517Y-131567702D01* +X212819242Y-131553846D01* +X212859138Y-131543853D01* +X212899821Y-131537818D01* +X212940900Y-131535800D01* +X213779100Y-131535800D01* +X213820179Y-131537818D01* +X213820179Y-131537818D01* +G37* +D15* +X213360000Y-135382000D03* +D13* +G36* +X216360179Y-131537818D02* +G01* +X216400862Y-131543853D01* +X216440758Y-131553846D01* +X216479483Y-131567702D01* +X216516662Y-131585287D01* +X216551939Y-131606431D01* +X216584974Y-131630931D01* +X216615448Y-131658552D01* +X216643069Y-131689026D01* +X216667569Y-131722061D01* +X216688713Y-131757338D01* +X216706298Y-131794517D01* +X216720154Y-131833242D01* +X216730147Y-131873138D01* +X216736182Y-131913821D01* +X216738200Y-131954900D01* +X216738200Y-138809100D01* +X216736182Y-138850179D01* +X216730147Y-138890862D01* +X216720154Y-138930758D01* +X216706298Y-138969483D01* +X216688713Y-139006662D01* +X216667569Y-139041939D01* +X216643069Y-139074974D01* +X216615448Y-139105448D01* +X216584974Y-139133069D01* +X216551939Y-139157569D01* +X216516662Y-139178713D01* +X216479483Y-139196298D01* +X216440758Y-139210154D01* +X216400862Y-139220147D01* +X216360179Y-139226182D01* +X216319100Y-139228200D01* +X215480900Y-139228200D01* +X215439821Y-139226182D01* +X215399138Y-139220147D01* +X215359242Y-139210154D01* +X215320517Y-139196298D01* +X215283338Y-139178713D01* +X215248061Y-139157569D01* +X215215026Y-139133069D01* +X215184552Y-139105448D01* +X215156931Y-139074974D01* +X215132431Y-139041939D01* +X215111287Y-139006662D01* +X215093702Y-138969483D01* +X215079846Y-138930758D01* +X215069853Y-138890862D01* +X215063818Y-138850179D01* +X215061800Y-138809100D01* +X215061800Y-131954900D01* +X215063818Y-131913821D01* +X215069853Y-131873138D01* +X215079846Y-131833242D01* +X215093702Y-131794517D01* +X215111287Y-131757338D01* +X215132431Y-131722061D01* +X215156931Y-131689026D01* +X215184552Y-131658552D01* +X215215026Y-131630931D01* +X215248061Y-131606431D01* +X215283338Y-131585287D01* +X215320517Y-131567702D01* +X215359242Y-131553846D01* +X215399138Y-131543853D01* +X215439821Y-131537818D01* +X215480900Y-131535800D01* +X216319100Y-131535800D01* +X216360179Y-131537818D01* +X216360179Y-131537818D01* +G37* +D15* +X215900000Y-135382000D03* +D13* +G36* +X218900179Y-131537818D02* +G01* +X218940862Y-131543853D01* +X218980758Y-131553846D01* +X219019483Y-131567702D01* +X219056662Y-131585287D01* +X219091939Y-131606431D01* +X219124974Y-131630931D01* +X219155448Y-131658552D01* +X219183069Y-131689026D01* +X219207569Y-131722061D01* +X219228713Y-131757338D01* +X219246298Y-131794517D01* +X219260154Y-131833242D01* +X219270147Y-131873138D01* +X219276182Y-131913821D01* +X219278200Y-131954900D01* +X219278200Y-138809100D01* +X219276182Y-138850179D01* +X219270147Y-138890862D01* +X219260154Y-138930758D01* +X219246298Y-138969483D01* +X219228713Y-139006662D01* +X219207569Y-139041939D01* +X219183069Y-139074974D01* +X219155448Y-139105448D01* +X219124974Y-139133069D01* +X219091939Y-139157569D01* +X219056662Y-139178713D01* +X219019483Y-139196298D01* +X218980758Y-139210154D01* +X218940862Y-139220147D01* +X218900179Y-139226182D01* +X218859100Y-139228200D01* +X218020900Y-139228200D01* +X217979821Y-139226182D01* +X217939138Y-139220147D01* +X217899242Y-139210154D01* +X217860517Y-139196298D01* +X217823338Y-139178713D01* +X217788061Y-139157569D01* +X217755026Y-139133069D01* +X217724552Y-139105448D01* +X217696931Y-139074974D01* +X217672431Y-139041939D01* +X217651287Y-139006662D01* +X217633702Y-138969483D01* +X217619846Y-138930758D01* +X217609853Y-138890862D01* +X217603818Y-138850179D01* +X217601800Y-138809100D01* +X217601800Y-131954900D01* +X217603818Y-131913821D01* +X217609853Y-131873138D01* +X217619846Y-131833242D01* +X217633702Y-131794517D01* +X217651287Y-131757338D01* +X217672431Y-131722061D01* +X217696931Y-131689026D01* +X217724552Y-131658552D01* +X217755026Y-131630931D01* +X217788061Y-131606431D01* +X217823338Y-131585287D01* +X217860517Y-131567702D01* +X217899242Y-131553846D01* +X217939138Y-131543853D01* +X217979821Y-131537818D01* +X218020900Y-131535800D01* +X218859100Y-131535800D01* +X218900179Y-131537818D01* +X218900179Y-131537818D01* +G37* +D15* +X218440000Y-135382000D03* +D13* +G36* +X221440179Y-131537818D02* +G01* +X221480862Y-131543853D01* +X221520758Y-131553846D01* +X221559483Y-131567702D01* +X221596662Y-131585287D01* +X221631939Y-131606431D01* +X221664974Y-131630931D01* +X221695448Y-131658552D01* +X221723069Y-131689026D01* +X221747569Y-131722061D01* +X221768713Y-131757338D01* +X221786298Y-131794517D01* +X221800154Y-131833242D01* +X221810147Y-131873138D01* +X221816182Y-131913821D01* +X221818200Y-131954900D01* +X221818200Y-138809100D01* +X221816182Y-138850179D01* +X221810147Y-138890862D01* +X221800154Y-138930758D01* +X221786298Y-138969483D01* +X221768713Y-139006662D01* +X221747569Y-139041939D01* +X221723069Y-139074974D01* +X221695448Y-139105448D01* +X221664974Y-139133069D01* +X221631939Y-139157569D01* +X221596662Y-139178713D01* +X221559483Y-139196298D01* +X221520758Y-139210154D01* +X221480862Y-139220147D01* +X221440179Y-139226182D01* +X221399100Y-139228200D01* +X220560900Y-139228200D01* +X220519821Y-139226182D01* +X220479138Y-139220147D01* +X220439242Y-139210154D01* +X220400517Y-139196298D01* +X220363338Y-139178713D01* +X220328061Y-139157569D01* +X220295026Y-139133069D01* +X220264552Y-139105448D01* +X220236931Y-139074974D01* +X220212431Y-139041939D01* +X220191287Y-139006662D01* +X220173702Y-138969483D01* +X220159846Y-138930758D01* +X220149853Y-138890862D01* +X220143818Y-138850179D01* +X220141800Y-138809100D01* +X220141800Y-131954900D01* +X220143818Y-131913821D01* +X220149853Y-131873138D01* +X220159846Y-131833242D01* +X220173702Y-131794517D01* +X220191287Y-131757338D01* +X220212431Y-131722061D01* +X220236931Y-131689026D01* +X220264552Y-131658552D01* +X220295026Y-131630931D01* +X220328061Y-131606431D01* +X220363338Y-131585287D01* +X220400517Y-131567702D01* +X220439242Y-131553846D01* +X220479138Y-131543853D01* +X220519821Y-131537818D01* +X220560900Y-131535800D01* +X221399100Y-131535800D01* +X221440179Y-131537818D01* +X221440179Y-131537818D01* +G37* +D15* +X220980000Y-135382000D03* +D13* +G36* +X223980179Y-131537818D02* +G01* +X224020862Y-131543853D01* +X224060758Y-131553846D01* +X224099483Y-131567702D01* +X224136662Y-131585287D01* +X224171939Y-131606431D01* +X224204974Y-131630931D01* +X224235448Y-131658552D01* +X224263069Y-131689026D01* +X224287569Y-131722061D01* +X224308713Y-131757338D01* +X224326298Y-131794517D01* +X224340154Y-131833242D01* +X224350147Y-131873138D01* +X224356182Y-131913821D01* +X224358200Y-131954900D01* +X224358200Y-138809100D01* +X224356182Y-138850179D01* +X224350147Y-138890862D01* +X224340154Y-138930758D01* +X224326298Y-138969483D01* +X224308713Y-139006662D01* +X224287569Y-139041939D01* +X224263069Y-139074974D01* +X224235448Y-139105448D01* +X224204974Y-139133069D01* +X224171939Y-139157569D01* +X224136662Y-139178713D01* +X224099483Y-139196298D01* +X224060758Y-139210154D01* +X224020862Y-139220147D01* +X223980179Y-139226182D01* +X223939100Y-139228200D01* +X223100900Y-139228200D01* +X223059821Y-139226182D01* +X223019138Y-139220147D01* +X222979242Y-139210154D01* +X222940517Y-139196298D01* +X222903338Y-139178713D01* +X222868061Y-139157569D01* +X222835026Y-139133069D01* +X222804552Y-139105448D01* +X222776931Y-139074974D01* +X222752431Y-139041939D01* +X222731287Y-139006662D01* +X222713702Y-138969483D01* +X222699846Y-138930758D01* +X222689853Y-138890862D01* +X222683818Y-138850179D01* +X222681800Y-138809100D01* +X222681800Y-131954900D01* +X222683818Y-131913821D01* +X222689853Y-131873138D01* +X222699846Y-131833242D01* +X222713702Y-131794517D01* +X222731287Y-131757338D01* +X222752431Y-131722061D01* +X222776931Y-131689026D01* +X222804552Y-131658552D01* +X222835026Y-131630931D01* +X222868061Y-131606431D01* +X222903338Y-131585287D01* +X222940517Y-131567702D01* +X222979242Y-131553846D01* +X223019138Y-131543853D01* +X223059821Y-131537818D01* +X223100900Y-131535800D01* +X223939100Y-131535800D01* +X223980179Y-131537818D01* +X223980179Y-131537818D01* +G37* +D15* +X223520000Y-135382000D03* +D13* +G36* +X226520179Y-131537818D02* +G01* +X226560862Y-131543853D01* +X226600758Y-131553846D01* +X226639483Y-131567702D01* +X226676662Y-131585287D01* +X226711939Y-131606431D01* +X226744974Y-131630931D01* +X226775448Y-131658552D01* +X226803069Y-131689026D01* +X226827569Y-131722061D01* +X226848713Y-131757338D01* +X226866298Y-131794517D01* +X226880154Y-131833242D01* +X226890147Y-131873138D01* +X226896182Y-131913821D01* +X226898200Y-131954900D01* +X226898200Y-138809100D01* +X226896182Y-138850179D01* +X226890147Y-138890862D01* +X226880154Y-138930758D01* +X226866298Y-138969483D01* +X226848713Y-139006662D01* +X226827569Y-139041939D01* +X226803069Y-139074974D01* +X226775448Y-139105448D01* +X226744974Y-139133069D01* +X226711939Y-139157569D01* +X226676662Y-139178713D01* +X226639483Y-139196298D01* +X226600758Y-139210154D01* +X226560862Y-139220147D01* +X226520179Y-139226182D01* +X226479100Y-139228200D01* +X225640900Y-139228200D01* +X225599821Y-139226182D01* +X225559138Y-139220147D01* +X225519242Y-139210154D01* +X225480517Y-139196298D01* +X225443338Y-139178713D01* +X225408061Y-139157569D01* +X225375026Y-139133069D01* +X225344552Y-139105448D01* +X225316931Y-139074974D01* +X225292431Y-139041939D01* +X225271287Y-139006662D01* +X225253702Y-138969483D01* +X225239846Y-138930758D01* +X225229853Y-138890862D01* +X225223818Y-138850179D01* +X225221800Y-138809100D01* +X225221800Y-131954900D01* +X225223818Y-131913821D01* +X225229853Y-131873138D01* +X225239846Y-131833242D01* +X225253702Y-131794517D01* +X225271287Y-131757338D01* +X225292431Y-131722061D01* +X225316931Y-131689026D01* +X225344552Y-131658552D01* +X225375026Y-131630931D01* +X225408061Y-131606431D01* +X225443338Y-131585287D01* +X225480517Y-131567702D01* +X225519242Y-131553846D01* +X225559138Y-131543853D01* +X225599821Y-131537818D01* +X225640900Y-131535800D01* +X226479100Y-131535800D01* +X226520179Y-131537818D01* +X226520179Y-131537818D01* +G37* +D15* +X226060000Y-135382000D03* +D13* +G36* +X229060179Y-131537818D02* +G01* +X229100862Y-131543853D01* +X229140758Y-131553846D01* +X229179483Y-131567702D01* +X229216662Y-131585287D01* +X229251939Y-131606431D01* +X229284974Y-131630931D01* +X229315448Y-131658552D01* +X229343069Y-131689026D01* +X229367569Y-131722061D01* +X229388713Y-131757338D01* +X229406298Y-131794517D01* +X229420154Y-131833242D01* +X229430147Y-131873138D01* +X229436182Y-131913821D01* +X229438200Y-131954900D01* +X229438200Y-138809100D01* +X229436182Y-138850179D01* +X229430147Y-138890862D01* +X229420154Y-138930758D01* +X229406298Y-138969483D01* +X229388713Y-139006662D01* +X229367569Y-139041939D01* +X229343069Y-139074974D01* +X229315448Y-139105448D01* +X229284974Y-139133069D01* +X229251939Y-139157569D01* +X229216662Y-139178713D01* +X229179483Y-139196298D01* +X229140758Y-139210154D01* +X229100862Y-139220147D01* +X229060179Y-139226182D01* +X229019100Y-139228200D01* +X228180900Y-139228200D01* +X228139821Y-139226182D01* +X228099138Y-139220147D01* +X228059242Y-139210154D01* +X228020517Y-139196298D01* +X227983338Y-139178713D01* +X227948061Y-139157569D01* +X227915026Y-139133069D01* +X227884552Y-139105448D01* +X227856931Y-139074974D01* +X227832431Y-139041939D01* +X227811287Y-139006662D01* +X227793702Y-138969483D01* +X227779846Y-138930758D01* +X227769853Y-138890862D01* +X227763818Y-138850179D01* +X227761800Y-138809100D01* +X227761800Y-131954900D01* +X227763818Y-131913821D01* +X227769853Y-131873138D01* +X227779846Y-131833242D01* +X227793702Y-131794517D01* +X227811287Y-131757338D01* +X227832431Y-131722061D01* +X227856931Y-131689026D01* +X227884552Y-131658552D01* +X227915026Y-131630931D01* +X227948061Y-131606431D01* +X227983338Y-131585287D01* +X228020517Y-131567702D01* +X228059242Y-131553846D01* +X228099138Y-131543853D01* +X228139821Y-131537818D01* +X228180900Y-131535800D01* +X229019100Y-131535800D01* +X229060179Y-131537818D01* +X229060179Y-131537818D01* +G37* +D15* +X228600000Y-135382000D03* +D13* +G36* +X231600179Y-131537818D02* +G01* +X231640862Y-131543853D01* +X231680758Y-131553846D01* +X231719483Y-131567702D01* +X231756662Y-131585287D01* +X231791939Y-131606431D01* +X231824974Y-131630931D01* +X231855448Y-131658552D01* +X231883069Y-131689026D01* +X231907569Y-131722061D01* +X231928713Y-131757338D01* +X231946298Y-131794517D01* +X231960154Y-131833242D01* +X231970147Y-131873138D01* +X231976182Y-131913821D01* +X231978200Y-131954900D01* +X231978200Y-138809100D01* +X231976182Y-138850179D01* +X231970147Y-138890862D01* +X231960154Y-138930758D01* +X231946298Y-138969483D01* +X231928713Y-139006662D01* +X231907569Y-139041939D01* +X231883069Y-139074974D01* +X231855448Y-139105448D01* +X231824974Y-139133069D01* +X231791939Y-139157569D01* +X231756662Y-139178713D01* +X231719483Y-139196298D01* +X231680758Y-139210154D01* +X231640862Y-139220147D01* +X231600179Y-139226182D01* +X231559100Y-139228200D01* +X230720900Y-139228200D01* +X230679821Y-139226182D01* +X230639138Y-139220147D01* +X230599242Y-139210154D01* +X230560517Y-139196298D01* +X230523338Y-139178713D01* +X230488061Y-139157569D01* +X230455026Y-139133069D01* +X230424552Y-139105448D01* +X230396931Y-139074974D01* +X230372431Y-139041939D01* +X230351287Y-139006662D01* +X230333702Y-138969483D01* +X230319846Y-138930758D01* +X230309853Y-138890862D01* +X230303818Y-138850179D01* +X230301800Y-138809100D01* +X230301800Y-131954900D01* +X230303818Y-131913821D01* +X230309853Y-131873138D01* +X230319846Y-131833242D01* +X230333702Y-131794517D01* +X230351287Y-131757338D01* +X230372431Y-131722061D01* +X230396931Y-131689026D01* +X230424552Y-131658552D01* +X230455026Y-131630931D01* +X230488061Y-131606431D01* +X230523338Y-131585287D01* +X230560517Y-131567702D01* +X230599242Y-131553846D01* +X230639138Y-131543853D01* +X230679821Y-131537818D01* +X230720900Y-131535800D01* +X231559100Y-131535800D01* +X231600179Y-131537818D01* +X231600179Y-131537818D01* +G37* +D15* +X231140000Y-135382000D03* +D13* +G36* +X234140179Y-131537818D02* +G01* +X234180862Y-131543853D01* +X234220758Y-131553846D01* +X234259483Y-131567702D01* +X234296662Y-131585287D01* +X234331939Y-131606431D01* +X234364974Y-131630931D01* +X234395448Y-131658552D01* +X234423069Y-131689026D01* +X234447569Y-131722061D01* +X234468713Y-131757338D01* +X234486298Y-131794517D01* +X234500154Y-131833242D01* +X234510147Y-131873138D01* +X234516182Y-131913821D01* +X234518200Y-131954900D01* +X234518200Y-138809100D01* +X234516182Y-138850179D01* +X234510147Y-138890862D01* +X234500154Y-138930758D01* +X234486298Y-138969483D01* +X234468713Y-139006662D01* +X234447569Y-139041939D01* +X234423069Y-139074974D01* +X234395448Y-139105448D01* +X234364974Y-139133069D01* +X234331939Y-139157569D01* +X234296662Y-139178713D01* +X234259483Y-139196298D01* +X234220758Y-139210154D01* +X234180862Y-139220147D01* +X234140179Y-139226182D01* +X234099100Y-139228200D01* +X233260900Y-139228200D01* +X233219821Y-139226182D01* +X233179138Y-139220147D01* +X233139242Y-139210154D01* +X233100517Y-139196298D01* +X233063338Y-139178713D01* +X233028061Y-139157569D01* +X232995026Y-139133069D01* +X232964552Y-139105448D01* +X232936931Y-139074974D01* +X232912431Y-139041939D01* +X232891287Y-139006662D01* +X232873702Y-138969483D01* +X232859846Y-138930758D01* +X232849853Y-138890862D01* +X232843818Y-138850179D01* +X232841800Y-138809100D01* +X232841800Y-131954900D01* +X232843818Y-131913821D01* +X232849853Y-131873138D01* +X232859846Y-131833242D01* +X232873702Y-131794517D01* +X232891287Y-131757338D01* +X232912431Y-131722061D01* +X232936931Y-131689026D01* +X232964552Y-131658552D01* +X232995026Y-131630931D01* +X233028061Y-131606431D01* +X233063338Y-131585287D01* +X233100517Y-131567702D01* +X233139242Y-131553846D01* +X233179138Y-131543853D01* +X233219821Y-131537818D01* +X233260900Y-131535800D01* +X234099100Y-131535800D01* +X234140179Y-131537818D01* +X234140179Y-131537818D01* +G37* +D15* +X233680000Y-135382000D03* +D13* +G36* +X236680179Y-131537818D02* +G01* +X236720862Y-131543853D01* +X236760758Y-131553846D01* +X236799483Y-131567702D01* +X236836662Y-131585287D01* +X236871939Y-131606431D01* +X236904974Y-131630931D01* +X236935448Y-131658552D01* +X236963069Y-131689026D01* +X236987569Y-131722061D01* +X237008713Y-131757338D01* +X237026298Y-131794517D01* +X237040154Y-131833242D01* +X237050147Y-131873138D01* +X237056182Y-131913821D01* +X237058200Y-131954900D01* +X237058200Y-138809100D01* +X237056182Y-138850179D01* +X237050147Y-138890862D01* +X237040154Y-138930758D01* +X237026298Y-138969483D01* +X237008713Y-139006662D01* +X236987569Y-139041939D01* +X236963069Y-139074974D01* +X236935448Y-139105448D01* +X236904974Y-139133069D01* +X236871939Y-139157569D01* +X236836662Y-139178713D01* +X236799483Y-139196298D01* +X236760758Y-139210154D01* +X236720862Y-139220147D01* +X236680179Y-139226182D01* +X236639100Y-139228200D01* +X235800900Y-139228200D01* +X235759821Y-139226182D01* +X235719138Y-139220147D01* +X235679242Y-139210154D01* +X235640517Y-139196298D01* +X235603338Y-139178713D01* +X235568061Y-139157569D01* +X235535026Y-139133069D01* +X235504552Y-139105448D01* +X235476931Y-139074974D01* +X235452431Y-139041939D01* +X235431287Y-139006662D01* +X235413702Y-138969483D01* +X235399846Y-138930758D01* +X235389853Y-138890862D01* +X235383818Y-138850179D01* +X235381800Y-138809100D01* +X235381800Y-131954900D01* +X235383818Y-131913821D01* +X235389853Y-131873138D01* +X235399846Y-131833242D01* +X235413702Y-131794517D01* +X235431287Y-131757338D01* +X235452431Y-131722061D01* +X235476931Y-131689026D01* +X235504552Y-131658552D01* +X235535026Y-131630931D01* +X235568061Y-131606431D01* +X235603338Y-131585287D01* +X235640517Y-131567702D01* +X235679242Y-131553846D01* +X235719138Y-131543853D01* +X235759821Y-131537818D01* +X235800900Y-131535800D01* +X236639100Y-131535800D01* +X236680179Y-131537818D01* +X236680179Y-131537818D01* +G37* +D15* +X236220000Y-135382000D03* +D13* +G36* +X239220179Y-131537818D02* +G01* +X239260862Y-131543853D01* +X239300758Y-131553846D01* +X239339483Y-131567702D01* +X239376662Y-131585287D01* +X239411939Y-131606431D01* +X239444974Y-131630931D01* +X239475448Y-131658552D01* +X239503069Y-131689026D01* +X239527569Y-131722061D01* +X239548713Y-131757338D01* +X239566298Y-131794517D01* +X239580154Y-131833242D01* +X239590147Y-131873138D01* +X239596182Y-131913821D01* +X239598200Y-131954900D01* +X239598200Y-138809100D01* +X239596182Y-138850179D01* +X239590147Y-138890862D01* +X239580154Y-138930758D01* +X239566298Y-138969483D01* +X239548713Y-139006662D01* +X239527569Y-139041939D01* +X239503069Y-139074974D01* +X239475448Y-139105448D01* +X239444974Y-139133069D01* +X239411939Y-139157569D01* +X239376662Y-139178713D01* +X239339483Y-139196298D01* +X239300758Y-139210154D01* +X239260862Y-139220147D01* +X239220179Y-139226182D01* +X239179100Y-139228200D01* +X238340900Y-139228200D01* +X238299821Y-139226182D01* +X238259138Y-139220147D01* +X238219242Y-139210154D01* +X238180517Y-139196298D01* +X238143338Y-139178713D01* +X238108061Y-139157569D01* +X238075026Y-139133069D01* +X238044552Y-139105448D01* +X238016931Y-139074974D01* +X237992431Y-139041939D01* +X237971287Y-139006662D01* +X237953702Y-138969483D01* +X237939846Y-138930758D01* +X237929853Y-138890862D01* +X237923818Y-138850179D01* +X237921800Y-138809100D01* +X237921800Y-131954900D01* +X237923818Y-131913821D01* +X237929853Y-131873138D01* +X237939846Y-131833242D01* +X237953702Y-131794517D01* +X237971287Y-131757338D01* +X237992431Y-131722061D01* +X238016931Y-131689026D01* +X238044552Y-131658552D01* +X238075026Y-131630931D01* +X238108061Y-131606431D01* +X238143338Y-131585287D01* +X238180517Y-131567702D01* +X238219242Y-131553846D01* +X238259138Y-131543853D01* +X238299821Y-131537818D01* +X238340900Y-131535800D01* +X239179100Y-131535800D01* +X239220179Y-131537818D01* +X239220179Y-131537818D01* +G37* +D15* +X238760000Y-135382000D03* +D13* +G36* +X241760179Y-131537818D02* +G01* +X241800862Y-131543853D01* +X241840758Y-131553846D01* +X241879483Y-131567702D01* +X241916662Y-131585287D01* +X241951939Y-131606431D01* +X241984974Y-131630931D01* +X242015448Y-131658552D01* +X242043069Y-131689026D01* +X242067569Y-131722061D01* +X242088713Y-131757338D01* +X242106298Y-131794517D01* +X242120154Y-131833242D01* +X242130147Y-131873138D01* +X242136182Y-131913821D01* +X242138200Y-131954900D01* +X242138200Y-138809100D01* +X242136182Y-138850179D01* +X242130147Y-138890862D01* +X242120154Y-138930758D01* +X242106298Y-138969483D01* +X242088713Y-139006662D01* +X242067569Y-139041939D01* +X242043069Y-139074974D01* +X242015448Y-139105448D01* +X241984974Y-139133069D01* +X241951939Y-139157569D01* +X241916662Y-139178713D01* +X241879483Y-139196298D01* +X241840758Y-139210154D01* +X241800862Y-139220147D01* +X241760179Y-139226182D01* +X241719100Y-139228200D01* +X240880900Y-139228200D01* +X240839821Y-139226182D01* +X240799138Y-139220147D01* +X240759242Y-139210154D01* +X240720517Y-139196298D01* +X240683338Y-139178713D01* +X240648061Y-139157569D01* +X240615026Y-139133069D01* +X240584552Y-139105448D01* +X240556931Y-139074974D01* +X240532431Y-139041939D01* +X240511287Y-139006662D01* +X240493702Y-138969483D01* +X240479846Y-138930758D01* +X240469853Y-138890862D01* +X240463818Y-138850179D01* +X240461800Y-138809100D01* +X240461800Y-131954900D01* +X240463818Y-131913821D01* +X240469853Y-131873138D01* +X240479846Y-131833242D01* +X240493702Y-131794517D01* +X240511287Y-131757338D01* +X240532431Y-131722061D01* +X240556931Y-131689026D01* +X240584552Y-131658552D01* +X240615026Y-131630931D01* +X240648061Y-131606431D01* +X240683338Y-131585287D01* +X240720517Y-131567702D01* +X240759242Y-131553846D01* +X240799138Y-131543853D01* +X240839821Y-131537818D01* +X240880900Y-131535800D01* +X241719100Y-131535800D01* +X241760179Y-131537818D01* +X241760179Y-131537818D01* +G37* +D15* +X241300000Y-135382000D03* +D13* +G36* +X244300179Y-131537818D02* +G01* +X244340862Y-131543853D01* +X244380758Y-131553846D01* +X244419483Y-131567702D01* +X244456662Y-131585287D01* +X244491939Y-131606431D01* +X244524974Y-131630931D01* +X244555448Y-131658552D01* +X244583069Y-131689026D01* +X244607569Y-131722061D01* +X244628713Y-131757338D01* +X244646298Y-131794517D01* +X244660154Y-131833242D01* +X244670147Y-131873138D01* +X244676182Y-131913821D01* +X244678200Y-131954900D01* +X244678200Y-138809100D01* +X244676182Y-138850179D01* +X244670147Y-138890862D01* +X244660154Y-138930758D01* +X244646298Y-138969483D01* +X244628713Y-139006662D01* +X244607569Y-139041939D01* +X244583069Y-139074974D01* +X244555448Y-139105448D01* +X244524974Y-139133069D01* +X244491939Y-139157569D01* +X244456662Y-139178713D01* +X244419483Y-139196298D01* +X244380758Y-139210154D01* +X244340862Y-139220147D01* +X244300179Y-139226182D01* +X244259100Y-139228200D01* +X243420900Y-139228200D01* +X243379821Y-139226182D01* +X243339138Y-139220147D01* +X243299242Y-139210154D01* +X243260517Y-139196298D01* +X243223338Y-139178713D01* +X243188061Y-139157569D01* +X243155026Y-139133069D01* +X243124552Y-139105448D01* +X243096931Y-139074974D01* +X243072431Y-139041939D01* +X243051287Y-139006662D01* +X243033702Y-138969483D01* +X243019846Y-138930758D01* +X243009853Y-138890862D01* +X243003818Y-138850179D01* +X243001800Y-138809100D01* +X243001800Y-131954900D01* +X243003818Y-131913821D01* +X243009853Y-131873138D01* +X243019846Y-131833242D01* +X243033702Y-131794517D01* +X243051287Y-131757338D01* +X243072431Y-131722061D01* +X243096931Y-131689026D01* +X243124552Y-131658552D01* +X243155026Y-131630931D01* +X243188061Y-131606431D01* +X243223338Y-131585287D01* +X243260517Y-131567702D01* +X243299242Y-131553846D01* +X243339138Y-131543853D01* +X243379821Y-131537818D01* +X243420900Y-131535800D01* +X244259100Y-131535800D01* +X244300179Y-131537818D01* +X244300179Y-131537818D01* +G37* +D15* +X243840000Y-135382000D03* +D13* +G36* +X246840179Y-131537818D02* +G01* +X246880862Y-131543853D01* +X246920758Y-131553846D01* +X246959483Y-131567702D01* +X246996662Y-131585287D01* +X247031939Y-131606431D01* +X247064974Y-131630931D01* +X247095448Y-131658552D01* +X247123069Y-131689026D01* +X247147569Y-131722061D01* +X247168713Y-131757338D01* +X247186298Y-131794517D01* +X247200154Y-131833242D01* +X247210147Y-131873138D01* +X247216182Y-131913821D01* +X247218200Y-131954900D01* +X247218200Y-138809100D01* +X247216182Y-138850179D01* +X247210147Y-138890862D01* +X247200154Y-138930758D01* +X247186298Y-138969483D01* +X247168713Y-139006662D01* +X247147569Y-139041939D01* +X247123069Y-139074974D01* +X247095448Y-139105448D01* +X247064974Y-139133069D01* +X247031939Y-139157569D01* +X246996662Y-139178713D01* +X246959483Y-139196298D01* +X246920758Y-139210154D01* +X246880862Y-139220147D01* +X246840179Y-139226182D01* +X246799100Y-139228200D01* +X245960900Y-139228200D01* +X245919821Y-139226182D01* +X245879138Y-139220147D01* +X245839242Y-139210154D01* +X245800517Y-139196298D01* +X245763338Y-139178713D01* +X245728061Y-139157569D01* +X245695026Y-139133069D01* +X245664552Y-139105448D01* +X245636931Y-139074974D01* +X245612431Y-139041939D01* +X245591287Y-139006662D01* +X245573702Y-138969483D01* +X245559846Y-138930758D01* +X245549853Y-138890862D01* +X245543818Y-138850179D01* +X245541800Y-138809100D01* +X245541800Y-131954900D01* +X245543818Y-131913821D01* +X245549853Y-131873138D01* +X245559846Y-131833242D01* +X245573702Y-131794517D01* +X245591287Y-131757338D01* +X245612431Y-131722061D01* +X245636931Y-131689026D01* +X245664552Y-131658552D01* +X245695026Y-131630931D01* +X245728061Y-131606431D01* +X245763338Y-131585287D01* +X245800517Y-131567702D01* +X245839242Y-131553846D01* +X245879138Y-131543853D01* +X245919821Y-131537818D01* +X245960900Y-131535800D01* +X246799100Y-131535800D01* +X246840179Y-131537818D01* +X246840179Y-131537818D01* +G37* +D15* +X246380000Y-135382000D03* +D13* +G36* +X249380179Y-131537818D02* +G01* +X249420862Y-131543853D01* +X249460758Y-131553846D01* +X249499483Y-131567702D01* +X249536662Y-131585287D01* +X249571939Y-131606431D01* +X249604974Y-131630931D01* +X249635448Y-131658552D01* +X249663069Y-131689026D01* +X249687569Y-131722061D01* +X249708713Y-131757338D01* +X249726298Y-131794517D01* +X249740154Y-131833242D01* +X249750147Y-131873138D01* +X249756182Y-131913821D01* +X249758200Y-131954900D01* +X249758200Y-138809100D01* +X249756182Y-138850179D01* +X249750147Y-138890862D01* +X249740154Y-138930758D01* +X249726298Y-138969483D01* +X249708713Y-139006662D01* +X249687569Y-139041939D01* +X249663069Y-139074974D01* +X249635448Y-139105448D01* +X249604974Y-139133069D01* +X249571939Y-139157569D01* +X249536662Y-139178713D01* +X249499483Y-139196298D01* +X249460758Y-139210154D01* +X249420862Y-139220147D01* +X249380179Y-139226182D01* +X249339100Y-139228200D01* +X248500900Y-139228200D01* +X248459821Y-139226182D01* +X248419138Y-139220147D01* +X248379242Y-139210154D01* +X248340517Y-139196298D01* +X248303338Y-139178713D01* +X248268061Y-139157569D01* +X248235026Y-139133069D01* +X248204552Y-139105448D01* +X248176931Y-139074974D01* +X248152431Y-139041939D01* +X248131287Y-139006662D01* +X248113702Y-138969483D01* +X248099846Y-138930758D01* +X248089853Y-138890862D01* +X248083818Y-138850179D01* +X248081800Y-138809100D01* +X248081800Y-131954900D01* +X248083818Y-131913821D01* +X248089853Y-131873138D01* +X248099846Y-131833242D01* +X248113702Y-131794517D01* +X248131287Y-131757338D01* +X248152431Y-131722061D01* +X248176931Y-131689026D01* +X248204552Y-131658552D01* +X248235026Y-131630931D01* +X248268061Y-131606431D01* +X248303338Y-131585287D01* +X248340517Y-131567702D01* +X248379242Y-131553846D01* +X248419138Y-131543853D01* +X248459821Y-131537818D01* +X248500900Y-131535800D01* +X249339100Y-131535800D01* +X249380179Y-131537818D01* +X249380179Y-131537818D01* +G37* +D15* +X248920000Y-135382000D03* +D13* +G36* +X251920179Y-131537818D02* +G01* +X251960862Y-131543853D01* +X252000758Y-131553846D01* +X252039483Y-131567702D01* +X252076662Y-131585287D01* +X252111939Y-131606431D01* +X252144974Y-131630931D01* +X252175448Y-131658552D01* +X252203069Y-131689026D01* +X252227569Y-131722061D01* +X252248713Y-131757338D01* +X252266298Y-131794517D01* +X252280154Y-131833242D01* +X252290147Y-131873138D01* +X252296182Y-131913821D01* +X252298200Y-131954900D01* +X252298200Y-138809100D01* +X252296182Y-138850179D01* +X252290147Y-138890862D01* +X252280154Y-138930758D01* +X252266298Y-138969483D01* +X252248713Y-139006662D01* +X252227569Y-139041939D01* +X252203069Y-139074974D01* +X252175448Y-139105448D01* +X252144974Y-139133069D01* +X252111939Y-139157569D01* +X252076662Y-139178713D01* +X252039483Y-139196298D01* +X252000758Y-139210154D01* +X251960862Y-139220147D01* +X251920179Y-139226182D01* +X251879100Y-139228200D01* +X251040900Y-139228200D01* +X250999821Y-139226182D01* +X250959138Y-139220147D01* +X250919242Y-139210154D01* +X250880517Y-139196298D01* +X250843338Y-139178713D01* +X250808061Y-139157569D01* +X250775026Y-139133069D01* +X250744552Y-139105448D01* +X250716931Y-139074974D01* +X250692431Y-139041939D01* +X250671287Y-139006662D01* +X250653702Y-138969483D01* +X250639846Y-138930758D01* +X250629853Y-138890862D01* +X250623818Y-138850179D01* +X250621800Y-138809100D01* +X250621800Y-131954900D01* +X250623818Y-131913821D01* +X250629853Y-131873138D01* +X250639846Y-131833242D01* +X250653702Y-131794517D01* +X250671287Y-131757338D01* +X250692431Y-131722061D01* +X250716931Y-131689026D01* +X250744552Y-131658552D01* +X250775026Y-131630931D01* +X250808061Y-131606431D01* +X250843338Y-131585287D01* +X250880517Y-131567702D01* +X250919242Y-131553846D01* +X250959138Y-131543853D01* +X250999821Y-131537818D01* +X251040900Y-131535800D01* +X251879100Y-131535800D01* +X251920179Y-131537818D01* +X251920179Y-131537818D01* +G37* +D15* +X251460000Y-135382000D03* +D13* +G36* +X254460179Y-131537818D02* +G01* +X254500862Y-131543853D01* +X254540758Y-131553846D01* +X254579483Y-131567702D01* +X254616662Y-131585287D01* +X254651939Y-131606431D01* +X254684974Y-131630931D01* +X254715448Y-131658552D01* +X254743069Y-131689026D01* +X254767569Y-131722061D01* +X254788713Y-131757338D01* +X254806298Y-131794517D01* +X254820154Y-131833242D01* +X254830147Y-131873138D01* +X254836182Y-131913821D01* +X254838200Y-131954900D01* +X254838200Y-138809100D01* +X254836182Y-138850179D01* +X254830147Y-138890862D01* +X254820154Y-138930758D01* +X254806298Y-138969483D01* +X254788713Y-139006662D01* +X254767569Y-139041939D01* +X254743069Y-139074974D01* +X254715448Y-139105448D01* +X254684974Y-139133069D01* +X254651939Y-139157569D01* +X254616662Y-139178713D01* +X254579483Y-139196298D01* +X254540758Y-139210154D01* +X254500862Y-139220147D01* +X254460179Y-139226182D01* +X254419100Y-139228200D01* +X253580900Y-139228200D01* +X253539821Y-139226182D01* +X253499138Y-139220147D01* +X253459242Y-139210154D01* +X253420517Y-139196298D01* +X253383338Y-139178713D01* +X253348061Y-139157569D01* +X253315026Y-139133069D01* +X253284552Y-139105448D01* +X253256931Y-139074974D01* +X253232431Y-139041939D01* +X253211287Y-139006662D01* +X253193702Y-138969483D01* +X253179846Y-138930758D01* +X253169853Y-138890862D01* +X253163818Y-138850179D01* +X253161800Y-138809100D01* +X253161800Y-131954900D01* +X253163818Y-131913821D01* +X253169853Y-131873138D01* +X253179846Y-131833242D01* +X253193702Y-131794517D01* +X253211287Y-131757338D01* +X253232431Y-131722061D01* +X253256931Y-131689026D01* +X253284552Y-131658552D01* +X253315026Y-131630931D01* +X253348061Y-131606431D01* +X253383338Y-131585287D01* +X253420517Y-131567702D01* +X253459242Y-131553846D01* +X253499138Y-131543853D01* +X253539821Y-131537818D01* +X253580900Y-131535800D01* +X254419100Y-131535800D01* +X254460179Y-131537818D01* +X254460179Y-131537818D01* +G37* +D15* +X254000000Y-135382000D03* +D13* +G36* +X257000179Y-131537818D02* +G01* +X257040862Y-131543853D01* +X257080758Y-131553846D01* +X257119483Y-131567702D01* +X257156662Y-131585287D01* +X257191939Y-131606431D01* +X257224974Y-131630931D01* +X257255448Y-131658552D01* +X257283069Y-131689026D01* +X257307569Y-131722061D01* +X257328713Y-131757338D01* +X257346298Y-131794517D01* +X257360154Y-131833242D01* +X257370147Y-131873138D01* +X257376182Y-131913821D01* +X257378200Y-131954900D01* +X257378200Y-138809100D01* +X257376182Y-138850179D01* +X257370147Y-138890862D01* +X257360154Y-138930758D01* +X257346298Y-138969483D01* +X257328713Y-139006662D01* +X257307569Y-139041939D01* +X257283069Y-139074974D01* +X257255448Y-139105448D01* +X257224974Y-139133069D01* +X257191939Y-139157569D01* +X257156662Y-139178713D01* +X257119483Y-139196298D01* +X257080758Y-139210154D01* +X257040862Y-139220147D01* +X257000179Y-139226182D01* +X256959100Y-139228200D01* +X256120900Y-139228200D01* +X256079821Y-139226182D01* +X256039138Y-139220147D01* +X255999242Y-139210154D01* +X255960517Y-139196298D01* +X255923338Y-139178713D01* +X255888061Y-139157569D01* +X255855026Y-139133069D01* +X255824552Y-139105448D01* +X255796931Y-139074974D01* +X255772431Y-139041939D01* +X255751287Y-139006662D01* +X255733702Y-138969483D01* +X255719846Y-138930758D01* +X255709853Y-138890862D01* +X255703818Y-138850179D01* +X255701800Y-138809100D01* +X255701800Y-131954900D01* +X255703818Y-131913821D01* +X255709853Y-131873138D01* +X255719846Y-131833242D01* +X255733702Y-131794517D01* +X255751287Y-131757338D01* +X255772431Y-131722061D01* +X255796931Y-131689026D01* +X255824552Y-131658552D01* +X255855026Y-131630931D01* +X255888061Y-131606431D01* +X255923338Y-131585287D01* +X255960517Y-131567702D01* +X255999242Y-131553846D01* +X256039138Y-131543853D01* +X256079821Y-131537818D01* +X256120900Y-131535800D01* +X256959100Y-131535800D01* +X257000179Y-131537818D01* +X257000179Y-131537818D01* +G37* +D15* +X256540000Y-135382000D03* +D13* +G36* +X259540179Y-131537818D02* +G01* +X259580862Y-131543853D01* +X259620758Y-131553846D01* +X259659483Y-131567702D01* +X259696662Y-131585287D01* +X259731939Y-131606431D01* +X259764974Y-131630931D01* +X259795448Y-131658552D01* +X259823069Y-131689026D01* +X259847569Y-131722061D01* +X259868713Y-131757338D01* +X259886298Y-131794517D01* +X259900154Y-131833242D01* +X259910147Y-131873138D01* +X259916182Y-131913821D01* +X259918200Y-131954900D01* +X259918200Y-138809100D01* +X259916182Y-138850179D01* +X259910147Y-138890862D01* +X259900154Y-138930758D01* +X259886298Y-138969483D01* +X259868713Y-139006662D01* +X259847569Y-139041939D01* +X259823069Y-139074974D01* +X259795448Y-139105448D01* +X259764974Y-139133069D01* +X259731939Y-139157569D01* +X259696662Y-139178713D01* +X259659483Y-139196298D01* +X259620758Y-139210154D01* +X259580862Y-139220147D01* +X259540179Y-139226182D01* +X259499100Y-139228200D01* +X258660900Y-139228200D01* +X258619821Y-139226182D01* +X258579138Y-139220147D01* +X258539242Y-139210154D01* +X258500517Y-139196298D01* +X258463338Y-139178713D01* +X258428061Y-139157569D01* +X258395026Y-139133069D01* +X258364552Y-139105448D01* +X258336931Y-139074974D01* +X258312431Y-139041939D01* +X258291287Y-139006662D01* +X258273702Y-138969483D01* +X258259846Y-138930758D01* +X258249853Y-138890862D01* +X258243818Y-138850179D01* +X258241800Y-138809100D01* +X258241800Y-131954900D01* +X258243818Y-131913821D01* +X258249853Y-131873138D01* +X258259846Y-131833242D01* +X258273702Y-131794517D01* +X258291287Y-131757338D01* +X258312431Y-131722061D01* +X258336931Y-131689026D01* +X258364552Y-131658552D01* +X258395026Y-131630931D01* +X258428061Y-131606431D01* +X258463338Y-131585287D01* +X258500517Y-131567702D01* +X258539242Y-131553846D01* +X258579138Y-131543853D01* +X258619821Y-131537818D01* +X258660900Y-131535800D01* +X259499100Y-131535800D01* +X259540179Y-131537818D01* +X259540179Y-131537818D01* +G37* +D15* +X259080000Y-135382000D03* +D13* +G36* +X262080179Y-131537818D02* +G01* +X262120862Y-131543853D01* +X262160758Y-131553846D01* +X262199483Y-131567702D01* +X262236662Y-131585287D01* +X262271939Y-131606431D01* +X262304974Y-131630931D01* +X262335448Y-131658552D01* +X262363069Y-131689026D01* +X262387569Y-131722061D01* +X262408713Y-131757338D01* +X262426298Y-131794517D01* +X262440154Y-131833242D01* +X262450147Y-131873138D01* +X262456182Y-131913821D01* +X262458200Y-131954900D01* +X262458200Y-138809100D01* +X262456182Y-138850179D01* +X262450147Y-138890862D01* +X262440154Y-138930758D01* +X262426298Y-138969483D01* +X262408713Y-139006662D01* +X262387569Y-139041939D01* +X262363069Y-139074974D01* +X262335448Y-139105448D01* +X262304974Y-139133069D01* +X262271939Y-139157569D01* +X262236662Y-139178713D01* +X262199483Y-139196298D01* +X262160758Y-139210154D01* +X262120862Y-139220147D01* +X262080179Y-139226182D01* +X262039100Y-139228200D01* +X261200900Y-139228200D01* +X261159821Y-139226182D01* +X261119138Y-139220147D01* +X261079242Y-139210154D01* +X261040517Y-139196298D01* +X261003338Y-139178713D01* +X260968061Y-139157569D01* +X260935026Y-139133069D01* +X260904552Y-139105448D01* +X260876931Y-139074974D01* +X260852431Y-139041939D01* +X260831287Y-139006662D01* +X260813702Y-138969483D01* +X260799846Y-138930758D01* +X260789853Y-138890862D01* +X260783818Y-138850179D01* +X260781800Y-138809100D01* +X260781800Y-131954900D01* +X260783818Y-131913821D01* +X260789853Y-131873138D01* +X260799846Y-131833242D01* +X260813702Y-131794517D01* +X260831287Y-131757338D01* +X260852431Y-131722061D01* +X260876931Y-131689026D01* +X260904552Y-131658552D01* +X260935026Y-131630931D01* +X260968061Y-131606431D01* +X261003338Y-131585287D01* +X261040517Y-131567702D01* +X261079242Y-131553846D01* +X261119138Y-131543853D01* +X261159821Y-131537818D01* +X261200900Y-131535800D01* +X262039100Y-131535800D01* +X262080179Y-131537818D01* +X262080179Y-131537818D01* +G37* +D15* +X261620000Y-135382000D03* +D13* +G36* +X264620179Y-131537818D02* +G01* +X264660862Y-131543853D01* +X264700758Y-131553846D01* +X264739483Y-131567702D01* +X264776662Y-131585287D01* +X264811939Y-131606431D01* +X264844974Y-131630931D01* +X264875448Y-131658552D01* +X264903069Y-131689026D01* +X264927569Y-131722061D01* +X264948713Y-131757338D01* +X264966298Y-131794517D01* +X264980154Y-131833242D01* +X264990147Y-131873138D01* +X264996182Y-131913821D01* +X264998200Y-131954900D01* +X264998200Y-138809100D01* +X264996182Y-138850179D01* +X264990147Y-138890862D01* +X264980154Y-138930758D01* +X264966298Y-138969483D01* +X264948713Y-139006662D01* +X264927569Y-139041939D01* +X264903069Y-139074974D01* +X264875448Y-139105448D01* +X264844974Y-139133069D01* +X264811939Y-139157569D01* +X264776662Y-139178713D01* +X264739483Y-139196298D01* +X264700758Y-139210154D01* +X264660862Y-139220147D01* +X264620179Y-139226182D01* +X264579100Y-139228200D01* +X263740900Y-139228200D01* +X263699821Y-139226182D01* +X263659138Y-139220147D01* +X263619242Y-139210154D01* +X263580517Y-139196298D01* +X263543338Y-139178713D01* +X263508061Y-139157569D01* +X263475026Y-139133069D01* +X263444552Y-139105448D01* +X263416931Y-139074974D01* +X263392431Y-139041939D01* +X263371287Y-139006662D01* +X263353702Y-138969483D01* +X263339846Y-138930758D01* +X263329853Y-138890862D01* +X263323818Y-138850179D01* +X263321800Y-138809100D01* +X263321800Y-131954900D01* +X263323818Y-131913821D01* +X263329853Y-131873138D01* +X263339846Y-131833242D01* +X263353702Y-131794517D01* +X263371287Y-131757338D01* +X263392431Y-131722061D01* +X263416931Y-131689026D01* +X263444552Y-131658552D01* +X263475026Y-131630931D01* +X263508061Y-131606431D01* +X263543338Y-131585287D01* +X263580517Y-131567702D01* +X263619242Y-131553846D01* +X263659138Y-131543853D01* +X263699821Y-131537818D01* +X263740900Y-131535800D01* +X264579100Y-131535800D01* +X264620179Y-131537818D01* +X264620179Y-131537818D01* +G37* +D15* +X264160000Y-135382000D03* +D13* +G36* +X267160179Y-131537818D02* +G01* +X267200862Y-131543853D01* +X267240758Y-131553846D01* +X267279483Y-131567702D01* +X267316662Y-131585287D01* +X267351939Y-131606431D01* +X267384974Y-131630931D01* +X267415448Y-131658552D01* +X267443069Y-131689026D01* +X267467569Y-131722061D01* +X267488713Y-131757338D01* +X267506298Y-131794517D01* +X267520154Y-131833242D01* +X267530147Y-131873138D01* +X267536182Y-131913821D01* +X267538200Y-131954900D01* +X267538200Y-138809100D01* +X267536182Y-138850179D01* +X267530147Y-138890862D01* +X267520154Y-138930758D01* +X267506298Y-138969483D01* +X267488713Y-139006662D01* +X267467569Y-139041939D01* +X267443069Y-139074974D01* +X267415448Y-139105448D01* +X267384974Y-139133069D01* +X267351939Y-139157569D01* +X267316662Y-139178713D01* +X267279483Y-139196298D01* +X267240758Y-139210154D01* +X267200862Y-139220147D01* +X267160179Y-139226182D01* +X267119100Y-139228200D01* +X266280900Y-139228200D01* +X266239821Y-139226182D01* +X266199138Y-139220147D01* +X266159242Y-139210154D01* +X266120517Y-139196298D01* +X266083338Y-139178713D01* +X266048061Y-139157569D01* +X266015026Y-139133069D01* +X265984552Y-139105448D01* +X265956931Y-139074974D01* +X265932431Y-139041939D01* +X265911287Y-139006662D01* +X265893702Y-138969483D01* +X265879846Y-138930758D01* +X265869853Y-138890862D01* +X265863818Y-138850179D01* +X265861800Y-138809100D01* +X265861800Y-131954900D01* +X265863818Y-131913821D01* +X265869853Y-131873138D01* +X265879846Y-131833242D01* +X265893702Y-131794517D01* +X265911287Y-131757338D01* +X265932431Y-131722061D01* +X265956931Y-131689026D01* +X265984552Y-131658552D01* +X266015026Y-131630931D01* +X266048061Y-131606431D01* +X266083338Y-131585287D01* +X266120517Y-131567702D01* +X266159242Y-131553846D01* +X266199138Y-131543853D01* +X266239821Y-131537818D01* +X266280900Y-131535800D01* +X267119100Y-131535800D01* +X267160179Y-131537818D01* +X267160179Y-131537818D01* +G37* +D15* +X266700000Y-135382000D03* +D13* +G36* +X269700179Y-131537818D02* +G01* +X269740862Y-131543853D01* +X269780758Y-131553846D01* +X269819483Y-131567702D01* +X269856662Y-131585287D01* +X269891939Y-131606431D01* +X269924974Y-131630931D01* +X269955448Y-131658552D01* +X269983069Y-131689026D01* +X270007569Y-131722061D01* +X270028713Y-131757338D01* +X270046298Y-131794517D01* +X270060154Y-131833242D01* +X270070147Y-131873138D01* +X270076182Y-131913821D01* +X270078200Y-131954900D01* +X270078200Y-138809100D01* +X270076182Y-138850179D01* +X270070147Y-138890862D01* +X270060154Y-138930758D01* +X270046298Y-138969483D01* +X270028713Y-139006662D01* +X270007569Y-139041939D01* +X269983069Y-139074974D01* +X269955448Y-139105448D01* +X269924974Y-139133069D01* +X269891939Y-139157569D01* +X269856662Y-139178713D01* +X269819483Y-139196298D01* +X269780758Y-139210154D01* +X269740862Y-139220147D01* +X269700179Y-139226182D01* +X269659100Y-139228200D01* +X268820900Y-139228200D01* +X268779821Y-139226182D01* +X268739138Y-139220147D01* +X268699242Y-139210154D01* +X268660517Y-139196298D01* +X268623338Y-139178713D01* +X268588061Y-139157569D01* +X268555026Y-139133069D01* +X268524552Y-139105448D01* +X268496931Y-139074974D01* +X268472431Y-139041939D01* +X268451287Y-139006662D01* +X268433702Y-138969483D01* +X268419846Y-138930758D01* +X268409853Y-138890862D01* +X268403818Y-138850179D01* +X268401800Y-138809100D01* +X268401800Y-131954900D01* +X268403818Y-131913821D01* +X268409853Y-131873138D01* +X268419846Y-131833242D01* +X268433702Y-131794517D01* +X268451287Y-131757338D01* +X268472431Y-131722061D01* +X268496931Y-131689026D01* +X268524552Y-131658552D01* +X268555026Y-131630931D01* +X268588061Y-131606431D01* +X268623338Y-131585287D01* +X268660517Y-131567702D01* +X268699242Y-131553846D01* +X268739138Y-131543853D01* +X268779821Y-131537818D01* +X268820900Y-131535800D01* +X269659100Y-131535800D01* +X269700179Y-131537818D01* +X269700179Y-131537818D01* +G37* +D15* +X269240000Y-135382000D03* +D13* +G36* +X272240179Y-131537818D02* +G01* +X272280862Y-131543853D01* +X272320758Y-131553846D01* +X272359483Y-131567702D01* +X272396662Y-131585287D01* +X272431939Y-131606431D01* +X272464974Y-131630931D01* +X272495448Y-131658552D01* +X272523069Y-131689026D01* +X272547569Y-131722061D01* +X272568713Y-131757338D01* +X272586298Y-131794517D01* +X272600154Y-131833242D01* +X272610147Y-131873138D01* +X272616182Y-131913821D01* +X272618200Y-131954900D01* +X272618200Y-138809100D01* +X272616182Y-138850179D01* +X272610147Y-138890862D01* +X272600154Y-138930758D01* +X272586298Y-138969483D01* +X272568713Y-139006662D01* +X272547569Y-139041939D01* +X272523069Y-139074974D01* +X272495448Y-139105448D01* +X272464974Y-139133069D01* +X272431939Y-139157569D01* +X272396662Y-139178713D01* +X272359483Y-139196298D01* +X272320758Y-139210154D01* +X272280862Y-139220147D01* +X272240179Y-139226182D01* +X272199100Y-139228200D01* +X271360900Y-139228200D01* +X271319821Y-139226182D01* +X271279138Y-139220147D01* +X271239242Y-139210154D01* +X271200517Y-139196298D01* +X271163338Y-139178713D01* +X271128061Y-139157569D01* +X271095026Y-139133069D01* +X271064552Y-139105448D01* +X271036931Y-139074974D01* +X271012431Y-139041939D01* +X270991287Y-139006662D01* +X270973702Y-138969483D01* +X270959846Y-138930758D01* +X270949853Y-138890862D01* +X270943818Y-138850179D01* +X270941800Y-138809100D01* +X270941800Y-131954900D01* +X270943818Y-131913821D01* +X270949853Y-131873138D01* +X270959846Y-131833242D01* +X270973702Y-131794517D01* +X270991287Y-131757338D01* +X271012431Y-131722061D01* +X271036931Y-131689026D01* +X271064552Y-131658552D01* +X271095026Y-131630931D01* +X271128061Y-131606431D01* +X271163338Y-131585287D01* +X271200517Y-131567702D01* +X271239242Y-131553846D01* +X271279138Y-131543853D01* +X271319821Y-131537818D01* +X271360900Y-131535800D01* +X272199100Y-131535800D01* +X272240179Y-131537818D01* +X272240179Y-131537818D01* +G37* +D15* +X271780000Y-135382000D03* +D13* +G36* +X274780179Y-131537818D02* +G01* +X274820862Y-131543853D01* +X274860758Y-131553846D01* +X274899483Y-131567702D01* +X274936662Y-131585287D01* +X274971939Y-131606431D01* +X275004974Y-131630931D01* +X275035448Y-131658552D01* +X275063069Y-131689026D01* +X275087569Y-131722061D01* +X275108713Y-131757338D01* +X275126298Y-131794517D01* +X275140154Y-131833242D01* +X275150147Y-131873138D01* +X275156182Y-131913821D01* +X275158200Y-131954900D01* +X275158200Y-138809100D01* +X275156182Y-138850179D01* +X275150147Y-138890862D01* +X275140154Y-138930758D01* +X275126298Y-138969483D01* +X275108713Y-139006662D01* +X275087569Y-139041939D01* +X275063069Y-139074974D01* +X275035448Y-139105448D01* +X275004974Y-139133069D01* +X274971939Y-139157569D01* +X274936662Y-139178713D01* +X274899483Y-139196298D01* +X274860758Y-139210154D01* +X274820862Y-139220147D01* +X274780179Y-139226182D01* +X274739100Y-139228200D01* +X273900900Y-139228200D01* +X273859821Y-139226182D01* +X273819138Y-139220147D01* +X273779242Y-139210154D01* +X273740517Y-139196298D01* +X273703338Y-139178713D01* +X273668061Y-139157569D01* +X273635026Y-139133069D01* +X273604552Y-139105448D01* +X273576931Y-139074974D01* +X273552431Y-139041939D01* +X273531287Y-139006662D01* +X273513702Y-138969483D01* +X273499846Y-138930758D01* +X273489853Y-138890862D01* +X273483818Y-138850179D01* +X273481800Y-138809100D01* +X273481800Y-131954900D01* +X273483818Y-131913821D01* +X273489853Y-131873138D01* +X273499846Y-131833242D01* +X273513702Y-131794517D01* +X273531287Y-131757338D01* +X273552431Y-131722061D01* +X273576931Y-131689026D01* +X273604552Y-131658552D01* +X273635026Y-131630931D01* +X273668061Y-131606431D01* +X273703338Y-131585287D01* +X273740517Y-131567702D01* +X273779242Y-131553846D01* +X273819138Y-131543853D01* +X273859821Y-131537818D01* +X273900900Y-131535800D01* +X274739100Y-131535800D01* +X274780179Y-131537818D01* +X274780179Y-131537818D01* +G37* +D15* +X274320000Y-135382000D03* +D14* +X207010000Y-129540000D03* +X204978000Y-89281000D03* +X267970000Y-129540000D03* +M02* diff --git a/gerber/RAM2E-Edge_Cuts.gm1 b/gerber/RAM2E-Edge_Cuts.gm1 new file mode 100644 index 0000000..5a12b71 --- /dev/null +++ b/gerber/RAM2E-Edge_Cuts.gm1 @@ -0,0 +1,39 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.2-1)-1* +G04 #@! TF.CreationDate,2019-07-30T17:06:57-04:00* +G04 #@! TF.ProjectId,RAM2E,52414d32-452e-46b6-9963-61645f706362,rev?* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Profile,NP* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW (5.1.2-1)-1) date 2019-07-30 17:06:57* +%MOMM*% +%LPD*% +G04 APERTURE LIST* +%ADD10C,0.150000*% +G04 APERTURE END LIST* +D10* +X274066000Y-86741000D02* +G75* +G02X276606000Y-89281000I0J-2540000D01* +G01* +X198374000Y-89281000D02* +G75* +G02X200914000Y-86741000I2540000J0D01* +G01* +X276606000Y-89281000D02* +X276606000Y-139192000D01* +X200914000Y-86741000D02* +X274066000Y-86741000D01* +X198374000Y-89281000D02* +X198374000Y-139192000D01* +X276606000Y-139192000D02* +G75* +G02X276098000Y-139700000I-508000J0D01* +G01* +X198882000Y-139700000D02* +G75* +G02X198374000Y-139192000I0J508000D01* +G01* +X276098000Y-139700000D02* +X198882000Y-139700000D01* +M02* diff --git a/gerber/RAM2E-F_Cu.gtl b/gerber/RAM2E-F_Cu.gtl new file mode 100644 index 0000000..0001b21 --- /dev/null +++ b/gerber/RAM2E-F_Cu.gtl @@ -0,0 +1,30545 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.2-1)-1* +G04 #@! TF.CreationDate,2019-07-30T17:06:57-04:00* +G04 #@! TF.ProjectId,RAM2E,52414d32-452e-46b6-9963-61645f706362,rev?* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Copper,L1,Top* +G04 #@! TF.FilePolarity,Positive* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW (5.1.2-1)-1) date 2019-07-30 17:06:57* +%MOMM*% +%LPD*% +G04 APERTURE LIST* +%ADD10C,0.100000*% +%ADD11C,1.050000*% +%ADD12C,0.850000*% +%ADD13C,0.600000*% +%ADD14C,1.000000*% +%ADD15C,2.000000*% +%ADD16C,1.524000*% +%ADD17C,0.800000*% +%ADD18C,0.508000*% +%ADD19C,0.762000*% +%ADD20C,1.524000*% +%ADD21C,0.152400*% +G04 APERTURE END LIST* +D10* +G36* +X205520229Y-112712264D02* +G01* +X205545711Y-112716044D01* +X205570700Y-112722303D01* +X205594954Y-112730982D01* +X205618242Y-112741996D01* +X205640337Y-112755239D01* +X205661028Y-112770585D01* +X205680116Y-112787884D01* +X205697415Y-112806972D01* +X205712761Y-112827663D01* +X205726004Y-112849758D01* +X205737018Y-112873046D01* +X205745697Y-112897300D01* +X205751956Y-112922289D01* +X205755736Y-112947771D01* +X205757000Y-112973500D01* +X205757000Y-113848500D01* +X205755736Y-113874229D01* +X205751956Y-113899711D01* +X205745697Y-113924700D01* +X205737018Y-113948954D01* +X205726004Y-113972242D01* +X205712761Y-113994337D01* +X205697415Y-114015028D01* +X205680116Y-114034116D01* +X205661028Y-114051415D01* +X205640337Y-114066761D01* +X205618242Y-114080004D01* +X205594954Y-114091018D01* +X205570700Y-114099697D01* +X205545711Y-114105956D01* +X205520229Y-114109736D01* +X205494500Y-114111000D01* +X204969500Y-114111000D01* +X204943771Y-114109736D01* +X204918289Y-114105956D01* +X204893300Y-114099697D01* +X204869046Y-114091018D01* +X204845758Y-114080004D01* +X204823663Y-114066761D01* +X204802972Y-114051415D01* +X204783884Y-114034116D01* +X204766585Y-114015028D01* +X204751239Y-113994337D01* +X204737996Y-113972242D01* +X204726982Y-113948954D01* +X204718303Y-113924700D01* +X204712044Y-113899711D01* +X204708264Y-113874229D01* +X204707000Y-113848500D01* +X204707000Y-112973500D01* +X204708264Y-112947771D01* +X204712044Y-112922289D01* +X204718303Y-112897300D01* +X204726982Y-112873046D01* +X204737996Y-112849758D01* +X204751239Y-112827663D01* +X204766585Y-112806972D01* +X204783884Y-112787884D01* +X204802972Y-112770585D01* +X204823663Y-112755239D01* +X204845758Y-112741996D01* +X204869046Y-112730982D01* +X204893300Y-112722303D01* +X204918289Y-112716044D01* +X204943771Y-112712264D01* +X204969500Y-112711000D01* +X205494500Y-112711000D01* +X205520229Y-112712264D01* +X205520229Y-112712264D01* +G37* +D11* +X205232000Y-113411000D03* +D10* +G36* +X203820229Y-112712264D02* +G01* +X203845711Y-112716044D01* +X203870700Y-112722303D01* +X203894954Y-112730982D01* +X203918242Y-112741996D01* +X203940337Y-112755239D01* +X203961028Y-112770585D01* +X203980116Y-112787884D01* +X203997415Y-112806972D01* +X204012761Y-112827663D01* +X204026004Y-112849758D01* +X204037018Y-112873046D01* +X204045697Y-112897300D01* +X204051956Y-112922289D01* +X204055736Y-112947771D01* +X204057000Y-112973500D01* +X204057000Y-113848500D01* +X204055736Y-113874229D01* +X204051956Y-113899711D01* +X204045697Y-113924700D01* +X204037018Y-113948954D01* +X204026004Y-113972242D01* +X204012761Y-113994337D01* +X203997415Y-114015028D01* +X203980116Y-114034116D01* +X203961028Y-114051415D01* +X203940337Y-114066761D01* +X203918242Y-114080004D01* +X203894954Y-114091018D01* +X203870700Y-114099697D01* +X203845711Y-114105956D01* +X203820229Y-114109736D01* +X203794500Y-114111000D01* +X203269500Y-114111000D01* +X203243771Y-114109736D01* +X203218289Y-114105956D01* +X203193300Y-114099697D01* +X203169046Y-114091018D01* +X203145758Y-114080004D01* +X203123663Y-114066761D01* +X203102972Y-114051415D01* +X203083884Y-114034116D01* +X203066585Y-114015028D01* +X203051239Y-113994337D01* +X203037996Y-113972242D01* +X203026982Y-113948954D01* +X203018303Y-113924700D01* +X203012044Y-113899711D01* +X203008264Y-113874229D01* +X203007000Y-113848500D01* +X203007000Y-112973500D01* +X203008264Y-112947771D01* +X203012044Y-112922289D01* +X203018303Y-112897300D01* +X203026982Y-112873046D01* +X203037996Y-112849758D01* +X203051239Y-112827663D01* +X203066585Y-112806972D01* +X203083884Y-112787884D01* +X203102972Y-112770585D01* +X203123663Y-112755239D01* +X203145758Y-112741996D01* +X203169046Y-112730982D01* +X203193300Y-112722303D01* +X203218289Y-112716044D01* +X203243771Y-112712264D01* +X203269500Y-112711000D01* +X203794500Y-112711000D01* +X203820229Y-112712264D01* +X203820229Y-112712264D01* +G37* +D11* +X203532000Y-113411000D03* +D10* +G36* +X268433229Y-100236264D02* +G01* +X268458711Y-100240044D01* +X268483700Y-100246303D01* +X268507954Y-100254982D01* +X268531242Y-100265996D01* +X268553337Y-100279239D01* +X268574028Y-100294585D01* +X268593116Y-100311884D01* +X268610415Y-100330972D01* +X268625761Y-100351663D01* +X268639004Y-100373758D01* +X268650018Y-100397046D01* +X268658697Y-100421300D01* +X268664956Y-100446289D01* +X268668736Y-100471771D01* +X268670000Y-100497500D01* +X268670000Y-101022500D01* +X268668736Y-101048229D01* +X268664956Y-101073711D01* +X268658697Y-101098700D01* +X268650018Y-101122954D01* +X268639004Y-101146242D01* +X268625761Y-101168337D01* +X268610415Y-101189028D01* +X268593116Y-101208116D01* +X268574028Y-101225415D01* +X268553337Y-101240761D01* +X268531242Y-101254004D01* +X268507954Y-101265018D01* +X268483700Y-101273697D01* +X268458711Y-101279956D01* +X268433229Y-101283736D01* +X268407500Y-101285000D01* +X267532500Y-101285000D01* +X267506771Y-101283736D01* +X267481289Y-101279956D01* +X267456300Y-101273697D01* +X267432046Y-101265018D01* +X267408758Y-101254004D01* +X267386663Y-101240761D01* +X267365972Y-101225415D01* +X267346884Y-101208116D01* +X267329585Y-101189028D01* +X267314239Y-101168337D01* +X267300996Y-101146242D01* +X267289982Y-101122954D01* +X267281303Y-101098700D01* +X267275044Y-101073711D01* +X267271264Y-101048229D01* +X267270000Y-101022500D01* +X267270000Y-100497500D01* +X267271264Y-100471771D01* +X267275044Y-100446289D01* +X267281303Y-100421300D01* +X267289982Y-100397046D01* +X267300996Y-100373758D01* +X267314239Y-100351663D01* +X267329585Y-100330972D01* +X267346884Y-100311884D01* +X267365972Y-100294585D01* +X267386663Y-100279239D01* +X267408758Y-100265996D01* +X267432046Y-100254982D01* +X267456300Y-100246303D01* +X267481289Y-100240044D01* +X267506771Y-100236264D01* +X267532500Y-100235000D01* +X268407500Y-100235000D01* +X268433229Y-100236264D01* +X268433229Y-100236264D01* +G37* +D11* +X267970000Y-100760000D03* +D10* +G36* +X268433229Y-98536264D02* +G01* +X268458711Y-98540044D01* +X268483700Y-98546303D01* +X268507954Y-98554982D01* +X268531242Y-98565996D01* +X268553337Y-98579239D01* +X268574028Y-98594585D01* +X268593116Y-98611884D01* +X268610415Y-98630972D01* +X268625761Y-98651663D01* +X268639004Y-98673758D01* +X268650018Y-98697046D01* +X268658697Y-98721300D01* +X268664956Y-98746289D01* +X268668736Y-98771771D01* +X268670000Y-98797500D01* +X268670000Y-99322500D01* +X268668736Y-99348229D01* +X268664956Y-99373711D01* +X268658697Y-99398700D01* +X268650018Y-99422954D01* +X268639004Y-99446242D01* +X268625761Y-99468337D01* +X268610415Y-99489028D01* +X268593116Y-99508116D01* +X268574028Y-99525415D01* +X268553337Y-99540761D01* +X268531242Y-99554004D01* +X268507954Y-99565018D01* +X268483700Y-99573697D01* +X268458711Y-99579956D01* +X268433229Y-99583736D01* +X268407500Y-99585000D01* +X267532500Y-99585000D01* +X267506771Y-99583736D01* +X267481289Y-99579956D01* +X267456300Y-99573697D01* +X267432046Y-99565018D01* +X267408758Y-99554004D01* +X267386663Y-99540761D01* +X267365972Y-99525415D01* +X267346884Y-99508116D01* +X267329585Y-99489028D01* +X267314239Y-99468337D01* +X267300996Y-99446242D01* +X267289982Y-99422954D01* +X267281303Y-99398700D01* +X267275044Y-99373711D01* +X267271264Y-99348229D01* +X267270000Y-99322500D01* +X267270000Y-98797500D01* +X267271264Y-98771771D01* +X267275044Y-98746289D01* +X267281303Y-98721300D01* +X267289982Y-98697046D01* +X267300996Y-98673758D01* +X267314239Y-98651663D01* +X267329585Y-98630972D01* +X267346884Y-98611884D01* +X267365972Y-98594585D01* +X267386663Y-98579239D01* +X267408758Y-98565996D01* +X267432046Y-98554982D01* +X267456300Y-98546303D01* +X267481289Y-98540044D01* +X267506771Y-98536264D01* +X267532500Y-98535000D01* +X268407500Y-98535000D01* +X268433229Y-98536264D01* +X268433229Y-98536264D01* +G37* +D11* +X267970000Y-99060000D03* +D10* +G36* +X268478329Y-94958023D02* +G01* +X268498957Y-94961083D01* +X268519185Y-94966150D01* +X268538820Y-94973176D01* +X268557672Y-94982092D01* +X268575559Y-94992813D01* +X268592309Y-95005235D01* +X268607760Y-95019240D01* +X268621765Y-95034691D01* +X268634187Y-95051441D01* +X268644908Y-95069328D01* +X268653824Y-95088180D01* +X268660850Y-95107815D01* +X268665917Y-95128043D01* +X268668977Y-95148671D01* +X268670000Y-95169500D01* +X268670000Y-95594500D01* +X268668977Y-95615329D01* +X268665917Y-95635957D01* +X268660850Y-95656185D01* +X268653824Y-95675820D01* +X268644908Y-95694672D01* +X268634187Y-95712559D01* +X268621765Y-95729309D01* +X268607760Y-95744760D01* +X268592309Y-95758765D01* +X268575559Y-95771187D01* +X268557672Y-95781908D01* +X268538820Y-95790824D01* +X268519185Y-95797850D01* +X268498957Y-95802917D01* +X268478329Y-95805977D01* +X268457500Y-95807000D01* +X267482500Y-95807000D01* +X267461671Y-95805977D01* +X267441043Y-95802917D01* +X267420815Y-95797850D01* +X267401180Y-95790824D01* +X267382328Y-95781908D01* +X267364441Y-95771187D01* +X267347691Y-95758765D01* +X267332240Y-95744760D01* +X267318235Y-95729309D01* +X267305813Y-95712559D01* +X267295092Y-95694672D01* +X267286176Y-95675820D01* +X267279150Y-95656185D01* +X267274083Y-95635957D01* +X267271023Y-95615329D01* +X267270000Y-95594500D01* +X267270000Y-95169500D01* +X267271023Y-95148671D01* +X267274083Y-95128043D01* +X267279150Y-95107815D01* +X267286176Y-95088180D01* +X267295092Y-95069328D01* +X267305813Y-95051441D01* +X267318235Y-95034691D01* +X267332240Y-95019240D01* +X267347691Y-95005235D01* +X267364441Y-94992813D01* +X267382328Y-94982092D01* +X267401180Y-94973176D01* +X267420815Y-94966150D01* +X267441043Y-94961083D01* +X267461671Y-94958023D01* +X267482500Y-94957000D01* +X268457500Y-94957000D01* +X268478329Y-94958023D01* +X268478329Y-94958023D01* +G37* +D12* +X267970000Y-95382000D03* +D10* +G36* +X268478329Y-96858023D02* +G01* +X268498957Y-96861083D01* +X268519185Y-96866150D01* +X268538820Y-96873176D01* +X268557672Y-96882092D01* +X268575559Y-96892813D01* +X268592309Y-96905235D01* +X268607760Y-96919240D01* +X268621765Y-96934691D01* +X268634187Y-96951441D01* +X268644908Y-96969328D01* +X268653824Y-96988180D01* +X268660850Y-97007815D01* +X268665917Y-97028043D01* +X268668977Y-97048671D01* +X268670000Y-97069500D01* +X268670000Y-97494500D01* +X268668977Y-97515329D01* +X268665917Y-97535957D01* +X268660850Y-97556185D01* +X268653824Y-97575820D01* +X268644908Y-97594672D01* +X268634187Y-97612559D01* +X268621765Y-97629309D01* +X268607760Y-97644760D01* +X268592309Y-97658765D01* +X268575559Y-97671187D01* +X268557672Y-97681908D01* +X268538820Y-97690824D01* +X268519185Y-97697850D01* +X268498957Y-97702917D01* +X268478329Y-97705977D01* +X268457500Y-97707000D01* +X267482500Y-97707000D01* +X267461671Y-97705977D01* +X267441043Y-97702917D01* +X267420815Y-97697850D01* +X267401180Y-97690824D01* +X267382328Y-97681908D01* +X267364441Y-97671187D01* +X267347691Y-97658765D01* +X267332240Y-97644760D01* +X267318235Y-97629309D01* +X267305813Y-97612559D01* +X267295092Y-97594672D01* +X267286176Y-97575820D01* +X267279150Y-97556185D01* +X267274083Y-97535957D01* +X267271023Y-97515329D01* +X267270000Y-97494500D01* +X267270000Y-97069500D01* +X267271023Y-97048671D01* +X267274083Y-97028043D01* +X267279150Y-97007815D01* +X267286176Y-96988180D01* +X267295092Y-96969328D01* +X267305813Y-96951441D01* +X267318235Y-96934691D01* +X267332240Y-96919240D01* +X267347691Y-96905235D01* +X267364441Y-96892813D01* +X267382328Y-96882092D01* +X267401180Y-96873176D01* +X267420815Y-96866150D01* +X267441043Y-96861083D01* +X267461671Y-96858023D01* +X267482500Y-96857000D01* +X268457500Y-96857000D01* +X268478329Y-96858023D01* +X268478329Y-96858023D01* +G37* +D12* +X267970000Y-97282000D03* +D10* +G36* +X262714703Y-112730722D02* +G01* +X262729264Y-112732882D01* +X262743543Y-112736459D01* +X262757403Y-112741418D01* +X262770710Y-112747712D01* +X262783336Y-112755280D01* +X262795159Y-112764048D01* +X262806066Y-112773934D01* +X262815952Y-112784841D01* +X262824720Y-112796664D01* +X262832288Y-112809290D01* +X262838582Y-112822597D01* +X262843541Y-112836457D01* +X262847118Y-112850736D01* +X262849278Y-112865297D01* +X262850000Y-112880000D01* +X262850000Y-113180000D01* +X262849278Y-113194703D01* +X262847118Y-113209264D01* +X262843541Y-113223543D01* +X262838582Y-113237403D01* +X262832288Y-113250710D01* +X262824720Y-113263336D01* +X262815952Y-113275159D01* +X262806066Y-113286066D01* +X262795159Y-113295952D01* +X262783336Y-113304720D01* +X262770710Y-113312288D01* +X262757403Y-113318582D01* +X262743543Y-113323541D01* +X262729264Y-113327118D01* +X262714703Y-113329278D01* +X262700000Y-113330000D01* +X261300000Y-113330000D01* +X261285297Y-113329278D01* +X261270736Y-113327118D01* +X261256457Y-113323541D01* +X261242597Y-113318582D01* +X261229290Y-113312288D01* +X261216664Y-113304720D01* +X261204841Y-113295952D01* +X261193934Y-113286066D01* +X261184048Y-113275159D01* +X261175280Y-113263336D01* +X261167712Y-113250710D01* +X261161418Y-113237403D01* +X261156459Y-113223543D01* +X261152882Y-113209264D01* +X261150722Y-113194703D01* +X261150000Y-113180000D01* +X261150000Y-112880000D01* +X261150722Y-112865297D01* +X261152882Y-112850736D01* +X261156459Y-112836457D01* +X261161418Y-112822597D01* +X261167712Y-112809290D01* +X261175280Y-112796664D01* +X261184048Y-112784841D01* +X261193934Y-112773934D01* +X261204841Y-112764048D01* +X261216664Y-112755280D01* +X261229290Y-112747712D01* +X261242597Y-112741418D01* +X261256457Y-112736459D01* +X261270736Y-112732882D01* +X261285297Y-112730722D01* +X261300000Y-112730000D01* +X262700000Y-112730000D01* +X262714703Y-112730722D01* +X262714703Y-112730722D01* +G37* +D13* +X262000000Y-113030000D03* +D10* +G36* +X262714703Y-114000722D02* +G01* +X262729264Y-114002882D01* +X262743543Y-114006459D01* +X262757403Y-114011418D01* +X262770710Y-114017712D01* +X262783336Y-114025280D01* +X262795159Y-114034048D01* +X262806066Y-114043934D01* +X262815952Y-114054841D01* +X262824720Y-114066664D01* +X262832288Y-114079290D01* +X262838582Y-114092597D01* +X262843541Y-114106457D01* +X262847118Y-114120736D01* +X262849278Y-114135297D01* +X262850000Y-114150000D01* +X262850000Y-114450000D01* +X262849278Y-114464703D01* +X262847118Y-114479264D01* +X262843541Y-114493543D01* +X262838582Y-114507403D01* +X262832288Y-114520710D01* +X262824720Y-114533336D01* +X262815952Y-114545159D01* +X262806066Y-114556066D01* +X262795159Y-114565952D01* +X262783336Y-114574720D01* +X262770710Y-114582288D01* +X262757403Y-114588582D01* +X262743543Y-114593541D01* +X262729264Y-114597118D01* +X262714703Y-114599278D01* +X262700000Y-114600000D01* +X261300000Y-114600000D01* +X261285297Y-114599278D01* +X261270736Y-114597118D01* +X261256457Y-114593541D01* +X261242597Y-114588582D01* +X261229290Y-114582288D01* +X261216664Y-114574720D01* +X261204841Y-114565952D01* +X261193934Y-114556066D01* +X261184048Y-114545159D01* +X261175280Y-114533336D01* +X261167712Y-114520710D01* +X261161418Y-114507403D01* +X261156459Y-114493543D01* +X261152882Y-114479264D01* +X261150722Y-114464703D01* +X261150000Y-114450000D01* +X261150000Y-114150000D01* +X261150722Y-114135297D01* +X261152882Y-114120736D01* +X261156459Y-114106457D01* +X261161418Y-114092597D01* +X261167712Y-114079290D01* +X261175280Y-114066664D01* +X261184048Y-114054841D01* +X261193934Y-114043934D01* +X261204841Y-114034048D01* +X261216664Y-114025280D01* +X261229290Y-114017712D01* +X261242597Y-114011418D01* +X261256457Y-114006459D01* +X261270736Y-114002882D01* +X261285297Y-114000722D01* +X261300000Y-114000000D01* +X262700000Y-114000000D01* +X262714703Y-114000722D01* +X262714703Y-114000722D01* +G37* +D13* +X262000000Y-114300000D03* +D10* +G36* +X262714703Y-115270722D02* +G01* +X262729264Y-115272882D01* +X262743543Y-115276459D01* +X262757403Y-115281418D01* +X262770710Y-115287712D01* +X262783336Y-115295280D01* +X262795159Y-115304048D01* +X262806066Y-115313934D01* +X262815952Y-115324841D01* +X262824720Y-115336664D01* +X262832288Y-115349290D01* +X262838582Y-115362597D01* +X262843541Y-115376457D01* +X262847118Y-115390736D01* +X262849278Y-115405297D01* +X262850000Y-115420000D01* +X262850000Y-115720000D01* +X262849278Y-115734703D01* +X262847118Y-115749264D01* +X262843541Y-115763543D01* +X262838582Y-115777403D01* +X262832288Y-115790710D01* +X262824720Y-115803336D01* +X262815952Y-115815159D01* +X262806066Y-115826066D01* +X262795159Y-115835952D01* +X262783336Y-115844720D01* +X262770710Y-115852288D01* +X262757403Y-115858582D01* +X262743543Y-115863541D01* +X262729264Y-115867118D01* +X262714703Y-115869278D01* +X262700000Y-115870000D01* +X261300000Y-115870000D01* +X261285297Y-115869278D01* +X261270736Y-115867118D01* +X261256457Y-115863541D01* +X261242597Y-115858582D01* +X261229290Y-115852288D01* +X261216664Y-115844720D01* +X261204841Y-115835952D01* +X261193934Y-115826066D01* +X261184048Y-115815159D01* +X261175280Y-115803336D01* +X261167712Y-115790710D01* +X261161418Y-115777403D01* +X261156459Y-115763543D01* +X261152882Y-115749264D01* +X261150722Y-115734703D01* +X261150000Y-115720000D01* +X261150000Y-115420000D01* +X261150722Y-115405297D01* +X261152882Y-115390736D01* +X261156459Y-115376457D01* +X261161418Y-115362597D01* +X261167712Y-115349290D01* +X261175280Y-115336664D01* +X261184048Y-115324841D01* +X261193934Y-115313934D01* +X261204841Y-115304048D01* +X261216664Y-115295280D01* +X261229290Y-115287712D01* +X261242597Y-115281418D01* +X261256457Y-115276459D01* +X261270736Y-115272882D01* +X261285297Y-115270722D01* +X261300000Y-115270000D01* +X262700000Y-115270000D01* +X262714703Y-115270722D01* +X262714703Y-115270722D01* +G37* +D13* +X262000000Y-115570000D03* +D10* +G36* +X262714703Y-116540722D02* +G01* +X262729264Y-116542882D01* +X262743543Y-116546459D01* +X262757403Y-116551418D01* +X262770710Y-116557712D01* +X262783336Y-116565280D01* +X262795159Y-116574048D01* +X262806066Y-116583934D01* +X262815952Y-116594841D01* +X262824720Y-116606664D01* +X262832288Y-116619290D01* +X262838582Y-116632597D01* +X262843541Y-116646457D01* +X262847118Y-116660736D01* +X262849278Y-116675297D01* +X262850000Y-116690000D01* +X262850000Y-116990000D01* +X262849278Y-117004703D01* +X262847118Y-117019264D01* +X262843541Y-117033543D01* +X262838582Y-117047403D01* +X262832288Y-117060710D01* +X262824720Y-117073336D01* +X262815952Y-117085159D01* +X262806066Y-117096066D01* +X262795159Y-117105952D01* +X262783336Y-117114720D01* +X262770710Y-117122288D01* +X262757403Y-117128582D01* +X262743543Y-117133541D01* +X262729264Y-117137118D01* +X262714703Y-117139278D01* +X262700000Y-117140000D01* +X261300000Y-117140000D01* +X261285297Y-117139278D01* +X261270736Y-117137118D01* +X261256457Y-117133541D01* +X261242597Y-117128582D01* +X261229290Y-117122288D01* +X261216664Y-117114720D01* +X261204841Y-117105952D01* +X261193934Y-117096066D01* +X261184048Y-117085159D01* +X261175280Y-117073336D01* +X261167712Y-117060710D01* +X261161418Y-117047403D01* +X261156459Y-117033543D01* +X261152882Y-117019264D01* +X261150722Y-117004703D01* +X261150000Y-116990000D01* +X261150000Y-116690000D01* +X261150722Y-116675297D01* +X261152882Y-116660736D01* +X261156459Y-116646457D01* +X261161418Y-116632597D01* +X261167712Y-116619290D01* +X261175280Y-116606664D01* +X261184048Y-116594841D01* +X261193934Y-116583934D01* +X261204841Y-116574048D01* +X261216664Y-116565280D01* +X261229290Y-116557712D01* +X261242597Y-116551418D01* +X261256457Y-116546459D01* +X261270736Y-116542882D01* +X261285297Y-116540722D01* +X261300000Y-116540000D01* +X262700000Y-116540000D01* +X262714703Y-116540722D01* +X262714703Y-116540722D01* +G37* +D13* +X262000000Y-116840000D03* +D10* +G36* +X262714703Y-117810722D02* +G01* +X262729264Y-117812882D01* +X262743543Y-117816459D01* +X262757403Y-117821418D01* +X262770710Y-117827712D01* +X262783336Y-117835280D01* +X262795159Y-117844048D01* +X262806066Y-117853934D01* +X262815952Y-117864841D01* +X262824720Y-117876664D01* +X262832288Y-117889290D01* +X262838582Y-117902597D01* +X262843541Y-117916457D01* +X262847118Y-117930736D01* +X262849278Y-117945297D01* +X262850000Y-117960000D01* +X262850000Y-118260000D01* +X262849278Y-118274703D01* +X262847118Y-118289264D01* +X262843541Y-118303543D01* +X262838582Y-118317403D01* +X262832288Y-118330710D01* +X262824720Y-118343336D01* +X262815952Y-118355159D01* +X262806066Y-118366066D01* +X262795159Y-118375952D01* +X262783336Y-118384720D01* +X262770710Y-118392288D01* +X262757403Y-118398582D01* +X262743543Y-118403541D01* +X262729264Y-118407118D01* +X262714703Y-118409278D01* +X262700000Y-118410000D01* +X261300000Y-118410000D01* +X261285297Y-118409278D01* +X261270736Y-118407118D01* +X261256457Y-118403541D01* +X261242597Y-118398582D01* +X261229290Y-118392288D01* +X261216664Y-118384720D01* +X261204841Y-118375952D01* +X261193934Y-118366066D01* +X261184048Y-118355159D01* +X261175280Y-118343336D01* +X261167712Y-118330710D01* +X261161418Y-118317403D01* +X261156459Y-118303543D01* +X261152882Y-118289264D01* +X261150722Y-118274703D01* +X261150000Y-118260000D01* +X261150000Y-117960000D01* +X261150722Y-117945297D01* +X261152882Y-117930736D01* +X261156459Y-117916457D01* +X261161418Y-117902597D01* +X261167712Y-117889290D01* +X261175280Y-117876664D01* +X261184048Y-117864841D01* +X261193934Y-117853934D01* +X261204841Y-117844048D01* +X261216664Y-117835280D01* +X261229290Y-117827712D01* +X261242597Y-117821418D01* +X261256457Y-117816459D01* +X261270736Y-117812882D01* +X261285297Y-117810722D01* +X261300000Y-117810000D01* +X262700000Y-117810000D01* +X262714703Y-117810722D01* +X262714703Y-117810722D01* +G37* +D13* +X262000000Y-118110000D03* +D10* +G36* +X262714703Y-119080722D02* +G01* +X262729264Y-119082882D01* +X262743543Y-119086459D01* +X262757403Y-119091418D01* +X262770710Y-119097712D01* +X262783336Y-119105280D01* +X262795159Y-119114048D01* +X262806066Y-119123934D01* +X262815952Y-119134841D01* +X262824720Y-119146664D01* +X262832288Y-119159290D01* +X262838582Y-119172597D01* +X262843541Y-119186457D01* +X262847118Y-119200736D01* +X262849278Y-119215297D01* +X262850000Y-119230000D01* +X262850000Y-119530000D01* +X262849278Y-119544703D01* +X262847118Y-119559264D01* +X262843541Y-119573543D01* +X262838582Y-119587403D01* +X262832288Y-119600710D01* +X262824720Y-119613336D01* +X262815952Y-119625159D01* +X262806066Y-119636066D01* +X262795159Y-119645952D01* +X262783336Y-119654720D01* +X262770710Y-119662288D01* +X262757403Y-119668582D01* +X262743543Y-119673541D01* +X262729264Y-119677118D01* +X262714703Y-119679278D01* +X262700000Y-119680000D01* +X261300000Y-119680000D01* +X261285297Y-119679278D01* +X261270736Y-119677118D01* +X261256457Y-119673541D01* +X261242597Y-119668582D01* +X261229290Y-119662288D01* +X261216664Y-119654720D01* +X261204841Y-119645952D01* +X261193934Y-119636066D01* +X261184048Y-119625159D01* +X261175280Y-119613336D01* +X261167712Y-119600710D01* +X261161418Y-119587403D01* +X261156459Y-119573543D01* +X261152882Y-119559264D01* +X261150722Y-119544703D01* +X261150000Y-119530000D01* +X261150000Y-119230000D01* +X261150722Y-119215297D01* +X261152882Y-119200736D01* +X261156459Y-119186457D01* +X261161418Y-119172597D01* +X261167712Y-119159290D01* +X261175280Y-119146664D01* +X261184048Y-119134841D01* +X261193934Y-119123934D01* +X261204841Y-119114048D01* +X261216664Y-119105280D01* +X261229290Y-119097712D01* +X261242597Y-119091418D01* +X261256457Y-119086459D01* +X261270736Y-119082882D01* +X261285297Y-119080722D01* +X261300000Y-119080000D01* +X262700000Y-119080000D01* +X262714703Y-119080722D01* +X262714703Y-119080722D01* +G37* +D13* +X262000000Y-119380000D03* +D10* +G36* +X262714703Y-120350722D02* +G01* +X262729264Y-120352882D01* +X262743543Y-120356459D01* +X262757403Y-120361418D01* +X262770710Y-120367712D01* +X262783336Y-120375280D01* +X262795159Y-120384048D01* +X262806066Y-120393934D01* +X262815952Y-120404841D01* +X262824720Y-120416664D01* +X262832288Y-120429290D01* +X262838582Y-120442597D01* +X262843541Y-120456457D01* +X262847118Y-120470736D01* +X262849278Y-120485297D01* +X262850000Y-120500000D01* +X262850000Y-120800000D01* +X262849278Y-120814703D01* +X262847118Y-120829264D01* +X262843541Y-120843543D01* +X262838582Y-120857403D01* +X262832288Y-120870710D01* +X262824720Y-120883336D01* +X262815952Y-120895159D01* +X262806066Y-120906066D01* +X262795159Y-120915952D01* +X262783336Y-120924720D01* +X262770710Y-120932288D01* +X262757403Y-120938582D01* +X262743543Y-120943541D01* +X262729264Y-120947118D01* +X262714703Y-120949278D01* +X262700000Y-120950000D01* +X261300000Y-120950000D01* +X261285297Y-120949278D01* +X261270736Y-120947118D01* +X261256457Y-120943541D01* +X261242597Y-120938582D01* +X261229290Y-120932288D01* +X261216664Y-120924720D01* +X261204841Y-120915952D01* +X261193934Y-120906066D01* +X261184048Y-120895159D01* +X261175280Y-120883336D01* +X261167712Y-120870710D01* +X261161418Y-120857403D01* +X261156459Y-120843543D01* +X261152882Y-120829264D01* +X261150722Y-120814703D01* +X261150000Y-120800000D01* +X261150000Y-120500000D01* +X261150722Y-120485297D01* +X261152882Y-120470736D01* +X261156459Y-120456457D01* +X261161418Y-120442597D01* +X261167712Y-120429290D01* +X261175280Y-120416664D01* +X261184048Y-120404841D01* +X261193934Y-120393934D01* +X261204841Y-120384048D01* +X261216664Y-120375280D01* +X261229290Y-120367712D01* +X261242597Y-120361418D01* +X261256457Y-120356459D01* +X261270736Y-120352882D01* +X261285297Y-120350722D01* +X261300000Y-120350000D01* +X262700000Y-120350000D01* +X262714703Y-120350722D01* +X262714703Y-120350722D01* +G37* +D13* +X262000000Y-120650000D03* +D10* +G36* +X262714703Y-121620722D02* +G01* +X262729264Y-121622882D01* +X262743543Y-121626459D01* +X262757403Y-121631418D01* +X262770710Y-121637712D01* +X262783336Y-121645280D01* +X262795159Y-121654048D01* +X262806066Y-121663934D01* +X262815952Y-121674841D01* +X262824720Y-121686664D01* +X262832288Y-121699290D01* +X262838582Y-121712597D01* +X262843541Y-121726457D01* +X262847118Y-121740736D01* +X262849278Y-121755297D01* +X262850000Y-121770000D01* +X262850000Y-122070000D01* +X262849278Y-122084703D01* +X262847118Y-122099264D01* +X262843541Y-122113543D01* +X262838582Y-122127403D01* +X262832288Y-122140710D01* +X262824720Y-122153336D01* +X262815952Y-122165159D01* +X262806066Y-122176066D01* +X262795159Y-122185952D01* +X262783336Y-122194720D01* +X262770710Y-122202288D01* +X262757403Y-122208582D01* +X262743543Y-122213541D01* +X262729264Y-122217118D01* +X262714703Y-122219278D01* +X262700000Y-122220000D01* +X261300000Y-122220000D01* +X261285297Y-122219278D01* +X261270736Y-122217118D01* +X261256457Y-122213541D01* +X261242597Y-122208582D01* +X261229290Y-122202288D01* +X261216664Y-122194720D01* +X261204841Y-122185952D01* +X261193934Y-122176066D01* +X261184048Y-122165159D01* +X261175280Y-122153336D01* +X261167712Y-122140710D01* +X261161418Y-122127403D01* +X261156459Y-122113543D01* +X261152882Y-122099264D01* +X261150722Y-122084703D01* +X261150000Y-122070000D01* +X261150000Y-121770000D01* +X261150722Y-121755297D01* +X261152882Y-121740736D01* +X261156459Y-121726457D01* +X261161418Y-121712597D01* +X261167712Y-121699290D01* +X261175280Y-121686664D01* +X261184048Y-121674841D01* +X261193934Y-121663934D01* +X261204841Y-121654048D01* +X261216664Y-121645280D01* +X261229290Y-121637712D01* +X261242597Y-121631418D01* +X261256457Y-121626459D01* +X261270736Y-121622882D01* +X261285297Y-121620722D01* +X261300000Y-121620000D01* +X262700000Y-121620000D01* +X262714703Y-121620722D01* +X262714703Y-121620722D01* +G37* +D13* +X262000000Y-121920000D03* +D10* +G36* +X262714703Y-122890722D02* +G01* +X262729264Y-122892882D01* +X262743543Y-122896459D01* +X262757403Y-122901418D01* +X262770710Y-122907712D01* +X262783336Y-122915280D01* +X262795159Y-122924048D01* +X262806066Y-122933934D01* +X262815952Y-122944841D01* +X262824720Y-122956664D01* +X262832288Y-122969290D01* +X262838582Y-122982597D01* +X262843541Y-122996457D01* +X262847118Y-123010736D01* +X262849278Y-123025297D01* +X262850000Y-123040000D01* +X262850000Y-123340000D01* +X262849278Y-123354703D01* +X262847118Y-123369264D01* +X262843541Y-123383543D01* +X262838582Y-123397403D01* +X262832288Y-123410710D01* +X262824720Y-123423336D01* +X262815952Y-123435159D01* +X262806066Y-123446066D01* +X262795159Y-123455952D01* +X262783336Y-123464720D01* +X262770710Y-123472288D01* +X262757403Y-123478582D01* +X262743543Y-123483541D01* +X262729264Y-123487118D01* +X262714703Y-123489278D01* +X262700000Y-123490000D01* +X261300000Y-123490000D01* +X261285297Y-123489278D01* +X261270736Y-123487118D01* +X261256457Y-123483541D01* +X261242597Y-123478582D01* +X261229290Y-123472288D01* +X261216664Y-123464720D01* +X261204841Y-123455952D01* +X261193934Y-123446066D01* +X261184048Y-123435159D01* +X261175280Y-123423336D01* +X261167712Y-123410710D01* +X261161418Y-123397403D01* +X261156459Y-123383543D01* +X261152882Y-123369264D01* +X261150722Y-123354703D01* +X261150000Y-123340000D01* +X261150000Y-123040000D01* +X261150722Y-123025297D01* +X261152882Y-123010736D01* +X261156459Y-122996457D01* +X261161418Y-122982597D01* +X261167712Y-122969290D01* +X261175280Y-122956664D01* +X261184048Y-122944841D01* +X261193934Y-122933934D01* +X261204841Y-122924048D01* +X261216664Y-122915280D01* +X261229290Y-122907712D01* +X261242597Y-122901418D01* +X261256457Y-122896459D01* +X261270736Y-122892882D01* +X261285297Y-122890722D01* +X261300000Y-122890000D01* +X262700000Y-122890000D01* +X262714703Y-122890722D01* +X262714703Y-122890722D01* +G37* +D13* +X262000000Y-123190000D03* +D10* +G36* +X262714703Y-124160722D02* +G01* +X262729264Y-124162882D01* +X262743543Y-124166459D01* +X262757403Y-124171418D01* +X262770710Y-124177712D01* +X262783336Y-124185280D01* +X262795159Y-124194048D01* +X262806066Y-124203934D01* +X262815952Y-124214841D01* +X262824720Y-124226664D01* +X262832288Y-124239290D01* +X262838582Y-124252597D01* +X262843541Y-124266457D01* +X262847118Y-124280736D01* +X262849278Y-124295297D01* +X262850000Y-124310000D01* +X262850000Y-124610000D01* +X262849278Y-124624703D01* +X262847118Y-124639264D01* +X262843541Y-124653543D01* +X262838582Y-124667403D01* +X262832288Y-124680710D01* +X262824720Y-124693336D01* +X262815952Y-124705159D01* +X262806066Y-124716066D01* +X262795159Y-124725952D01* +X262783336Y-124734720D01* +X262770710Y-124742288D01* +X262757403Y-124748582D01* +X262743543Y-124753541D01* +X262729264Y-124757118D01* +X262714703Y-124759278D01* +X262700000Y-124760000D01* +X261300000Y-124760000D01* +X261285297Y-124759278D01* +X261270736Y-124757118D01* +X261256457Y-124753541D01* +X261242597Y-124748582D01* +X261229290Y-124742288D01* +X261216664Y-124734720D01* +X261204841Y-124725952D01* +X261193934Y-124716066D01* +X261184048Y-124705159D01* +X261175280Y-124693336D01* +X261167712Y-124680710D01* +X261161418Y-124667403D01* +X261156459Y-124653543D01* +X261152882Y-124639264D01* +X261150722Y-124624703D01* +X261150000Y-124610000D01* +X261150000Y-124310000D01* +X261150722Y-124295297D01* +X261152882Y-124280736D01* +X261156459Y-124266457D01* +X261161418Y-124252597D01* +X261167712Y-124239290D01* +X261175280Y-124226664D01* +X261184048Y-124214841D01* +X261193934Y-124203934D01* +X261204841Y-124194048D01* +X261216664Y-124185280D01* +X261229290Y-124177712D01* +X261242597Y-124171418D01* +X261256457Y-124166459D01* +X261270736Y-124162882D01* +X261285297Y-124160722D01* +X261300000Y-124160000D01* +X262700000Y-124160000D01* +X262714703Y-124160722D01* +X262714703Y-124160722D01* +G37* +D13* +X262000000Y-124460000D03* +D10* +G36* +X260514703Y-125260722D02* +G01* +X260529264Y-125262882D01* +X260543543Y-125266459D01* +X260557403Y-125271418D01* +X260570710Y-125277712D01* +X260583336Y-125285280D01* +X260595159Y-125294048D01* +X260606066Y-125303934D01* +X260615952Y-125314841D01* +X260624720Y-125326664D01* +X260632288Y-125339290D01* +X260638582Y-125352597D01* +X260643541Y-125366457D01* +X260647118Y-125380736D01* +X260649278Y-125395297D01* +X260650000Y-125410000D01* +X260650000Y-126810000D01* +X260649278Y-126824703D01* +X260647118Y-126839264D01* +X260643541Y-126853543D01* +X260638582Y-126867403D01* +X260632288Y-126880710D01* +X260624720Y-126893336D01* +X260615952Y-126905159D01* +X260606066Y-126916066D01* +X260595159Y-126925952D01* +X260583336Y-126934720D01* +X260570710Y-126942288D01* +X260557403Y-126948582D01* +X260543543Y-126953541D01* +X260529264Y-126957118D01* +X260514703Y-126959278D01* +X260500000Y-126960000D01* +X260200000Y-126960000D01* +X260185297Y-126959278D01* +X260170736Y-126957118D01* +X260156457Y-126953541D01* +X260142597Y-126948582D01* +X260129290Y-126942288D01* +X260116664Y-126934720D01* +X260104841Y-126925952D01* +X260093934Y-126916066D01* +X260084048Y-126905159D01* +X260075280Y-126893336D01* +X260067712Y-126880710D01* +X260061418Y-126867403D01* +X260056459Y-126853543D01* +X260052882Y-126839264D01* +X260050722Y-126824703D01* +X260050000Y-126810000D01* +X260050000Y-125410000D01* +X260050722Y-125395297D01* +X260052882Y-125380736D01* +X260056459Y-125366457D01* +X260061418Y-125352597D01* +X260067712Y-125339290D01* +X260075280Y-125326664D01* +X260084048Y-125314841D01* +X260093934Y-125303934D01* +X260104841Y-125294048D01* +X260116664Y-125285280D01* +X260129290Y-125277712D01* +X260142597Y-125271418D01* +X260156457Y-125266459D01* +X260170736Y-125262882D01* +X260185297Y-125260722D01* +X260200000Y-125260000D01* +X260500000Y-125260000D01* +X260514703Y-125260722D01* +X260514703Y-125260722D01* +G37* +D13* +X260350000Y-126110000D03* +D10* +G36* +X259244703Y-125260722D02* +G01* +X259259264Y-125262882D01* +X259273543Y-125266459D01* +X259287403Y-125271418D01* +X259300710Y-125277712D01* +X259313336Y-125285280D01* +X259325159Y-125294048D01* +X259336066Y-125303934D01* +X259345952Y-125314841D01* +X259354720Y-125326664D01* +X259362288Y-125339290D01* +X259368582Y-125352597D01* +X259373541Y-125366457D01* +X259377118Y-125380736D01* +X259379278Y-125395297D01* +X259380000Y-125410000D01* +X259380000Y-126810000D01* +X259379278Y-126824703D01* +X259377118Y-126839264D01* +X259373541Y-126853543D01* +X259368582Y-126867403D01* +X259362288Y-126880710D01* +X259354720Y-126893336D01* +X259345952Y-126905159D01* +X259336066Y-126916066D01* +X259325159Y-126925952D01* +X259313336Y-126934720D01* +X259300710Y-126942288D01* +X259287403Y-126948582D01* +X259273543Y-126953541D01* +X259259264Y-126957118D01* +X259244703Y-126959278D01* +X259230000Y-126960000D01* +X258930000Y-126960000D01* +X258915297Y-126959278D01* +X258900736Y-126957118D01* +X258886457Y-126953541D01* +X258872597Y-126948582D01* +X258859290Y-126942288D01* +X258846664Y-126934720D01* +X258834841Y-126925952D01* +X258823934Y-126916066D01* +X258814048Y-126905159D01* +X258805280Y-126893336D01* +X258797712Y-126880710D01* +X258791418Y-126867403D01* +X258786459Y-126853543D01* +X258782882Y-126839264D01* +X258780722Y-126824703D01* +X258780000Y-126810000D01* +X258780000Y-125410000D01* +X258780722Y-125395297D01* +X258782882Y-125380736D01* +X258786459Y-125366457D01* +X258791418Y-125352597D01* +X258797712Y-125339290D01* +X258805280Y-125326664D01* +X258814048Y-125314841D01* +X258823934Y-125303934D01* +X258834841Y-125294048D01* +X258846664Y-125285280D01* +X258859290Y-125277712D01* +X258872597Y-125271418D01* +X258886457Y-125266459D01* +X258900736Y-125262882D01* +X258915297Y-125260722D01* +X258930000Y-125260000D01* +X259230000Y-125260000D01* +X259244703Y-125260722D01* +X259244703Y-125260722D01* +G37* +D13* +X259080000Y-126110000D03* +D10* +G36* +X257974703Y-125260722D02* +G01* +X257989264Y-125262882D01* +X258003543Y-125266459D01* +X258017403Y-125271418D01* +X258030710Y-125277712D01* +X258043336Y-125285280D01* +X258055159Y-125294048D01* +X258066066Y-125303934D01* +X258075952Y-125314841D01* +X258084720Y-125326664D01* +X258092288Y-125339290D01* +X258098582Y-125352597D01* +X258103541Y-125366457D01* +X258107118Y-125380736D01* +X258109278Y-125395297D01* +X258110000Y-125410000D01* +X258110000Y-126810000D01* +X258109278Y-126824703D01* +X258107118Y-126839264D01* +X258103541Y-126853543D01* +X258098582Y-126867403D01* +X258092288Y-126880710D01* +X258084720Y-126893336D01* +X258075952Y-126905159D01* +X258066066Y-126916066D01* +X258055159Y-126925952D01* +X258043336Y-126934720D01* +X258030710Y-126942288D01* +X258017403Y-126948582D01* +X258003543Y-126953541D01* +X257989264Y-126957118D01* +X257974703Y-126959278D01* +X257960000Y-126960000D01* +X257660000Y-126960000D01* +X257645297Y-126959278D01* +X257630736Y-126957118D01* +X257616457Y-126953541D01* +X257602597Y-126948582D01* +X257589290Y-126942288D01* +X257576664Y-126934720D01* +X257564841Y-126925952D01* +X257553934Y-126916066D01* +X257544048Y-126905159D01* +X257535280Y-126893336D01* +X257527712Y-126880710D01* +X257521418Y-126867403D01* +X257516459Y-126853543D01* +X257512882Y-126839264D01* +X257510722Y-126824703D01* +X257510000Y-126810000D01* +X257510000Y-125410000D01* +X257510722Y-125395297D01* +X257512882Y-125380736D01* +X257516459Y-125366457D01* +X257521418Y-125352597D01* +X257527712Y-125339290D01* +X257535280Y-125326664D01* +X257544048Y-125314841D01* +X257553934Y-125303934D01* +X257564841Y-125294048D01* +X257576664Y-125285280D01* +X257589290Y-125277712D01* +X257602597Y-125271418D01* +X257616457Y-125266459D01* +X257630736Y-125262882D01* +X257645297Y-125260722D01* +X257660000Y-125260000D01* +X257960000Y-125260000D01* +X257974703Y-125260722D01* +X257974703Y-125260722D01* +G37* +D13* +X257810000Y-126110000D03* +D10* +G36* +X256704703Y-125260722D02* +G01* +X256719264Y-125262882D01* +X256733543Y-125266459D01* +X256747403Y-125271418D01* +X256760710Y-125277712D01* +X256773336Y-125285280D01* +X256785159Y-125294048D01* +X256796066Y-125303934D01* +X256805952Y-125314841D01* +X256814720Y-125326664D01* +X256822288Y-125339290D01* +X256828582Y-125352597D01* +X256833541Y-125366457D01* +X256837118Y-125380736D01* +X256839278Y-125395297D01* +X256840000Y-125410000D01* +X256840000Y-126810000D01* +X256839278Y-126824703D01* +X256837118Y-126839264D01* +X256833541Y-126853543D01* +X256828582Y-126867403D01* +X256822288Y-126880710D01* +X256814720Y-126893336D01* +X256805952Y-126905159D01* +X256796066Y-126916066D01* +X256785159Y-126925952D01* +X256773336Y-126934720D01* +X256760710Y-126942288D01* +X256747403Y-126948582D01* +X256733543Y-126953541D01* +X256719264Y-126957118D01* +X256704703Y-126959278D01* +X256690000Y-126960000D01* +X256390000Y-126960000D01* +X256375297Y-126959278D01* +X256360736Y-126957118D01* +X256346457Y-126953541D01* +X256332597Y-126948582D01* +X256319290Y-126942288D01* +X256306664Y-126934720D01* +X256294841Y-126925952D01* +X256283934Y-126916066D01* +X256274048Y-126905159D01* +X256265280Y-126893336D01* +X256257712Y-126880710D01* +X256251418Y-126867403D01* +X256246459Y-126853543D01* +X256242882Y-126839264D01* +X256240722Y-126824703D01* +X256240000Y-126810000D01* +X256240000Y-125410000D01* +X256240722Y-125395297D01* +X256242882Y-125380736D01* +X256246459Y-125366457D01* +X256251418Y-125352597D01* +X256257712Y-125339290D01* +X256265280Y-125326664D01* +X256274048Y-125314841D01* +X256283934Y-125303934D01* +X256294841Y-125294048D01* +X256306664Y-125285280D01* +X256319290Y-125277712D01* +X256332597Y-125271418D01* +X256346457Y-125266459D01* +X256360736Y-125262882D01* +X256375297Y-125260722D01* +X256390000Y-125260000D01* +X256690000Y-125260000D01* +X256704703Y-125260722D01* +X256704703Y-125260722D01* +G37* +D13* +X256540000Y-126110000D03* +D10* +G36* +X255434703Y-125260722D02* +G01* +X255449264Y-125262882D01* +X255463543Y-125266459D01* +X255477403Y-125271418D01* +X255490710Y-125277712D01* +X255503336Y-125285280D01* +X255515159Y-125294048D01* +X255526066Y-125303934D01* +X255535952Y-125314841D01* +X255544720Y-125326664D01* +X255552288Y-125339290D01* +X255558582Y-125352597D01* +X255563541Y-125366457D01* +X255567118Y-125380736D01* +X255569278Y-125395297D01* +X255570000Y-125410000D01* +X255570000Y-126810000D01* +X255569278Y-126824703D01* +X255567118Y-126839264D01* +X255563541Y-126853543D01* +X255558582Y-126867403D01* +X255552288Y-126880710D01* +X255544720Y-126893336D01* +X255535952Y-126905159D01* +X255526066Y-126916066D01* +X255515159Y-126925952D01* +X255503336Y-126934720D01* +X255490710Y-126942288D01* +X255477403Y-126948582D01* +X255463543Y-126953541D01* +X255449264Y-126957118D01* +X255434703Y-126959278D01* +X255420000Y-126960000D01* +X255120000Y-126960000D01* +X255105297Y-126959278D01* +X255090736Y-126957118D01* +X255076457Y-126953541D01* +X255062597Y-126948582D01* +X255049290Y-126942288D01* +X255036664Y-126934720D01* +X255024841Y-126925952D01* +X255013934Y-126916066D01* +X255004048Y-126905159D01* +X254995280Y-126893336D01* +X254987712Y-126880710D01* +X254981418Y-126867403D01* +X254976459Y-126853543D01* +X254972882Y-126839264D01* +X254970722Y-126824703D01* +X254970000Y-126810000D01* +X254970000Y-125410000D01* +X254970722Y-125395297D01* +X254972882Y-125380736D01* +X254976459Y-125366457D01* +X254981418Y-125352597D01* +X254987712Y-125339290D01* +X254995280Y-125326664D01* +X255004048Y-125314841D01* +X255013934Y-125303934D01* +X255024841Y-125294048D01* +X255036664Y-125285280D01* +X255049290Y-125277712D01* +X255062597Y-125271418D01* +X255076457Y-125266459D01* +X255090736Y-125262882D01* +X255105297Y-125260722D01* +X255120000Y-125260000D01* +X255420000Y-125260000D01* +X255434703Y-125260722D01* +X255434703Y-125260722D01* +G37* +D13* +X255270000Y-126110000D03* +D10* +G36* +X254164703Y-125260722D02* +G01* +X254179264Y-125262882D01* +X254193543Y-125266459D01* +X254207403Y-125271418D01* +X254220710Y-125277712D01* +X254233336Y-125285280D01* +X254245159Y-125294048D01* +X254256066Y-125303934D01* +X254265952Y-125314841D01* +X254274720Y-125326664D01* +X254282288Y-125339290D01* +X254288582Y-125352597D01* +X254293541Y-125366457D01* +X254297118Y-125380736D01* +X254299278Y-125395297D01* +X254300000Y-125410000D01* +X254300000Y-126810000D01* +X254299278Y-126824703D01* +X254297118Y-126839264D01* +X254293541Y-126853543D01* +X254288582Y-126867403D01* +X254282288Y-126880710D01* +X254274720Y-126893336D01* +X254265952Y-126905159D01* +X254256066Y-126916066D01* +X254245159Y-126925952D01* +X254233336Y-126934720D01* +X254220710Y-126942288D01* +X254207403Y-126948582D01* +X254193543Y-126953541D01* +X254179264Y-126957118D01* +X254164703Y-126959278D01* +X254150000Y-126960000D01* +X253850000Y-126960000D01* +X253835297Y-126959278D01* +X253820736Y-126957118D01* +X253806457Y-126953541D01* +X253792597Y-126948582D01* +X253779290Y-126942288D01* +X253766664Y-126934720D01* +X253754841Y-126925952D01* +X253743934Y-126916066D01* +X253734048Y-126905159D01* +X253725280Y-126893336D01* +X253717712Y-126880710D01* +X253711418Y-126867403D01* +X253706459Y-126853543D01* +X253702882Y-126839264D01* +X253700722Y-126824703D01* +X253700000Y-126810000D01* +X253700000Y-125410000D01* +X253700722Y-125395297D01* +X253702882Y-125380736D01* +X253706459Y-125366457D01* +X253711418Y-125352597D01* +X253717712Y-125339290D01* +X253725280Y-125326664D01* +X253734048Y-125314841D01* +X253743934Y-125303934D01* +X253754841Y-125294048D01* +X253766664Y-125285280D01* +X253779290Y-125277712D01* +X253792597Y-125271418D01* +X253806457Y-125266459D01* +X253820736Y-125262882D01* +X253835297Y-125260722D01* +X253850000Y-125260000D01* +X254150000Y-125260000D01* +X254164703Y-125260722D01* +X254164703Y-125260722D01* +G37* +D13* +X254000000Y-126110000D03* +D10* +G36* +X252894703Y-125260722D02* +G01* +X252909264Y-125262882D01* +X252923543Y-125266459D01* +X252937403Y-125271418D01* +X252950710Y-125277712D01* +X252963336Y-125285280D01* +X252975159Y-125294048D01* +X252986066Y-125303934D01* +X252995952Y-125314841D01* +X253004720Y-125326664D01* +X253012288Y-125339290D01* +X253018582Y-125352597D01* +X253023541Y-125366457D01* +X253027118Y-125380736D01* +X253029278Y-125395297D01* +X253030000Y-125410000D01* +X253030000Y-126810000D01* +X253029278Y-126824703D01* +X253027118Y-126839264D01* +X253023541Y-126853543D01* +X253018582Y-126867403D01* +X253012288Y-126880710D01* +X253004720Y-126893336D01* +X252995952Y-126905159D01* +X252986066Y-126916066D01* +X252975159Y-126925952D01* +X252963336Y-126934720D01* +X252950710Y-126942288D01* +X252937403Y-126948582D01* +X252923543Y-126953541D01* +X252909264Y-126957118D01* +X252894703Y-126959278D01* +X252880000Y-126960000D01* +X252580000Y-126960000D01* +X252565297Y-126959278D01* +X252550736Y-126957118D01* +X252536457Y-126953541D01* +X252522597Y-126948582D01* +X252509290Y-126942288D01* +X252496664Y-126934720D01* +X252484841Y-126925952D01* +X252473934Y-126916066D01* +X252464048Y-126905159D01* +X252455280Y-126893336D01* +X252447712Y-126880710D01* +X252441418Y-126867403D01* +X252436459Y-126853543D01* +X252432882Y-126839264D01* +X252430722Y-126824703D01* +X252430000Y-126810000D01* +X252430000Y-125410000D01* +X252430722Y-125395297D01* +X252432882Y-125380736D01* +X252436459Y-125366457D01* +X252441418Y-125352597D01* +X252447712Y-125339290D01* +X252455280Y-125326664D01* +X252464048Y-125314841D01* +X252473934Y-125303934D01* +X252484841Y-125294048D01* +X252496664Y-125285280D01* +X252509290Y-125277712D01* +X252522597Y-125271418D01* +X252536457Y-125266459D01* +X252550736Y-125262882D01* +X252565297Y-125260722D01* +X252580000Y-125260000D01* +X252880000Y-125260000D01* +X252894703Y-125260722D01* +X252894703Y-125260722D01* +G37* +D13* +X252730000Y-126110000D03* +D10* +G36* +X251624703Y-125260722D02* +G01* +X251639264Y-125262882D01* +X251653543Y-125266459D01* +X251667403Y-125271418D01* +X251680710Y-125277712D01* +X251693336Y-125285280D01* +X251705159Y-125294048D01* +X251716066Y-125303934D01* +X251725952Y-125314841D01* +X251734720Y-125326664D01* +X251742288Y-125339290D01* +X251748582Y-125352597D01* +X251753541Y-125366457D01* +X251757118Y-125380736D01* +X251759278Y-125395297D01* +X251760000Y-125410000D01* +X251760000Y-126810000D01* +X251759278Y-126824703D01* +X251757118Y-126839264D01* +X251753541Y-126853543D01* +X251748582Y-126867403D01* +X251742288Y-126880710D01* +X251734720Y-126893336D01* +X251725952Y-126905159D01* +X251716066Y-126916066D01* +X251705159Y-126925952D01* +X251693336Y-126934720D01* +X251680710Y-126942288D01* +X251667403Y-126948582D01* +X251653543Y-126953541D01* +X251639264Y-126957118D01* +X251624703Y-126959278D01* +X251610000Y-126960000D01* +X251310000Y-126960000D01* +X251295297Y-126959278D01* +X251280736Y-126957118D01* +X251266457Y-126953541D01* +X251252597Y-126948582D01* +X251239290Y-126942288D01* +X251226664Y-126934720D01* +X251214841Y-126925952D01* +X251203934Y-126916066D01* +X251194048Y-126905159D01* +X251185280Y-126893336D01* +X251177712Y-126880710D01* +X251171418Y-126867403D01* +X251166459Y-126853543D01* +X251162882Y-126839264D01* +X251160722Y-126824703D01* +X251160000Y-126810000D01* +X251160000Y-125410000D01* +X251160722Y-125395297D01* +X251162882Y-125380736D01* +X251166459Y-125366457D01* +X251171418Y-125352597D01* +X251177712Y-125339290D01* +X251185280Y-125326664D01* +X251194048Y-125314841D01* +X251203934Y-125303934D01* +X251214841Y-125294048D01* +X251226664Y-125285280D01* +X251239290Y-125277712D01* +X251252597Y-125271418D01* +X251266457Y-125266459D01* +X251280736Y-125262882D01* +X251295297Y-125260722D01* +X251310000Y-125260000D01* +X251610000Y-125260000D01* +X251624703Y-125260722D01* +X251624703Y-125260722D01* +G37* +D13* +X251460000Y-126110000D03* +D10* +G36* +X250354703Y-125260722D02* +G01* +X250369264Y-125262882D01* +X250383543Y-125266459D01* +X250397403Y-125271418D01* +X250410710Y-125277712D01* +X250423336Y-125285280D01* +X250435159Y-125294048D01* +X250446066Y-125303934D01* +X250455952Y-125314841D01* +X250464720Y-125326664D01* +X250472288Y-125339290D01* +X250478582Y-125352597D01* +X250483541Y-125366457D01* +X250487118Y-125380736D01* +X250489278Y-125395297D01* +X250490000Y-125410000D01* +X250490000Y-126810000D01* +X250489278Y-126824703D01* +X250487118Y-126839264D01* +X250483541Y-126853543D01* +X250478582Y-126867403D01* +X250472288Y-126880710D01* +X250464720Y-126893336D01* +X250455952Y-126905159D01* +X250446066Y-126916066D01* +X250435159Y-126925952D01* +X250423336Y-126934720D01* +X250410710Y-126942288D01* +X250397403Y-126948582D01* +X250383543Y-126953541D01* +X250369264Y-126957118D01* +X250354703Y-126959278D01* +X250340000Y-126960000D01* +X250040000Y-126960000D01* +X250025297Y-126959278D01* +X250010736Y-126957118D01* +X249996457Y-126953541D01* +X249982597Y-126948582D01* +X249969290Y-126942288D01* +X249956664Y-126934720D01* +X249944841Y-126925952D01* +X249933934Y-126916066D01* +X249924048Y-126905159D01* +X249915280Y-126893336D01* +X249907712Y-126880710D01* +X249901418Y-126867403D01* +X249896459Y-126853543D01* +X249892882Y-126839264D01* +X249890722Y-126824703D01* +X249890000Y-126810000D01* +X249890000Y-125410000D01* +X249890722Y-125395297D01* +X249892882Y-125380736D01* +X249896459Y-125366457D01* +X249901418Y-125352597D01* +X249907712Y-125339290D01* +X249915280Y-125326664D01* +X249924048Y-125314841D01* +X249933934Y-125303934D01* +X249944841Y-125294048D01* +X249956664Y-125285280D01* +X249969290Y-125277712D01* +X249982597Y-125271418D01* +X249996457Y-125266459D01* +X250010736Y-125262882D01* +X250025297Y-125260722D01* +X250040000Y-125260000D01* +X250340000Y-125260000D01* +X250354703Y-125260722D01* +X250354703Y-125260722D01* +G37* +D13* +X250190000Y-126110000D03* +D10* +G36* +X249084703Y-125260722D02* +G01* +X249099264Y-125262882D01* +X249113543Y-125266459D01* +X249127403Y-125271418D01* +X249140710Y-125277712D01* +X249153336Y-125285280D01* +X249165159Y-125294048D01* +X249176066Y-125303934D01* +X249185952Y-125314841D01* +X249194720Y-125326664D01* +X249202288Y-125339290D01* +X249208582Y-125352597D01* +X249213541Y-125366457D01* +X249217118Y-125380736D01* +X249219278Y-125395297D01* +X249220000Y-125410000D01* +X249220000Y-126810000D01* +X249219278Y-126824703D01* +X249217118Y-126839264D01* +X249213541Y-126853543D01* +X249208582Y-126867403D01* +X249202288Y-126880710D01* +X249194720Y-126893336D01* +X249185952Y-126905159D01* +X249176066Y-126916066D01* +X249165159Y-126925952D01* +X249153336Y-126934720D01* +X249140710Y-126942288D01* +X249127403Y-126948582D01* +X249113543Y-126953541D01* +X249099264Y-126957118D01* +X249084703Y-126959278D01* +X249070000Y-126960000D01* +X248770000Y-126960000D01* +X248755297Y-126959278D01* +X248740736Y-126957118D01* +X248726457Y-126953541D01* +X248712597Y-126948582D01* +X248699290Y-126942288D01* +X248686664Y-126934720D01* +X248674841Y-126925952D01* +X248663934Y-126916066D01* +X248654048Y-126905159D01* +X248645280Y-126893336D01* +X248637712Y-126880710D01* +X248631418Y-126867403D01* +X248626459Y-126853543D01* +X248622882Y-126839264D01* +X248620722Y-126824703D01* +X248620000Y-126810000D01* +X248620000Y-125410000D01* +X248620722Y-125395297D01* +X248622882Y-125380736D01* +X248626459Y-125366457D01* +X248631418Y-125352597D01* +X248637712Y-125339290D01* +X248645280Y-125326664D01* +X248654048Y-125314841D01* +X248663934Y-125303934D01* +X248674841Y-125294048D01* +X248686664Y-125285280D01* +X248699290Y-125277712D01* +X248712597Y-125271418D01* +X248726457Y-125266459D01* +X248740736Y-125262882D01* +X248755297Y-125260722D01* +X248770000Y-125260000D01* +X249070000Y-125260000D01* +X249084703Y-125260722D01* +X249084703Y-125260722D01* +G37* +D13* +X248920000Y-126110000D03* +D10* +G36* +X247814703Y-125260722D02* +G01* +X247829264Y-125262882D01* +X247843543Y-125266459D01* +X247857403Y-125271418D01* +X247870710Y-125277712D01* +X247883336Y-125285280D01* +X247895159Y-125294048D01* +X247906066Y-125303934D01* +X247915952Y-125314841D01* +X247924720Y-125326664D01* +X247932288Y-125339290D01* +X247938582Y-125352597D01* +X247943541Y-125366457D01* +X247947118Y-125380736D01* +X247949278Y-125395297D01* +X247950000Y-125410000D01* +X247950000Y-126810000D01* +X247949278Y-126824703D01* +X247947118Y-126839264D01* +X247943541Y-126853543D01* +X247938582Y-126867403D01* +X247932288Y-126880710D01* +X247924720Y-126893336D01* +X247915952Y-126905159D01* +X247906066Y-126916066D01* +X247895159Y-126925952D01* +X247883336Y-126934720D01* +X247870710Y-126942288D01* +X247857403Y-126948582D01* +X247843543Y-126953541D01* +X247829264Y-126957118D01* +X247814703Y-126959278D01* +X247800000Y-126960000D01* +X247500000Y-126960000D01* +X247485297Y-126959278D01* +X247470736Y-126957118D01* +X247456457Y-126953541D01* +X247442597Y-126948582D01* +X247429290Y-126942288D01* +X247416664Y-126934720D01* +X247404841Y-126925952D01* +X247393934Y-126916066D01* +X247384048Y-126905159D01* +X247375280Y-126893336D01* +X247367712Y-126880710D01* +X247361418Y-126867403D01* +X247356459Y-126853543D01* +X247352882Y-126839264D01* +X247350722Y-126824703D01* +X247350000Y-126810000D01* +X247350000Y-125410000D01* +X247350722Y-125395297D01* +X247352882Y-125380736D01* +X247356459Y-125366457D01* +X247361418Y-125352597D01* +X247367712Y-125339290D01* +X247375280Y-125326664D01* +X247384048Y-125314841D01* +X247393934Y-125303934D01* +X247404841Y-125294048D01* +X247416664Y-125285280D01* +X247429290Y-125277712D01* +X247442597Y-125271418D01* +X247456457Y-125266459D01* +X247470736Y-125262882D01* +X247485297Y-125260722D01* +X247500000Y-125260000D01* +X247800000Y-125260000D01* +X247814703Y-125260722D01* +X247814703Y-125260722D01* +G37* +D13* +X247650000Y-126110000D03* +D10* +G36* +X246544703Y-125260722D02* +G01* +X246559264Y-125262882D01* +X246573543Y-125266459D01* +X246587403Y-125271418D01* +X246600710Y-125277712D01* +X246613336Y-125285280D01* +X246625159Y-125294048D01* +X246636066Y-125303934D01* +X246645952Y-125314841D01* +X246654720Y-125326664D01* +X246662288Y-125339290D01* +X246668582Y-125352597D01* +X246673541Y-125366457D01* +X246677118Y-125380736D01* +X246679278Y-125395297D01* +X246680000Y-125410000D01* +X246680000Y-126810000D01* +X246679278Y-126824703D01* +X246677118Y-126839264D01* +X246673541Y-126853543D01* +X246668582Y-126867403D01* +X246662288Y-126880710D01* +X246654720Y-126893336D01* +X246645952Y-126905159D01* +X246636066Y-126916066D01* +X246625159Y-126925952D01* +X246613336Y-126934720D01* +X246600710Y-126942288D01* +X246587403Y-126948582D01* +X246573543Y-126953541D01* +X246559264Y-126957118D01* +X246544703Y-126959278D01* +X246530000Y-126960000D01* +X246230000Y-126960000D01* +X246215297Y-126959278D01* +X246200736Y-126957118D01* +X246186457Y-126953541D01* +X246172597Y-126948582D01* +X246159290Y-126942288D01* +X246146664Y-126934720D01* +X246134841Y-126925952D01* +X246123934Y-126916066D01* +X246114048Y-126905159D01* +X246105280Y-126893336D01* +X246097712Y-126880710D01* +X246091418Y-126867403D01* +X246086459Y-126853543D01* +X246082882Y-126839264D01* +X246080722Y-126824703D01* +X246080000Y-126810000D01* +X246080000Y-125410000D01* +X246080722Y-125395297D01* +X246082882Y-125380736D01* +X246086459Y-125366457D01* +X246091418Y-125352597D01* +X246097712Y-125339290D01* +X246105280Y-125326664D01* +X246114048Y-125314841D01* +X246123934Y-125303934D01* +X246134841Y-125294048D01* +X246146664Y-125285280D01* +X246159290Y-125277712D01* +X246172597Y-125271418D01* +X246186457Y-125266459D01* +X246200736Y-125262882D01* +X246215297Y-125260722D01* +X246230000Y-125260000D01* +X246530000Y-125260000D01* +X246544703Y-125260722D01* +X246544703Y-125260722D01* +G37* +D13* +X246380000Y-126110000D03* +D10* +G36* +X245274703Y-125260722D02* +G01* +X245289264Y-125262882D01* +X245303543Y-125266459D01* +X245317403Y-125271418D01* +X245330710Y-125277712D01* +X245343336Y-125285280D01* +X245355159Y-125294048D01* +X245366066Y-125303934D01* +X245375952Y-125314841D01* +X245384720Y-125326664D01* +X245392288Y-125339290D01* +X245398582Y-125352597D01* +X245403541Y-125366457D01* +X245407118Y-125380736D01* +X245409278Y-125395297D01* +X245410000Y-125410000D01* +X245410000Y-126810000D01* +X245409278Y-126824703D01* +X245407118Y-126839264D01* +X245403541Y-126853543D01* +X245398582Y-126867403D01* +X245392288Y-126880710D01* +X245384720Y-126893336D01* +X245375952Y-126905159D01* +X245366066Y-126916066D01* +X245355159Y-126925952D01* +X245343336Y-126934720D01* +X245330710Y-126942288D01* +X245317403Y-126948582D01* +X245303543Y-126953541D01* +X245289264Y-126957118D01* +X245274703Y-126959278D01* +X245260000Y-126960000D01* +X244960000Y-126960000D01* +X244945297Y-126959278D01* +X244930736Y-126957118D01* +X244916457Y-126953541D01* +X244902597Y-126948582D01* +X244889290Y-126942288D01* +X244876664Y-126934720D01* +X244864841Y-126925952D01* +X244853934Y-126916066D01* +X244844048Y-126905159D01* +X244835280Y-126893336D01* +X244827712Y-126880710D01* +X244821418Y-126867403D01* +X244816459Y-126853543D01* +X244812882Y-126839264D01* +X244810722Y-126824703D01* +X244810000Y-126810000D01* +X244810000Y-125410000D01* +X244810722Y-125395297D01* +X244812882Y-125380736D01* +X244816459Y-125366457D01* +X244821418Y-125352597D01* +X244827712Y-125339290D01* +X244835280Y-125326664D01* +X244844048Y-125314841D01* +X244853934Y-125303934D01* +X244864841Y-125294048D01* +X244876664Y-125285280D01* +X244889290Y-125277712D01* +X244902597Y-125271418D01* +X244916457Y-125266459D01* +X244930736Y-125262882D01* +X244945297Y-125260722D01* +X244960000Y-125260000D01* +X245260000Y-125260000D01* +X245274703Y-125260722D01* +X245274703Y-125260722D01* +G37* +D13* +X245110000Y-126110000D03* +D10* +G36* +X244004703Y-125260722D02* +G01* +X244019264Y-125262882D01* +X244033543Y-125266459D01* +X244047403Y-125271418D01* +X244060710Y-125277712D01* +X244073336Y-125285280D01* +X244085159Y-125294048D01* +X244096066Y-125303934D01* +X244105952Y-125314841D01* +X244114720Y-125326664D01* +X244122288Y-125339290D01* +X244128582Y-125352597D01* +X244133541Y-125366457D01* +X244137118Y-125380736D01* +X244139278Y-125395297D01* +X244140000Y-125410000D01* +X244140000Y-126810000D01* +X244139278Y-126824703D01* +X244137118Y-126839264D01* +X244133541Y-126853543D01* +X244128582Y-126867403D01* +X244122288Y-126880710D01* +X244114720Y-126893336D01* +X244105952Y-126905159D01* +X244096066Y-126916066D01* +X244085159Y-126925952D01* +X244073336Y-126934720D01* +X244060710Y-126942288D01* +X244047403Y-126948582D01* +X244033543Y-126953541D01* +X244019264Y-126957118D01* +X244004703Y-126959278D01* +X243990000Y-126960000D01* +X243690000Y-126960000D01* +X243675297Y-126959278D01* +X243660736Y-126957118D01* +X243646457Y-126953541D01* +X243632597Y-126948582D01* +X243619290Y-126942288D01* +X243606664Y-126934720D01* +X243594841Y-126925952D01* +X243583934Y-126916066D01* +X243574048Y-126905159D01* +X243565280Y-126893336D01* +X243557712Y-126880710D01* +X243551418Y-126867403D01* +X243546459Y-126853543D01* +X243542882Y-126839264D01* +X243540722Y-126824703D01* +X243540000Y-126810000D01* +X243540000Y-125410000D01* +X243540722Y-125395297D01* +X243542882Y-125380736D01* +X243546459Y-125366457D01* +X243551418Y-125352597D01* +X243557712Y-125339290D01* +X243565280Y-125326664D01* +X243574048Y-125314841D01* +X243583934Y-125303934D01* +X243594841Y-125294048D01* +X243606664Y-125285280D01* +X243619290Y-125277712D01* +X243632597Y-125271418D01* +X243646457Y-125266459D01* +X243660736Y-125262882D01* +X243675297Y-125260722D01* +X243690000Y-125260000D01* +X243990000Y-125260000D01* +X244004703Y-125260722D01* +X244004703Y-125260722D01* +G37* +D13* +X243840000Y-126110000D03* +D10* +G36* +X242734703Y-125260722D02* +G01* +X242749264Y-125262882D01* +X242763543Y-125266459D01* +X242777403Y-125271418D01* +X242790710Y-125277712D01* +X242803336Y-125285280D01* +X242815159Y-125294048D01* +X242826066Y-125303934D01* +X242835952Y-125314841D01* +X242844720Y-125326664D01* +X242852288Y-125339290D01* +X242858582Y-125352597D01* +X242863541Y-125366457D01* +X242867118Y-125380736D01* +X242869278Y-125395297D01* +X242870000Y-125410000D01* +X242870000Y-126810000D01* +X242869278Y-126824703D01* +X242867118Y-126839264D01* +X242863541Y-126853543D01* +X242858582Y-126867403D01* +X242852288Y-126880710D01* +X242844720Y-126893336D01* +X242835952Y-126905159D01* +X242826066Y-126916066D01* +X242815159Y-126925952D01* +X242803336Y-126934720D01* +X242790710Y-126942288D01* +X242777403Y-126948582D01* +X242763543Y-126953541D01* +X242749264Y-126957118D01* +X242734703Y-126959278D01* +X242720000Y-126960000D01* +X242420000Y-126960000D01* +X242405297Y-126959278D01* +X242390736Y-126957118D01* +X242376457Y-126953541D01* +X242362597Y-126948582D01* +X242349290Y-126942288D01* +X242336664Y-126934720D01* +X242324841Y-126925952D01* +X242313934Y-126916066D01* +X242304048Y-126905159D01* +X242295280Y-126893336D01* +X242287712Y-126880710D01* +X242281418Y-126867403D01* +X242276459Y-126853543D01* +X242272882Y-126839264D01* +X242270722Y-126824703D01* +X242270000Y-126810000D01* +X242270000Y-125410000D01* +X242270722Y-125395297D01* +X242272882Y-125380736D01* +X242276459Y-125366457D01* +X242281418Y-125352597D01* +X242287712Y-125339290D01* +X242295280Y-125326664D01* +X242304048Y-125314841D01* +X242313934Y-125303934D01* +X242324841Y-125294048D01* +X242336664Y-125285280D01* +X242349290Y-125277712D01* +X242362597Y-125271418D01* +X242376457Y-125266459D01* +X242390736Y-125262882D01* +X242405297Y-125260722D01* +X242420000Y-125260000D01* +X242720000Y-125260000D01* +X242734703Y-125260722D01* +X242734703Y-125260722D01* +G37* +D13* +X242570000Y-126110000D03* +D10* +G36* +X241464703Y-125260722D02* +G01* +X241479264Y-125262882D01* +X241493543Y-125266459D01* +X241507403Y-125271418D01* +X241520710Y-125277712D01* +X241533336Y-125285280D01* +X241545159Y-125294048D01* +X241556066Y-125303934D01* +X241565952Y-125314841D01* +X241574720Y-125326664D01* +X241582288Y-125339290D01* +X241588582Y-125352597D01* +X241593541Y-125366457D01* +X241597118Y-125380736D01* +X241599278Y-125395297D01* +X241600000Y-125410000D01* +X241600000Y-126810000D01* +X241599278Y-126824703D01* +X241597118Y-126839264D01* +X241593541Y-126853543D01* +X241588582Y-126867403D01* +X241582288Y-126880710D01* +X241574720Y-126893336D01* +X241565952Y-126905159D01* +X241556066Y-126916066D01* +X241545159Y-126925952D01* +X241533336Y-126934720D01* +X241520710Y-126942288D01* +X241507403Y-126948582D01* +X241493543Y-126953541D01* +X241479264Y-126957118D01* +X241464703Y-126959278D01* +X241450000Y-126960000D01* +X241150000Y-126960000D01* +X241135297Y-126959278D01* +X241120736Y-126957118D01* +X241106457Y-126953541D01* +X241092597Y-126948582D01* +X241079290Y-126942288D01* +X241066664Y-126934720D01* +X241054841Y-126925952D01* +X241043934Y-126916066D01* +X241034048Y-126905159D01* +X241025280Y-126893336D01* +X241017712Y-126880710D01* +X241011418Y-126867403D01* +X241006459Y-126853543D01* +X241002882Y-126839264D01* +X241000722Y-126824703D01* +X241000000Y-126810000D01* +X241000000Y-125410000D01* +X241000722Y-125395297D01* +X241002882Y-125380736D01* +X241006459Y-125366457D01* +X241011418Y-125352597D01* +X241017712Y-125339290D01* +X241025280Y-125326664D01* +X241034048Y-125314841D01* +X241043934Y-125303934D01* +X241054841Y-125294048D01* +X241066664Y-125285280D01* +X241079290Y-125277712D01* +X241092597Y-125271418D01* +X241106457Y-125266459D01* +X241120736Y-125262882D01* +X241135297Y-125260722D01* +X241150000Y-125260000D01* +X241450000Y-125260000D01* +X241464703Y-125260722D01* +X241464703Y-125260722D01* +G37* +D13* +X241300000Y-126110000D03* +D10* +G36* +X240194703Y-125260722D02* +G01* +X240209264Y-125262882D01* +X240223543Y-125266459D01* +X240237403Y-125271418D01* +X240250710Y-125277712D01* +X240263336Y-125285280D01* +X240275159Y-125294048D01* +X240286066Y-125303934D01* +X240295952Y-125314841D01* +X240304720Y-125326664D01* +X240312288Y-125339290D01* +X240318582Y-125352597D01* +X240323541Y-125366457D01* +X240327118Y-125380736D01* +X240329278Y-125395297D01* +X240330000Y-125410000D01* +X240330000Y-126810000D01* +X240329278Y-126824703D01* +X240327118Y-126839264D01* +X240323541Y-126853543D01* +X240318582Y-126867403D01* +X240312288Y-126880710D01* +X240304720Y-126893336D01* +X240295952Y-126905159D01* +X240286066Y-126916066D01* +X240275159Y-126925952D01* +X240263336Y-126934720D01* +X240250710Y-126942288D01* +X240237403Y-126948582D01* +X240223543Y-126953541D01* +X240209264Y-126957118D01* +X240194703Y-126959278D01* +X240180000Y-126960000D01* +X239880000Y-126960000D01* +X239865297Y-126959278D01* +X239850736Y-126957118D01* +X239836457Y-126953541D01* +X239822597Y-126948582D01* +X239809290Y-126942288D01* +X239796664Y-126934720D01* +X239784841Y-126925952D01* +X239773934Y-126916066D01* +X239764048Y-126905159D01* +X239755280Y-126893336D01* +X239747712Y-126880710D01* +X239741418Y-126867403D01* +X239736459Y-126853543D01* +X239732882Y-126839264D01* +X239730722Y-126824703D01* +X239730000Y-126810000D01* +X239730000Y-125410000D01* +X239730722Y-125395297D01* +X239732882Y-125380736D01* +X239736459Y-125366457D01* +X239741418Y-125352597D01* +X239747712Y-125339290D01* +X239755280Y-125326664D01* +X239764048Y-125314841D01* +X239773934Y-125303934D01* +X239784841Y-125294048D01* +X239796664Y-125285280D01* +X239809290Y-125277712D01* +X239822597Y-125271418D01* +X239836457Y-125266459D01* +X239850736Y-125262882D01* +X239865297Y-125260722D01* +X239880000Y-125260000D01* +X240180000Y-125260000D01* +X240194703Y-125260722D01* +X240194703Y-125260722D01* +G37* +D13* +X240030000Y-126110000D03* +D10* +G36* +X238924703Y-125260722D02* +G01* +X238939264Y-125262882D01* +X238953543Y-125266459D01* +X238967403Y-125271418D01* +X238980710Y-125277712D01* +X238993336Y-125285280D01* +X239005159Y-125294048D01* +X239016066Y-125303934D01* +X239025952Y-125314841D01* +X239034720Y-125326664D01* +X239042288Y-125339290D01* +X239048582Y-125352597D01* +X239053541Y-125366457D01* +X239057118Y-125380736D01* +X239059278Y-125395297D01* +X239060000Y-125410000D01* +X239060000Y-126810000D01* +X239059278Y-126824703D01* +X239057118Y-126839264D01* +X239053541Y-126853543D01* +X239048582Y-126867403D01* +X239042288Y-126880710D01* +X239034720Y-126893336D01* +X239025952Y-126905159D01* +X239016066Y-126916066D01* +X239005159Y-126925952D01* +X238993336Y-126934720D01* +X238980710Y-126942288D01* +X238967403Y-126948582D01* +X238953543Y-126953541D01* +X238939264Y-126957118D01* +X238924703Y-126959278D01* +X238910000Y-126960000D01* +X238610000Y-126960000D01* +X238595297Y-126959278D01* +X238580736Y-126957118D01* +X238566457Y-126953541D01* +X238552597Y-126948582D01* +X238539290Y-126942288D01* +X238526664Y-126934720D01* +X238514841Y-126925952D01* +X238503934Y-126916066D01* +X238494048Y-126905159D01* +X238485280Y-126893336D01* +X238477712Y-126880710D01* +X238471418Y-126867403D01* +X238466459Y-126853543D01* +X238462882Y-126839264D01* +X238460722Y-126824703D01* +X238460000Y-126810000D01* +X238460000Y-125410000D01* +X238460722Y-125395297D01* +X238462882Y-125380736D01* +X238466459Y-125366457D01* +X238471418Y-125352597D01* +X238477712Y-125339290D01* +X238485280Y-125326664D01* +X238494048Y-125314841D01* +X238503934Y-125303934D01* +X238514841Y-125294048D01* +X238526664Y-125285280D01* +X238539290Y-125277712D01* +X238552597Y-125271418D01* +X238566457Y-125266459D01* +X238580736Y-125262882D01* +X238595297Y-125260722D01* +X238610000Y-125260000D01* +X238910000Y-125260000D01* +X238924703Y-125260722D01* +X238924703Y-125260722D01* +G37* +D13* +X238760000Y-126110000D03* +D10* +G36* +X237654703Y-125260722D02* +G01* +X237669264Y-125262882D01* +X237683543Y-125266459D01* +X237697403Y-125271418D01* +X237710710Y-125277712D01* +X237723336Y-125285280D01* +X237735159Y-125294048D01* +X237746066Y-125303934D01* +X237755952Y-125314841D01* +X237764720Y-125326664D01* +X237772288Y-125339290D01* +X237778582Y-125352597D01* +X237783541Y-125366457D01* +X237787118Y-125380736D01* +X237789278Y-125395297D01* +X237790000Y-125410000D01* +X237790000Y-126810000D01* +X237789278Y-126824703D01* +X237787118Y-126839264D01* +X237783541Y-126853543D01* +X237778582Y-126867403D01* +X237772288Y-126880710D01* +X237764720Y-126893336D01* +X237755952Y-126905159D01* +X237746066Y-126916066D01* +X237735159Y-126925952D01* +X237723336Y-126934720D01* +X237710710Y-126942288D01* +X237697403Y-126948582D01* +X237683543Y-126953541D01* +X237669264Y-126957118D01* +X237654703Y-126959278D01* +X237640000Y-126960000D01* +X237340000Y-126960000D01* +X237325297Y-126959278D01* +X237310736Y-126957118D01* +X237296457Y-126953541D01* +X237282597Y-126948582D01* +X237269290Y-126942288D01* +X237256664Y-126934720D01* +X237244841Y-126925952D01* +X237233934Y-126916066D01* +X237224048Y-126905159D01* +X237215280Y-126893336D01* +X237207712Y-126880710D01* +X237201418Y-126867403D01* +X237196459Y-126853543D01* +X237192882Y-126839264D01* +X237190722Y-126824703D01* +X237190000Y-126810000D01* +X237190000Y-125410000D01* +X237190722Y-125395297D01* +X237192882Y-125380736D01* +X237196459Y-125366457D01* +X237201418Y-125352597D01* +X237207712Y-125339290D01* +X237215280Y-125326664D01* +X237224048Y-125314841D01* +X237233934Y-125303934D01* +X237244841Y-125294048D01* +X237256664Y-125285280D01* +X237269290Y-125277712D01* +X237282597Y-125271418D01* +X237296457Y-125266459D01* +X237310736Y-125262882D01* +X237325297Y-125260722D01* +X237340000Y-125260000D01* +X237640000Y-125260000D01* +X237654703Y-125260722D01* +X237654703Y-125260722D01* +G37* +D13* +X237490000Y-126110000D03* +D10* +G36* +X236384703Y-125260722D02* +G01* +X236399264Y-125262882D01* +X236413543Y-125266459D01* +X236427403Y-125271418D01* +X236440710Y-125277712D01* +X236453336Y-125285280D01* +X236465159Y-125294048D01* +X236476066Y-125303934D01* +X236485952Y-125314841D01* +X236494720Y-125326664D01* +X236502288Y-125339290D01* +X236508582Y-125352597D01* +X236513541Y-125366457D01* +X236517118Y-125380736D01* +X236519278Y-125395297D01* +X236520000Y-125410000D01* +X236520000Y-126810000D01* +X236519278Y-126824703D01* +X236517118Y-126839264D01* +X236513541Y-126853543D01* +X236508582Y-126867403D01* +X236502288Y-126880710D01* +X236494720Y-126893336D01* +X236485952Y-126905159D01* +X236476066Y-126916066D01* +X236465159Y-126925952D01* +X236453336Y-126934720D01* +X236440710Y-126942288D01* +X236427403Y-126948582D01* +X236413543Y-126953541D01* +X236399264Y-126957118D01* +X236384703Y-126959278D01* +X236370000Y-126960000D01* +X236070000Y-126960000D01* +X236055297Y-126959278D01* +X236040736Y-126957118D01* +X236026457Y-126953541D01* +X236012597Y-126948582D01* +X235999290Y-126942288D01* +X235986664Y-126934720D01* +X235974841Y-126925952D01* +X235963934Y-126916066D01* +X235954048Y-126905159D01* +X235945280Y-126893336D01* +X235937712Y-126880710D01* +X235931418Y-126867403D01* +X235926459Y-126853543D01* +X235922882Y-126839264D01* +X235920722Y-126824703D01* +X235920000Y-126810000D01* +X235920000Y-125410000D01* +X235920722Y-125395297D01* +X235922882Y-125380736D01* +X235926459Y-125366457D01* +X235931418Y-125352597D01* +X235937712Y-125339290D01* +X235945280Y-125326664D01* +X235954048Y-125314841D01* +X235963934Y-125303934D01* +X235974841Y-125294048D01* +X235986664Y-125285280D01* +X235999290Y-125277712D01* +X236012597Y-125271418D01* +X236026457Y-125266459D01* +X236040736Y-125262882D01* +X236055297Y-125260722D01* +X236070000Y-125260000D01* +X236370000Y-125260000D01* +X236384703Y-125260722D01* +X236384703Y-125260722D01* +G37* +D13* +X236220000Y-126110000D03* +D10* +G36* +X235114703Y-125260722D02* +G01* +X235129264Y-125262882D01* +X235143543Y-125266459D01* +X235157403Y-125271418D01* +X235170710Y-125277712D01* +X235183336Y-125285280D01* +X235195159Y-125294048D01* +X235206066Y-125303934D01* +X235215952Y-125314841D01* +X235224720Y-125326664D01* +X235232288Y-125339290D01* +X235238582Y-125352597D01* +X235243541Y-125366457D01* +X235247118Y-125380736D01* +X235249278Y-125395297D01* +X235250000Y-125410000D01* +X235250000Y-126810000D01* +X235249278Y-126824703D01* +X235247118Y-126839264D01* +X235243541Y-126853543D01* +X235238582Y-126867403D01* +X235232288Y-126880710D01* +X235224720Y-126893336D01* +X235215952Y-126905159D01* +X235206066Y-126916066D01* +X235195159Y-126925952D01* +X235183336Y-126934720D01* +X235170710Y-126942288D01* +X235157403Y-126948582D01* +X235143543Y-126953541D01* +X235129264Y-126957118D01* +X235114703Y-126959278D01* +X235100000Y-126960000D01* +X234800000Y-126960000D01* +X234785297Y-126959278D01* +X234770736Y-126957118D01* +X234756457Y-126953541D01* +X234742597Y-126948582D01* +X234729290Y-126942288D01* +X234716664Y-126934720D01* +X234704841Y-126925952D01* +X234693934Y-126916066D01* +X234684048Y-126905159D01* +X234675280Y-126893336D01* +X234667712Y-126880710D01* +X234661418Y-126867403D01* +X234656459Y-126853543D01* +X234652882Y-126839264D01* +X234650722Y-126824703D01* +X234650000Y-126810000D01* +X234650000Y-125410000D01* +X234650722Y-125395297D01* +X234652882Y-125380736D01* +X234656459Y-125366457D01* +X234661418Y-125352597D01* +X234667712Y-125339290D01* +X234675280Y-125326664D01* +X234684048Y-125314841D01* +X234693934Y-125303934D01* +X234704841Y-125294048D01* +X234716664Y-125285280D01* +X234729290Y-125277712D01* +X234742597Y-125271418D01* +X234756457Y-125266459D01* +X234770736Y-125262882D01* +X234785297Y-125260722D01* +X234800000Y-125260000D01* +X235100000Y-125260000D01* +X235114703Y-125260722D01* +X235114703Y-125260722D01* +G37* +D13* +X234950000Y-126110000D03* +D10* +G36* +X234014703Y-124160722D02* +G01* +X234029264Y-124162882D01* +X234043543Y-124166459D01* +X234057403Y-124171418D01* +X234070710Y-124177712D01* +X234083336Y-124185280D01* +X234095159Y-124194048D01* +X234106066Y-124203934D01* +X234115952Y-124214841D01* +X234124720Y-124226664D01* +X234132288Y-124239290D01* +X234138582Y-124252597D01* +X234143541Y-124266457D01* +X234147118Y-124280736D01* +X234149278Y-124295297D01* +X234150000Y-124310000D01* +X234150000Y-124610000D01* +X234149278Y-124624703D01* +X234147118Y-124639264D01* +X234143541Y-124653543D01* +X234138582Y-124667403D01* +X234132288Y-124680710D01* +X234124720Y-124693336D01* +X234115952Y-124705159D01* +X234106066Y-124716066D01* +X234095159Y-124725952D01* +X234083336Y-124734720D01* +X234070710Y-124742288D01* +X234057403Y-124748582D01* +X234043543Y-124753541D01* +X234029264Y-124757118D01* +X234014703Y-124759278D01* +X234000000Y-124760000D01* +X232600000Y-124760000D01* +X232585297Y-124759278D01* +X232570736Y-124757118D01* +X232556457Y-124753541D01* +X232542597Y-124748582D01* +X232529290Y-124742288D01* +X232516664Y-124734720D01* +X232504841Y-124725952D01* +X232493934Y-124716066D01* +X232484048Y-124705159D01* +X232475280Y-124693336D01* +X232467712Y-124680710D01* +X232461418Y-124667403D01* +X232456459Y-124653543D01* +X232452882Y-124639264D01* +X232450722Y-124624703D01* +X232450000Y-124610000D01* +X232450000Y-124310000D01* +X232450722Y-124295297D01* +X232452882Y-124280736D01* +X232456459Y-124266457D01* +X232461418Y-124252597D01* +X232467712Y-124239290D01* +X232475280Y-124226664D01* +X232484048Y-124214841D01* +X232493934Y-124203934D01* +X232504841Y-124194048D01* +X232516664Y-124185280D01* +X232529290Y-124177712D01* +X232542597Y-124171418D01* +X232556457Y-124166459D01* +X232570736Y-124162882D01* +X232585297Y-124160722D01* +X232600000Y-124160000D01* +X234000000Y-124160000D01* +X234014703Y-124160722D01* +X234014703Y-124160722D01* +G37* +D13* +X233300000Y-124460000D03* +D10* +G36* +X234014703Y-122890722D02* +G01* +X234029264Y-122892882D01* +X234043543Y-122896459D01* +X234057403Y-122901418D01* +X234070710Y-122907712D01* +X234083336Y-122915280D01* +X234095159Y-122924048D01* +X234106066Y-122933934D01* +X234115952Y-122944841D01* +X234124720Y-122956664D01* +X234132288Y-122969290D01* +X234138582Y-122982597D01* +X234143541Y-122996457D01* +X234147118Y-123010736D01* +X234149278Y-123025297D01* +X234150000Y-123040000D01* +X234150000Y-123340000D01* +X234149278Y-123354703D01* +X234147118Y-123369264D01* +X234143541Y-123383543D01* +X234138582Y-123397403D01* +X234132288Y-123410710D01* +X234124720Y-123423336D01* +X234115952Y-123435159D01* +X234106066Y-123446066D01* +X234095159Y-123455952D01* +X234083336Y-123464720D01* +X234070710Y-123472288D01* +X234057403Y-123478582D01* +X234043543Y-123483541D01* +X234029264Y-123487118D01* +X234014703Y-123489278D01* +X234000000Y-123490000D01* +X232600000Y-123490000D01* +X232585297Y-123489278D01* +X232570736Y-123487118D01* +X232556457Y-123483541D01* +X232542597Y-123478582D01* +X232529290Y-123472288D01* +X232516664Y-123464720D01* +X232504841Y-123455952D01* +X232493934Y-123446066D01* +X232484048Y-123435159D01* +X232475280Y-123423336D01* +X232467712Y-123410710D01* +X232461418Y-123397403D01* +X232456459Y-123383543D01* +X232452882Y-123369264D01* +X232450722Y-123354703D01* +X232450000Y-123340000D01* +X232450000Y-123040000D01* +X232450722Y-123025297D01* +X232452882Y-123010736D01* +X232456459Y-122996457D01* +X232461418Y-122982597D01* +X232467712Y-122969290D01* +X232475280Y-122956664D01* +X232484048Y-122944841D01* +X232493934Y-122933934D01* +X232504841Y-122924048D01* +X232516664Y-122915280D01* +X232529290Y-122907712D01* +X232542597Y-122901418D01* +X232556457Y-122896459D01* +X232570736Y-122892882D01* +X232585297Y-122890722D01* +X232600000Y-122890000D01* +X234000000Y-122890000D01* +X234014703Y-122890722D01* +X234014703Y-122890722D01* +G37* +D13* +X233300000Y-123190000D03* +D10* +G36* +X234014703Y-121620722D02* +G01* +X234029264Y-121622882D01* +X234043543Y-121626459D01* +X234057403Y-121631418D01* +X234070710Y-121637712D01* +X234083336Y-121645280D01* +X234095159Y-121654048D01* +X234106066Y-121663934D01* +X234115952Y-121674841D01* +X234124720Y-121686664D01* +X234132288Y-121699290D01* +X234138582Y-121712597D01* +X234143541Y-121726457D01* +X234147118Y-121740736D01* +X234149278Y-121755297D01* +X234150000Y-121770000D01* +X234150000Y-122070000D01* +X234149278Y-122084703D01* +X234147118Y-122099264D01* +X234143541Y-122113543D01* +X234138582Y-122127403D01* +X234132288Y-122140710D01* +X234124720Y-122153336D01* +X234115952Y-122165159D01* +X234106066Y-122176066D01* +X234095159Y-122185952D01* +X234083336Y-122194720D01* +X234070710Y-122202288D01* +X234057403Y-122208582D01* +X234043543Y-122213541D01* +X234029264Y-122217118D01* +X234014703Y-122219278D01* +X234000000Y-122220000D01* +X232600000Y-122220000D01* +X232585297Y-122219278D01* +X232570736Y-122217118D01* +X232556457Y-122213541D01* +X232542597Y-122208582D01* +X232529290Y-122202288D01* +X232516664Y-122194720D01* +X232504841Y-122185952D01* +X232493934Y-122176066D01* +X232484048Y-122165159D01* +X232475280Y-122153336D01* +X232467712Y-122140710D01* +X232461418Y-122127403D01* +X232456459Y-122113543D01* +X232452882Y-122099264D01* +X232450722Y-122084703D01* +X232450000Y-122070000D01* +X232450000Y-121770000D01* +X232450722Y-121755297D01* +X232452882Y-121740736D01* +X232456459Y-121726457D01* +X232461418Y-121712597D01* +X232467712Y-121699290D01* +X232475280Y-121686664D01* +X232484048Y-121674841D01* +X232493934Y-121663934D01* +X232504841Y-121654048D01* +X232516664Y-121645280D01* +X232529290Y-121637712D01* +X232542597Y-121631418D01* +X232556457Y-121626459D01* +X232570736Y-121622882D01* +X232585297Y-121620722D01* +X232600000Y-121620000D01* +X234000000Y-121620000D01* +X234014703Y-121620722D01* +X234014703Y-121620722D01* +G37* +D13* +X233300000Y-121920000D03* +D10* +G36* +X234014703Y-120350722D02* +G01* +X234029264Y-120352882D01* +X234043543Y-120356459D01* +X234057403Y-120361418D01* +X234070710Y-120367712D01* +X234083336Y-120375280D01* +X234095159Y-120384048D01* +X234106066Y-120393934D01* +X234115952Y-120404841D01* +X234124720Y-120416664D01* +X234132288Y-120429290D01* +X234138582Y-120442597D01* +X234143541Y-120456457D01* +X234147118Y-120470736D01* +X234149278Y-120485297D01* +X234150000Y-120500000D01* +X234150000Y-120800000D01* +X234149278Y-120814703D01* +X234147118Y-120829264D01* +X234143541Y-120843543D01* +X234138582Y-120857403D01* +X234132288Y-120870710D01* +X234124720Y-120883336D01* +X234115952Y-120895159D01* +X234106066Y-120906066D01* +X234095159Y-120915952D01* +X234083336Y-120924720D01* +X234070710Y-120932288D01* +X234057403Y-120938582D01* +X234043543Y-120943541D01* +X234029264Y-120947118D01* +X234014703Y-120949278D01* +X234000000Y-120950000D01* +X232600000Y-120950000D01* +X232585297Y-120949278D01* +X232570736Y-120947118D01* +X232556457Y-120943541D01* +X232542597Y-120938582D01* +X232529290Y-120932288D01* +X232516664Y-120924720D01* +X232504841Y-120915952D01* +X232493934Y-120906066D01* +X232484048Y-120895159D01* +X232475280Y-120883336D01* +X232467712Y-120870710D01* +X232461418Y-120857403D01* +X232456459Y-120843543D01* +X232452882Y-120829264D01* +X232450722Y-120814703D01* +X232450000Y-120800000D01* +X232450000Y-120500000D01* +X232450722Y-120485297D01* +X232452882Y-120470736D01* +X232456459Y-120456457D01* +X232461418Y-120442597D01* +X232467712Y-120429290D01* +X232475280Y-120416664D01* +X232484048Y-120404841D01* +X232493934Y-120393934D01* +X232504841Y-120384048D01* +X232516664Y-120375280D01* +X232529290Y-120367712D01* +X232542597Y-120361418D01* +X232556457Y-120356459D01* +X232570736Y-120352882D01* +X232585297Y-120350722D01* +X232600000Y-120350000D01* +X234000000Y-120350000D01* +X234014703Y-120350722D01* +X234014703Y-120350722D01* +G37* +D13* +X233300000Y-120650000D03* +D10* +G36* +X234014703Y-119080722D02* +G01* +X234029264Y-119082882D01* +X234043543Y-119086459D01* +X234057403Y-119091418D01* +X234070710Y-119097712D01* +X234083336Y-119105280D01* +X234095159Y-119114048D01* +X234106066Y-119123934D01* +X234115952Y-119134841D01* +X234124720Y-119146664D01* +X234132288Y-119159290D01* +X234138582Y-119172597D01* +X234143541Y-119186457D01* +X234147118Y-119200736D01* +X234149278Y-119215297D01* +X234150000Y-119230000D01* +X234150000Y-119530000D01* +X234149278Y-119544703D01* +X234147118Y-119559264D01* +X234143541Y-119573543D01* +X234138582Y-119587403D01* +X234132288Y-119600710D01* +X234124720Y-119613336D01* +X234115952Y-119625159D01* +X234106066Y-119636066D01* +X234095159Y-119645952D01* +X234083336Y-119654720D01* +X234070710Y-119662288D01* +X234057403Y-119668582D01* +X234043543Y-119673541D01* +X234029264Y-119677118D01* +X234014703Y-119679278D01* +X234000000Y-119680000D01* +X232600000Y-119680000D01* +X232585297Y-119679278D01* +X232570736Y-119677118D01* +X232556457Y-119673541D01* +X232542597Y-119668582D01* +X232529290Y-119662288D01* +X232516664Y-119654720D01* +X232504841Y-119645952D01* +X232493934Y-119636066D01* +X232484048Y-119625159D01* +X232475280Y-119613336D01* +X232467712Y-119600710D01* +X232461418Y-119587403D01* +X232456459Y-119573543D01* +X232452882Y-119559264D01* +X232450722Y-119544703D01* +X232450000Y-119530000D01* +X232450000Y-119230000D01* +X232450722Y-119215297D01* +X232452882Y-119200736D01* +X232456459Y-119186457D01* +X232461418Y-119172597D01* +X232467712Y-119159290D01* +X232475280Y-119146664D01* +X232484048Y-119134841D01* +X232493934Y-119123934D01* +X232504841Y-119114048D01* +X232516664Y-119105280D01* +X232529290Y-119097712D01* +X232542597Y-119091418D01* +X232556457Y-119086459D01* +X232570736Y-119082882D01* +X232585297Y-119080722D01* +X232600000Y-119080000D01* +X234000000Y-119080000D01* +X234014703Y-119080722D01* +X234014703Y-119080722D01* +G37* +D13* +X233300000Y-119380000D03* +D10* +G36* +X234014703Y-117810722D02* +G01* +X234029264Y-117812882D01* +X234043543Y-117816459D01* +X234057403Y-117821418D01* +X234070710Y-117827712D01* +X234083336Y-117835280D01* +X234095159Y-117844048D01* +X234106066Y-117853934D01* +X234115952Y-117864841D01* +X234124720Y-117876664D01* +X234132288Y-117889290D01* +X234138582Y-117902597D01* +X234143541Y-117916457D01* +X234147118Y-117930736D01* +X234149278Y-117945297D01* +X234150000Y-117960000D01* +X234150000Y-118260000D01* +X234149278Y-118274703D01* +X234147118Y-118289264D01* +X234143541Y-118303543D01* +X234138582Y-118317403D01* +X234132288Y-118330710D01* +X234124720Y-118343336D01* +X234115952Y-118355159D01* +X234106066Y-118366066D01* +X234095159Y-118375952D01* +X234083336Y-118384720D01* +X234070710Y-118392288D01* +X234057403Y-118398582D01* +X234043543Y-118403541D01* +X234029264Y-118407118D01* +X234014703Y-118409278D01* +X234000000Y-118410000D01* +X232600000Y-118410000D01* +X232585297Y-118409278D01* +X232570736Y-118407118D01* +X232556457Y-118403541D01* +X232542597Y-118398582D01* +X232529290Y-118392288D01* +X232516664Y-118384720D01* +X232504841Y-118375952D01* +X232493934Y-118366066D01* +X232484048Y-118355159D01* +X232475280Y-118343336D01* +X232467712Y-118330710D01* +X232461418Y-118317403D01* +X232456459Y-118303543D01* +X232452882Y-118289264D01* +X232450722Y-118274703D01* +X232450000Y-118260000D01* +X232450000Y-117960000D01* +X232450722Y-117945297D01* +X232452882Y-117930736D01* +X232456459Y-117916457D01* +X232461418Y-117902597D01* +X232467712Y-117889290D01* +X232475280Y-117876664D01* +X232484048Y-117864841D01* +X232493934Y-117853934D01* +X232504841Y-117844048D01* +X232516664Y-117835280D01* +X232529290Y-117827712D01* +X232542597Y-117821418D01* +X232556457Y-117816459D01* +X232570736Y-117812882D01* +X232585297Y-117810722D01* +X232600000Y-117810000D01* +X234000000Y-117810000D01* +X234014703Y-117810722D01* +X234014703Y-117810722D01* +G37* +D13* +X233300000Y-118110000D03* +D10* +G36* +X234014703Y-116540722D02* +G01* +X234029264Y-116542882D01* +X234043543Y-116546459D01* +X234057403Y-116551418D01* +X234070710Y-116557712D01* +X234083336Y-116565280D01* +X234095159Y-116574048D01* +X234106066Y-116583934D01* +X234115952Y-116594841D01* +X234124720Y-116606664D01* +X234132288Y-116619290D01* +X234138582Y-116632597D01* +X234143541Y-116646457D01* +X234147118Y-116660736D01* +X234149278Y-116675297D01* +X234150000Y-116690000D01* +X234150000Y-116990000D01* +X234149278Y-117004703D01* +X234147118Y-117019264D01* +X234143541Y-117033543D01* +X234138582Y-117047403D01* +X234132288Y-117060710D01* +X234124720Y-117073336D01* +X234115952Y-117085159D01* +X234106066Y-117096066D01* +X234095159Y-117105952D01* +X234083336Y-117114720D01* +X234070710Y-117122288D01* +X234057403Y-117128582D01* +X234043543Y-117133541D01* +X234029264Y-117137118D01* +X234014703Y-117139278D01* +X234000000Y-117140000D01* +X232600000Y-117140000D01* +X232585297Y-117139278D01* +X232570736Y-117137118D01* +X232556457Y-117133541D01* +X232542597Y-117128582D01* +X232529290Y-117122288D01* +X232516664Y-117114720D01* +X232504841Y-117105952D01* +X232493934Y-117096066D01* +X232484048Y-117085159D01* +X232475280Y-117073336D01* +X232467712Y-117060710D01* +X232461418Y-117047403D01* +X232456459Y-117033543D01* +X232452882Y-117019264D01* +X232450722Y-117004703D01* +X232450000Y-116990000D01* +X232450000Y-116690000D01* +X232450722Y-116675297D01* +X232452882Y-116660736D01* +X232456459Y-116646457D01* +X232461418Y-116632597D01* +X232467712Y-116619290D01* +X232475280Y-116606664D01* +X232484048Y-116594841D01* +X232493934Y-116583934D01* +X232504841Y-116574048D01* +X232516664Y-116565280D01* +X232529290Y-116557712D01* +X232542597Y-116551418D01* +X232556457Y-116546459D01* +X232570736Y-116542882D01* +X232585297Y-116540722D01* +X232600000Y-116540000D01* +X234000000Y-116540000D01* +X234014703Y-116540722D01* +X234014703Y-116540722D01* +G37* +D13* +X233300000Y-116840000D03* +D10* +G36* +X234014703Y-115270722D02* +G01* +X234029264Y-115272882D01* +X234043543Y-115276459D01* +X234057403Y-115281418D01* +X234070710Y-115287712D01* +X234083336Y-115295280D01* +X234095159Y-115304048D01* +X234106066Y-115313934D01* +X234115952Y-115324841D01* +X234124720Y-115336664D01* +X234132288Y-115349290D01* +X234138582Y-115362597D01* +X234143541Y-115376457D01* +X234147118Y-115390736D01* +X234149278Y-115405297D01* +X234150000Y-115420000D01* +X234150000Y-115720000D01* +X234149278Y-115734703D01* +X234147118Y-115749264D01* +X234143541Y-115763543D01* +X234138582Y-115777403D01* +X234132288Y-115790710D01* +X234124720Y-115803336D01* +X234115952Y-115815159D01* +X234106066Y-115826066D01* +X234095159Y-115835952D01* +X234083336Y-115844720D01* +X234070710Y-115852288D01* +X234057403Y-115858582D01* +X234043543Y-115863541D01* +X234029264Y-115867118D01* +X234014703Y-115869278D01* +X234000000Y-115870000D01* +X232600000Y-115870000D01* +X232585297Y-115869278D01* +X232570736Y-115867118D01* +X232556457Y-115863541D01* +X232542597Y-115858582D01* +X232529290Y-115852288D01* +X232516664Y-115844720D01* +X232504841Y-115835952D01* +X232493934Y-115826066D01* +X232484048Y-115815159D01* +X232475280Y-115803336D01* +X232467712Y-115790710D01* +X232461418Y-115777403D01* +X232456459Y-115763543D01* +X232452882Y-115749264D01* +X232450722Y-115734703D01* +X232450000Y-115720000D01* +X232450000Y-115420000D01* +X232450722Y-115405297D01* +X232452882Y-115390736D01* +X232456459Y-115376457D01* +X232461418Y-115362597D01* +X232467712Y-115349290D01* +X232475280Y-115336664D01* +X232484048Y-115324841D01* +X232493934Y-115313934D01* +X232504841Y-115304048D01* +X232516664Y-115295280D01* +X232529290Y-115287712D01* +X232542597Y-115281418D01* +X232556457Y-115276459D01* +X232570736Y-115272882D01* +X232585297Y-115270722D01* +X232600000Y-115270000D01* +X234000000Y-115270000D01* +X234014703Y-115270722D01* +X234014703Y-115270722D01* +G37* +D13* +X233300000Y-115570000D03* +D10* +G36* +X234014703Y-114000722D02* +G01* +X234029264Y-114002882D01* +X234043543Y-114006459D01* +X234057403Y-114011418D01* +X234070710Y-114017712D01* +X234083336Y-114025280D01* +X234095159Y-114034048D01* +X234106066Y-114043934D01* +X234115952Y-114054841D01* +X234124720Y-114066664D01* +X234132288Y-114079290D01* +X234138582Y-114092597D01* +X234143541Y-114106457D01* +X234147118Y-114120736D01* +X234149278Y-114135297D01* +X234150000Y-114150000D01* +X234150000Y-114450000D01* +X234149278Y-114464703D01* +X234147118Y-114479264D01* +X234143541Y-114493543D01* +X234138582Y-114507403D01* +X234132288Y-114520710D01* +X234124720Y-114533336D01* +X234115952Y-114545159D01* +X234106066Y-114556066D01* +X234095159Y-114565952D01* +X234083336Y-114574720D01* +X234070710Y-114582288D01* +X234057403Y-114588582D01* +X234043543Y-114593541D01* +X234029264Y-114597118D01* +X234014703Y-114599278D01* +X234000000Y-114600000D01* +X232600000Y-114600000D01* +X232585297Y-114599278D01* +X232570736Y-114597118D01* +X232556457Y-114593541D01* +X232542597Y-114588582D01* +X232529290Y-114582288D01* +X232516664Y-114574720D01* +X232504841Y-114565952D01* +X232493934Y-114556066D01* +X232484048Y-114545159D01* +X232475280Y-114533336D01* +X232467712Y-114520710D01* +X232461418Y-114507403D01* +X232456459Y-114493543D01* +X232452882Y-114479264D01* +X232450722Y-114464703D01* +X232450000Y-114450000D01* +X232450000Y-114150000D01* +X232450722Y-114135297D01* +X232452882Y-114120736D01* +X232456459Y-114106457D01* +X232461418Y-114092597D01* +X232467712Y-114079290D01* +X232475280Y-114066664D01* +X232484048Y-114054841D01* +X232493934Y-114043934D01* +X232504841Y-114034048D01* +X232516664Y-114025280D01* +X232529290Y-114017712D01* +X232542597Y-114011418D01* +X232556457Y-114006459D01* +X232570736Y-114002882D01* +X232585297Y-114000722D01* +X232600000Y-114000000D01* +X234000000Y-114000000D01* +X234014703Y-114000722D01* +X234014703Y-114000722D01* +G37* +D13* +X233300000Y-114300000D03* +D10* +G36* +X234014703Y-112730722D02* +G01* +X234029264Y-112732882D01* +X234043543Y-112736459D01* +X234057403Y-112741418D01* +X234070710Y-112747712D01* +X234083336Y-112755280D01* +X234095159Y-112764048D01* +X234106066Y-112773934D01* +X234115952Y-112784841D01* +X234124720Y-112796664D01* +X234132288Y-112809290D01* +X234138582Y-112822597D01* +X234143541Y-112836457D01* +X234147118Y-112850736D01* +X234149278Y-112865297D01* +X234150000Y-112880000D01* +X234150000Y-113180000D01* +X234149278Y-113194703D01* +X234147118Y-113209264D01* +X234143541Y-113223543D01* +X234138582Y-113237403D01* +X234132288Y-113250710D01* +X234124720Y-113263336D01* +X234115952Y-113275159D01* +X234106066Y-113286066D01* +X234095159Y-113295952D01* +X234083336Y-113304720D01* +X234070710Y-113312288D01* +X234057403Y-113318582D01* +X234043543Y-113323541D01* +X234029264Y-113327118D01* +X234014703Y-113329278D01* +X234000000Y-113330000D01* +X232600000Y-113330000D01* +X232585297Y-113329278D01* +X232570736Y-113327118D01* +X232556457Y-113323541D01* +X232542597Y-113318582D01* +X232529290Y-113312288D01* +X232516664Y-113304720D01* +X232504841Y-113295952D01* +X232493934Y-113286066D01* +X232484048Y-113275159D01* +X232475280Y-113263336D01* +X232467712Y-113250710D01* +X232461418Y-113237403D01* +X232456459Y-113223543D01* +X232452882Y-113209264D01* +X232450722Y-113194703D01* +X232450000Y-113180000D01* +X232450000Y-112880000D01* +X232450722Y-112865297D01* +X232452882Y-112850736D01* +X232456459Y-112836457D01* +X232461418Y-112822597D01* +X232467712Y-112809290D01* +X232475280Y-112796664D01* +X232484048Y-112784841D01* +X232493934Y-112773934D01* +X232504841Y-112764048D01* +X232516664Y-112755280D01* +X232529290Y-112747712D01* +X232542597Y-112741418D01* +X232556457Y-112736459D01* +X232570736Y-112732882D01* +X232585297Y-112730722D01* +X232600000Y-112730000D01* +X234000000Y-112730000D01* +X234014703Y-112730722D01* +X234014703Y-112730722D01* +G37* +D13* +X233300000Y-113030000D03* +D10* +G36* +X234014703Y-111460722D02* +G01* +X234029264Y-111462882D01* +X234043543Y-111466459D01* +X234057403Y-111471418D01* +X234070710Y-111477712D01* +X234083336Y-111485280D01* +X234095159Y-111494048D01* +X234106066Y-111503934D01* +X234115952Y-111514841D01* +X234124720Y-111526664D01* +X234132288Y-111539290D01* +X234138582Y-111552597D01* +X234143541Y-111566457D01* +X234147118Y-111580736D01* +X234149278Y-111595297D01* +X234150000Y-111610000D01* +X234150000Y-111910000D01* +X234149278Y-111924703D01* +X234147118Y-111939264D01* +X234143541Y-111953543D01* +X234138582Y-111967403D01* +X234132288Y-111980710D01* +X234124720Y-111993336D01* +X234115952Y-112005159D01* +X234106066Y-112016066D01* +X234095159Y-112025952D01* +X234083336Y-112034720D01* +X234070710Y-112042288D01* +X234057403Y-112048582D01* +X234043543Y-112053541D01* +X234029264Y-112057118D01* +X234014703Y-112059278D01* +X234000000Y-112060000D01* +X232600000Y-112060000D01* +X232585297Y-112059278D01* +X232570736Y-112057118D01* +X232556457Y-112053541D01* +X232542597Y-112048582D01* +X232529290Y-112042288D01* +X232516664Y-112034720D01* +X232504841Y-112025952D01* +X232493934Y-112016066D01* +X232484048Y-112005159D01* +X232475280Y-111993336D01* +X232467712Y-111980710D01* +X232461418Y-111967403D01* +X232456459Y-111953543D01* +X232452882Y-111939264D01* +X232450722Y-111924703D01* +X232450000Y-111910000D01* +X232450000Y-111610000D01* +X232450722Y-111595297D01* +X232452882Y-111580736D01* +X232456459Y-111566457D01* +X232461418Y-111552597D01* +X232467712Y-111539290D01* +X232475280Y-111526664D01* +X232484048Y-111514841D01* +X232493934Y-111503934D01* +X232504841Y-111494048D01* +X232516664Y-111485280D01* +X232529290Y-111477712D01* +X232542597Y-111471418D01* +X232556457Y-111466459D01* +X232570736Y-111462882D01* +X232585297Y-111460722D01* +X232600000Y-111460000D01* +X234000000Y-111460000D01* +X234014703Y-111460722D01* +X234014703Y-111460722D01* +G37* +D13* +X233300000Y-111760000D03* +D10* +G36* +X234014703Y-110190722D02* +G01* +X234029264Y-110192882D01* +X234043543Y-110196459D01* +X234057403Y-110201418D01* +X234070710Y-110207712D01* +X234083336Y-110215280D01* +X234095159Y-110224048D01* +X234106066Y-110233934D01* +X234115952Y-110244841D01* +X234124720Y-110256664D01* +X234132288Y-110269290D01* +X234138582Y-110282597D01* +X234143541Y-110296457D01* +X234147118Y-110310736D01* +X234149278Y-110325297D01* +X234150000Y-110340000D01* +X234150000Y-110640000D01* +X234149278Y-110654703D01* +X234147118Y-110669264D01* +X234143541Y-110683543D01* +X234138582Y-110697403D01* +X234132288Y-110710710D01* +X234124720Y-110723336D01* +X234115952Y-110735159D01* +X234106066Y-110746066D01* +X234095159Y-110755952D01* +X234083336Y-110764720D01* +X234070710Y-110772288D01* +X234057403Y-110778582D01* +X234043543Y-110783541D01* +X234029264Y-110787118D01* +X234014703Y-110789278D01* +X234000000Y-110790000D01* +X232600000Y-110790000D01* +X232585297Y-110789278D01* +X232570736Y-110787118D01* +X232556457Y-110783541D01* +X232542597Y-110778582D01* +X232529290Y-110772288D01* +X232516664Y-110764720D01* +X232504841Y-110755952D01* +X232493934Y-110746066D01* +X232484048Y-110735159D01* +X232475280Y-110723336D01* +X232467712Y-110710710D01* +X232461418Y-110697403D01* +X232456459Y-110683543D01* +X232452882Y-110669264D01* +X232450722Y-110654703D01* +X232450000Y-110640000D01* +X232450000Y-110340000D01* +X232450722Y-110325297D01* +X232452882Y-110310736D01* +X232456459Y-110296457D01* +X232461418Y-110282597D01* +X232467712Y-110269290D01* +X232475280Y-110256664D01* +X232484048Y-110244841D01* +X232493934Y-110233934D01* +X232504841Y-110224048D01* +X232516664Y-110215280D01* +X232529290Y-110207712D01* +X232542597Y-110201418D01* +X232556457Y-110196459D01* +X232570736Y-110192882D01* +X232585297Y-110190722D01* +X232600000Y-110190000D01* +X234000000Y-110190000D01* +X234014703Y-110190722D01* +X234014703Y-110190722D01* +G37* +D13* +X233300000Y-110490000D03* +D10* +G36* +X234014703Y-108920722D02* +G01* +X234029264Y-108922882D01* +X234043543Y-108926459D01* +X234057403Y-108931418D01* +X234070710Y-108937712D01* +X234083336Y-108945280D01* +X234095159Y-108954048D01* +X234106066Y-108963934D01* +X234115952Y-108974841D01* +X234124720Y-108986664D01* +X234132288Y-108999290D01* +X234138582Y-109012597D01* +X234143541Y-109026457D01* +X234147118Y-109040736D01* +X234149278Y-109055297D01* +X234150000Y-109070000D01* +X234150000Y-109370000D01* +X234149278Y-109384703D01* +X234147118Y-109399264D01* +X234143541Y-109413543D01* +X234138582Y-109427403D01* +X234132288Y-109440710D01* +X234124720Y-109453336D01* +X234115952Y-109465159D01* +X234106066Y-109476066D01* +X234095159Y-109485952D01* +X234083336Y-109494720D01* +X234070710Y-109502288D01* +X234057403Y-109508582D01* +X234043543Y-109513541D01* +X234029264Y-109517118D01* +X234014703Y-109519278D01* +X234000000Y-109520000D01* +X232600000Y-109520000D01* +X232585297Y-109519278D01* +X232570736Y-109517118D01* +X232556457Y-109513541D01* +X232542597Y-109508582D01* +X232529290Y-109502288D01* +X232516664Y-109494720D01* +X232504841Y-109485952D01* +X232493934Y-109476066D01* +X232484048Y-109465159D01* +X232475280Y-109453336D01* +X232467712Y-109440710D01* +X232461418Y-109427403D01* +X232456459Y-109413543D01* +X232452882Y-109399264D01* +X232450722Y-109384703D01* +X232450000Y-109370000D01* +X232450000Y-109070000D01* +X232450722Y-109055297D01* +X232452882Y-109040736D01* +X232456459Y-109026457D01* +X232461418Y-109012597D01* +X232467712Y-108999290D01* +X232475280Y-108986664D01* +X232484048Y-108974841D01* +X232493934Y-108963934D01* +X232504841Y-108954048D01* +X232516664Y-108945280D01* +X232529290Y-108937712D01* +X232542597Y-108931418D01* +X232556457Y-108926459D01* +X232570736Y-108922882D01* +X232585297Y-108920722D01* +X232600000Y-108920000D01* +X234000000Y-108920000D01* +X234014703Y-108920722D01* +X234014703Y-108920722D01* +G37* +D13* +X233300000Y-109220000D03* +D10* +G36* +X234014703Y-107650722D02* +G01* +X234029264Y-107652882D01* +X234043543Y-107656459D01* +X234057403Y-107661418D01* +X234070710Y-107667712D01* +X234083336Y-107675280D01* +X234095159Y-107684048D01* +X234106066Y-107693934D01* +X234115952Y-107704841D01* +X234124720Y-107716664D01* +X234132288Y-107729290D01* +X234138582Y-107742597D01* +X234143541Y-107756457D01* +X234147118Y-107770736D01* +X234149278Y-107785297D01* +X234150000Y-107800000D01* +X234150000Y-108100000D01* +X234149278Y-108114703D01* +X234147118Y-108129264D01* +X234143541Y-108143543D01* +X234138582Y-108157403D01* +X234132288Y-108170710D01* +X234124720Y-108183336D01* +X234115952Y-108195159D01* +X234106066Y-108206066D01* +X234095159Y-108215952D01* +X234083336Y-108224720D01* +X234070710Y-108232288D01* +X234057403Y-108238582D01* +X234043543Y-108243541D01* +X234029264Y-108247118D01* +X234014703Y-108249278D01* +X234000000Y-108250000D01* +X232600000Y-108250000D01* +X232585297Y-108249278D01* +X232570736Y-108247118D01* +X232556457Y-108243541D01* +X232542597Y-108238582D01* +X232529290Y-108232288D01* +X232516664Y-108224720D01* +X232504841Y-108215952D01* +X232493934Y-108206066D01* +X232484048Y-108195159D01* +X232475280Y-108183336D01* +X232467712Y-108170710D01* +X232461418Y-108157403D01* +X232456459Y-108143543D01* +X232452882Y-108129264D01* +X232450722Y-108114703D01* +X232450000Y-108100000D01* +X232450000Y-107800000D01* +X232450722Y-107785297D01* +X232452882Y-107770736D01* +X232456459Y-107756457D01* +X232461418Y-107742597D01* +X232467712Y-107729290D01* +X232475280Y-107716664D01* +X232484048Y-107704841D01* +X232493934Y-107693934D01* +X232504841Y-107684048D01* +X232516664Y-107675280D01* +X232529290Y-107667712D01* +X232542597Y-107661418D01* +X232556457Y-107656459D01* +X232570736Y-107652882D01* +X232585297Y-107650722D01* +X232600000Y-107650000D01* +X234000000Y-107650000D01* +X234014703Y-107650722D01* +X234014703Y-107650722D01* +G37* +D13* +X233300000Y-107950000D03* +D10* +G36* +X234014703Y-106380722D02* +G01* +X234029264Y-106382882D01* +X234043543Y-106386459D01* +X234057403Y-106391418D01* +X234070710Y-106397712D01* +X234083336Y-106405280D01* +X234095159Y-106414048D01* +X234106066Y-106423934D01* +X234115952Y-106434841D01* +X234124720Y-106446664D01* +X234132288Y-106459290D01* +X234138582Y-106472597D01* +X234143541Y-106486457D01* +X234147118Y-106500736D01* +X234149278Y-106515297D01* +X234150000Y-106530000D01* +X234150000Y-106830000D01* +X234149278Y-106844703D01* +X234147118Y-106859264D01* +X234143541Y-106873543D01* +X234138582Y-106887403D01* +X234132288Y-106900710D01* +X234124720Y-106913336D01* +X234115952Y-106925159D01* +X234106066Y-106936066D01* +X234095159Y-106945952D01* +X234083336Y-106954720D01* +X234070710Y-106962288D01* +X234057403Y-106968582D01* +X234043543Y-106973541D01* +X234029264Y-106977118D01* +X234014703Y-106979278D01* +X234000000Y-106980000D01* +X232600000Y-106980000D01* +X232585297Y-106979278D01* +X232570736Y-106977118D01* +X232556457Y-106973541D01* +X232542597Y-106968582D01* +X232529290Y-106962288D01* +X232516664Y-106954720D01* +X232504841Y-106945952D01* +X232493934Y-106936066D01* +X232484048Y-106925159D01* +X232475280Y-106913336D01* +X232467712Y-106900710D01* +X232461418Y-106887403D01* +X232456459Y-106873543D01* +X232452882Y-106859264D01* +X232450722Y-106844703D01* +X232450000Y-106830000D01* +X232450000Y-106530000D01* +X232450722Y-106515297D01* +X232452882Y-106500736D01* +X232456459Y-106486457D01* +X232461418Y-106472597D01* +X232467712Y-106459290D01* +X232475280Y-106446664D01* +X232484048Y-106434841D01* +X232493934Y-106423934D01* +X232504841Y-106414048D01* +X232516664Y-106405280D01* +X232529290Y-106397712D01* +X232542597Y-106391418D01* +X232556457Y-106386459D01* +X232570736Y-106382882D01* +X232585297Y-106380722D01* +X232600000Y-106380000D01* +X234000000Y-106380000D01* +X234014703Y-106380722D01* +X234014703Y-106380722D01* +G37* +D13* +X233300000Y-106680000D03* +D10* +G36* +X234014703Y-105110722D02* +G01* +X234029264Y-105112882D01* +X234043543Y-105116459D01* +X234057403Y-105121418D01* +X234070710Y-105127712D01* +X234083336Y-105135280D01* +X234095159Y-105144048D01* +X234106066Y-105153934D01* +X234115952Y-105164841D01* +X234124720Y-105176664D01* +X234132288Y-105189290D01* +X234138582Y-105202597D01* +X234143541Y-105216457D01* +X234147118Y-105230736D01* +X234149278Y-105245297D01* +X234150000Y-105260000D01* +X234150000Y-105560000D01* +X234149278Y-105574703D01* +X234147118Y-105589264D01* +X234143541Y-105603543D01* +X234138582Y-105617403D01* +X234132288Y-105630710D01* +X234124720Y-105643336D01* +X234115952Y-105655159D01* +X234106066Y-105666066D01* +X234095159Y-105675952D01* +X234083336Y-105684720D01* +X234070710Y-105692288D01* +X234057403Y-105698582D01* +X234043543Y-105703541D01* +X234029264Y-105707118D01* +X234014703Y-105709278D01* +X234000000Y-105710000D01* +X232600000Y-105710000D01* +X232585297Y-105709278D01* +X232570736Y-105707118D01* +X232556457Y-105703541D01* +X232542597Y-105698582D01* +X232529290Y-105692288D01* +X232516664Y-105684720D01* +X232504841Y-105675952D01* +X232493934Y-105666066D01* +X232484048Y-105655159D01* +X232475280Y-105643336D01* +X232467712Y-105630710D01* +X232461418Y-105617403D01* +X232456459Y-105603543D01* +X232452882Y-105589264D01* +X232450722Y-105574703D01* +X232450000Y-105560000D01* +X232450000Y-105260000D01* +X232450722Y-105245297D01* +X232452882Y-105230736D01* +X232456459Y-105216457D01* +X232461418Y-105202597D01* +X232467712Y-105189290D01* +X232475280Y-105176664D01* +X232484048Y-105164841D01* +X232493934Y-105153934D01* +X232504841Y-105144048D01* +X232516664Y-105135280D01* +X232529290Y-105127712D01* +X232542597Y-105121418D01* +X232556457Y-105116459D01* +X232570736Y-105112882D01* +X232585297Y-105110722D01* +X232600000Y-105110000D01* +X234000000Y-105110000D01* +X234014703Y-105110722D01* +X234014703Y-105110722D01* +G37* +D13* +X233300000Y-105410000D03* +D10* +G36* +X234014703Y-103840722D02* +G01* +X234029264Y-103842882D01* +X234043543Y-103846459D01* +X234057403Y-103851418D01* +X234070710Y-103857712D01* +X234083336Y-103865280D01* +X234095159Y-103874048D01* +X234106066Y-103883934D01* +X234115952Y-103894841D01* +X234124720Y-103906664D01* +X234132288Y-103919290D01* +X234138582Y-103932597D01* +X234143541Y-103946457D01* +X234147118Y-103960736D01* +X234149278Y-103975297D01* +X234150000Y-103990000D01* +X234150000Y-104290000D01* +X234149278Y-104304703D01* +X234147118Y-104319264D01* +X234143541Y-104333543D01* +X234138582Y-104347403D01* +X234132288Y-104360710D01* +X234124720Y-104373336D01* +X234115952Y-104385159D01* +X234106066Y-104396066D01* +X234095159Y-104405952D01* +X234083336Y-104414720D01* +X234070710Y-104422288D01* +X234057403Y-104428582D01* +X234043543Y-104433541D01* +X234029264Y-104437118D01* +X234014703Y-104439278D01* +X234000000Y-104440000D01* +X232600000Y-104440000D01* +X232585297Y-104439278D01* +X232570736Y-104437118D01* +X232556457Y-104433541D01* +X232542597Y-104428582D01* +X232529290Y-104422288D01* +X232516664Y-104414720D01* +X232504841Y-104405952D01* +X232493934Y-104396066D01* +X232484048Y-104385159D01* +X232475280Y-104373336D01* +X232467712Y-104360710D01* +X232461418Y-104347403D01* +X232456459Y-104333543D01* +X232452882Y-104319264D01* +X232450722Y-104304703D01* +X232450000Y-104290000D01* +X232450000Y-103990000D01* +X232450722Y-103975297D01* +X232452882Y-103960736D01* +X232456459Y-103946457D01* +X232461418Y-103932597D01* +X232467712Y-103919290D01* +X232475280Y-103906664D01* +X232484048Y-103894841D01* +X232493934Y-103883934D01* +X232504841Y-103874048D01* +X232516664Y-103865280D01* +X232529290Y-103857712D01* +X232542597Y-103851418D01* +X232556457Y-103846459D01* +X232570736Y-103842882D01* +X232585297Y-103840722D01* +X232600000Y-103840000D01* +X234000000Y-103840000D01* +X234014703Y-103840722D01* +X234014703Y-103840722D01* +G37* +D13* +X233300000Y-104140000D03* +D10* +G36* +X234014703Y-102570722D02* +G01* +X234029264Y-102572882D01* +X234043543Y-102576459D01* +X234057403Y-102581418D01* +X234070710Y-102587712D01* +X234083336Y-102595280D01* +X234095159Y-102604048D01* +X234106066Y-102613934D01* +X234115952Y-102624841D01* +X234124720Y-102636664D01* +X234132288Y-102649290D01* +X234138582Y-102662597D01* +X234143541Y-102676457D01* +X234147118Y-102690736D01* +X234149278Y-102705297D01* +X234150000Y-102720000D01* +X234150000Y-103020000D01* +X234149278Y-103034703D01* +X234147118Y-103049264D01* +X234143541Y-103063543D01* +X234138582Y-103077403D01* +X234132288Y-103090710D01* +X234124720Y-103103336D01* +X234115952Y-103115159D01* +X234106066Y-103126066D01* +X234095159Y-103135952D01* +X234083336Y-103144720D01* +X234070710Y-103152288D01* +X234057403Y-103158582D01* +X234043543Y-103163541D01* +X234029264Y-103167118D01* +X234014703Y-103169278D01* +X234000000Y-103170000D01* +X232600000Y-103170000D01* +X232585297Y-103169278D01* +X232570736Y-103167118D01* +X232556457Y-103163541D01* +X232542597Y-103158582D01* +X232529290Y-103152288D01* +X232516664Y-103144720D01* +X232504841Y-103135952D01* +X232493934Y-103126066D01* +X232484048Y-103115159D01* +X232475280Y-103103336D01* +X232467712Y-103090710D01* +X232461418Y-103077403D01* +X232456459Y-103063543D01* +X232452882Y-103049264D01* +X232450722Y-103034703D01* +X232450000Y-103020000D01* +X232450000Y-102720000D01* +X232450722Y-102705297D01* +X232452882Y-102690736D01* +X232456459Y-102676457D01* +X232461418Y-102662597D01* +X232467712Y-102649290D01* +X232475280Y-102636664D01* +X232484048Y-102624841D01* +X232493934Y-102613934D01* +X232504841Y-102604048D01* +X232516664Y-102595280D01* +X232529290Y-102587712D01* +X232542597Y-102581418D01* +X232556457Y-102576459D01* +X232570736Y-102572882D01* +X232585297Y-102570722D01* +X232600000Y-102570000D01* +X234000000Y-102570000D01* +X234014703Y-102570722D01* +X234014703Y-102570722D01* +G37* +D13* +X233300000Y-102870000D03* +D10* +G36* +X234014703Y-101300722D02* +G01* +X234029264Y-101302882D01* +X234043543Y-101306459D01* +X234057403Y-101311418D01* +X234070710Y-101317712D01* +X234083336Y-101325280D01* +X234095159Y-101334048D01* +X234106066Y-101343934D01* +X234115952Y-101354841D01* +X234124720Y-101366664D01* +X234132288Y-101379290D01* +X234138582Y-101392597D01* +X234143541Y-101406457D01* +X234147118Y-101420736D01* +X234149278Y-101435297D01* +X234150000Y-101450000D01* +X234150000Y-101750000D01* +X234149278Y-101764703D01* +X234147118Y-101779264D01* +X234143541Y-101793543D01* +X234138582Y-101807403D01* +X234132288Y-101820710D01* +X234124720Y-101833336D01* +X234115952Y-101845159D01* +X234106066Y-101856066D01* +X234095159Y-101865952D01* +X234083336Y-101874720D01* +X234070710Y-101882288D01* +X234057403Y-101888582D01* +X234043543Y-101893541D01* +X234029264Y-101897118D01* +X234014703Y-101899278D01* +X234000000Y-101900000D01* +X232600000Y-101900000D01* +X232585297Y-101899278D01* +X232570736Y-101897118D01* +X232556457Y-101893541D01* +X232542597Y-101888582D01* +X232529290Y-101882288D01* +X232516664Y-101874720D01* +X232504841Y-101865952D01* +X232493934Y-101856066D01* +X232484048Y-101845159D01* +X232475280Y-101833336D01* +X232467712Y-101820710D01* +X232461418Y-101807403D01* +X232456459Y-101793543D01* +X232452882Y-101779264D01* +X232450722Y-101764703D01* +X232450000Y-101750000D01* +X232450000Y-101450000D01* +X232450722Y-101435297D01* +X232452882Y-101420736D01* +X232456459Y-101406457D01* +X232461418Y-101392597D01* +X232467712Y-101379290D01* +X232475280Y-101366664D01* +X232484048Y-101354841D01* +X232493934Y-101343934D01* +X232504841Y-101334048D01* +X232516664Y-101325280D01* +X232529290Y-101317712D01* +X232542597Y-101311418D01* +X232556457Y-101306459D01* +X232570736Y-101302882D01* +X232585297Y-101300722D01* +X232600000Y-101300000D01* +X234000000Y-101300000D01* +X234014703Y-101300722D01* +X234014703Y-101300722D01* +G37* +D13* +X233300000Y-101600000D03* +D10* +G36* +X234014703Y-100030722D02* +G01* +X234029264Y-100032882D01* +X234043543Y-100036459D01* +X234057403Y-100041418D01* +X234070710Y-100047712D01* +X234083336Y-100055280D01* +X234095159Y-100064048D01* +X234106066Y-100073934D01* +X234115952Y-100084841D01* +X234124720Y-100096664D01* +X234132288Y-100109290D01* +X234138582Y-100122597D01* +X234143541Y-100136457D01* +X234147118Y-100150736D01* +X234149278Y-100165297D01* +X234150000Y-100180000D01* +X234150000Y-100480000D01* +X234149278Y-100494703D01* +X234147118Y-100509264D01* +X234143541Y-100523543D01* +X234138582Y-100537403D01* +X234132288Y-100550710D01* +X234124720Y-100563336D01* +X234115952Y-100575159D01* +X234106066Y-100586066D01* +X234095159Y-100595952D01* +X234083336Y-100604720D01* +X234070710Y-100612288D01* +X234057403Y-100618582D01* +X234043543Y-100623541D01* +X234029264Y-100627118D01* +X234014703Y-100629278D01* +X234000000Y-100630000D01* +X232600000Y-100630000D01* +X232585297Y-100629278D01* +X232570736Y-100627118D01* +X232556457Y-100623541D01* +X232542597Y-100618582D01* +X232529290Y-100612288D01* +X232516664Y-100604720D01* +X232504841Y-100595952D01* +X232493934Y-100586066D01* +X232484048Y-100575159D01* +X232475280Y-100563336D01* +X232467712Y-100550710D01* +X232461418Y-100537403D01* +X232456459Y-100523543D01* +X232452882Y-100509264D01* +X232450722Y-100494703D01* +X232450000Y-100480000D01* +X232450000Y-100180000D01* +X232450722Y-100165297D01* +X232452882Y-100150736D01* +X232456459Y-100136457D01* +X232461418Y-100122597D01* +X232467712Y-100109290D01* +X232475280Y-100096664D01* +X232484048Y-100084841D01* +X232493934Y-100073934D01* +X232504841Y-100064048D01* +X232516664Y-100055280D01* +X232529290Y-100047712D01* +X232542597Y-100041418D01* +X232556457Y-100036459D01* +X232570736Y-100032882D01* +X232585297Y-100030722D01* +X232600000Y-100030000D01* +X234000000Y-100030000D01* +X234014703Y-100030722D01* +X234014703Y-100030722D01* +G37* +D13* +X233300000Y-100330000D03* +D10* +G36* +X234014703Y-98760722D02* +G01* +X234029264Y-98762882D01* +X234043543Y-98766459D01* +X234057403Y-98771418D01* +X234070710Y-98777712D01* +X234083336Y-98785280D01* +X234095159Y-98794048D01* +X234106066Y-98803934D01* +X234115952Y-98814841D01* +X234124720Y-98826664D01* +X234132288Y-98839290D01* +X234138582Y-98852597D01* +X234143541Y-98866457D01* +X234147118Y-98880736D01* +X234149278Y-98895297D01* +X234150000Y-98910000D01* +X234150000Y-99210000D01* +X234149278Y-99224703D01* +X234147118Y-99239264D01* +X234143541Y-99253543D01* +X234138582Y-99267403D01* +X234132288Y-99280710D01* +X234124720Y-99293336D01* +X234115952Y-99305159D01* +X234106066Y-99316066D01* +X234095159Y-99325952D01* +X234083336Y-99334720D01* +X234070710Y-99342288D01* +X234057403Y-99348582D01* +X234043543Y-99353541D01* +X234029264Y-99357118D01* +X234014703Y-99359278D01* +X234000000Y-99360000D01* +X232600000Y-99360000D01* +X232585297Y-99359278D01* +X232570736Y-99357118D01* +X232556457Y-99353541D01* +X232542597Y-99348582D01* +X232529290Y-99342288D01* +X232516664Y-99334720D01* +X232504841Y-99325952D01* +X232493934Y-99316066D01* +X232484048Y-99305159D01* +X232475280Y-99293336D01* +X232467712Y-99280710D01* +X232461418Y-99267403D01* +X232456459Y-99253543D01* +X232452882Y-99239264D01* +X232450722Y-99224703D01* +X232450000Y-99210000D01* +X232450000Y-98910000D01* +X232450722Y-98895297D01* +X232452882Y-98880736D01* +X232456459Y-98866457D01* +X232461418Y-98852597D01* +X232467712Y-98839290D01* +X232475280Y-98826664D01* +X232484048Y-98814841D01* +X232493934Y-98803934D01* +X232504841Y-98794048D01* +X232516664Y-98785280D01* +X232529290Y-98777712D01* +X232542597Y-98771418D01* +X232556457Y-98766459D01* +X232570736Y-98762882D01* +X232585297Y-98760722D01* +X232600000Y-98760000D01* +X234000000Y-98760000D01* +X234014703Y-98760722D01* +X234014703Y-98760722D01* +G37* +D13* +X233300000Y-99060000D03* +D10* +G36* +X235114703Y-96560722D02* +G01* +X235129264Y-96562882D01* +X235143543Y-96566459D01* +X235157403Y-96571418D01* +X235170710Y-96577712D01* +X235183336Y-96585280D01* +X235195159Y-96594048D01* +X235206066Y-96603934D01* +X235215952Y-96614841D01* +X235224720Y-96626664D01* +X235232288Y-96639290D01* +X235238582Y-96652597D01* +X235243541Y-96666457D01* +X235247118Y-96680736D01* +X235249278Y-96695297D01* +X235250000Y-96710000D01* +X235250000Y-98110000D01* +X235249278Y-98124703D01* +X235247118Y-98139264D01* +X235243541Y-98153543D01* +X235238582Y-98167403D01* +X235232288Y-98180710D01* +X235224720Y-98193336D01* +X235215952Y-98205159D01* +X235206066Y-98216066D01* +X235195159Y-98225952D01* +X235183336Y-98234720D01* +X235170710Y-98242288D01* +X235157403Y-98248582D01* +X235143543Y-98253541D01* +X235129264Y-98257118D01* +X235114703Y-98259278D01* +X235100000Y-98260000D01* +X234800000Y-98260000D01* +X234785297Y-98259278D01* +X234770736Y-98257118D01* +X234756457Y-98253541D01* +X234742597Y-98248582D01* +X234729290Y-98242288D01* +X234716664Y-98234720D01* +X234704841Y-98225952D01* +X234693934Y-98216066D01* +X234684048Y-98205159D01* +X234675280Y-98193336D01* +X234667712Y-98180710D01* +X234661418Y-98167403D01* +X234656459Y-98153543D01* +X234652882Y-98139264D01* +X234650722Y-98124703D01* +X234650000Y-98110000D01* +X234650000Y-96710000D01* +X234650722Y-96695297D01* +X234652882Y-96680736D01* +X234656459Y-96666457D01* +X234661418Y-96652597D01* +X234667712Y-96639290D01* +X234675280Y-96626664D01* +X234684048Y-96614841D01* +X234693934Y-96603934D01* +X234704841Y-96594048D01* +X234716664Y-96585280D01* +X234729290Y-96577712D01* +X234742597Y-96571418D01* +X234756457Y-96566459D01* +X234770736Y-96562882D01* +X234785297Y-96560722D01* +X234800000Y-96560000D01* +X235100000Y-96560000D01* +X235114703Y-96560722D01* +X235114703Y-96560722D01* +G37* +D13* +X234950000Y-97410000D03* +D10* +G36* +X236384703Y-96560722D02* +G01* +X236399264Y-96562882D01* +X236413543Y-96566459D01* +X236427403Y-96571418D01* +X236440710Y-96577712D01* +X236453336Y-96585280D01* +X236465159Y-96594048D01* +X236476066Y-96603934D01* +X236485952Y-96614841D01* +X236494720Y-96626664D01* +X236502288Y-96639290D01* +X236508582Y-96652597D01* +X236513541Y-96666457D01* +X236517118Y-96680736D01* +X236519278Y-96695297D01* +X236520000Y-96710000D01* +X236520000Y-98110000D01* +X236519278Y-98124703D01* +X236517118Y-98139264D01* +X236513541Y-98153543D01* +X236508582Y-98167403D01* +X236502288Y-98180710D01* +X236494720Y-98193336D01* +X236485952Y-98205159D01* +X236476066Y-98216066D01* +X236465159Y-98225952D01* +X236453336Y-98234720D01* +X236440710Y-98242288D01* +X236427403Y-98248582D01* +X236413543Y-98253541D01* +X236399264Y-98257118D01* +X236384703Y-98259278D01* +X236370000Y-98260000D01* +X236070000Y-98260000D01* +X236055297Y-98259278D01* +X236040736Y-98257118D01* +X236026457Y-98253541D01* +X236012597Y-98248582D01* +X235999290Y-98242288D01* +X235986664Y-98234720D01* +X235974841Y-98225952D01* +X235963934Y-98216066D01* +X235954048Y-98205159D01* +X235945280Y-98193336D01* +X235937712Y-98180710D01* +X235931418Y-98167403D01* +X235926459Y-98153543D01* +X235922882Y-98139264D01* +X235920722Y-98124703D01* +X235920000Y-98110000D01* +X235920000Y-96710000D01* +X235920722Y-96695297D01* +X235922882Y-96680736D01* +X235926459Y-96666457D01* +X235931418Y-96652597D01* +X235937712Y-96639290D01* +X235945280Y-96626664D01* +X235954048Y-96614841D01* +X235963934Y-96603934D01* +X235974841Y-96594048D01* +X235986664Y-96585280D01* +X235999290Y-96577712D01* +X236012597Y-96571418D01* +X236026457Y-96566459D01* +X236040736Y-96562882D01* +X236055297Y-96560722D01* +X236070000Y-96560000D01* +X236370000Y-96560000D01* +X236384703Y-96560722D01* +X236384703Y-96560722D01* +G37* +D13* +X236220000Y-97410000D03* +D10* +G36* +X237654703Y-96560722D02* +G01* +X237669264Y-96562882D01* +X237683543Y-96566459D01* +X237697403Y-96571418D01* +X237710710Y-96577712D01* +X237723336Y-96585280D01* +X237735159Y-96594048D01* +X237746066Y-96603934D01* +X237755952Y-96614841D01* +X237764720Y-96626664D01* +X237772288Y-96639290D01* +X237778582Y-96652597D01* +X237783541Y-96666457D01* +X237787118Y-96680736D01* +X237789278Y-96695297D01* +X237790000Y-96710000D01* +X237790000Y-98110000D01* +X237789278Y-98124703D01* +X237787118Y-98139264D01* +X237783541Y-98153543D01* +X237778582Y-98167403D01* +X237772288Y-98180710D01* +X237764720Y-98193336D01* +X237755952Y-98205159D01* +X237746066Y-98216066D01* +X237735159Y-98225952D01* +X237723336Y-98234720D01* +X237710710Y-98242288D01* +X237697403Y-98248582D01* +X237683543Y-98253541D01* +X237669264Y-98257118D01* +X237654703Y-98259278D01* +X237640000Y-98260000D01* +X237340000Y-98260000D01* +X237325297Y-98259278D01* +X237310736Y-98257118D01* +X237296457Y-98253541D01* +X237282597Y-98248582D01* +X237269290Y-98242288D01* +X237256664Y-98234720D01* +X237244841Y-98225952D01* +X237233934Y-98216066D01* +X237224048Y-98205159D01* +X237215280Y-98193336D01* +X237207712Y-98180710D01* +X237201418Y-98167403D01* +X237196459Y-98153543D01* +X237192882Y-98139264D01* +X237190722Y-98124703D01* +X237190000Y-98110000D01* +X237190000Y-96710000D01* +X237190722Y-96695297D01* +X237192882Y-96680736D01* +X237196459Y-96666457D01* +X237201418Y-96652597D01* +X237207712Y-96639290D01* +X237215280Y-96626664D01* +X237224048Y-96614841D01* +X237233934Y-96603934D01* +X237244841Y-96594048D01* +X237256664Y-96585280D01* +X237269290Y-96577712D01* +X237282597Y-96571418D01* +X237296457Y-96566459D01* +X237310736Y-96562882D01* +X237325297Y-96560722D01* +X237340000Y-96560000D01* +X237640000Y-96560000D01* +X237654703Y-96560722D01* +X237654703Y-96560722D01* +G37* +D13* +X237490000Y-97410000D03* +D10* +G36* +X238924703Y-96560722D02* +G01* +X238939264Y-96562882D01* +X238953543Y-96566459D01* +X238967403Y-96571418D01* +X238980710Y-96577712D01* +X238993336Y-96585280D01* +X239005159Y-96594048D01* +X239016066Y-96603934D01* +X239025952Y-96614841D01* +X239034720Y-96626664D01* +X239042288Y-96639290D01* +X239048582Y-96652597D01* +X239053541Y-96666457D01* +X239057118Y-96680736D01* +X239059278Y-96695297D01* +X239060000Y-96710000D01* +X239060000Y-98110000D01* +X239059278Y-98124703D01* +X239057118Y-98139264D01* +X239053541Y-98153543D01* +X239048582Y-98167403D01* +X239042288Y-98180710D01* +X239034720Y-98193336D01* +X239025952Y-98205159D01* +X239016066Y-98216066D01* +X239005159Y-98225952D01* +X238993336Y-98234720D01* +X238980710Y-98242288D01* +X238967403Y-98248582D01* +X238953543Y-98253541D01* +X238939264Y-98257118D01* +X238924703Y-98259278D01* +X238910000Y-98260000D01* +X238610000Y-98260000D01* +X238595297Y-98259278D01* +X238580736Y-98257118D01* +X238566457Y-98253541D01* +X238552597Y-98248582D01* +X238539290Y-98242288D01* +X238526664Y-98234720D01* +X238514841Y-98225952D01* +X238503934Y-98216066D01* +X238494048Y-98205159D01* +X238485280Y-98193336D01* +X238477712Y-98180710D01* +X238471418Y-98167403D01* +X238466459Y-98153543D01* +X238462882Y-98139264D01* +X238460722Y-98124703D01* +X238460000Y-98110000D01* +X238460000Y-96710000D01* +X238460722Y-96695297D01* +X238462882Y-96680736D01* +X238466459Y-96666457D01* +X238471418Y-96652597D01* +X238477712Y-96639290D01* +X238485280Y-96626664D01* +X238494048Y-96614841D01* +X238503934Y-96603934D01* +X238514841Y-96594048D01* +X238526664Y-96585280D01* +X238539290Y-96577712D01* +X238552597Y-96571418D01* +X238566457Y-96566459D01* +X238580736Y-96562882D01* +X238595297Y-96560722D01* +X238610000Y-96560000D01* +X238910000Y-96560000D01* +X238924703Y-96560722D01* +X238924703Y-96560722D01* +G37* +D13* +X238760000Y-97410000D03* +D10* +G36* +X240194703Y-96560722D02* +G01* +X240209264Y-96562882D01* +X240223543Y-96566459D01* +X240237403Y-96571418D01* +X240250710Y-96577712D01* +X240263336Y-96585280D01* +X240275159Y-96594048D01* +X240286066Y-96603934D01* +X240295952Y-96614841D01* +X240304720Y-96626664D01* +X240312288Y-96639290D01* +X240318582Y-96652597D01* +X240323541Y-96666457D01* +X240327118Y-96680736D01* +X240329278Y-96695297D01* +X240330000Y-96710000D01* +X240330000Y-98110000D01* +X240329278Y-98124703D01* +X240327118Y-98139264D01* +X240323541Y-98153543D01* +X240318582Y-98167403D01* +X240312288Y-98180710D01* +X240304720Y-98193336D01* +X240295952Y-98205159D01* +X240286066Y-98216066D01* +X240275159Y-98225952D01* +X240263336Y-98234720D01* +X240250710Y-98242288D01* +X240237403Y-98248582D01* +X240223543Y-98253541D01* +X240209264Y-98257118D01* +X240194703Y-98259278D01* +X240180000Y-98260000D01* +X239880000Y-98260000D01* +X239865297Y-98259278D01* +X239850736Y-98257118D01* +X239836457Y-98253541D01* +X239822597Y-98248582D01* +X239809290Y-98242288D01* +X239796664Y-98234720D01* +X239784841Y-98225952D01* +X239773934Y-98216066D01* +X239764048Y-98205159D01* +X239755280Y-98193336D01* +X239747712Y-98180710D01* +X239741418Y-98167403D01* +X239736459Y-98153543D01* +X239732882Y-98139264D01* +X239730722Y-98124703D01* +X239730000Y-98110000D01* +X239730000Y-96710000D01* +X239730722Y-96695297D01* +X239732882Y-96680736D01* +X239736459Y-96666457D01* +X239741418Y-96652597D01* +X239747712Y-96639290D01* +X239755280Y-96626664D01* +X239764048Y-96614841D01* +X239773934Y-96603934D01* +X239784841Y-96594048D01* +X239796664Y-96585280D01* +X239809290Y-96577712D01* +X239822597Y-96571418D01* +X239836457Y-96566459D01* +X239850736Y-96562882D01* +X239865297Y-96560722D01* +X239880000Y-96560000D01* +X240180000Y-96560000D01* +X240194703Y-96560722D01* +X240194703Y-96560722D01* +G37* +D13* +X240030000Y-97410000D03* +D10* +G36* +X241464703Y-96560722D02* +G01* +X241479264Y-96562882D01* +X241493543Y-96566459D01* +X241507403Y-96571418D01* +X241520710Y-96577712D01* +X241533336Y-96585280D01* +X241545159Y-96594048D01* +X241556066Y-96603934D01* +X241565952Y-96614841D01* +X241574720Y-96626664D01* +X241582288Y-96639290D01* +X241588582Y-96652597D01* +X241593541Y-96666457D01* +X241597118Y-96680736D01* +X241599278Y-96695297D01* +X241600000Y-96710000D01* +X241600000Y-98110000D01* +X241599278Y-98124703D01* +X241597118Y-98139264D01* +X241593541Y-98153543D01* +X241588582Y-98167403D01* +X241582288Y-98180710D01* +X241574720Y-98193336D01* +X241565952Y-98205159D01* +X241556066Y-98216066D01* +X241545159Y-98225952D01* +X241533336Y-98234720D01* +X241520710Y-98242288D01* +X241507403Y-98248582D01* +X241493543Y-98253541D01* +X241479264Y-98257118D01* +X241464703Y-98259278D01* +X241450000Y-98260000D01* +X241150000Y-98260000D01* +X241135297Y-98259278D01* +X241120736Y-98257118D01* +X241106457Y-98253541D01* +X241092597Y-98248582D01* +X241079290Y-98242288D01* +X241066664Y-98234720D01* +X241054841Y-98225952D01* +X241043934Y-98216066D01* +X241034048Y-98205159D01* +X241025280Y-98193336D01* +X241017712Y-98180710D01* +X241011418Y-98167403D01* +X241006459Y-98153543D01* +X241002882Y-98139264D01* +X241000722Y-98124703D01* +X241000000Y-98110000D01* +X241000000Y-96710000D01* +X241000722Y-96695297D01* +X241002882Y-96680736D01* +X241006459Y-96666457D01* +X241011418Y-96652597D01* +X241017712Y-96639290D01* +X241025280Y-96626664D01* +X241034048Y-96614841D01* +X241043934Y-96603934D01* +X241054841Y-96594048D01* +X241066664Y-96585280D01* +X241079290Y-96577712D01* +X241092597Y-96571418D01* +X241106457Y-96566459D01* +X241120736Y-96562882D01* +X241135297Y-96560722D01* +X241150000Y-96560000D01* +X241450000Y-96560000D01* +X241464703Y-96560722D01* +X241464703Y-96560722D01* +G37* +D13* +X241300000Y-97410000D03* +D10* +G36* +X242734703Y-96560722D02* +G01* +X242749264Y-96562882D01* +X242763543Y-96566459D01* +X242777403Y-96571418D01* +X242790710Y-96577712D01* +X242803336Y-96585280D01* +X242815159Y-96594048D01* +X242826066Y-96603934D01* +X242835952Y-96614841D01* +X242844720Y-96626664D01* +X242852288Y-96639290D01* +X242858582Y-96652597D01* +X242863541Y-96666457D01* +X242867118Y-96680736D01* +X242869278Y-96695297D01* +X242870000Y-96710000D01* +X242870000Y-98110000D01* +X242869278Y-98124703D01* +X242867118Y-98139264D01* +X242863541Y-98153543D01* +X242858582Y-98167403D01* +X242852288Y-98180710D01* +X242844720Y-98193336D01* +X242835952Y-98205159D01* +X242826066Y-98216066D01* +X242815159Y-98225952D01* +X242803336Y-98234720D01* +X242790710Y-98242288D01* +X242777403Y-98248582D01* +X242763543Y-98253541D01* +X242749264Y-98257118D01* +X242734703Y-98259278D01* +X242720000Y-98260000D01* +X242420000Y-98260000D01* +X242405297Y-98259278D01* +X242390736Y-98257118D01* +X242376457Y-98253541D01* +X242362597Y-98248582D01* +X242349290Y-98242288D01* +X242336664Y-98234720D01* +X242324841Y-98225952D01* +X242313934Y-98216066D01* +X242304048Y-98205159D01* +X242295280Y-98193336D01* +X242287712Y-98180710D01* +X242281418Y-98167403D01* +X242276459Y-98153543D01* +X242272882Y-98139264D01* +X242270722Y-98124703D01* +X242270000Y-98110000D01* +X242270000Y-96710000D01* +X242270722Y-96695297D01* +X242272882Y-96680736D01* +X242276459Y-96666457D01* +X242281418Y-96652597D01* +X242287712Y-96639290D01* +X242295280Y-96626664D01* +X242304048Y-96614841D01* +X242313934Y-96603934D01* +X242324841Y-96594048D01* +X242336664Y-96585280D01* +X242349290Y-96577712D01* +X242362597Y-96571418D01* +X242376457Y-96566459D01* +X242390736Y-96562882D01* +X242405297Y-96560722D01* +X242420000Y-96560000D01* +X242720000Y-96560000D01* +X242734703Y-96560722D01* +X242734703Y-96560722D01* +G37* +D13* +X242570000Y-97410000D03* +D10* +G36* +X244004703Y-96560722D02* +G01* +X244019264Y-96562882D01* +X244033543Y-96566459D01* +X244047403Y-96571418D01* +X244060710Y-96577712D01* +X244073336Y-96585280D01* +X244085159Y-96594048D01* +X244096066Y-96603934D01* +X244105952Y-96614841D01* +X244114720Y-96626664D01* +X244122288Y-96639290D01* +X244128582Y-96652597D01* +X244133541Y-96666457D01* +X244137118Y-96680736D01* +X244139278Y-96695297D01* +X244140000Y-96710000D01* +X244140000Y-98110000D01* +X244139278Y-98124703D01* +X244137118Y-98139264D01* +X244133541Y-98153543D01* +X244128582Y-98167403D01* +X244122288Y-98180710D01* +X244114720Y-98193336D01* +X244105952Y-98205159D01* +X244096066Y-98216066D01* +X244085159Y-98225952D01* +X244073336Y-98234720D01* +X244060710Y-98242288D01* +X244047403Y-98248582D01* +X244033543Y-98253541D01* +X244019264Y-98257118D01* +X244004703Y-98259278D01* +X243990000Y-98260000D01* +X243690000Y-98260000D01* +X243675297Y-98259278D01* +X243660736Y-98257118D01* +X243646457Y-98253541D01* +X243632597Y-98248582D01* +X243619290Y-98242288D01* +X243606664Y-98234720D01* +X243594841Y-98225952D01* +X243583934Y-98216066D01* +X243574048Y-98205159D01* +X243565280Y-98193336D01* +X243557712Y-98180710D01* +X243551418Y-98167403D01* +X243546459Y-98153543D01* +X243542882Y-98139264D01* +X243540722Y-98124703D01* +X243540000Y-98110000D01* +X243540000Y-96710000D01* +X243540722Y-96695297D01* +X243542882Y-96680736D01* +X243546459Y-96666457D01* +X243551418Y-96652597D01* +X243557712Y-96639290D01* +X243565280Y-96626664D01* +X243574048Y-96614841D01* +X243583934Y-96603934D01* +X243594841Y-96594048D01* +X243606664Y-96585280D01* +X243619290Y-96577712D01* +X243632597Y-96571418D01* +X243646457Y-96566459D01* +X243660736Y-96562882D01* +X243675297Y-96560722D01* +X243690000Y-96560000D01* +X243990000Y-96560000D01* +X244004703Y-96560722D01* +X244004703Y-96560722D01* +G37* +D13* +X243840000Y-97410000D03* +D10* +G36* +X245274703Y-96560722D02* +G01* +X245289264Y-96562882D01* +X245303543Y-96566459D01* +X245317403Y-96571418D01* +X245330710Y-96577712D01* +X245343336Y-96585280D01* +X245355159Y-96594048D01* +X245366066Y-96603934D01* +X245375952Y-96614841D01* +X245384720Y-96626664D01* +X245392288Y-96639290D01* +X245398582Y-96652597D01* +X245403541Y-96666457D01* +X245407118Y-96680736D01* +X245409278Y-96695297D01* +X245410000Y-96710000D01* +X245410000Y-98110000D01* +X245409278Y-98124703D01* +X245407118Y-98139264D01* +X245403541Y-98153543D01* +X245398582Y-98167403D01* +X245392288Y-98180710D01* +X245384720Y-98193336D01* +X245375952Y-98205159D01* +X245366066Y-98216066D01* +X245355159Y-98225952D01* +X245343336Y-98234720D01* +X245330710Y-98242288D01* +X245317403Y-98248582D01* +X245303543Y-98253541D01* +X245289264Y-98257118D01* +X245274703Y-98259278D01* +X245260000Y-98260000D01* +X244960000Y-98260000D01* +X244945297Y-98259278D01* +X244930736Y-98257118D01* +X244916457Y-98253541D01* +X244902597Y-98248582D01* +X244889290Y-98242288D01* +X244876664Y-98234720D01* +X244864841Y-98225952D01* +X244853934Y-98216066D01* +X244844048Y-98205159D01* +X244835280Y-98193336D01* +X244827712Y-98180710D01* +X244821418Y-98167403D01* +X244816459Y-98153543D01* +X244812882Y-98139264D01* +X244810722Y-98124703D01* +X244810000Y-98110000D01* +X244810000Y-96710000D01* +X244810722Y-96695297D01* +X244812882Y-96680736D01* +X244816459Y-96666457D01* +X244821418Y-96652597D01* +X244827712Y-96639290D01* +X244835280Y-96626664D01* +X244844048Y-96614841D01* +X244853934Y-96603934D01* +X244864841Y-96594048D01* +X244876664Y-96585280D01* +X244889290Y-96577712D01* +X244902597Y-96571418D01* +X244916457Y-96566459D01* +X244930736Y-96562882D01* +X244945297Y-96560722D01* +X244960000Y-96560000D01* +X245260000Y-96560000D01* +X245274703Y-96560722D01* +X245274703Y-96560722D01* +G37* +D13* +X245110000Y-97410000D03* +D10* +G36* +X246544703Y-96560722D02* +G01* +X246559264Y-96562882D01* +X246573543Y-96566459D01* +X246587403Y-96571418D01* +X246600710Y-96577712D01* +X246613336Y-96585280D01* +X246625159Y-96594048D01* +X246636066Y-96603934D01* +X246645952Y-96614841D01* +X246654720Y-96626664D01* +X246662288Y-96639290D01* +X246668582Y-96652597D01* +X246673541Y-96666457D01* +X246677118Y-96680736D01* +X246679278Y-96695297D01* +X246680000Y-96710000D01* +X246680000Y-98110000D01* +X246679278Y-98124703D01* +X246677118Y-98139264D01* +X246673541Y-98153543D01* +X246668582Y-98167403D01* +X246662288Y-98180710D01* +X246654720Y-98193336D01* +X246645952Y-98205159D01* +X246636066Y-98216066D01* +X246625159Y-98225952D01* +X246613336Y-98234720D01* +X246600710Y-98242288D01* +X246587403Y-98248582D01* +X246573543Y-98253541D01* +X246559264Y-98257118D01* +X246544703Y-98259278D01* +X246530000Y-98260000D01* +X246230000Y-98260000D01* +X246215297Y-98259278D01* +X246200736Y-98257118D01* +X246186457Y-98253541D01* +X246172597Y-98248582D01* +X246159290Y-98242288D01* +X246146664Y-98234720D01* +X246134841Y-98225952D01* +X246123934Y-98216066D01* +X246114048Y-98205159D01* +X246105280Y-98193336D01* +X246097712Y-98180710D01* +X246091418Y-98167403D01* +X246086459Y-98153543D01* +X246082882Y-98139264D01* +X246080722Y-98124703D01* +X246080000Y-98110000D01* +X246080000Y-96710000D01* +X246080722Y-96695297D01* +X246082882Y-96680736D01* +X246086459Y-96666457D01* +X246091418Y-96652597D01* +X246097712Y-96639290D01* +X246105280Y-96626664D01* +X246114048Y-96614841D01* +X246123934Y-96603934D01* +X246134841Y-96594048D01* +X246146664Y-96585280D01* +X246159290Y-96577712D01* +X246172597Y-96571418D01* +X246186457Y-96566459D01* +X246200736Y-96562882D01* +X246215297Y-96560722D01* +X246230000Y-96560000D01* +X246530000Y-96560000D01* +X246544703Y-96560722D01* +X246544703Y-96560722D01* +G37* +D13* +X246380000Y-97410000D03* +D10* +G36* +X247814703Y-96560722D02* +G01* +X247829264Y-96562882D01* +X247843543Y-96566459D01* +X247857403Y-96571418D01* +X247870710Y-96577712D01* +X247883336Y-96585280D01* +X247895159Y-96594048D01* +X247906066Y-96603934D01* +X247915952Y-96614841D01* +X247924720Y-96626664D01* +X247932288Y-96639290D01* +X247938582Y-96652597D01* +X247943541Y-96666457D01* +X247947118Y-96680736D01* +X247949278Y-96695297D01* +X247950000Y-96710000D01* +X247950000Y-98110000D01* +X247949278Y-98124703D01* +X247947118Y-98139264D01* +X247943541Y-98153543D01* +X247938582Y-98167403D01* +X247932288Y-98180710D01* +X247924720Y-98193336D01* +X247915952Y-98205159D01* +X247906066Y-98216066D01* +X247895159Y-98225952D01* +X247883336Y-98234720D01* +X247870710Y-98242288D01* +X247857403Y-98248582D01* +X247843543Y-98253541D01* +X247829264Y-98257118D01* +X247814703Y-98259278D01* +X247800000Y-98260000D01* +X247500000Y-98260000D01* +X247485297Y-98259278D01* +X247470736Y-98257118D01* +X247456457Y-98253541D01* +X247442597Y-98248582D01* +X247429290Y-98242288D01* +X247416664Y-98234720D01* +X247404841Y-98225952D01* +X247393934Y-98216066D01* +X247384048Y-98205159D01* +X247375280Y-98193336D01* +X247367712Y-98180710D01* +X247361418Y-98167403D01* +X247356459Y-98153543D01* +X247352882Y-98139264D01* +X247350722Y-98124703D01* +X247350000Y-98110000D01* +X247350000Y-96710000D01* +X247350722Y-96695297D01* +X247352882Y-96680736D01* +X247356459Y-96666457D01* +X247361418Y-96652597D01* +X247367712Y-96639290D01* +X247375280Y-96626664D01* +X247384048Y-96614841D01* +X247393934Y-96603934D01* +X247404841Y-96594048D01* +X247416664Y-96585280D01* +X247429290Y-96577712D01* +X247442597Y-96571418D01* +X247456457Y-96566459D01* +X247470736Y-96562882D01* +X247485297Y-96560722D01* +X247500000Y-96560000D01* +X247800000Y-96560000D01* +X247814703Y-96560722D01* +X247814703Y-96560722D01* +G37* +D13* +X247650000Y-97410000D03* +D10* +G36* +X249084703Y-96560722D02* +G01* +X249099264Y-96562882D01* +X249113543Y-96566459D01* +X249127403Y-96571418D01* +X249140710Y-96577712D01* +X249153336Y-96585280D01* +X249165159Y-96594048D01* +X249176066Y-96603934D01* +X249185952Y-96614841D01* +X249194720Y-96626664D01* +X249202288Y-96639290D01* +X249208582Y-96652597D01* +X249213541Y-96666457D01* +X249217118Y-96680736D01* +X249219278Y-96695297D01* +X249220000Y-96710000D01* +X249220000Y-98110000D01* +X249219278Y-98124703D01* +X249217118Y-98139264D01* +X249213541Y-98153543D01* +X249208582Y-98167403D01* +X249202288Y-98180710D01* +X249194720Y-98193336D01* +X249185952Y-98205159D01* +X249176066Y-98216066D01* +X249165159Y-98225952D01* +X249153336Y-98234720D01* +X249140710Y-98242288D01* +X249127403Y-98248582D01* +X249113543Y-98253541D01* +X249099264Y-98257118D01* +X249084703Y-98259278D01* +X249070000Y-98260000D01* +X248770000Y-98260000D01* +X248755297Y-98259278D01* +X248740736Y-98257118D01* +X248726457Y-98253541D01* +X248712597Y-98248582D01* +X248699290Y-98242288D01* +X248686664Y-98234720D01* +X248674841Y-98225952D01* +X248663934Y-98216066D01* +X248654048Y-98205159D01* +X248645280Y-98193336D01* +X248637712Y-98180710D01* +X248631418Y-98167403D01* +X248626459Y-98153543D01* +X248622882Y-98139264D01* +X248620722Y-98124703D01* +X248620000Y-98110000D01* +X248620000Y-96710000D01* +X248620722Y-96695297D01* +X248622882Y-96680736D01* +X248626459Y-96666457D01* +X248631418Y-96652597D01* +X248637712Y-96639290D01* +X248645280Y-96626664D01* +X248654048Y-96614841D01* +X248663934Y-96603934D01* +X248674841Y-96594048D01* +X248686664Y-96585280D01* +X248699290Y-96577712D01* +X248712597Y-96571418D01* +X248726457Y-96566459D01* +X248740736Y-96562882D01* +X248755297Y-96560722D01* +X248770000Y-96560000D01* +X249070000Y-96560000D01* +X249084703Y-96560722D01* +X249084703Y-96560722D01* +G37* +D13* +X248920000Y-97410000D03* +D10* +G36* +X250354703Y-96560722D02* +G01* +X250369264Y-96562882D01* +X250383543Y-96566459D01* +X250397403Y-96571418D01* +X250410710Y-96577712D01* +X250423336Y-96585280D01* +X250435159Y-96594048D01* +X250446066Y-96603934D01* +X250455952Y-96614841D01* +X250464720Y-96626664D01* +X250472288Y-96639290D01* +X250478582Y-96652597D01* +X250483541Y-96666457D01* +X250487118Y-96680736D01* +X250489278Y-96695297D01* +X250490000Y-96710000D01* +X250490000Y-98110000D01* +X250489278Y-98124703D01* +X250487118Y-98139264D01* +X250483541Y-98153543D01* +X250478582Y-98167403D01* +X250472288Y-98180710D01* +X250464720Y-98193336D01* +X250455952Y-98205159D01* +X250446066Y-98216066D01* +X250435159Y-98225952D01* +X250423336Y-98234720D01* +X250410710Y-98242288D01* +X250397403Y-98248582D01* +X250383543Y-98253541D01* +X250369264Y-98257118D01* +X250354703Y-98259278D01* +X250340000Y-98260000D01* +X250040000Y-98260000D01* +X250025297Y-98259278D01* +X250010736Y-98257118D01* +X249996457Y-98253541D01* +X249982597Y-98248582D01* +X249969290Y-98242288D01* +X249956664Y-98234720D01* +X249944841Y-98225952D01* +X249933934Y-98216066D01* +X249924048Y-98205159D01* +X249915280Y-98193336D01* +X249907712Y-98180710D01* +X249901418Y-98167403D01* +X249896459Y-98153543D01* +X249892882Y-98139264D01* +X249890722Y-98124703D01* +X249890000Y-98110000D01* +X249890000Y-96710000D01* +X249890722Y-96695297D01* +X249892882Y-96680736D01* +X249896459Y-96666457D01* +X249901418Y-96652597D01* +X249907712Y-96639290D01* +X249915280Y-96626664D01* +X249924048Y-96614841D01* +X249933934Y-96603934D01* +X249944841Y-96594048D01* +X249956664Y-96585280D01* +X249969290Y-96577712D01* +X249982597Y-96571418D01* +X249996457Y-96566459D01* +X250010736Y-96562882D01* +X250025297Y-96560722D01* +X250040000Y-96560000D01* +X250340000Y-96560000D01* +X250354703Y-96560722D01* +X250354703Y-96560722D01* +G37* +D13* +X250190000Y-97410000D03* +D10* +G36* +X251624703Y-96560722D02* +G01* +X251639264Y-96562882D01* +X251653543Y-96566459D01* +X251667403Y-96571418D01* +X251680710Y-96577712D01* +X251693336Y-96585280D01* +X251705159Y-96594048D01* +X251716066Y-96603934D01* +X251725952Y-96614841D01* +X251734720Y-96626664D01* +X251742288Y-96639290D01* +X251748582Y-96652597D01* +X251753541Y-96666457D01* +X251757118Y-96680736D01* +X251759278Y-96695297D01* +X251760000Y-96710000D01* +X251760000Y-98110000D01* +X251759278Y-98124703D01* +X251757118Y-98139264D01* +X251753541Y-98153543D01* +X251748582Y-98167403D01* +X251742288Y-98180710D01* +X251734720Y-98193336D01* +X251725952Y-98205159D01* +X251716066Y-98216066D01* +X251705159Y-98225952D01* +X251693336Y-98234720D01* +X251680710Y-98242288D01* +X251667403Y-98248582D01* +X251653543Y-98253541D01* +X251639264Y-98257118D01* +X251624703Y-98259278D01* +X251610000Y-98260000D01* +X251310000Y-98260000D01* +X251295297Y-98259278D01* +X251280736Y-98257118D01* +X251266457Y-98253541D01* +X251252597Y-98248582D01* +X251239290Y-98242288D01* +X251226664Y-98234720D01* +X251214841Y-98225952D01* +X251203934Y-98216066D01* +X251194048Y-98205159D01* +X251185280Y-98193336D01* +X251177712Y-98180710D01* +X251171418Y-98167403D01* +X251166459Y-98153543D01* +X251162882Y-98139264D01* +X251160722Y-98124703D01* +X251160000Y-98110000D01* +X251160000Y-96710000D01* +X251160722Y-96695297D01* +X251162882Y-96680736D01* +X251166459Y-96666457D01* +X251171418Y-96652597D01* +X251177712Y-96639290D01* +X251185280Y-96626664D01* +X251194048Y-96614841D01* +X251203934Y-96603934D01* +X251214841Y-96594048D01* +X251226664Y-96585280D01* +X251239290Y-96577712D01* +X251252597Y-96571418D01* +X251266457Y-96566459D01* +X251280736Y-96562882D01* +X251295297Y-96560722D01* +X251310000Y-96560000D01* +X251610000Y-96560000D01* +X251624703Y-96560722D01* +X251624703Y-96560722D01* +G37* +D13* +X251460000Y-97410000D03* +D10* +G36* +X252894703Y-96560722D02* +G01* +X252909264Y-96562882D01* +X252923543Y-96566459D01* +X252937403Y-96571418D01* +X252950710Y-96577712D01* +X252963336Y-96585280D01* +X252975159Y-96594048D01* +X252986066Y-96603934D01* +X252995952Y-96614841D01* +X253004720Y-96626664D01* +X253012288Y-96639290D01* +X253018582Y-96652597D01* +X253023541Y-96666457D01* +X253027118Y-96680736D01* +X253029278Y-96695297D01* +X253030000Y-96710000D01* +X253030000Y-98110000D01* +X253029278Y-98124703D01* +X253027118Y-98139264D01* +X253023541Y-98153543D01* +X253018582Y-98167403D01* +X253012288Y-98180710D01* +X253004720Y-98193336D01* +X252995952Y-98205159D01* +X252986066Y-98216066D01* +X252975159Y-98225952D01* +X252963336Y-98234720D01* +X252950710Y-98242288D01* +X252937403Y-98248582D01* +X252923543Y-98253541D01* +X252909264Y-98257118D01* +X252894703Y-98259278D01* +X252880000Y-98260000D01* +X252580000Y-98260000D01* +X252565297Y-98259278D01* +X252550736Y-98257118D01* +X252536457Y-98253541D01* +X252522597Y-98248582D01* +X252509290Y-98242288D01* +X252496664Y-98234720D01* +X252484841Y-98225952D01* +X252473934Y-98216066D01* +X252464048Y-98205159D01* +X252455280Y-98193336D01* +X252447712Y-98180710D01* +X252441418Y-98167403D01* +X252436459Y-98153543D01* +X252432882Y-98139264D01* +X252430722Y-98124703D01* +X252430000Y-98110000D01* +X252430000Y-96710000D01* +X252430722Y-96695297D01* +X252432882Y-96680736D01* +X252436459Y-96666457D01* +X252441418Y-96652597D01* +X252447712Y-96639290D01* +X252455280Y-96626664D01* +X252464048Y-96614841D01* +X252473934Y-96603934D01* +X252484841Y-96594048D01* +X252496664Y-96585280D01* +X252509290Y-96577712D01* +X252522597Y-96571418D01* +X252536457Y-96566459D01* +X252550736Y-96562882D01* +X252565297Y-96560722D01* +X252580000Y-96560000D01* +X252880000Y-96560000D01* +X252894703Y-96560722D01* +X252894703Y-96560722D01* +G37* +D13* +X252730000Y-97410000D03* +D10* +G36* +X254164703Y-96560722D02* +G01* +X254179264Y-96562882D01* +X254193543Y-96566459D01* +X254207403Y-96571418D01* +X254220710Y-96577712D01* +X254233336Y-96585280D01* +X254245159Y-96594048D01* +X254256066Y-96603934D01* +X254265952Y-96614841D01* +X254274720Y-96626664D01* +X254282288Y-96639290D01* +X254288582Y-96652597D01* +X254293541Y-96666457D01* +X254297118Y-96680736D01* +X254299278Y-96695297D01* +X254300000Y-96710000D01* +X254300000Y-98110000D01* +X254299278Y-98124703D01* +X254297118Y-98139264D01* +X254293541Y-98153543D01* +X254288582Y-98167403D01* +X254282288Y-98180710D01* +X254274720Y-98193336D01* +X254265952Y-98205159D01* +X254256066Y-98216066D01* +X254245159Y-98225952D01* +X254233336Y-98234720D01* +X254220710Y-98242288D01* +X254207403Y-98248582D01* +X254193543Y-98253541D01* +X254179264Y-98257118D01* +X254164703Y-98259278D01* +X254150000Y-98260000D01* +X253850000Y-98260000D01* +X253835297Y-98259278D01* +X253820736Y-98257118D01* +X253806457Y-98253541D01* +X253792597Y-98248582D01* +X253779290Y-98242288D01* +X253766664Y-98234720D01* +X253754841Y-98225952D01* +X253743934Y-98216066D01* +X253734048Y-98205159D01* +X253725280Y-98193336D01* +X253717712Y-98180710D01* +X253711418Y-98167403D01* +X253706459Y-98153543D01* +X253702882Y-98139264D01* +X253700722Y-98124703D01* +X253700000Y-98110000D01* +X253700000Y-96710000D01* +X253700722Y-96695297D01* +X253702882Y-96680736D01* +X253706459Y-96666457D01* +X253711418Y-96652597D01* +X253717712Y-96639290D01* +X253725280Y-96626664D01* +X253734048Y-96614841D01* +X253743934Y-96603934D01* +X253754841Y-96594048D01* +X253766664Y-96585280D01* +X253779290Y-96577712D01* +X253792597Y-96571418D01* +X253806457Y-96566459D01* +X253820736Y-96562882D01* +X253835297Y-96560722D01* +X253850000Y-96560000D01* +X254150000Y-96560000D01* +X254164703Y-96560722D01* +X254164703Y-96560722D01* +G37* +D13* +X254000000Y-97410000D03* +D10* +G36* +X255434703Y-96560722D02* +G01* +X255449264Y-96562882D01* +X255463543Y-96566459D01* +X255477403Y-96571418D01* +X255490710Y-96577712D01* +X255503336Y-96585280D01* +X255515159Y-96594048D01* +X255526066Y-96603934D01* +X255535952Y-96614841D01* +X255544720Y-96626664D01* +X255552288Y-96639290D01* +X255558582Y-96652597D01* +X255563541Y-96666457D01* +X255567118Y-96680736D01* +X255569278Y-96695297D01* +X255570000Y-96710000D01* +X255570000Y-98110000D01* +X255569278Y-98124703D01* +X255567118Y-98139264D01* +X255563541Y-98153543D01* +X255558582Y-98167403D01* +X255552288Y-98180710D01* +X255544720Y-98193336D01* +X255535952Y-98205159D01* +X255526066Y-98216066D01* +X255515159Y-98225952D01* +X255503336Y-98234720D01* +X255490710Y-98242288D01* +X255477403Y-98248582D01* +X255463543Y-98253541D01* +X255449264Y-98257118D01* +X255434703Y-98259278D01* +X255420000Y-98260000D01* +X255120000Y-98260000D01* +X255105297Y-98259278D01* +X255090736Y-98257118D01* +X255076457Y-98253541D01* +X255062597Y-98248582D01* +X255049290Y-98242288D01* +X255036664Y-98234720D01* +X255024841Y-98225952D01* +X255013934Y-98216066D01* +X255004048Y-98205159D01* +X254995280Y-98193336D01* +X254987712Y-98180710D01* +X254981418Y-98167403D01* +X254976459Y-98153543D01* +X254972882Y-98139264D01* +X254970722Y-98124703D01* +X254970000Y-98110000D01* +X254970000Y-96710000D01* +X254970722Y-96695297D01* +X254972882Y-96680736D01* +X254976459Y-96666457D01* +X254981418Y-96652597D01* +X254987712Y-96639290D01* +X254995280Y-96626664D01* +X255004048Y-96614841D01* +X255013934Y-96603934D01* +X255024841Y-96594048D01* +X255036664Y-96585280D01* +X255049290Y-96577712D01* +X255062597Y-96571418D01* +X255076457Y-96566459D01* +X255090736Y-96562882D01* +X255105297Y-96560722D01* +X255120000Y-96560000D01* +X255420000Y-96560000D01* +X255434703Y-96560722D01* +X255434703Y-96560722D01* +G37* +D13* +X255270000Y-97410000D03* +D10* +G36* +X256704703Y-96560722D02* +G01* +X256719264Y-96562882D01* +X256733543Y-96566459D01* +X256747403Y-96571418D01* +X256760710Y-96577712D01* +X256773336Y-96585280D01* +X256785159Y-96594048D01* +X256796066Y-96603934D01* +X256805952Y-96614841D01* +X256814720Y-96626664D01* +X256822288Y-96639290D01* +X256828582Y-96652597D01* +X256833541Y-96666457D01* +X256837118Y-96680736D01* +X256839278Y-96695297D01* +X256840000Y-96710000D01* +X256840000Y-98110000D01* +X256839278Y-98124703D01* +X256837118Y-98139264D01* +X256833541Y-98153543D01* +X256828582Y-98167403D01* +X256822288Y-98180710D01* +X256814720Y-98193336D01* +X256805952Y-98205159D01* +X256796066Y-98216066D01* +X256785159Y-98225952D01* +X256773336Y-98234720D01* +X256760710Y-98242288D01* +X256747403Y-98248582D01* +X256733543Y-98253541D01* +X256719264Y-98257118D01* +X256704703Y-98259278D01* +X256690000Y-98260000D01* +X256390000Y-98260000D01* +X256375297Y-98259278D01* +X256360736Y-98257118D01* +X256346457Y-98253541D01* +X256332597Y-98248582D01* +X256319290Y-98242288D01* +X256306664Y-98234720D01* +X256294841Y-98225952D01* +X256283934Y-98216066D01* +X256274048Y-98205159D01* +X256265280Y-98193336D01* +X256257712Y-98180710D01* +X256251418Y-98167403D01* +X256246459Y-98153543D01* +X256242882Y-98139264D01* +X256240722Y-98124703D01* +X256240000Y-98110000D01* +X256240000Y-96710000D01* +X256240722Y-96695297D01* +X256242882Y-96680736D01* +X256246459Y-96666457D01* +X256251418Y-96652597D01* +X256257712Y-96639290D01* +X256265280Y-96626664D01* +X256274048Y-96614841D01* +X256283934Y-96603934D01* +X256294841Y-96594048D01* +X256306664Y-96585280D01* +X256319290Y-96577712D01* +X256332597Y-96571418D01* +X256346457Y-96566459D01* +X256360736Y-96562882D01* +X256375297Y-96560722D01* +X256390000Y-96560000D01* +X256690000Y-96560000D01* +X256704703Y-96560722D01* +X256704703Y-96560722D01* +G37* +D13* +X256540000Y-97410000D03* +D10* +G36* +X257974703Y-96560722D02* +G01* +X257989264Y-96562882D01* +X258003543Y-96566459D01* +X258017403Y-96571418D01* +X258030710Y-96577712D01* +X258043336Y-96585280D01* +X258055159Y-96594048D01* +X258066066Y-96603934D01* +X258075952Y-96614841D01* +X258084720Y-96626664D01* +X258092288Y-96639290D01* +X258098582Y-96652597D01* +X258103541Y-96666457D01* +X258107118Y-96680736D01* +X258109278Y-96695297D01* +X258110000Y-96710000D01* +X258110000Y-98110000D01* +X258109278Y-98124703D01* +X258107118Y-98139264D01* +X258103541Y-98153543D01* +X258098582Y-98167403D01* +X258092288Y-98180710D01* +X258084720Y-98193336D01* +X258075952Y-98205159D01* +X258066066Y-98216066D01* +X258055159Y-98225952D01* +X258043336Y-98234720D01* +X258030710Y-98242288D01* +X258017403Y-98248582D01* +X258003543Y-98253541D01* +X257989264Y-98257118D01* +X257974703Y-98259278D01* +X257960000Y-98260000D01* +X257660000Y-98260000D01* +X257645297Y-98259278D01* +X257630736Y-98257118D01* +X257616457Y-98253541D01* +X257602597Y-98248582D01* +X257589290Y-98242288D01* +X257576664Y-98234720D01* +X257564841Y-98225952D01* +X257553934Y-98216066D01* +X257544048Y-98205159D01* +X257535280Y-98193336D01* +X257527712Y-98180710D01* +X257521418Y-98167403D01* +X257516459Y-98153543D01* +X257512882Y-98139264D01* +X257510722Y-98124703D01* +X257510000Y-98110000D01* +X257510000Y-96710000D01* +X257510722Y-96695297D01* +X257512882Y-96680736D01* +X257516459Y-96666457D01* +X257521418Y-96652597D01* +X257527712Y-96639290D01* +X257535280Y-96626664D01* +X257544048Y-96614841D01* +X257553934Y-96603934D01* +X257564841Y-96594048D01* +X257576664Y-96585280D01* +X257589290Y-96577712D01* +X257602597Y-96571418D01* +X257616457Y-96566459D01* +X257630736Y-96562882D01* +X257645297Y-96560722D01* +X257660000Y-96560000D01* +X257960000Y-96560000D01* +X257974703Y-96560722D01* +X257974703Y-96560722D01* +G37* +D13* +X257810000Y-97410000D03* +D10* +G36* +X259244703Y-96560722D02* +G01* +X259259264Y-96562882D01* +X259273543Y-96566459D01* +X259287403Y-96571418D01* +X259300710Y-96577712D01* +X259313336Y-96585280D01* +X259325159Y-96594048D01* +X259336066Y-96603934D01* +X259345952Y-96614841D01* +X259354720Y-96626664D01* +X259362288Y-96639290D01* +X259368582Y-96652597D01* +X259373541Y-96666457D01* +X259377118Y-96680736D01* +X259379278Y-96695297D01* +X259380000Y-96710000D01* +X259380000Y-98110000D01* +X259379278Y-98124703D01* +X259377118Y-98139264D01* +X259373541Y-98153543D01* +X259368582Y-98167403D01* +X259362288Y-98180710D01* +X259354720Y-98193336D01* +X259345952Y-98205159D01* +X259336066Y-98216066D01* +X259325159Y-98225952D01* +X259313336Y-98234720D01* +X259300710Y-98242288D01* +X259287403Y-98248582D01* +X259273543Y-98253541D01* +X259259264Y-98257118D01* +X259244703Y-98259278D01* +X259230000Y-98260000D01* +X258930000Y-98260000D01* +X258915297Y-98259278D01* +X258900736Y-98257118D01* +X258886457Y-98253541D01* +X258872597Y-98248582D01* +X258859290Y-98242288D01* +X258846664Y-98234720D01* +X258834841Y-98225952D01* +X258823934Y-98216066D01* +X258814048Y-98205159D01* +X258805280Y-98193336D01* +X258797712Y-98180710D01* +X258791418Y-98167403D01* +X258786459Y-98153543D01* +X258782882Y-98139264D01* +X258780722Y-98124703D01* +X258780000Y-98110000D01* +X258780000Y-96710000D01* +X258780722Y-96695297D01* +X258782882Y-96680736D01* +X258786459Y-96666457D01* +X258791418Y-96652597D01* +X258797712Y-96639290D01* +X258805280Y-96626664D01* +X258814048Y-96614841D01* +X258823934Y-96603934D01* +X258834841Y-96594048D01* +X258846664Y-96585280D01* +X258859290Y-96577712D01* +X258872597Y-96571418D01* +X258886457Y-96566459D01* +X258900736Y-96562882D01* +X258915297Y-96560722D01* +X258930000Y-96560000D01* +X259230000Y-96560000D01* +X259244703Y-96560722D01* +X259244703Y-96560722D01* +G37* +D13* +X259080000Y-97410000D03* +D10* +G36* +X260514703Y-96560722D02* +G01* +X260529264Y-96562882D01* +X260543543Y-96566459D01* +X260557403Y-96571418D01* +X260570710Y-96577712D01* +X260583336Y-96585280D01* +X260595159Y-96594048D01* +X260606066Y-96603934D01* +X260615952Y-96614841D01* +X260624720Y-96626664D01* +X260632288Y-96639290D01* +X260638582Y-96652597D01* +X260643541Y-96666457D01* +X260647118Y-96680736D01* +X260649278Y-96695297D01* +X260650000Y-96710000D01* +X260650000Y-98110000D01* +X260649278Y-98124703D01* +X260647118Y-98139264D01* +X260643541Y-98153543D01* +X260638582Y-98167403D01* +X260632288Y-98180710D01* +X260624720Y-98193336D01* +X260615952Y-98205159D01* +X260606066Y-98216066D01* +X260595159Y-98225952D01* +X260583336Y-98234720D01* +X260570710Y-98242288D01* +X260557403Y-98248582D01* +X260543543Y-98253541D01* +X260529264Y-98257118D01* +X260514703Y-98259278D01* +X260500000Y-98260000D01* +X260200000Y-98260000D01* +X260185297Y-98259278D01* +X260170736Y-98257118D01* +X260156457Y-98253541D01* +X260142597Y-98248582D01* +X260129290Y-98242288D01* +X260116664Y-98234720D01* +X260104841Y-98225952D01* +X260093934Y-98216066D01* +X260084048Y-98205159D01* +X260075280Y-98193336D01* +X260067712Y-98180710D01* +X260061418Y-98167403D01* +X260056459Y-98153543D01* +X260052882Y-98139264D01* +X260050722Y-98124703D01* +X260050000Y-98110000D01* +X260050000Y-96710000D01* +X260050722Y-96695297D01* +X260052882Y-96680736D01* +X260056459Y-96666457D01* +X260061418Y-96652597D01* +X260067712Y-96639290D01* +X260075280Y-96626664D01* +X260084048Y-96614841D01* +X260093934Y-96603934D01* +X260104841Y-96594048D01* +X260116664Y-96585280D01* +X260129290Y-96577712D01* +X260142597Y-96571418D01* +X260156457Y-96566459D01* +X260170736Y-96562882D01* +X260185297Y-96560722D01* +X260200000Y-96560000D01* +X260500000Y-96560000D01* +X260514703Y-96560722D01* +X260514703Y-96560722D01* +G37* +D13* +X260350000Y-97410000D03* +D10* +G36* +X262714703Y-98760722D02* +G01* +X262729264Y-98762882D01* +X262743543Y-98766459D01* +X262757403Y-98771418D01* +X262770710Y-98777712D01* +X262783336Y-98785280D01* +X262795159Y-98794048D01* +X262806066Y-98803934D01* +X262815952Y-98814841D01* +X262824720Y-98826664D01* +X262832288Y-98839290D01* +X262838582Y-98852597D01* +X262843541Y-98866457D01* +X262847118Y-98880736D01* +X262849278Y-98895297D01* +X262850000Y-98910000D01* +X262850000Y-99210000D01* +X262849278Y-99224703D01* +X262847118Y-99239264D01* +X262843541Y-99253543D01* +X262838582Y-99267403D01* +X262832288Y-99280710D01* +X262824720Y-99293336D01* +X262815952Y-99305159D01* +X262806066Y-99316066D01* +X262795159Y-99325952D01* +X262783336Y-99334720D01* +X262770710Y-99342288D01* +X262757403Y-99348582D01* +X262743543Y-99353541D01* +X262729264Y-99357118D01* +X262714703Y-99359278D01* +X262700000Y-99360000D01* +X261300000Y-99360000D01* +X261285297Y-99359278D01* +X261270736Y-99357118D01* +X261256457Y-99353541D01* +X261242597Y-99348582D01* +X261229290Y-99342288D01* +X261216664Y-99334720D01* +X261204841Y-99325952D01* +X261193934Y-99316066D01* +X261184048Y-99305159D01* +X261175280Y-99293336D01* +X261167712Y-99280710D01* +X261161418Y-99267403D01* +X261156459Y-99253543D01* +X261152882Y-99239264D01* +X261150722Y-99224703D01* +X261150000Y-99210000D01* +X261150000Y-98910000D01* +X261150722Y-98895297D01* +X261152882Y-98880736D01* +X261156459Y-98866457D01* +X261161418Y-98852597D01* +X261167712Y-98839290D01* +X261175280Y-98826664D01* +X261184048Y-98814841D01* +X261193934Y-98803934D01* +X261204841Y-98794048D01* +X261216664Y-98785280D01* +X261229290Y-98777712D01* +X261242597Y-98771418D01* +X261256457Y-98766459D01* +X261270736Y-98762882D01* +X261285297Y-98760722D01* +X261300000Y-98760000D01* +X262700000Y-98760000D01* +X262714703Y-98760722D01* +X262714703Y-98760722D01* +G37* +D13* +X262000000Y-99060000D03* +D10* +G36* +X262714703Y-100030722D02* +G01* +X262729264Y-100032882D01* +X262743543Y-100036459D01* +X262757403Y-100041418D01* +X262770710Y-100047712D01* +X262783336Y-100055280D01* +X262795159Y-100064048D01* +X262806066Y-100073934D01* +X262815952Y-100084841D01* +X262824720Y-100096664D01* +X262832288Y-100109290D01* +X262838582Y-100122597D01* +X262843541Y-100136457D01* +X262847118Y-100150736D01* +X262849278Y-100165297D01* +X262850000Y-100180000D01* +X262850000Y-100480000D01* +X262849278Y-100494703D01* +X262847118Y-100509264D01* +X262843541Y-100523543D01* +X262838582Y-100537403D01* +X262832288Y-100550710D01* +X262824720Y-100563336D01* +X262815952Y-100575159D01* +X262806066Y-100586066D01* +X262795159Y-100595952D01* +X262783336Y-100604720D01* +X262770710Y-100612288D01* +X262757403Y-100618582D01* +X262743543Y-100623541D01* +X262729264Y-100627118D01* +X262714703Y-100629278D01* +X262700000Y-100630000D01* +X261300000Y-100630000D01* +X261285297Y-100629278D01* +X261270736Y-100627118D01* +X261256457Y-100623541D01* +X261242597Y-100618582D01* +X261229290Y-100612288D01* +X261216664Y-100604720D01* +X261204841Y-100595952D01* +X261193934Y-100586066D01* +X261184048Y-100575159D01* +X261175280Y-100563336D01* +X261167712Y-100550710D01* +X261161418Y-100537403D01* +X261156459Y-100523543D01* +X261152882Y-100509264D01* +X261150722Y-100494703D01* +X261150000Y-100480000D01* +X261150000Y-100180000D01* +X261150722Y-100165297D01* +X261152882Y-100150736D01* +X261156459Y-100136457D01* +X261161418Y-100122597D01* +X261167712Y-100109290D01* +X261175280Y-100096664D01* +X261184048Y-100084841D01* +X261193934Y-100073934D01* +X261204841Y-100064048D01* +X261216664Y-100055280D01* +X261229290Y-100047712D01* +X261242597Y-100041418D01* +X261256457Y-100036459D01* +X261270736Y-100032882D01* +X261285297Y-100030722D01* +X261300000Y-100030000D01* +X262700000Y-100030000D01* +X262714703Y-100030722D01* +X262714703Y-100030722D01* +G37* +D13* +X262000000Y-100330000D03* +D10* +G36* +X262714703Y-101300722D02* +G01* +X262729264Y-101302882D01* +X262743543Y-101306459D01* +X262757403Y-101311418D01* +X262770710Y-101317712D01* +X262783336Y-101325280D01* +X262795159Y-101334048D01* +X262806066Y-101343934D01* +X262815952Y-101354841D01* +X262824720Y-101366664D01* +X262832288Y-101379290D01* +X262838582Y-101392597D01* +X262843541Y-101406457D01* +X262847118Y-101420736D01* +X262849278Y-101435297D01* +X262850000Y-101450000D01* +X262850000Y-101750000D01* +X262849278Y-101764703D01* +X262847118Y-101779264D01* +X262843541Y-101793543D01* +X262838582Y-101807403D01* +X262832288Y-101820710D01* +X262824720Y-101833336D01* +X262815952Y-101845159D01* +X262806066Y-101856066D01* +X262795159Y-101865952D01* +X262783336Y-101874720D01* +X262770710Y-101882288D01* +X262757403Y-101888582D01* +X262743543Y-101893541D01* +X262729264Y-101897118D01* +X262714703Y-101899278D01* +X262700000Y-101900000D01* +X261300000Y-101900000D01* +X261285297Y-101899278D01* +X261270736Y-101897118D01* +X261256457Y-101893541D01* +X261242597Y-101888582D01* +X261229290Y-101882288D01* +X261216664Y-101874720D01* +X261204841Y-101865952D01* +X261193934Y-101856066D01* +X261184048Y-101845159D01* +X261175280Y-101833336D01* +X261167712Y-101820710D01* +X261161418Y-101807403D01* +X261156459Y-101793543D01* +X261152882Y-101779264D01* +X261150722Y-101764703D01* +X261150000Y-101750000D01* +X261150000Y-101450000D01* +X261150722Y-101435297D01* +X261152882Y-101420736D01* +X261156459Y-101406457D01* +X261161418Y-101392597D01* +X261167712Y-101379290D01* +X261175280Y-101366664D01* +X261184048Y-101354841D01* +X261193934Y-101343934D01* +X261204841Y-101334048D01* +X261216664Y-101325280D01* +X261229290Y-101317712D01* +X261242597Y-101311418D01* +X261256457Y-101306459D01* +X261270736Y-101302882D01* +X261285297Y-101300722D01* +X261300000Y-101300000D01* +X262700000Y-101300000D01* +X262714703Y-101300722D01* +X262714703Y-101300722D01* +G37* +D13* +X262000000Y-101600000D03* +D10* +G36* +X262714703Y-102570722D02* +G01* +X262729264Y-102572882D01* +X262743543Y-102576459D01* +X262757403Y-102581418D01* +X262770710Y-102587712D01* +X262783336Y-102595280D01* +X262795159Y-102604048D01* +X262806066Y-102613934D01* +X262815952Y-102624841D01* +X262824720Y-102636664D01* +X262832288Y-102649290D01* +X262838582Y-102662597D01* +X262843541Y-102676457D01* +X262847118Y-102690736D01* +X262849278Y-102705297D01* +X262850000Y-102720000D01* +X262850000Y-103020000D01* +X262849278Y-103034703D01* +X262847118Y-103049264D01* +X262843541Y-103063543D01* +X262838582Y-103077403D01* +X262832288Y-103090710D01* +X262824720Y-103103336D01* +X262815952Y-103115159D01* +X262806066Y-103126066D01* +X262795159Y-103135952D01* +X262783336Y-103144720D01* +X262770710Y-103152288D01* +X262757403Y-103158582D01* +X262743543Y-103163541D01* +X262729264Y-103167118D01* +X262714703Y-103169278D01* +X262700000Y-103170000D01* +X261300000Y-103170000D01* +X261285297Y-103169278D01* +X261270736Y-103167118D01* +X261256457Y-103163541D01* +X261242597Y-103158582D01* +X261229290Y-103152288D01* +X261216664Y-103144720D01* +X261204841Y-103135952D01* +X261193934Y-103126066D01* +X261184048Y-103115159D01* +X261175280Y-103103336D01* +X261167712Y-103090710D01* +X261161418Y-103077403D01* +X261156459Y-103063543D01* +X261152882Y-103049264D01* +X261150722Y-103034703D01* +X261150000Y-103020000D01* +X261150000Y-102720000D01* +X261150722Y-102705297D01* +X261152882Y-102690736D01* +X261156459Y-102676457D01* +X261161418Y-102662597D01* +X261167712Y-102649290D01* +X261175280Y-102636664D01* +X261184048Y-102624841D01* +X261193934Y-102613934D01* +X261204841Y-102604048D01* +X261216664Y-102595280D01* +X261229290Y-102587712D01* +X261242597Y-102581418D01* +X261256457Y-102576459D01* +X261270736Y-102572882D01* +X261285297Y-102570722D01* +X261300000Y-102570000D01* +X262700000Y-102570000D01* +X262714703Y-102570722D01* +X262714703Y-102570722D01* +G37* +D13* +X262000000Y-102870000D03* +D10* +G36* +X262714703Y-103840722D02* +G01* +X262729264Y-103842882D01* +X262743543Y-103846459D01* +X262757403Y-103851418D01* +X262770710Y-103857712D01* +X262783336Y-103865280D01* +X262795159Y-103874048D01* +X262806066Y-103883934D01* +X262815952Y-103894841D01* +X262824720Y-103906664D01* +X262832288Y-103919290D01* +X262838582Y-103932597D01* +X262843541Y-103946457D01* +X262847118Y-103960736D01* +X262849278Y-103975297D01* +X262850000Y-103990000D01* +X262850000Y-104290000D01* +X262849278Y-104304703D01* +X262847118Y-104319264D01* +X262843541Y-104333543D01* +X262838582Y-104347403D01* +X262832288Y-104360710D01* +X262824720Y-104373336D01* +X262815952Y-104385159D01* +X262806066Y-104396066D01* +X262795159Y-104405952D01* +X262783336Y-104414720D01* +X262770710Y-104422288D01* +X262757403Y-104428582D01* +X262743543Y-104433541D01* +X262729264Y-104437118D01* +X262714703Y-104439278D01* +X262700000Y-104440000D01* +X261300000Y-104440000D01* +X261285297Y-104439278D01* +X261270736Y-104437118D01* +X261256457Y-104433541D01* +X261242597Y-104428582D01* +X261229290Y-104422288D01* +X261216664Y-104414720D01* +X261204841Y-104405952D01* +X261193934Y-104396066D01* +X261184048Y-104385159D01* +X261175280Y-104373336D01* +X261167712Y-104360710D01* +X261161418Y-104347403D01* +X261156459Y-104333543D01* +X261152882Y-104319264D01* +X261150722Y-104304703D01* +X261150000Y-104290000D01* +X261150000Y-103990000D01* +X261150722Y-103975297D01* +X261152882Y-103960736D01* +X261156459Y-103946457D01* +X261161418Y-103932597D01* +X261167712Y-103919290D01* +X261175280Y-103906664D01* +X261184048Y-103894841D01* +X261193934Y-103883934D01* +X261204841Y-103874048D01* +X261216664Y-103865280D01* +X261229290Y-103857712D01* +X261242597Y-103851418D01* +X261256457Y-103846459D01* +X261270736Y-103842882D01* +X261285297Y-103840722D01* +X261300000Y-103840000D01* +X262700000Y-103840000D01* +X262714703Y-103840722D01* +X262714703Y-103840722D01* +G37* +D13* +X262000000Y-104140000D03* +D10* +G36* +X262714703Y-105110722D02* +G01* +X262729264Y-105112882D01* +X262743543Y-105116459D01* +X262757403Y-105121418D01* +X262770710Y-105127712D01* +X262783336Y-105135280D01* +X262795159Y-105144048D01* +X262806066Y-105153934D01* +X262815952Y-105164841D01* +X262824720Y-105176664D01* +X262832288Y-105189290D01* +X262838582Y-105202597D01* +X262843541Y-105216457D01* +X262847118Y-105230736D01* +X262849278Y-105245297D01* +X262850000Y-105260000D01* +X262850000Y-105560000D01* +X262849278Y-105574703D01* +X262847118Y-105589264D01* +X262843541Y-105603543D01* +X262838582Y-105617403D01* +X262832288Y-105630710D01* +X262824720Y-105643336D01* +X262815952Y-105655159D01* +X262806066Y-105666066D01* +X262795159Y-105675952D01* +X262783336Y-105684720D01* +X262770710Y-105692288D01* +X262757403Y-105698582D01* +X262743543Y-105703541D01* +X262729264Y-105707118D01* +X262714703Y-105709278D01* +X262700000Y-105710000D01* +X261300000Y-105710000D01* +X261285297Y-105709278D01* +X261270736Y-105707118D01* +X261256457Y-105703541D01* +X261242597Y-105698582D01* +X261229290Y-105692288D01* +X261216664Y-105684720D01* +X261204841Y-105675952D01* +X261193934Y-105666066D01* +X261184048Y-105655159D01* +X261175280Y-105643336D01* +X261167712Y-105630710D01* +X261161418Y-105617403D01* +X261156459Y-105603543D01* +X261152882Y-105589264D01* +X261150722Y-105574703D01* +X261150000Y-105560000D01* +X261150000Y-105260000D01* +X261150722Y-105245297D01* +X261152882Y-105230736D01* +X261156459Y-105216457D01* +X261161418Y-105202597D01* +X261167712Y-105189290D01* +X261175280Y-105176664D01* +X261184048Y-105164841D01* +X261193934Y-105153934D01* +X261204841Y-105144048D01* +X261216664Y-105135280D01* +X261229290Y-105127712D01* +X261242597Y-105121418D01* +X261256457Y-105116459D01* +X261270736Y-105112882D01* +X261285297Y-105110722D01* +X261300000Y-105110000D01* +X262700000Y-105110000D01* +X262714703Y-105110722D01* +X262714703Y-105110722D01* +G37* +D13* +X262000000Y-105410000D03* +D10* +G36* +X262714703Y-106380722D02* +G01* +X262729264Y-106382882D01* +X262743543Y-106386459D01* +X262757403Y-106391418D01* +X262770710Y-106397712D01* +X262783336Y-106405280D01* +X262795159Y-106414048D01* +X262806066Y-106423934D01* +X262815952Y-106434841D01* +X262824720Y-106446664D01* +X262832288Y-106459290D01* +X262838582Y-106472597D01* +X262843541Y-106486457D01* +X262847118Y-106500736D01* +X262849278Y-106515297D01* +X262850000Y-106530000D01* +X262850000Y-106830000D01* +X262849278Y-106844703D01* +X262847118Y-106859264D01* +X262843541Y-106873543D01* +X262838582Y-106887403D01* +X262832288Y-106900710D01* +X262824720Y-106913336D01* +X262815952Y-106925159D01* +X262806066Y-106936066D01* +X262795159Y-106945952D01* +X262783336Y-106954720D01* +X262770710Y-106962288D01* +X262757403Y-106968582D01* +X262743543Y-106973541D01* +X262729264Y-106977118D01* +X262714703Y-106979278D01* +X262700000Y-106980000D01* +X261300000Y-106980000D01* +X261285297Y-106979278D01* +X261270736Y-106977118D01* +X261256457Y-106973541D01* +X261242597Y-106968582D01* +X261229290Y-106962288D01* +X261216664Y-106954720D01* +X261204841Y-106945952D01* +X261193934Y-106936066D01* +X261184048Y-106925159D01* +X261175280Y-106913336D01* +X261167712Y-106900710D01* +X261161418Y-106887403D01* +X261156459Y-106873543D01* +X261152882Y-106859264D01* +X261150722Y-106844703D01* +X261150000Y-106830000D01* +X261150000Y-106530000D01* +X261150722Y-106515297D01* +X261152882Y-106500736D01* +X261156459Y-106486457D01* +X261161418Y-106472597D01* +X261167712Y-106459290D01* +X261175280Y-106446664D01* +X261184048Y-106434841D01* +X261193934Y-106423934D01* +X261204841Y-106414048D01* +X261216664Y-106405280D01* +X261229290Y-106397712D01* +X261242597Y-106391418D01* +X261256457Y-106386459D01* +X261270736Y-106382882D01* +X261285297Y-106380722D01* +X261300000Y-106380000D01* +X262700000Y-106380000D01* +X262714703Y-106380722D01* +X262714703Y-106380722D01* +G37* +D13* +X262000000Y-106680000D03* +D10* +G36* +X262714703Y-107650722D02* +G01* +X262729264Y-107652882D01* +X262743543Y-107656459D01* +X262757403Y-107661418D01* +X262770710Y-107667712D01* +X262783336Y-107675280D01* +X262795159Y-107684048D01* +X262806066Y-107693934D01* +X262815952Y-107704841D01* +X262824720Y-107716664D01* +X262832288Y-107729290D01* +X262838582Y-107742597D01* +X262843541Y-107756457D01* +X262847118Y-107770736D01* +X262849278Y-107785297D01* +X262850000Y-107800000D01* +X262850000Y-108100000D01* +X262849278Y-108114703D01* +X262847118Y-108129264D01* +X262843541Y-108143543D01* +X262838582Y-108157403D01* +X262832288Y-108170710D01* +X262824720Y-108183336D01* +X262815952Y-108195159D01* +X262806066Y-108206066D01* +X262795159Y-108215952D01* +X262783336Y-108224720D01* +X262770710Y-108232288D01* +X262757403Y-108238582D01* +X262743543Y-108243541D01* +X262729264Y-108247118D01* +X262714703Y-108249278D01* +X262700000Y-108250000D01* +X261300000Y-108250000D01* +X261285297Y-108249278D01* +X261270736Y-108247118D01* +X261256457Y-108243541D01* +X261242597Y-108238582D01* +X261229290Y-108232288D01* +X261216664Y-108224720D01* +X261204841Y-108215952D01* +X261193934Y-108206066D01* +X261184048Y-108195159D01* +X261175280Y-108183336D01* +X261167712Y-108170710D01* +X261161418Y-108157403D01* +X261156459Y-108143543D01* +X261152882Y-108129264D01* +X261150722Y-108114703D01* +X261150000Y-108100000D01* +X261150000Y-107800000D01* +X261150722Y-107785297D01* +X261152882Y-107770736D01* +X261156459Y-107756457D01* +X261161418Y-107742597D01* +X261167712Y-107729290D01* +X261175280Y-107716664D01* +X261184048Y-107704841D01* +X261193934Y-107693934D01* +X261204841Y-107684048D01* +X261216664Y-107675280D01* +X261229290Y-107667712D01* +X261242597Y-107661418D01* +X261256457Y-107656459D01* +X261270736Y-107652882D01* +X261285297Y-107650722D01* +X261300000Y-107650000D01* +X262700000Y-107650000D01* +X262714703Y-107650722D01* +X262714703Y-107650722D01* +G37* +D13* +X262000000Y-107950000D03* +D10* +G36* +X262714703Y-108920722D02* +G01* +X262729264Y-108922882D01* +X262743543Y-108926459D01* +X262757403Y-108931418D01* +X262770710Y-108937712D01* +X262783336Y-108945280D01* +X262795159Y-108954048D01* +X262806066Y-108963934D01* +X262815952Y-108974841D01* +X262824720Y-108986664D01* +X262832288Y-108999290D01* +X262838582Y-109012597D01* +X262843541Y-109026457D01* +X262847118Y-109040736D01* +X262849278Y-109055297D01* +X262850000Y-109070000D01* +X262850000Y-109370000D01* +X262849278Y-109384703D01* +X262847118Y-109399264D01* +X262843541Y-109413543D01* +X262838582Y-109427403D01* +X262832288Y-109440710D01* +X262824720Y-109453336D01* +X262815952Y-109465159D01* +X262806066Y-109476066D01* +X262795159Y-109485952D01* +X262783336Y-109494720D01* +X262770710Y-109502288D01* +X262757403Y-109508582D01* +X262743543Y-109513541D01* +X262729264Y-109517118D01* +X262714703Y-109519278D01* +X262700000Y-109520000D01* +X261300000Y-109520000D01* +X261285297Y-109519278D01* +X261270736Y-109517118D01* +X261256457Y-109513541D01* +X261242597Y-109508582D01* +X261229290Y-109502288D01* +X261216664Y-109494720D01* +X261204841Y-109485952D01* +X261193934Y-109476066D01* +X261184048Y-109465159D01* +X261175280Y-109453336D01* +X261167712Y-109440710D01* +X261161418Y-109427403D01* +X261156459Y-109413543D01* +X261152882Y-109399264D01* +X261150722Y-109384703D01* +X261150000Y-109370000D01* +X261150000Y-109070000D01* +X261150722Y-109055297D01* +X261152882Y-109040736D01* +X261156459Y-109026457D01* +X261161418Y-109012597D01* +X261167712Y-108999290D01* +X261175280Y-108986664D01* +X261184048Y-108974841D01* +X261193934Y-108963934D01* +X261204841Y-108954048D01* +X261216664Y-108945280D01* +X261229290Y-108937712D01* +X261242597Y-108931418D01* +X261256457Y-108926459D01* +X261270736Y-108922882D01* +X261285297Y-108920722D01* +X261300000Y-108920000D01* +X262700000Y-108920000D01* +X262714703Y-108920722D01* +X262714703Y-108920722D01* +G37* +D13* +X262000000Y-109220000D03* +D10* +G36* +X262714703Y-110190722D02* +G01* +X262729264Y-110192882D01* +X262743543Y-110196459D01* +X262757403Y-110201418D01* +X262770710Y-110207712D01* +X262783336Y-110215280D01* +X262795159Y-110224048D01* +X262806066Y-110233934D01* +X262815952Y-110244841D01* +X262824720Y-110256664D01* +X262832288Y-110269290D01* +X262838582Y-110282597D01* +X262843541Y-110296457D01* +X262847118Y-110310736D01* +X262849278Y-110325297D01* +X262850000Y-110340000D01* +X262850000Y-110640000D01* +X262849278Y-110654703D01* +X262847118Y-110669264D01* +X262843541Y-110683543D01* +X262838582Y-110697403D01* +X262832288Y-110710710D01* +X262824720Y-110723336D01* +X262815952Y-110735159D01* +X262806066Y-110746066D01* +X262795159Y-110755952D01* +X262783336Y-110764720D01* +X262770710Y-110772288D01* +X262757403Y-110778582D01* +X262743543Y-110783541D01* +X262729264Y-110787118D01* +X262714703Y-110789278D01* +X262700000Y-110790000D01* +X261300000Y-110790000D01* +X261285297Y-110789278D01* +X261270736Y-110787118D01* +X261256457Y-110783541D01* +X261242597Y-110778582D01* +X261229290Y-110772288D01* +X261216664Y-110764720D01* +X261204841Y-110755952D01* +X261193934Y-110746066D01* +X261184048Y-110735159D01* +X261175280Y-110723336D01* +X261167712Y-110710710D01* +X261161418Y-110697403D01* +X261156459Y-110683543D01* +X261152882Y-110669264D01* +X261150722Y-110654703D01* +X261150000Y-110640000D01* +X261150000Y-110340000D01* +X261150722Y-110325297D01* +X261152882Y-110310736D01* +X261156459Y-110296457D01* +X261161418Y-110282597D01* +X261167712Y-110269290D01* +X261175280Y-110256664D01* +X261184048Y-110244841D01* +X261193934Y-110233934D01* +X261204841Y-110224048D01* +X261216664Y-110215280D01* +X261229290Y-110207712D01* +X261242597Y-110201418D01* +X261256457Y-110196459D01* +X261270736Y-110192882D01* +X261285297Y-110190722D01* +X261300000Y-110190000D01* +X262700000Y-110190000D01* +X262714703Y-110190722D01* +X262714703Y-110190722D01* +G37* +D13* +X262000000Y-110490000D03* +D10* +G36* +X262714703Y-111460722D02* +G01* +X262729264Y-111462882D01* +X262743543Y-111466459D01* +X262757403Y-111471418D01* +X262770710Y-111477712D01* +X262783336Y-111485280D01* +X262795159Y-111494048D01* +X262806066Y-111503934D01* +X262815952Y-111514841D01* +X262824720Y-111526664D01* +X262832288Y-111539290D01* +X262838582Y-111552597D01* +X262843541Y-111566457D01* +X262847118Y-111580736D01* +X262849278Y-111595297D01* +X262850000Y-111610000D01* +X262850000Y-111910000D01* +X262849278Y-111924703D01* +X262847118Y-111939264D01* +X262843541Y-111953543D01* +X262838582Y-111967403D01* +X262832288Y-111980710D01* +X262824720Y-111993336D01* +X262815952Y-112005159D01* +X262806066Y-112016066D01* +X262795159Y-112025952D01* +X262783336Y-112034720D01* +X262770710Y-112042288D01* +X262757403Y-112048582D01* +X262743543Y-112053541D01* +X262729264Y-112057118D01* +X262714703Y-112059278D01* +X262700000Y-112060000D01* +X261300000Y-112060000D01* +X261285297Y-112059278D01* +X261270736Y-112057118D01* +X261256457Y-112053541D01* +X261242597Y-112048582D01* +X261229290Y-112042288D01* +X261216664Y-112034720D01* +X261204841Y-112025952D01* +X261193934Y-112016066D01* +X261184048Y-112005159D01* +X261175280Y-111993336D01* +X261167712Y-111980710D01* +X261161418Y-111967403D01* +X261156459Y-111953543D01* +X261152882Y-111939264D01* +X261150722Y-111924703D01* +X261150000Y-111910000D01* +X261150000Y-111610000D01* +X261150722Y-111595297D01* +X261152882Y-111580736D01* +X261156459Y-111566457D01* +X261161418Y-111552597D01* +X261167712Y-111539290D01* +X261175280Y-111526664D01* +X261184048Y-111514841D01* +X261193934Y-111503934D01* +X261204841Y-111494048D01* +X261216664Y-111485280D01* +X261229290Y-111477712D01* +X261242597Y-111471418D01* +X261256457Y-111466459D01* +X261270736Y-111462882D01* +X261285297Y-111460722D01* +X261300000Y-111460000D01* +X262700000Y-111460000D01* +X262714703Y-111460722D01* +X262714703Y-111460722D01* +G37* +D13* +X262000000Y-111760000D03* +D14* +X274066000Y-89281000D03* +D15* +X270002000Y-93091000D03* +D10* +G36* +X274738345Y-131613835D02* +G01* +X274775329Y-131619321D01* +X274811598Y-131628406D01* +X274846802Y-131641002D01* +X274880602Y-131656988D01* +X274912672Y-131676210D01* +X274942704Y-131698483D01* +X274970408Y-131723592D01* +X274995517Y-131751296D01* +X275017790Y-131781328D01* +X275037012Y-131813398D01* +X275052998Y-131847198D01* +X275065594Y-131882402D01* +X275074679Y-131918671D01* +X275080165Y-131955655D01* +X275082000Y-131993000D01* +X275082000Y-138771000D01* +X275080165Y-138808345D01* +X275074679Y-138845329D01* +X275065594Y-138881598D01* +X275052998Y-138916802D01* +X275037012Y-138950602D01* +X275017790Y-138982672D01* +X274995517Y-139012704D01* +X274970408Y-139040408D01* +X274942704Y-139065517D01* +X274912672Y-139087790D01* +X274880602Y-139107012D01* +X274846802Y-139122998D01* +X274811598Y-139135594D01* +X274775329Y-139144679D01* +X274738345Y-139150165D01* +X274701000Y-139152000D01* +X273939000Y-139152000D01* +X273901655Y-139150165D01* +X273864671Y-139144679D01* +X273828402Y-139135594D01* +X273793198Y-139122998D01* +X273759398Y-139107012D01* +X273727328Y-139087790D01* +X273697296Y-139065517D01* +X273669592Y-139040408D01* +X273644483Y-139012704D01* +X273622210Y-138982672D01* +X273602988Y-138950602D01* +X273587002Y-138916802D01* +X273574406Y-138881598D01* +X273565321Y-138845329D01* +X273559835Y-138808345D01* +X273558000Y-138771000D01* +X273558000Y-131993000D01* +X273559835Y-131955655D01* +X273565321Y-131918671D01* +X273574406Y-131882402D01* +X273587002Y-131847198D01* +X273602988Y-131813398D01* +X273622210Y-131781328D01* +X273644483Y-131751296D01* +X273669592Y-131723592D01* +X273697296Y-131698483D01* +X273727328Y-131676210D01* +X273759398Y-131656988D01* +X273793198Y-131641002D01* +X273828402Y-131628406D01* +X273864671Y-131619321D01* +X273901655Y-131613835D01* +X273939000Y-131612000D01* +X274701000Y-131612000D01* +X274738345Y-131613835D01* +X274738345Y-131613835D01* +G37* +D16* +X274320000Y-135382000D03* +D10* +G36* +X272198345Y-131613835D02* +G01* +X272235329Y-131619321D01* +X272271598Y-131628406D01* +X272306802Y-131641002D01* +X272340602Y-131656988D01* +X272372672Y-131676210D01* +X272402704Y-131698483D01* +X272430408Y-131723592D01* +X272455517Y-131751296D01* +X272477790Y-131781328D01* +X272497012Y-131813398D01* +X272512998Y-131847198D01* +X272525594Y-131882402D01* +X272534679Y-131918671D01* +X272540165Y-131955655D01* +X272542000Y-131993000D01* +X272542000Y-138771000D01* +X272540165Y-138808345D01* +X272534679Y-138845329D01* +X272525594Y-138881598D01* +X272512998Y-138916802D01* +X272497012Y-138950602D01* +X272477790Y-138982672D01* +X272455517Y-139012704D01* +X272430408Y-139040408D01* +X272402704Y-139065517D01* +X272372672Y-139087790D01* +X272340602Y-139107012D01* +X272306802Y-139122998D01* +X272271598Y-139135594D01* +X272235329Y-139144679D01* +X272198345Y-139150165D01* +X272161000Y-139152000D01* +X271399000Y-139152000D01* +X271361655Y-139150165D01* +X271324671Y-139144679D01* +X271288402Y-139135594D01* +X271253198Y-139122998D01* +X271219398Y-139107012D01* +X271187328Y-139087790D01* +X271157296Y-139065517D01* +X271129592Y-139040408D01* +X271104483Y-139012704D01* +X271082210Y-138982672D01* +X271062988Y-138950602D01* +X271047002Y-138916802D01* +X271034406Y-138881598D01* +X271025321Y-138845329D01* +X271019835Y-138808345D01* +X271018000Y-138771000D01* +X271018000Y-131993000D01* +X271019835Y-131955655D01* +X271025321Y-131918671D01* +X271034406Y-131882402D01* +X271047002Y-131847198D01* +X271062988Y-131813398D01* +X271082210Y-131781328D01* +X271104483Y-131751296D01* +X271129592Y-131723592D01* +X271157296Y-131698483D01* +X271187328Y-131676210D01* +X271219398Y-131656988D01* +X271253198Y-131641002D01* +X271288402Y-131628406D01* +X271324671Y-131619321D01* +X271361655Y-131613835D01* +X271399000Y-131612000D01* +X272161000Y-131612000D01* +X272198345Y-131613835D01* +X272198345Y-131613835D01* +G37* +D16* +X271780000Y-135382000D03* +D10* +G36* +X269658345Y-131613835D02* +G01* +X269695329Y-131619321D01* +X269731598Y-131628406D01* +X269766802Y-131641002D01* +X269800602Y-131656988D01* +X269832672Y-131676210D01* +X269862704Y-131698483D01* +X269890408Y-131723592D01* +X269915517Y-131751296D01* +X269937790Y-131781328D01* +X269957012Y-131813398D01* +X269972998Y-131847198D01* +X269985594Y-131882402D01* +X269994679Y-131918671D01* +X270000165Y-131955655D01* +X270002000Y-131993000D01* +X270002000Y-138771000D01* +X270000165Y-138808345D01* +X269994679Y-138845329D01* +X269985594Y-138881598D01* +X269972998Y-138916802D01* +X269957012Y-138950602D01* +X269937790Y-138982672D01* +X269915517Y-139012704D01* +X269890408Y-139040408D01* +X269862704Y-139065517D01* +X269832672Y-139087790D01* +X269800602Y-139107012D01* +X269766802Y-139122998D01* +X269731598Y-139135594D01* +X269695329Y-139144679D01* +X269658345Y-139150165D01* +X269621000Y-139152000D01* +X268859000Y-139152000D01* +X268821655Y-139150165D01* +X268784671Y-139144679D01* +X268748402Y-139135594D01* +X268713198Y-139122998D01* +X268679398Y-139107012D01* +X268647328Y-139087790D01* +X268617296Y-139065517D01* +X268589592Y-139040408D01* +X268564483Y-139012704D01* +X268542210Y-138982672D01* +X268522988Y-138950602D01* +X268507002Y-138916802D01* +X268494406Y-138881598D01* +X268485321Y-138845329D01* +X268479835Y-138808345D01* +X268478000Y-138771000D01* +X268478000Y-131993000D01* +X268479835Y-131955655D01* +X268485321Y-131918671D01* +X268494406Y-131882402D01* +X268507002Y-131847198D01* +X268522988Y-131813398D01* +X268542210Y-131781328D01* +X268564483Y-131751296D01* +X268589592Y-131723592D01* +X268617296Y-131698483D01* +X268647328Y-131676210D01* +X268679398Y-131656988D01* +X268713198Y-131641002D01* +X268748402Y-131628406D01* +X268784671Y-131619321D01* +X268821655Y-131613835D01* +X268859000Y-131612000D01* +X269621000Y-131612000D01* +X269658345Y-131613835D01* +X269658345Y-131613835D01* +G37* +D16* +X269240000Y-135382000D03* +D10* +G36* +X267118345Y-131613835D02* +G01* +X267155329Y-131619321D01* +X267191598Y-131628406D01* +X267226802Y-131641002D01* +X267260602Y-131656988D01* +X267292672Y-131676210D01* +X267322704Y-131698483D01* +X267350408Y-131723592D01* +X267375517Y-131751296D01* +X267397790Y-131781328D01* +X267417012Y-131813398D01* +X267432998Y-131847198D01* +X267445594Y-131882402D01* +X267454679Y-131918671D01* +X267460165Y-131955655D01* +X267462000Y-131993000D01* +X267462000Y-138771000D01* +X267460165Y-138808345D01* +X267454679Y-138845329D01* +X267445594Y-138881598D01* +X267432998Y-138916802D01* +X267417012Y-138950602D01* +X267397790Y-138982672D01* +X267375517Y-139012704D01* +X267350408Y-139040408D01* +X267322704Y-139065517D01* +X267292672Y-139087790D01* +X267260602Y-139107012D01* +X267226802Y-139122998D01* +X267191598Y-139135594D01* +X267155329Y-139144679D01* +X267118345Y-139150165D01* +X267081000Y-139152000D01* +X266319000Y-139152000D01* +X266281655Y-139150165D01* +X266244671Y-139144679D01* +X266208402Y-139135594D01* +X266173198Y-139122998D01* +X266139398Y-139107012D01* +X266107328Y-139087790D01* +X266077296Y-139065517D01* +X266049592Y-139040408D01* +X266024483Y-139012704D01* +X266002210Y-138982672D01* +X265982988Y-138950602D01* +X265967002Y-138916802D01* +X265954406Y-138881598D01* +X265945321Y-138845329D01* +X265939835Y-138808345D01* +X265938000Y-138771000D01* +X265938000Y-131993000D01* +X265939835Y-131955655D01* +X265945321Y-131918671D01* +X265954406Y-131882402D01* +X265967002Y-131847198D01* +X265982988Y-131813398D01* +X266002210Y-131781328D01* +X266024483Y-131751296D01* +X266049592Y-131723592D01* +X266077296Y-131698483D01* +X266107328Y-131676210D01* +X266139398Y-131656988D01* +X266173198Y-131641002D01* +X266208402Y-131628406D01* +X266244671Y-131619321D01* +X266281655Y-131613835D01* +X266319000Y-131612000D01* +X267081000Y-131612000D01* +X267118345Y-131613835D01* +X267118345Y-131613835D01* +G37* +D16* +X266700000Y-135382000D03* +D10* +G36* +X264578345Y-131613835D02* +G01* +X264615329Y-131619321D01* +X264651598Y-131628406D01* +X264686802Y-131641002D01* +X264720602Y-131656988D01* +X264752672Y-131676210D01* +X264782704Y-131698483D01* +X264810408Y-131723592D01* +X264835517Y-131751296D01* +X264857790Y-131781328D01* +X264877012Y-131813398D01* +X264892998Y-131847198D01* +X264905594Y-131882402D01* +X264914679Y-131918671D01* +X264920165Y-131955655D01* +X264922000Y-131993000D01* +X264922000Y-138771000D01* +X264920165Y-138808345D01* +X264914679Y-138845329D01* +X264905594Y-138881598D01* +X264892998Y-138916802D01* +X264877012Y-138950602D01* +X264857790Y-138982672D01* +X264835517Y-139012704D01* +X264810408Y-139040408D01* +X264782704Y-139065517D01* +X264752672Y-139087790D01* +X264720602Y-139107012D01* +X264686802Y-139122998D01* +X264651598Y-139135594D01* +X264615329Y-139144679D01* +X264578345Y-139150165D01* +X264541000Y-139152000D01* +X263779000Y-139152000D01* +X263741655Y-139150165D01* +X263704671Y-139144679D01* +X263668402Y-139135594D01* +X263633198Y-139122998D01* +X263599398Y-139107012D01* +X263567328Y-139087790D01* +X263537296Y-139065517D01* +X263509592Y-139040408D01* +X263484483Y-139012704D01* +X263462210Y-138982672D01* +X263442988Y-138950602D01* +X263427002Y-138916802D01* +X263414406Y-138881598D01* +X263405321Y-138845329D01* +X263399835Y-138808345D01* +X263398000Y-138771000D01* +X263398000Y-131993000D01* +X263399835Y-131955655D01* +X263405321Y-131918671D01* +X263414406Y-131882402D01* +X263427002Y-131847198D01* +X263442988Y-131813398D01* +X263462210Y-131781328D01* +X263484483Y-131751296D01* +X263509592Y-131723592D01* +X263537296Y-131698483D01* +X263567328Y-131676210D01* +X263599398Y-131656988D01* +X263633198Y-131641002D01* +X263668402Y-131628406D01* +X263704671Y-131619321D01* +X263741655Y-131613835D01* +X263779000Y-131612000D01* +X264541000Y-131612000D01* +X264578345Y-131613835D01* +X264578345Y-131613835D01* +G37* +D16* +X264160000Y-135382000D03* +D10* +G36* +X262038345Y-131613835D02* +G01* +X262075329Y-131619321D01* +X262111598Y-131628406D01* +X262146802Y-131641002D01* +X262180602Y-131656988D01* +X262212672Y-131676210D01* +X262242704Y-131698483D01* +X262270408Y-131723592D01* +X262295517Y-131751296D01* +X262317790Y-131781328D01* +X262337012Y-131813398D01* +X262352998Y-131847198D01* +X262365594Y-131882402D01* +X262374679Y-131918671D01* +X262380165Y-131955655D01* +X262382000Y-131993000D01* +X262382000Y-138771000D01* +X262380165Y-138808345D01* +X262374679Y-138845329D01* +X262365594Y-138881598D01* +X262352998Y-138916802D01* +X262337012Y-138950602D01* +X262317790Y-138982672D01* +X262295517Y-139012704D01* +X262270408Y-139040408D01* +X262242704Y-139065517D01* +X262212672Y-139087790D01* +X262180602Y-139107012D01* +X262146802Y-139122998D01* +X262111598Y-139135594D01* +X262075329Y-139144679D01* +X262038345Y-139150165D01* +X262001000Y-139152000D01* +X261239000Y-139152000D01* +X261201655Y-139150165D01* +X261164671Y-139144679D01* +X261128402Y-139135594D01* +X261093198Y-139122998D01* +X261059398Y-139107012D01* +X261027328Y-139087790D01* +X260997296Y-139065517D01* +X260969592Y-139040408D01* +X260944483Y-139012704D01* +X260922210Y-138982672D01* +X260902988Y-138950602D01* +X260887002Y-138916802D01* +X260874406Y-138881598D01* +X260865321Y-138845329D01* +X260859835Y-138808345D01* +X260858000Y-138771000D01* +X260858000Y-131993000D01* +X260859835Y-131955655D01* +X260865321Y-131918671D01* +X260874406Y-131882402D01* +X260887002Y-131847198D01* +X260902988Y-131813398D01* +X260922210Y-131781328D01* +X260944483Y-131751296D01* +X260969592Y-131723592D01* +X260997296Y-131698483D01* +X261027328Y-131676210D01* +X261059398Y-131656988D01* +X261093198Y-131641002D01* +X261128402Y-131628406D01* +X261164671Y-131619321D01* +X261201655Y-131613835D01* +X261239000Y-131612000D01* +X262001000Y-131612000D01* +X262038345Y-131613835D01* +X262038345Y-131613835D01* +G37* +D16* +X261620000Y-135382000D03* +D10* +G36* +X259498345Y-131613835D02* +G01* +X259535329Y-131619321D01* +X259571598Y-131628406D01* +X259606802Y-131641002D01* +X259640602Y-131656988D01* +X259672672Y-131676210D01* +X259702704Y-131698483D01* +X259730408Y-131723592D01* +X259755517Y-131751296D01* +X259777790Y-131781328D01* +X259797012Y-131813398D01* +X259812998Y-131847198D01* +X259825594Y-131882402D01* +X259834679Y-131918671D01* +X259840165Y-131955655D01* +X259842000Y-131993000D01* +X259842000Y-138771000D01* +X259840165Y-138808345D01* +X259834679Y-138845329D01* +X259825594Y-138881598D01* +X259812998Y-138916802D01* +X259797012Y-138950602D01* +X259777790Y-138982672D01* +X259755517Y-139012704D01* +X259730408Y-139040408D01* +X259702704Y-139065517D01* +X259672672Y-139087790D01* +X259640602Y-139107012D01* +X259606802Y-139122998D01* +X259571598Y-139135594D01* +X259535329Y-139144679D01* +X259498345Y-139150165D01* +X259461000Y-139152000D01* +X258699000Y-139152000D01* +X258661655Y-139150165D01* +X258624671Y-139144679D01* +X258588402Y-139135594D01* +X258553198Y-139122998D01* +X258519398Y-139107012D01* +X258487328Y-139087790D01* +X258457296Y-139065517D01* +X258429592Y-139040408D01* +X258404483Y-139012704D01* +X258382210Y-138982672D01* +X258362988Y-138950602D01* +X258347002Y-138916802D01* +X258334406Y-138881598D01* +X258325321Y-138845329D01* +X258319835Y-138808345D01* +X258318000Y-138771000D01* +X258318000Y-131993000D01* +X258319835Y-131955655D01* +X258325321Y-131918671D01* +X258334406Y-131882402D01* +X258347002Y-131847198D01* +X258362988Y-131813398D01* +X258382210Y-131781328D01* +X258404483Y-131751296D01* +X258429592Y-131723592D01* +X258457296Y-131698483D01* +X258487328Y-131676210D01* +X258519398Y-131656988D01* +X258553198Y-131641002D01* +X258588402Y-131628406D01* +X258624671Y-131619321D01* +X258661655Y-131613835D01* +X258699000Y-131612000D01* +X259461000Y-131612000D01* +X259498345Y-131613835D01* +X259498345Y-131613835D01* +G37* +D16* +X259080000Y-135382000D03* +D10* +G36* +X256958345Y-131613835D02* +G01* +X256995329Y-131619321D01* +X257031598Y-131628406D01* +X257066802Y-131641002D01* +X257100602Y-131656988D01* +X257132672Y-131676210D01* +X257162704Y-131698483D01* +X257190408Y-131723592D01* +X257215517Y-131751296D01* +X257237790Y-131781328D01* +X257257012Y-131813398D01* +X257272998Y-131847198D01* +X257285594Y-131882402D01* +X257294679Y-131918671D01* +X257300165Y-131955655D01* +X257302000Y-131993000D01* +X257302000Y-138771000D01* +X257300165Y-138808345D01* +X257294679Y-138845329D01* +X257285594Y-138881598D01* +X257272998Y-138916802D01* +X257257012Y-138950602D01* +X257237790Y-138982672D01* +X257215517Y-139012704D01* +X257190408Y-139040408D01* +X257162704Y-139065517D01* +X257132672Y-139087790D01* +X257100602Y-139107012D01* +X257066802Y-139122998D01* +X257031598Y-139135594D01* +X256995329Y-139144679D01* +X256958345Y-139150165D01* +X256921000Y-139152000D01* +X256159000Y-139152000D01* +X256121655Y-139150165D01* +X256084671Y-139144679D01* +X256048402Y-139135594D01* +X256013198Y-139122998D01* +X255979398Y-139107012D01* +X255947328Y-139087790D01* +X255917296Y-139065517D01* +X255889592Y-139040408D01* +X255864483Y-139012704D01* +X255842210Y-138982672D01* +X255822988Y-138950602D01* +X255807002Y-138916802D01* +X255794406Y-138881598D01* +X255785321Y-138845329D01* +X255779835Y-138808345D01* +X255778000Y-138771000D01* +X255778000Y-131993000D01* +X255779835Y-131955655D01* +X255785321Y-131918671D01* +X255794406Y-131882402D01* +X255807002Y-131847198D01* +X255822988Y-131813398D01* +X255842210Y-131781328D01* +X255864483Y-131751296D01* +X255889592Y-131723592D01* +X255917296Y-131698483D01* +X255947328Y-131676210D01* +X255979398Y-131656988D01* +X256013198Y-131641002D01* +X256048402Y-131628406D01* +X256084671Y-131619321D01* +X256121655Y-131613835D01* +X256159000Y-131612000D01* +X256921000Y-131612000D01* +X256958345Y-131613835D01* +X256958345Y-131613835D01* +G37* +D16* +X256540000Y-135382000D03* +D10* +G36* +X254418345Y-131613835D02* +G01* +X254455329Y-131619321D01* +X254491598Y-131628406D01* +X254526802Y-131641002D01* +X254560602Y-131656988D01* +X254592672Y-131676210D01* +X254622704Y-131698483D01* +X254650408Y-131723592D01* +X254675517Y-131751296D01* +X254697790Y-131781328D01* +X254717012Y-131813398D01* +X254732998Y-131847198D01* +X254745594Y-131882402D01* +X254754679Y-131918671D01* +X254760165Y-131955655D01* +X254762000Y-131993000D01* +X254762000Y-138771000D01* +X254760165Y-138808345D01* +X254754679Y-138845329D01* +X254745594Y-138881598D01* +X254732998Y-138916802D01* +X254717012Y-138950602D01* +X254697790Y-138982672D01* +X254675517Y-139012704D01* +X254650408Y-139040408D01* +X254622704Y-139065517D01* +X254592672Y-139087790D01* +X254560602Y-139107012D01* +X254526802Y-139122998D01* +X254491598Y-139135594D01* +X254455329Y-139144679D01* +X254418345Y-139150165D01* +X254381000Y-139152000D01* +X253619000Y-139152000D01* +X253581655Y-139150165D01* +X253544671Y-139144679D01* +X253508402Y-139135594D01* +X253473198Y-139122998D01* +X253439398Y-139107012D01* +X253407328Y-139087790D01* +X253377296Y-139065517D01* +X253349592Y-139040408D01* +X253324483Y-139012704D01* +X253302210Y-138982672D01* +X253282988Y-138950602D01* +X253267002Y-138916802D01* +X253254406Y-138881598D01* +X253245321Y-138845329D01* +X253239835Y-138808345D01* +X253238000Y-138771000D01* +X253238000Y-131993000D01* +X253239835Y-131955655D01* +X253245321Y-131918671D01* +X253254406Y-131882402D01* +X253267002Y-131847198D01* +X253282988Y-131813398D01* +X253302210Y-131781328D01* +X253324483Y-131751296D01* +X253349592Y-131723592D01* +X253377296Y-131698483D01* +X253407328Y-131676210D01* +X253439398Y-131656988D01* +X253473198Y-131641002D01* +X253508402Y-131628406D01* +X253544671Y-131619321D01* +X253581655Y-131613835D01* +X253619000Y-131612000D01* +X254381000Y-131612000D01* +X254418345Y-131613835D01* +X254418345Y-131613835D01* +G37* +D16* +X254000000Y-135382000D03* +D10* +G36* +X251878345Y-131613835D02* +G01* +X251915329Y-131619321D01* +X251951598Y-131628406D01* +X251986802Y-131641002D01* +X252020602Y-131656988D01* +X252052672Y-131676210D01* +X252082704Y-131698483D01* +X252110408Y-131723592D01* +X252135517Y-131751296D01* +X252157790Y-131781328D01* +X252177012Y-131813398D01* +X252192998Y-131847198D01* +X252205594Y-131882402D01* +X252214679Y-131918671D01* +X252220165Y-131955655D01* +X252222000Y-131993000D01* +X252222000Y-138771000D01* +X252220165Y-138808345D01* +X252214679Y-138845329D01* +X252205594Y-138881598D01* +X252192998Y-138916802D01* +X252177012Y-138950602D01* +X252157790Y-138982672D01* +X252135517Y-139012704D01* +X252110408Y-139040408D01* +X252082704Y-139065517D01* +X252052672Y-139087790D01* +X252020602Y-139107012D01* +X251986802Y-139122998D01* +X251951598Y-139135594D01* +X251915329Y-139144679D01* +X251878345Y-139150165D01* +X251841000Y-139152000D01* +X251079000Y-139152000D01* +X251041655Y-139150165D01* +X251004671Y-139144679D01* +X250968402Y-139135594D01* +X250933198Y-139122998D01* +X250899398Y-139107012D01* +X250867328Y-139087790D01* +X250837296Y-139065517D01* +X250809592Y-139040408D01* +X250784483Y-139012704D01* +X250762210Y-138982672D01* +X250742988Y-138950602D01* +X250727002Y-138916802D01* +X250714406Y-138881598D01* +X250705321Y-138845329D01* +X250699835Y-138808345D01* +X250698000Y-138771000D01* +X250698000Y-131993000D01* +X250699835Y-131955655D01* +X250705321Y-131918671D01* +X250714406Y-131882402D01* +X250727002Y-131847198D01* +X250742988Y-131813398D01* +X250762210Y-131781328D01* +X250784483Y-131751296D01* +X250809592Y-131723592D01* +X250837296Y-131698483D01* +X250867328Y-131676210D01* +X250899398Y-131656988D01* +X250933198Y-131641002D01* +X250968402Y-131628406D01* +X251004671Y-131619321D01* +X251041655Y-131613835D01* +X251079000Y-131612000D01* +X251841000Y-131612000D01* +X251878345Y-131613835D01* +X251878345Y-131613835D01* +G37* +D16* +X251460000Y-135382000D03* +D10* +G36* +X249338345Y-131613835D02* +G01* +X249375329Y-131619321D01* +X249411598Y-131628406D01* +X249446802Y-131641002D01* +X249480602Y-131656988D01* +X249512672Y-131676210D01* +X249542704Y-131698483D01* +X249570408Y-131723592D01* +X249595517Y-131751296D01* +X249617790Y-131781328D01* +X249637012Y-131813398D01* +X249652998Y-131847198D01* +X249665594Y-131882402D01* +X249674679Y-131918671D01* +X249680165Y-131955655D01* +X249682000Y-131993000D01* +X249682000Y-138771000D01* +X249680165Y-138808345D01* +X249674679Y-138845329D01* +X249665594Y-138881598D01* +X249652998Y-138916802D01* +X249637012Y-138950602D01* +X249617790Y-138982672D01* +X249595517Y-139012704D01* +X249570408Y-139040408D01* +X249542704Y-139065517D01* +X249512672Y-139087790D01* +X249480602Y-139107012D01* +X249446802Y-139122998D01* +X249411598Y-139135594D01* +X249375329Y-139144679D01* +X249338345Y-139150165D01* +X249301000Y-139152000D01* +X248539000Y-139152000D01* +X248501655Y-139150165D01* +X248464671Y-139144679D01* +X248428402Y-139135594D01* +X248393198Y-139122998D01* +X248359398Y-139107012D01* +X248327328Y-139087790D01* +X248297296Y-139065517D01* +X248269592Y-139040408D01* +X248244483Y-139012704D01* +X248222210Y-138982672D01* +X248202988Y-138950602D01* +X248187002Y-138916802D01* +X248174406Y-138881598D01* +X248165321Y-138845329D01* +X248159835Y-138808345D01* +X248158000Y-138771000D01* +X248158000Y-131993000D01* +X248159835Y-131955655D01* +X248165321Y-131918671D01* +X248174406Y-131882402D01* +X248187002Y-131847198D01* +X248202988Y-131813398D01* +X248222210Y-131781328D01* +X248244483Y-131751296D01* +X248269592Y-131723592D01* +X248297296Y-131698483D01* +X248327328Y-131676210D01* +X248359398Y-131656988D01* +X248393198Y-131641002D01* +X248428402Y-131628406D01* +X248464671Y-131619321D01* +X248501655Y-131613835D01* +X248539000Y-131612000D01* +X249301000Y-131612000D01* +X249338345Y-131613835D01* +X249338345Y-131613835D01* +G37* +D16* +X248920000Y-135382000D03* +D10* +G36* +X246798345Y-131613835D02* +G01* +X246835329Y-131619321D01* +X246871598Y-131628406D01* +X246906802Y-131641002D01* +X246940602Y-131656988D01* +X246972672Y-131676210D01* +X247002704Y-131698483D01* +X247030408Y-131723592D01* +X247055517Y-131751296D01* +X247077790Y-131781328D01* +X247097012Y-131813398D01* +X247112998Y-131847198D01* +X247125594Y-131882402D01* +X247134679Y-131918671D01* +X247140165Y-131955655D01* +X247142000Y-131993000D01* +X247142000Y-138771000D01* +X247140165Y-138808345D01* +X247134679Y-138845329D01* +X247125594Y-138881598D01* +X247112998Y-138916802D01* +X247097012Y-138950602D01* +X247077790Y-138982672D01* +X247055517Y-139012704D01* +X247030408Y-139040408D01* +X247002704Y-139065517D01* +X246972672Y-139087790D01* +X246940602Y-139107012D01* +X246906802Y-139122998D01* +X246871598Y-139135594D01* +X246835329Y-139144679D01* +X246798345Y-139150165D01* +X246761000Y-139152000D01* +X245999000Y-139152000D01* +X245961655Y-139150165D01* +X245924671Y-139144679D01* +X245888402Y-139135594D01* +X245853198Y-139122998D01* +X245819398Y-139107012D01* +X245787328Y-139087790D01* +X245757296Y-139065517D01* +X245729592Y-139040408D01* +X245704483Y-139012704D01* +X245682210Y-138982672D01* +X245662988Y-138950602D01* +X245647002Y-138916802D01* +X245634406Y-138881598D01* +X245625321Y-138845329D01* +X245619835Y-138808345D01* +X245618000Y-138771000D01* +X245618000Y-131993000D01* +X245619835Y-131955655D01* +X245625321Y-131918671D01* +X245634406Y-131882402D01* +X245647002Y-131847198D01* +X245662988Y-131813398D01* +X245682210Y-131781328D01* +X245704483Y-131751296D01* +X245729592Y-131723592D01* +X245757296Y-131698483D01* +X245787328Y-131676210D01* +X245819398Y-131656988D01* +X245853198Y-131641002D01* +X245888402Y-131628406D01* +X245924671Y-131619321D01* +X245961655Y-131613835D01* +X245999000Y-131612000D01* +X246761000Y-131612000D01* +X246798345Y-131613835D01* +X246798345Y-131613835D01* +G37* +D16* +X246380000Y-135382000D03* +D10* +G36* +X244258345Y-131613835D02* +G01* +X244295329Y-131619321D01* +X244331598Y-131628406D01* +X244366802Y-131641002D01* +X244400602Y-131656988D01* +X244432672Y-131676210D01* +X244462704Y-131698483D01* +X244490408Y-131723592D01* +X244515517Y-131751296D01* +X244537790Y-131781328D01* +X244557012Y-131813398D01* +X244572998Y-131847198D01* +X244585594Y-131882402D01* +X244594679Y-131918671D01* +X244600165Y-131955655D01* +X244602000Y-131993000D01* +X244602000Y-138771000D01* +X244600165Y-138808345D01* +X244594679Y-138845329D01* +X244585594Y-138881598D01* +X244572998Y-138916802D01* +X244557012Y-138950602D01* +X244537790Y-138982672D01* +X244515517Y-139012704D01* +X244490408Y-139040408D01* +X244462704Y-139065517D01* +X244432672Y-139087790D01* +X244400602Y-139107012D01* +X244366802Y-139122998D01* +X244331598Y-139135594D01* +X244295329Y-139144679D01* +X244258345Y-139150165D01* +X244221000Y-139152000D01* +X243459000Y-139152000D01* +X243421655Y-139150165D01* +X243384671Y-139144679D01* +X243348402Y-139135594D01* +X243313198Y-139122998D01* +X243279398Y-139107012D01* +X243247328Y-139087790D01* +X243217296Y-139065517D01* +X243189592Y-139040408D01* +X243164483Y-139012704D01* +X243142210Y-138982672D01* +X243122988Y-138950602D01* +X243107002Y-138916802D01* +X243094406Y-138881598D01* +X243085321Y-138845329D01* +X243079835Y-138808345D01* +X243078000Y-138771000D01* +X243078000Y-131993000D01* +X243079835Y-131955655D01* +X243085321Y-131918671D01* +X243094406Y-131882402D01* +X243107002Y-131847198D01* +X243122988Y-131813398D01* +X243142210Y-131781328D01* +X243164483Y-131751296D01* +X243189592Y-131723592D01* +X243217296Y-131698483D01* +X243247328Y-131676210D01* +X243279398Y-131656988D01* +X243313198Y-131641002D01* +X243348402Y-131628406D01* +X243384671Y-131619321D01* +X243421655Y-131613835D01* +X243459000Y-131612000D01* +X244221000Y-131612000D01* +X244258345Y-131613835D01* +X244258345Y-131613835D01* +G37* +D16* +X243840000Y-135382000D03* +D10* +G36* +X241718345Y-131613835D02* +G01* +X241755329Y-131619321D01* +X241791598Y-131628406D01* +X241826802Y-131641002D01* +X241860602Y-131656988D01* +X241892672Y-131676210D01* +X241922704Y-131698483D01* +X241950408Y-131723592D01* +X241975517Y-131751296D01* +X241997790Y-131781328D01* +X242017012Y-131813398D01* +X242032998Y-131847198D01* +X242045594Y-131882402D01* +X242054679Y-131918671D01* +X242060165Y-131955655D01* +X242062000Y-131993000D01* +X242062000Y-138771000D01* +X242060165Y-138808345D01* +X242054679Y-138845329D01* +X242045594Y-138881598D01* +X242032998Y-138916802D01* +X242017012Y-138950602D01* +X241997790Y-138982672D01* +X241975517Y-139012704D01* +X241950408Y-139040408D01* +X241922704Y-139065517D01* +X241892672Y-139087790D01* +X241860602Y-139107012D01* +X241826802Y-139122998D01* +X241791598Y-139135594D01* +X241755329Y-139144679D01* +X241718345Y-139150165D01* +X241681000Y-139152000D01* +X240919000Y-139152000D01* +X240881655Y-139150165D01* +X240844671Y-139144679D01* +X240808402Y-139135594D01* +X240773198Y-139122998D01* +X240739398Y-139107012D01* +X240707328Y-139087790D01* +X240677296Y-139065517D01* +X240649592Y-139040408D01* +X240624483Y-139012704D01* +X240602210Y-138982672D01* +X240582988Y-138950602D01* +X240567002Y-138916802D01* +X240554406Y-138881598D01* +X240545321Y-138845329D01* +X240539835Y-138808345D01* +X240538000Y-138771000D01* +X240538000Y-131993000D01* +X240539835Y-131955655D01* +X240545321Y-131918671D01* +X240554406Y-131882402D01* +X240567002Y-131847198D01* +X240582988Y-131813398D01* +X240602210Y-131781328D01* +X240624483Y-131751296D01* +X240649592Y-131723592D01* +X240677296Y-131698483D01* +X240707328Y-131676210D01* +X240739398Y-131656988D01* +X240773198Y-131641002D01* +X240808402Y-131628406D01* +X240844671Y-131619321D01* +X240881655Y-131613835D01* +X240919000Y-131612000D01* +X241681000Y-131612000D01* +X241718345Y-131613835D01* +X241718345Y-131613835D01* +G37* +D16* +X241300000Y-135382000D03* +D10* +G36* +X239178345Y-131613835D02* +G01* +X239215329Y-131619321D01* +X239251598Y-131628406D01* +X239286802Y-131641002D01* +X239320602Y-131656988D01* +X239352672Y-131676210D01* +X239382704Y-131698483D01* +X239410408Y-131723592D01* +X239435517Y-131751296D01* +X239457790Y-131781328D01* +X239477012Y-131813398D01* +X239492998Y-131847198D01* +X239505594Y-131882402D01* +X239514679Y-131918671D01* +X239520165Y-131955655D01* +X239522000Y-131993000D01* +X239522000Y-138771000D01* +X239520165Y-138808345D01* +X239514679Y-138845329D01* +X239505594Y-138881598D01* +X239492998Y-138916802D01* +X239477012Y-138950602D01* +X239457790Y-138982672D01* +X239435517Y-139012704D01* +X239410408Y-139040408D01* +X239382704Y-139065517D01* +X239352672Y-139087790D01* +X239320602Y-139107012D01* +X239286802Y-139122998D01* +X239251598Y-139135594D01* +X239215329Y-139144679D01* +X239178345Y-139150165D01* +X239141000Y-139152000D01* +X238379000Y-139152000D01* +X238341655Y-139150165D01* +X238304671Y-139144679D01* +X238268402Y-139135594D01* +X238233198Y-139122998D01* +X238199398Y-139107012D01* +X238167328Y-139087790D01* +X238137296Y-139065517D01* +X238109592Y-139040408D01* +X238084483Y-139012704D01* +X238062210Y-138982672D01* +X238042988Y-138950602D01* +X238027002Y-138916802D01* +X238014406Y-138881598D01* +X238005321Y-138845329D01* +X237999835Y-138808345D01* +X237998000Y-138771000D01* +X237998000Y-131993000D01* +X237999835Y-131955655D01* +X238005321Y-131918671D01* +X238014406Y-131882402D01* +X238027002Y-131847198D01* +X238042988Y-131813398D01* +X238062210Y-131781328D01* +X238084483Y-131751296D01* +X238109592Y-131723592D01* +X238137296Y-131698483D01* +X238167328Y-131676210D01* +X238199398Y-131656988D01* +X238233198Y-131641002D01* +X238268402Y-131628406D01* +X238304671Y-131619321D01* +X238341655Y-131613835D01* +X238379000Y-131612000D01* +X239141000Y-131612000D01* +X239178345Y-131613835D01* +X239178345Y-131613835D01* +G37* +D16* +X238760000Y-135382000D03* +D10* +G36* +X236638345Y-131613835D02* +G01* +X236675329Y-131619321D01* +X236711598Y-131628406D01* +X236746802Y-131641002D01* +X236780602Y-131656988D01* +X236812672Y-131676210D01* +X236842704Y-131698483D01* +X236870408Y-131723592D01* +X236895517Y-131751296D01* +X236917790Y-131781328D01* +X236937012Y-131813398D01* +X236952998Y-131847198D01* +X236965594Y-131882402D01* +X236974679Y-131918671D01* +X236980165Y-131955655D01* +X236982000Y-131993000D01* +X236982000Y-138771000D01* +X236980165Y-138808345D01* +X236974679Y-138845329D01* +X236965594Y-138881598D01* +X236952998Y-138916802D01* +X236937012Y-138950602D01* +X236917790Y-138982672D01* +X236895517Y-139012704D01* +X236870408Y-139040408D01* +X236842704Y-139065517D01* +X236812672Y-139087790D01* +X236780602Y-139107012D01* +X236746802Y-139122998D01* +X236711598Y-139135594D01* +X236675329Y-139144679D01* +X236638345Y-139150165D01* +X236601000Y-139152000D01* +X235839000Y-139152000D01* +X235801655Y-139150165D01* +X235764671Y-139144679D01* +X235728402Y-139135594D01* +X235693198Y-139122998D01* +X235659398Y-139107012D01* +X235627328Y-139087790D01* +X235597296Y-139065517D01* +X235569592Y-139040408D01* +X235544483Y-139012704D01* +X235522210Y-138982672D01* +X235502988Y-138950602D01* +X235487002Y-138916802D01* +X235474406Y-138881598D01* +X235465321Y-138845329D01* +X235459835Y-138808345D01* +X235458000Y-138771000D01* +X235458000Y-131993000D01* +X235459835Y-131955655D01* +X235465321Y-131918671D01* +X235474406Y-131882402D01* +X235487002Y-131847198D01* +X235502988Y-131813398D01* +X235522210Y-131781328D01* +X235544483Y-131751296D01* +X235569592Y-131723592D01* +X235597296Y-131698483D01* +X235627328Y-131676210D01* +X235659398Y-131656988D01* +X235693198Y-131641002D01* +X235728402Y-131628406D01* +X235764671Y-131619321D01* +X235801655Y-131613835D01* +X235839000Y-131612000D01* +X236601000Y-131612000D01* +X236638345Y-131613835D01* +X236638345Y-131613835D01* +G37* +D16* +X236220000Y-135382000D03* +D10* +G36* +X234098345Y-131613835D02* +G01* +X234135329Y-131619321D01* +X234171598Y-131628406D01* +X234206802Y-131641002D01* +X234240602Y-131656988D01* +X234272672Y-131676210D01* +X234302704Y-131698483D01* +X234330408Y-131723592D01* +X234355517Y-131751296D01* +X234377790Y-131781328D01* +X234397012Y-131813398D01* +X234412998Y-131847198D01* +X234425594Y-131882402D01* +X234434679Y-131918671D01* +X234440165Y-131955655D01* +X234442000Y-131993000D01* +X234442000Y-138771000D01* +X234440165Y-138808345D01* +X234434679Y-138845329D01* +X234425594Y-138881598D01* +X234412998Y-138916802D01* +X234397012Y-138950602D01* +X234377790Y-138982672D01* +X234355517Y-139012704D01* +X234330408Y-139040408D01* +X234302704Y-139065517D01* +X234272672Y-139087790D01* +X234240602Y-139107012D01* +X234206802Y-139122998D01* +X234171598Y-139135594D01* +X234135329Y-139144679D01* +X234098345Y-139150165D01* +X234061000Y-139152000D01* +X233299000Y-139152000D01* +X233261655Y-139150165D01* +X233224671Y-139144679D01* +X233188402Y-139135594D01* +X233153198Y-139122998D01* +X233119398Y-139107012D01* +X233087328Y-139087790D01* +X233057296Y-139065517D01* +X233029592Y-139040408D01* +X233004483Y-139012704D01* +X232982210Y-138982672D01* +X232962988Y-138950602D01* +X232947002Y-138916802D01* +X232934406Y-138881598D01* +X232925321Y-138845329D01* +X232919835Y-138808345D01* +X232918000Y-138771000D01* +X232918000Y-131993000D01* +X232919835Y-131955655D01* +X232925321Y-131918671D01* +X232934406Y-131882402D01* +X232947002Y-131847198D01* +X232962988Y-131813398D01* +X232982210Y-131781328D01* +X233004483Y-131751296D01* +X233029592Y-131723592D01* +X233057296Y-131698483D01* +X233087328Y-131676210D01* +X233119398Y-131656988D01* +X233153198Y-131641002D01* +X233188402Y-131628406D01* +X233224671Y-131619321D01* +X233261655Y-131613835D01* +X233299000Y-131612000D01* +X234061000Y-131612000D01* +X234098345Y-131613835D01* +X234098345Y-131613835D01* +G37* +D16* +X233680000Y-135382000D03* +D10* +G36* +X231558345Y-131613835D02* +G01* +X231595329Y-131619321D01* +X231631598Y-131628406D01* +X231666802Y-131641002D01* +X231700602Y-131656988D01* +X231732672Y-131676210D01* +X231762704Y-131698483D01* +X231790408Y-131723592D01* +X231815517Y-131751296D01* +X231837790Y-131781328D01* +X231857012Y-131813398D01* +X231872998Y-131847198D01* +X231885594Y-131882402D01* +X231894679Y-131918671D01* +X231900165Y-131955655D01* +X231902000Y-131993000D01* +X231902000Y-138771000D01* +X231900165Y-138808345D01* +X231894679Y-138845329D01* +X231885594Y-138881598D01* +X231872998Y-138916802D01* +X231857012Y-138950602D01* +X231837790Y-138982672D01* +X231815517Y-139012704D01* +X231790408Y-139040408D01* +X231762704Y-139065517D01* +X231732672Y-139087790D01* +X231700602Y-139107012D01* +X231666802Y-139122998D01* +X231631598Y-139135594D01* +X231595329Y-139144679D01* +X231558345Y-139150165D01* +X231521000Y-139152000D01* +X230759000Y-139152000D01* +X230721655Y-139150165D01* +X230684671Y-139144679D01* +X230648402Y-139135594D01* +X230613198Y-139122998D01* +X230579398Y-139107012D01* +X230547328Y-139087790D01* +X230517296Y-139065517D01* +X230489592Y-139040408D01* +X230464483Y-139012704D01* +X230442210Y-138982672D01* +X230422988Y-138950602D01* +X230407002Y-138916802D01* +X230394406Y-138881598D01* +X230385321Y-138845329D01* +X230379835Y-138808345D01* +X230378000Y-138771000D01* +X230378000Y-131993000D01* +X230379835Y-131955655D01* +X230385321Y-131918671D01* +X230394406Y-131882402D01* +X230407002Y-131847198D01* +X230422988Y-131813398D01* +X230442210Y-131781328D01* +X230464483Y-131751296D01* +X230489592Y-131723592D01* +X230517296Y-131698483D01* +X230547328Y-131676210D01* +X230579398Y-131656988D01* +X230613198Y-131641002D01* +X230648402Y-131628406D01* +X230684671Y-131619321D01* +X230721655Y-131613835D01* +X230759000Y-131612000D01* +X231521000Y-131612000D01* +X231558345Y-131613835D01* +X231558345Y-131613835D01* +G37* +D16* +X231140000Y-135382000D03* +D10* +G36* +X229018345Y-131613835D02* +G01* +X229055329Y-131619321D01* +X229091598Y-131628406D01* +X229126802Y-131641002D01* +X229160602Y-131656988D01* +X229192672Y-131676210D01* +X229222704Y-131698483D01* +X229250408Y-131723592D01* +X229275517Y-131751296D01* +X229297790Y-131781328D01* +X229317012Y-131813398D01* +X229332998Y-131847198D01* +X229345594Y-131882402D01* +X229354679Y-131918671D01* +X229360165Y-131955655D01* +X229362000Y-131993000D01* +X229362000Y-138771000D01* +X229360165Y-138808345D01* +X229354679Y-138845329D01* +X229345594Y-138881598D01* +X229332998Y-138916802D01* +X229317012Y-138950602D01* +X229297790Y-138982672D01* +X229275517Y-139012704D01* +X229250408Y-139040408D01* +X229222704Y-139065517D01* +X229192672Y-139087790D01* +X229160602Y-139107012D01* +X229126802Y-139122998D01* +X229091598Y-139135594D01* +X229055329Y-139144679D01* +X229018345Y-139150165D01* +X228981000Y-139152000D01* +X228219000Y-139152000D01* +X228181655Y-139150165D01* +X228144671Y-139144679D01* +X228108402Y-139135594D01* +X228073198Y-139122998D01* +X228039398Y-139107012D01* +X228007328Y-139087790D01* +X227977296Y-139065517D01* +X227949592Y-139040408D01* +X227924483Y-139012704D01* +X227902210Y-138982672D01* +X227882988Y-138950602D01* +X227867002Y-138916802D01* +X227854406Y-138881598D01* +X227845321Y-138845329D01* +X227839835Y-138808345D01* +X227838000Y-138771000D01* +X227838000Y-131993000D01* +X227839835Y-131955655D01* +X227845321Y-131918671D01* +X227854406Y-131882402D01* +X227867002Y-131847198D01* +X227882988Y-131813398D01* +X227902210Y-131781328D01* +X227924483Y-131751296D01* +X227949592Y-131723592D01* +X227977296Y-131698483D01* +X228007328Y-131676210D01* +X228039398Y-131656988D01* +X228073198Y-131641002D01* +X228108402Y-131628406D01* +X228144671Y-131619321D01* +X228181655Y-131613835D01* +X228219000Y-131612000D01* +X228981000Y-131612000D01* +X229018345Y-131613835D01* +X229018345Y-131613835D01* +G37* +D16* +X228600000Y-135382000D03* +D10* +G36* +X226478345Y-131613835D02* +G01* +X226515329Y-131619321D01* +X226551598Y-131628406D01* +X226586802Y-131641002D01* +X226620602Y-131656988D01* +X226652672Y-131676210D01* +X226682704Y-131698483D01* +X226710408Y-131723592D01* +X226735517Y-131751296D01* +X226757790Y-131781328D01* +X226777012Y-131813398D01* +X226792998Y-131847198D01* +X226805594Y-131882402D01* +X226814679Y-131918671D01* +X226820165Y-131955655D01* +X226822000Y-131993000D01* +X226822000Y-138771000D01* +X226820165Y-138808345D01* +X226814679Y-138845329D01* +X226805594Y-138881598D01* +X226792998Y-138916802D01* +X226777012Y-138950602D01* +X226757790Y-138982672D01* +X226735517Y-139012704D01* +X226710408Y-139040408D01* +X226682704Y-139065517D01* +X226652672Y-139087790D01* +X226620602Y-139107012D01* +X226586802Y-139122998D01* +X226551598Y-139135594D01* +X226515329Y-139144679D01* +X226478345Y-139150165D01* +X226441000Y-139152000D01* +X225679000Y-139152000D01* +X225641655Y-139150165D01* +X225604671Y-139144679D01* +X225568402Y-139135594D01* +X225533198Y-139122998D01* +X225499398Y-139107012D01* +X225467328Y-139087790D01* +X225437296Y-139065517D01* +X225409592Y-139040408D01* +X225384483Y-139012704D01* +X225362210Y-138982672D01* +X225342988Y-138950602D01* +X225327002Y-138916802D01* +X225314406Y-138881598D01* +X225305321Y-138845329D01* +X225299835Y-138808345D01* +X225298000Y-138771000D01* +X225298000Y-131993000D01* +X225299835Y-131955655D01* +X225305321Y-131918671D01* +X225314406Y-131882402D01* +X225327002Y-131847198D01* +X225342988Y-131813398D01* +X225362210Y-131781328D01* +X225384483Y-131751296D01* +X225409592Y-131723592D01* +X225437296Y-131698483D01* +X225467328Y-131676210D01* +X225499398Y-131656988D01* +X225533198Y-131641002D01* +X225568402Y-131628406D01* +X225604671Y-131619321D01* +X225641655Y-131613835D01* +X225679000Y-131612000D01* +X226441000Y-131612000D01* +X226478345Y-131613835D01* +X226478345Y-131613835D01* +G37* +D16* +X226060000Y-135382000D03* +D10* +G36* +X223938345Y-131613835D02* +G01* +X223975329Y-131619321D01* +X224011598Y-131628406D01* +X224046802Y-131641002D01* +X224080602Y-131656988D01* +X224112672Y-131676210D01* +X224142704Y-131698483D01* +X224170408Y-131723592D01* +X224195517Y-131751296D01* +X224217790Y-131781328D01* +X224237012Y-131813398D01* +X224252998Y-131847198D01* +X224265594Y-131882402D01* +X224274679Y-131918671D01* +X224280165Y-131955655D01* +X224282000Y-131993000D01* +X224282000Y-138771000D01* +X224280165Y-138808345D01* +X224274679Y-138845329D01* +X224265594Y-138881598D01* +X224252998Y-138916802D01* +X224237012Y-138950602D01* +X224217790Y-138982672D01* +X224195517Y-139012704D01* +X224170408Y-139040408D01* +X224142704Y-139065517D01* +X224112672Y-139087790D01* +X224080602Y-139107012D01* +X224046802Y-139122998D01* +X224011598Y-139135594D01* +X223975329Y-139144679D01* +X223938345Y-139150165D01* +X223901000Y-139152000D01* +X223139000Y-139152000D01* +X223101655Y-139150165D01* +X223064671Y-139144679D01* +X223028402Y-139135594D01* +X222993198Y-139122998D01* +X222959398Y-139107012D01* +X222927328Y-139087790D01* +X222897296Y-139065517D01* +X222869592Y-139040408D01* +X222844483Y-139012704D01* +X222822210Y-138982672D01* +X222802988Y-138950602D01* +X222787002Y-138916802D01* +X222774406Y-138881598D01* +X222765321Y-138845329D01* +X222759835Y-138808345D01* +X222758000Y-138771000D01* +X222758000Y-131993000D01* +X222759835Y-131955655D01* +X222765321Y-131918671D01* +X222774406Y-131882402D01* +X222787002Y-131847198D01* +X222802988Y-131813398D01* +X222822210Y-131781328D01* +X222844483Y-131751296D01* +X222869592Y-131723592D01* +X222897296Y-131698483D01* +X222927328Y-131676210D01* +X222959398Y-131656988D01* +X222993198Y-131641002D01* +X223028402Y-131628406D01* +X223064671Y-131619321D01* +X223101655Y-131613835D01* +X223139000Y-131612000D01* +X223901000Y-131612000D01* +X223938345Y-131613835D01* +X223938345Y-131613835D01* +G37* +D16* +X223520000Y-135382000D03* +D10* +G36* +X221398345Y-131613835D02* +G01* +X221435329Y-131619321D01* +X221471598Y-131628406D01* +X221506802Y-131641002D01* +X221540602Y-131656988D01* +X221572672Y-131676210D01* +X221602704Y-131698483D01* +X221630408Y-131723592D01* +X221655517Y-131751296D01* +X221677790Y-131781328D01* +X221697012Y-131813398D01* +X221712998Y-131847198D01* +X221725594Y-131882402D01* +X221734679Y-131918671D01* +X221740165Y-131955655D01* +X221742000Y-131993000D01* +X221742000Y-138771000D01* +X221740165Y-138808345D01* +X221734679Y-138845329D01* +X221725594Y-138881598D01* +X221712998Y-138916802D01* +X221697012Y-138950602D01* +X221677790Y-138982672D01* +X221655517Y-139012704D01* +X221630408Y-139040408D01* +X221602704Y-139065517D01* +X221572672Y-139087790D01* +X221540602Y-139107012D01* +X221506802Y-139122998D01* +X221471598Y-139135594D01* +X221435329Y-139144679D01* +X221398345Y-139150165D01* +X221361000Y-139152000D01* +X220599000Y-139152000D01* +X220561655Y-139150165D01* +X220524671Y-139144679D01* +X220488402Y-139135594D01* +X220453198Y-139122998D01* +X220419398Y-139107012D01* +X220387328Y-139087790D01* +X220357296Y-139065517D01* +X220329592Y-139040408D01* +X220304483Y-139012704D01* +X220282210Y-138982672D01* +X220262988Y-138950602D01* +X220247002Y-138916802D01* +X220234406Y-138881598D01* +X220225321Y-138845329D01* +X220219835Y-138808345D01* +X220218000Y-138771000D01* +X220218000Y-131993000D01* +X220219835Y-131955655D01* +X220225321Y-131918671D01* +X220234406Y-131882402D01* +X220247002Y-131847198D01* +X220262988Y-131813398D01* +X220282210Y-131781328D01* +X220304483Y-131751296D01* +X220329592Y-131723592D01* +X220357296Y-131698483D01* +X220387328Y-131676210D01* +X220419398Y-131656988D01* +X220453198Y-131641002D01* +X220488402Y-131628406D01* +X220524671Y-131619321D01* +X220561655Y-131613835D01* +X220599000Y-131612000D01* +X221361000Y-131612000D01* +X221398345Y-131613835D01* +X221398345Y-131613835D01* +G37* +D16* +X220980000Y-135382000D03* +D10* +G36* +X218858345Y-131613835D02* +G01* +X218895329Y-131619321D01* +X218931598Y-131628406D01* +X218966802Y-131641002D01* +X219000602Y-131656988D01* +X219032672Y-131676210D01* +X219062704Y-131698483D01* +X219090408Y-131723592D01* +X219115517Y-131751296D01* +X219137790Y-131781328D01* +X219157012Y-131813398D01* +X219172998Y-131847198D01* +X219185594Y-131882402D01* +X219194679Y-131918671D01* +X219200165Y-131955655D01* +X219202000Y-131993000D01* +X219202000Y-138771000D01* +X219200165Y-138808345D01* +X219194679Y-138845329D01* +X219185594Y-138881598D01* +X219172998Y-138916802D01* +X219157012Y-138950602D01* +X219137790Y-138982672D01* +X219115517Y-139012704D01* +X219090408Y-139040408D01* +X219062704Y-139065517D01* +X219032672Y-139087790D01* +X219000602Y-139107012D01* +X218966802Y-139122998D01* +X218931598Y-139135594D01* +X218895329Y-139144679D01* +X218858345Y-139150165D01* +X218821000Y-139152000D01* +X218059000Y-139152000D01* +X218021655Y-139150165D01* +X217984671Y-139144679D01* +X217948402Y-139135594D01* +X217913198Y-139122998D01* +X217879398Y-139107012D01* +X217847328Y-139087790D01* +X217817296Y-139065517D01* +X217789592Y-139040408D01* +X217764483Y-139012704D01* +X217742210Y-138982672D01* +X217722988Y-138950602D01* +X217707002Y-138916802D01* +X217694406Y-138881598D01* +X217685321Y-138845329D01* +X217679835Y-138808345D01* +X217678000Y-138771000D01* +X217678000Y-131993000D01* +X217679835Y-131955655D01* +X217685321Y-131918671D01* +X217694406Y-131882402D01* +X217707002Y-131847198D01* +X217722988Y-131813398D01* +X217742210Y-131781328D01* +X217764483Y-131751296D01* +X217789592Y-131723592D01* +X217817296Y-131698483D01* +X217847328Y-131676210D01* +X217879398Y-131656988D01* +X217913198Y-131641002D01* +X217948402Y-131628406D01* +X217984671Y-131619321D01* +X218021655Y-131613835D01* +X218059000Y-131612000D01* +X218821000Y-131612000D01* +X218858345Y-131613835D01* +X218858345Y-131613835D01* +G37* +D16* +X218440000Y-135382000D03* +D10* +G36* +X216318345Y-131613835D02* +G01* +X216355329Y-131619321D01* +X216391598Y-131628406D01* +X216426802Y-131641002D01* +X216460602Y-131656988D01* +X216492672Y-131676210D01* +X216522704Y-131698483D01* +X216550408Y-131723592D01* +X216575517Y-131751296D01* +X216597790Y-131781328D01* +X216617012Y-131813398D01* +X216632998Y-131847198D01* +X216645594Y-131882402D01* +X216654679Y-131918671D01* +X216660165Y-131955655D01* +X216662000Y-131993000D01* +X216662000Y-138771000D01* +X216660165Y-138808345D01* +X216654679Y-138845329D01* +X216645594Y-138881598D01* +X216632998Y-138916802D01* +X216617012Y-138950602D01* +X216597790Y-138982672D01* +X216575517Y-139012704D01* +X216550408Y-139040408D01* +X216522704Y-139065517D01* +X216492672Y-139087790D01* +X216460602Y-139107012D01* +X216426802Y-139122998D01* +X216391598Y-139135594D01* +X216355329Y-139144679D01* +X216318345Y-139150165D01* +X216281000Y-139152000D01* +X215519000Y-139152000D01* +X215481655Y-139150165D01* +X215444671Y-139144679D01* +X215408402Y-139135594D01* +X215373198Y-139122998D01* +X215339398Y-139107012D01* +X215307328Y-139087790D01* +X215277296Y-139065517D01* +X215249592Y-139040408D01* +X215224483Y-139012704D01* +X215202210Y-138982672D01* +X215182988Y-138950602D01* +X215167002Y-138916802D01* +X215154406Y-138881598D01* +X215145321Y-138845329D01* +X215139835Y-138808345D01* +X215138000Y-138771000D01* +X215138000Y-131993000D01* +X215139835Y-131955655D01* +X215145321Y-131918671D01* +X215154406Y-131882402D01* +X215167002Y-131847198D01* +X215182988Y-131813398D01* +X215202210Y-131781328D01* +X215224483Y-131751296D01* +X215249592Y-131723592D01* +X215277296Y-131698483D01* +X215307328Y-131676210D01* +X215339398Y-131656988D01* +X215373198Y-131641002D01* +X215408402Y-131628406D01* +X215444671Y-131619321D01* +X215481655Y-131613835D01* +X215519000Y-131612000D01* +X216281000Y-131612000D01* +X216318345Y-131613835D01* +X216318345Y-131613835D01* +G37* +D16* +X215900000Y-135382000D03* +D10* +G36* +X213778345Y-131613835D02* +G01* +X213815329Y-131619321D01* +X213851598Y-131628406D01* +X213886802Y-131641002D01* +X213920602Y-131656988D01* +X213952672Y-131676210D01* +X213982704Y-131698483D01* +X214010408Y-131723592D01* +X214035517Y-131751296D01* +X214057790Y-131781328D01* +X214077012Y-131813398D01* +X214092998Y-131847198D01* +X214105594Y-131882402D01* +X214114679Y-131918671D01* +X214120165Y-131955655D01* +X214122000Y-131993000D01* +X214122000Y-138771000D01* +X214120165Y-138808345D01* +X214114679Y-138845329D01* +X214105594Y-138881598D01* +X214092998Y-138916802D01* +X214077012Y-138950602D01* +X214057790Y-138982672D01* +X214035517Y-139012704D01* +X214010408Y-139040408D01* +X213982704Y-139065517D01* +X213952672Y-139087790D01* +X213920602Y-139107012D01* +X213886802Y-139122998D01* +X213851598Y-139135594D01* +X213815329Y-139144679D01* +X213778345Y-139150165D01* +X213741000Y-139152000D01* +X212979000Y-139152000D01* +X212941655Y-139150165D01* +X212904671Y-139144679D01* +X212868402Y-139135594D01* +X212833198Y-139122998D01* +X212799398Y-139107012D01* +X212767328Y-139087790D01* +X212737296Y-139065517D01* +X212709592Y-139040408D01* +X212684483Y-139012704D01* +X212662210Y-138982672D01* +X212642988Y-138950602D01* +X212627002Y-138916802D01* +X212614406Y-138881598D01* +X212605321Y-138845329D01* +X212599835Y-138808345D01* +X212598000Y-138771000D01* +X212598000Y-131993000D01* +X212599835Y-131955655D01* +X212605321Y-131918671D01* +X212614406Y-131882402D01* +X212627002Y-131847198D01* +X212642988Y-131813398D01* +X212662210Y-131781328D01* +X212684483Y-131751296D01* +X212709592Y-131723592D01* +X212737296Y-131698483D01* +X212767328Y-131676210D01* +X212799398Y-131656988D01* +X212833198Y-131641002D01* +X212868402Y-131628406D01* +X212904671Y-131619321D01* +X212941655Y-131613835D01* +X212979000Y-131612000D01* +X213741000Y-131612000D01* +X213778345Y-131613835D01* +X213778345Y-131613835D01* +G37* +D16* +X213360000Y-135382000D03* +D10* +G36* +X211238345Y-131613835D02* +G01* +X211275329Y-131619321D01* +X211311598Y-131628406D01* +X211346802Y-131641002D01* +X211380602Y-131656988D01* +X211412672Y-131676210D01* +X211442704Y-131698483D01* +X211470408Y-131723592D01* +X211495517Y-131751296D01* +X211517790Y-131781328D01* +X211537012Y-131813398D01* +X211552998Y-131847198D01* +X211565594Y-131882402D01* +X211574679Y-131918671D01* +X211580165Y-131955655D01* +X211582000Y-131993000D01* +X211582000Y-138771000D01* +X211580165Y-138808345D01* +X211574679Y-138845329D01* +X211565594Y-138881598D01* +X211552998Y-138916802D01* +X211537012Y-138950602D01* +X211517790Y-138982672D01* +X211495517Y-139012704D01* +X211470408Y-139040408D01* +X211442704Y-139065517D01* +X211412672Y-139087790D01* +X211380602Y-139107012D01* +X211346802Y-139122998D01* +X211311598Y-139135594D01* +X211275329Y-139144679D01* +X211238345Y-139150165D01* +X211201000Y-139152000D01* +X210439000Y-139152000D01* +X210401655Y-139150165D01* +X210364671Y-139144679D01* +X210328402Y-139135594D01* +X210293198Y-139122998D01* +X210259398Y-139107012D01* +X210227328Y-139087790D01* +X210197296Y-139065517D01* +X210169592Y-139040408D01* +X210144483Y-139012704D01* +X210122210Y-138982672D01* +X210102988Y-138950602D01* +X210087002Y-138916802D01* +X210074406Y-138881598D01* +X210065321Y-138845329D01* +X210059835Y-138808345D01* +X210058000Y-138771000D01* +X210058000Y-131993000D01* +X210059835Y-131955655D01* +X210065321Y-131918671D01* +X210074406Y-131882402D01* +X210087002Y-131847198D01* +X210102988Y-131813398D01* +X210122210Y-131781328D01* +X210144483Y-131751296D01* +X210169592Y-131723592D01* +X210197296Y-131698483D01* +X210227328Y-131676210D01* +X210259398Y-131656988D01* +X210293198Y-131641002D01* +X210328402Y-131628406D01* +X210364671Y-131619321D01* +X210401655Y-131613835D01* +X210439000Y-131612000D01* +X211201000Y-131612000D01* +X211238345Y-131613835D01* +X211238345Y-131613835D01* +G37* +D16* +X210820000Y-135382000D03* +D10* +G36* +X208698345Y-131613835D02* +G01* +X208735329Y-131619321D01* +X208771598Y-131628406D01* +X208806802Y-131641002D01* +X208840602Y-131656988D01* +X208872672Y-131676210D01* +X208902704Y-131698483D01* +X208930408Y-131723592D01* +X208955517Y-131751296D01* +X208977790Y-131781328D01* +X208997012Y-131813398D01* +X209012998Y-131847198D01* +X209025594Y-131882402D01* +X209034679Y-131918671D01* +X209040165Y-131955655D01* +X209042000Y-131993000D01* +X209042000Y-138771000D01* +X209040165Y-138808345D01* +X209034679Y-138845329D01* +X209025594Y-138881598D01* +X209012998Y-138916802D01* +X208997012Y-138950602D01* +X208977790Y-138982672D01* +X208955517Y-139012704D01* +X208930408Y-139040408D01* +X208902704Y-139065517D01* +X208872672Y-139087790D01* +X208840602Y-139107012D01* +X208806802Y-139122998D01* +X208771598Y-139135594D01* +X208735329Y-139144679D01* +X208698345Y-139150165D01* +X208661000Y-139152000D01* +X207899000Y-139152000D01* +X207861655Y-139150165D01* +X207824671Y-139144679D01* +X207788402Y-139135594D01* +X207753198Y-139122998D01* +X207719398Y-139107012D01* +X207687328Y-139087790D01* +X207657296Y-139065517D01* +X207629592Y-139040408D01* +X207604483Y-139012704D01* +X207582210Y-138982672D01* +X207562988Y-138950602D01* +X207547002Y-138916802D01* +X207534406Y-138881598D01* +X207525321Y-138845329D01* +X207519835Y-138808345D01* +X207518000Y-138771000D01* +X207518000Y-131993000D01* +X207519835Y-131955655D01* +X207525321Y-131918671D01* +X207534406Y-131882402D01* +X207547002Y-131847198D01* +X207562988Y-131813398D01* +X207582210Y-131781328D01* +X207604483Y-131751296D01* +X207629592Y-131723592D01* +X207657296Y-131698483D01* +X207687328Y-131676210D01* +X207719398Y-131656988D01* +X207753198Y-131641002D01* +X207788402Y-131628406D01* +X207824671Y-131619321D01* +X207861655Y-131613835D01* +X207899000Y-131612000D01* +X208661000Y-131612000D01* +X208698345Y-131613835D01* +X208698345Y-131613835D01* +G37* +D16* +X208280000Y-135382000D03* +D10* +G36* +X206158345Y-131613835D02* +G01* +X206195329Y-131619321D01* +X206231598Y-131628406D01* +X206266802Y-131641002D01* +X206300602Y-131656988D01* +X206332672Y-131676210D01* +X206362704Y-131698483D01* +X206390408Y-131723592D01* +X206415517Y-131751296D01* +X206437790Y-131781328D01* +X206457012Y-131813398D01* +X206472998Y-131847198D01* +X206485594Y-131882402D01* +X206494679Y-131918671D01* +X206500165Y-131955655D01* +X206502000Y-131993000D01* +X206502000Y-138771000D01* +X206500165Y-138808345D01* +X206494679Y-138845329D01* +X206485594Y-138881598D01* +X206472998Y-138916802D01* +X206457012Y-138950602D01* +X206437790Y-138982672D01* +X206415517Y-139012704D01* +X206390408Y-139040408D01* +X206362704Y-139065517D01* +X206332672Y-139087790D01* +X206300602Y-139107012D01* +X206266802Y-139122998D01* +X206231598Y-139135594D01* +X206195329Y-139144679D01* +X206158345Y-139150165D01* +X206121000Y-139152000D01* +X205359000Y-139152000D01* +X205321655Y-139150165D01* +X205284671Y-139144679D01* +X205248402Y-139135594D01* +X205213198Y-139122998D01* +X205179398Y-139107012D01* +X205147328Y-139087790D01* +X205117296Y-139065517D01* +X205089592Y-139040408D01* +X205064483Y-139012704D01* +X205042210Y-138982672D01* +X205022988Y-138950602D01* +X205007002Y-138916802D01* +X204994406Y-138881598D01* +X204985321Y-138845329D01* +X204979835Y-138808345D01* +X204978000Y-138771000D01* +X204978000Y-131993000D01* +X204979835Y-131955655D01* +X204985321Y-131918671D01* +X204994406Y-131882402D01* +X205007002Y-131847198D01* +X205022988Y-131813398D01* +X205042210Y-131781328D01* +X205064483Y-131751296D01* +X205089592Y-131723592D01* +X205117296Y-131698483D01* +X205147328Y-131676210D01* +X205179398Y-131656988D01* +X205213198Y-131641002D01* +X205248402Y-131628406D01* +X205284671Y-131619321D01* +X205321655Y-131613835D01* +X205359000Y-131612000D01* +X206121000Y-131612000D01* +X206158345Y-131613835D01* +X206158345Y-131613835D01* +G37* +D16* +X205740000Y-135382000D03* +D10* +G36* +X203618345Y-131613835D02* +G01* +X203655329Y-131619321D01* +X203691598Y-131628406D01* +X203726802Y-131641002D01* +X203760602Y-131656988D01* +X203792672Y-131676210D01* +X203822704Y-131698483D01* +X203850408Y-131723592D01* +X203875517Y-131751296D01* +X203897790Y-131781328D01* +X203917012Y-131813398D01* +X203932998Y-131847198D01* +X203945594Y-131882402D01* +X203954679Y-131918671D01* +X203960165Y-131955655D01* +X203962000Y-131993000D01* +X203962000Y-138771000D01* +X203960165Y-138808345D01* +X203954679Y-138845329D01* +X203945594Y-138881598D01* +X203932998Y-138916802D01* +X203917012Y-138950602D01* +X203897790Y-138982672D01* +X203875517Y-139012704D01* +X203850408Y-139040408D01* +X203822704Y-139065517D01* +X203792672Y-139087790D01* +X203760602Y-139107012D01* +X203726802Y-139122998D01* +X203691598Y-139135594D01* +X203655329Y-139144679D01* +X203618345Y-139150165D01* +X203581000Y-139152000D01* +X202819000Y-139152000D01* +X202781655Y-139150165D01* +X202744671Y-139144679D01* +X202708402Y-139135594D01* +X202673198Y-139122998D01* +X202639398Y-139107012D01* +X202607328Y-139087790D01* +X202577296Y-139065517D01* +X202549592Y-139040408D01* +X202524483Y-139012704D01* +X202502210Y-138982672D01* +X202482988Y-138950602D01* +X202467002Y-138916802D01* +X202454406Y-138881598D01* +X202445321Y-138845329D01* +X202439835Y-138808345D01* +X202438000Y-138771000D01* +X202438000Y-131993000D01* +X202439835Y-131955655D01* +X202445321Y-131918671D01* +X202454406Y-131882402D01* +X202467002Y-131847198D01* +X202482988Y-131813398D01* +X202502210Y-131781328D01* +X202524483Y-131751296D01* +X202549592Y-131723592D01* +X202577296Y-131698483D01* +X202607328Y-131676210D01* +X202639398Y-131656988D01* +X202673198Y-131641002D01* +X202708402Y-131628406D01* +X202744671Y-131619321D01* +X202781655Y-131613835D01* +X202819000Y-131612000D01* +X203581000Y-131612000D01* +X203618345Y-131613835D01* +X203618345Y-131613835D01* +G37* +D16* +X203200000Y-135382000D03* +D10* +G36* +X201078345Y-131613835D02* +G01* +X201115329Y-131619321D01* +X201151598Y-131628406D01* +X201186802Y-131641002D01* +X201220602Y-131656988D01* +X201252672Y-131676210D01* +X201282704Y-131698483D01* +X201310408Y-131723592D01* +X201335517Y-131751296D01* +X201357790Y-131781328D01* +X201377012Y-131813398D01* +X201392998Y-131847198D01* +X201405594Y-131882402D01* +X201414679Y-131918671D01* +X201420165Y-131955655D01* +X201422000Y-131993000D01* +X201422000Y-138771000D01* +X201420165Y-138808345D01* +X201414679Y-138845329D01* +X201405594Y-138881598D01* +X201392998Y-138916802D01* +X201377012Y-138950602D01* +X201357790Y-138982672D01* +X201335517Y-139012704D01* +X201310408Y-139040408D01* +X201282704Y-139065517D01* +X201252672Y-139087790D01* +X201220602Y-139107012D01* +X201186802Y-139122998D01* +X201151598Y-139135594D01* +X201115329Y-139144679D01* +X201078345Y-139150165D01* +X201041000Y-139152000D01* +X200279000Y-139152000D01* +X200241655Y-139150165D01* +X200204671Y-139144679D01* +X200168402Y-139135594D01* +X200133198Y-139122998D01* +X200099398Y-139107012D01* +X200067328Y-139087790D01* +X200037296Y-139065517D01* +X200009592Y-139040408D01* +X199984483Y-139012704D01* +X199962210Y-138982672D01* +X199942988Y-138950602D01* +X199927002Y-138916802D01* +X199914406Y-138881598D01* +X199905321Y-138845329D01* +X199899835Y-138808345D01* +X199898000Y-138771000D01* +X199898000Y-131993000D01* +X199899835Y-131955655D01* +X199905321Y-131918671D01* +X199914406Y-131882402D01* +X199927002Y-131847198D01* +X199942988Y-131813398D01* +X199962210Y-131781328D01* +X199984483Y-131751296D01* +X200009592Y-131723592D01* +X200037296Y-131698483D01* +X200067328Y-131676210D01* +X200099398Y-131656988D01* +X200133198Y-131641002D01* +X200168402Y-131628406D01* +X200204671Y-131619321D01* +X200241655Y-131613835D01* +X200279000Y-131612000D01* +X201041000Y-131612000D01* +X201078345Y-131613835D01* +X201078345Y-131613835D01* +G37* +D16* +X200660000Y-135382000D03* +D10* +G36* +X214410229Y-116776264D02* +G01* +X214435711Y-116780044D01* +X214460700Y-116786303D01* +X214484954Y-116794982D01* +X214508242Y-116805996D01* +X214530337Y-116819239D01* +X214551028Y-116834585D01* +X214570116Y-116851884D01* +X214587415Y-116870972D01* +X214602761Y-116891663D01* +X214616004Y-116913758D01* +X214627018Y-116937046D01* +X214635697Y-116961300D01* +X214641956Y-116986289D01* +X214645736Y-117011771D01* +X214647000Y-117037500D01* +X214647000Y-117912500D01* +X214645736Y-117938229D01* +X214641956Y-117963711D01* +X214635697Y-117988700D01* +X214627018Y-118012954D01* +X214616004Y-118036242D01* +X214602761Y-118058337D01* +X214587415Y-118079028D01* +X214570116Y-118098116D01* +X214551028Y-118115415D01* +X214530337Y-118130761D01* +X214508242Y-118144004D01* +X214484954Y-118155018D01* +X214460700Y-118163697D01* +X214435711Y-118169956D01* +X214410229Y-118173736D01* +X214384500Y-118175000D01* +X213859500Y-118175000D01* +X213833771Y-118173736D01* +X213808289Y-118169956D01* +X213783300Y-118163697D01* +X213759046Y-118155018D01* +X213735758Y-118144004D01* +X213713663Y-118130761D01* +X213692972Y-118115415D01* +X213673884Y-118098116D01* +X213656585Y-118079028D01* +X213641239Y-118058337D01* +X213627996Y-118036242D01* +X213616982Y-118012954D01* +X213608303Y-117988700D01* +X213602044Y-117963711D01* +X213598264Y-117938229D01* +X213597000Y-117912500D01* +X213597000Y-117037500D01* +X213598264Y-117011771D01* +X213602044Y-116986289D01* +X213608303Y-116961300D01* +X213616982Y-116937046D01* +X213627996Y-116913758D01* +X213641239Y-116891663D01* +X213656585Y-116870972D01* +X213673884Y-116851884D01* +X213692972Y-116834585D01* +X213713663Y-116819239D01* +X213735758Y-116805996D01* +X213759046Y-116794982D01* +X213783300Y-116786303D01* +X213808289Y-116780044D01* +X213833771Y-116776264D01* +X213859500Y-116775000D01* +X214384500Y-116775000D01* +X214410229Y-116776264D01* +X214410229Y-116776264D01* +G37* +D11* +X214122000Y-117475000D03* +D10* +G36* +X216110229Y-116776264D02* +G01* +X216135711Y-116780044D01* +X216160700Y-116786303D01* +X216184954Y-116794982D01* +X216208242Y-116805996D01* +X216230337Y-116819239D01* +X216251028Y-116834585D01* +X216270116Y-116851884D01* +X216287415Y-116870972D01* +X216302761Y-116891663D01* +X216316004Y-116913758D01* +X216327018Y-116937046D01* +X216335697Y-116961300D01* +X216341956Y-116986289D01* +X216345736Y-117011771D01* +X216347000Y-117037500D01* +X216347000Y-117912500D01* +X216345736Y-117938229D01* +X216341956Y-117963711D01* +X216335697Y-117988700D01* +X216327018Y-118012954D01* +X216316004Y-118036242D01* +X216302761Y-118058337D01* +X216287415Y-118079028D01* +X216270116Y-118098116D01* +X216251028Y-118115415D01* +X216230337Y-118130761D01* +X216208242Y-118144004D01* +X216184954Y-118155018D01* +X216160700Y-118163697D01* +X216135711Y-118169956D01* +X216110229Y-118173736D01* +X216084500Y-118175000D01* +X215559500Y-118175000D01* +X215533771Y-118173736D01* +X215508289Y-118169956D01* +X215483300Y-118163697D01* +X215459046Y-118155018D01* +X215435758Y-118144004D01* +X215413663Y-118130761D01* +X215392972Y-118115415D01* +X215373884Y-118098116D01* +X215356585Y-118079028D01* +X215341239Y-118058337D01* +X215327996Y-118036242D01* +X215316982Y-118012954D01* +X215308303Y-117988700D01* +X215302044Y-117963711D01* +X215298264Y-117938229D01* +X215297000Y-117912500D01* +X215297000Y-117037500D01* +X215298264Y-117011771D01* +X215302044Y-116986289D01* +X215308303Y-116961300D01* +X215316982Y-116937046D01* +X215327996Y-116913758D01* +X215341239Y-116891663D01* +X215356585Y-116870972D01* +X215373884Y-116851884D01* +X215392972Y-116834585D01* +X215413663Y-116819239D01* +X215435758Y-116805996D01* +X215459046Y-116794982D01* +X215483300Y-116786303D01* +X215508289Y-116780044D01* +X215533771Y-116776264D01* +X215559500Y-116775000D01* +X216084500Y-116775000D01* +X216110229Y-116776264D01* +X216110229Y-116776264D01* +G37* +D11* +X215822000Y-117475000D03* +D10* +G36* +X216110229Y-95186264D02* +G01* +X216135711Y-95190044D01* +X216160700Y-95196303D01* +X216184954Y-95204982D01* +X216208242Y-95215996D01* +X216230337Y-95229239D01* +X216251028Y-95244585D01* +X216270116Y-95261884D01* +X216287415Y-95280972D01* +X216302761Y-95301663D01* +X216316004Y-95323758D01* +X216327018Y-95347046D01* +X216335697Y-95371300D01* +X216341956Y-95396289D01* +X216345736Y-95421771D01* +X216347000Y-95447500D01* +X216347000Y-96322500D01* +X216345736Y-96348229D01* +X216341956Y-96373711D01* +X216335697Y-96398700D01* +X216327018Y-96422954D01* +X216316004Y-96446242D01* +X216302761Y-96468337D01* +X216287415Y-96489028D01* +X216270116Y-96508116D01* +X216251028Y-96525415D01* +X216230337Y-96540761D01* +X216208242Y-96554004D01* +X216184954Y-96565018D01* +X216160700Y-96573697D01* +X216135711Y-96579956D01* +X216110229Y-96583736D01* +X216084500Y-96585000D01* +X215559500Y-96585000D01* +X215533771Y-96583736D01* +X215508289Y-96579956D01* +X215483300Y-96573697D01* +X215459046Y-96565018D01* +X215435758Y-96554004D01* +X215413663Y-96540761D01* +X215392972Y-96525415D01* +X215373884Y-96508116D01* +X215356585Y-96489028D01* +X215341239Y-96468337D01* +X215327996Y-96446242D01* +X215316982Y-96422954D01* +X215308303Y-96398700D01* +X215302044Y-96373711D01* +X215298264Y-96348229D01* +X215297000Y-96322500D01* +X215297000Y-95447500D01* +X215298264Y-95421771D01* +X215302044Y-95396289D01* +X215308303Y-95371300D01* +X215316982Y-95347046D01* +X215327996Y-95323758D01* +X215341239Y-95301663D01* +X215356585Y-95280972D01* +X215373884Y-95261884D01* +X215392972Y-95244585D01* +X215413663Y-95229239D01* +X215435758Y-95215996D01* +X215459046Y-95204982D01* +X215483300Y-95196303D01* +X215508289Y-95190044D01* +X215533771Y-95186264D01* +X215559500Y-95185000D01* +X216084500Y-95185000D01* +X216110229Y-95186264D01* +X216110229Y-95186264D01* +G37* +D11* +X215822000Y-95885000D03* +D10* +G36* +X214410229Y-95186264D02* +G01* +X214435711Y-95190044D01* +X214460700Y-95196303D01* +X214484954Y-95204982D01* +X214508242Y-95215996D01* +X214530337Y-95229239D01* +X214551028Y-95244585D01* +X214570116Y-95261884D01* +X214587415Y-95280972D01* +X214602761Y-95301663D01* +X214616004Y-95323758D01* +X214627018Y-95347046D01* +X214635697Y-95371300D01* +X214641956Y-95396289D01* +X214645736Y-95421771D01* +X214647000Y-95447500D01* +X214647000Y-96322500D01* +X214645736Y-96348229D01* +X214641956Y-96373711D01* +X214635697Y-96398700D01* +X214627018Y-96422954D01* +X214616004Y-96446242D01* +X214602761Y-96468337D01* +X214587415Y-96489028D01* +X214570116Y-96508116D01* +X214551028Y-96525415D01* +X214530337Y-96540761D01* +X214508242Y-96554004D01* +X214484954Y-96565018D01* +X214460700Y-96573697D01* +X214435711Y-96579956D01* +X214410229Y-96583736D01* +X214384500Y-96585000D01* +X213859500Y-96585000D01* +X213833771Y-96583736D01* +X213808289Y-96579956D01* +X213783300Y-96573697D01* +X213759046Y-96565018D01* +X213735758Y-96554004D01* +X213713663Y-96540761D01* +X213692972Y-96525415D01* +X213673884Y-96508116D01* +X213656585Y-96489028D01* +X213641239Y-96468337D01* +X213627996Y-96446242D01* +X213616982Y-96422954D01* +X213608303Y-96398700D01* +X213602044Y-96373711D01* +X213598264Y-96348229D01* +X213597000Y-96322500D01* +X213597000Y-95447500D01* +X213598264Y-95421771D01* +X213602044Y-95396289D01* +X213608303Y-95371300D01* +X213616982Y-95347046D01* +X213627996Y-95323758D01* +X213641239Y-95301663D01* +X213656585Y-95280972D01* +X213673884Y-95261884D01* +X213692972Y-95244585D01* +X213713663Y-95229239D01* +X213735758Y-95215996D01* +X213759046Y-95204982D01* +X213783300Y-95196303D01* +X213808289Y-95190044D01* +X213833771Y-95186264D01* +X213859500Y-95185000D01* +X214384500Y-95185000D01* +X214410229Y-95186264D01* +X214410229Y-95186264D01* +G37* +D11* +X214122000Y-95885000D03* +D10* +G36* +X242858229Y-90741264D02* +G01* +X242883711Y-90745044D01* +X242908700Y-90751303D01* +X242932954Y-90759982D01* +X242956242Y-90770996D01* +X242978337Y-90784239D01* +X242999028Y-90799585D01* +X243018116Y-90816884D01* +X243035415Y-90835972D01* +X243050761Y-90856663D01* +X243064004Y-90878758D01* +X243075018Y-90902046D01* +X243083697Y-90926300D01* +X243089956Y-90951289D01* +X243093736Y-90976771D01* +X243095000Y-91002500D01* +X243095000Y-91877500D01* +X243093736Y-91903229D01* +X243089956Y-91928711D01* +X243083697Y-91953700D01* +X243075018Y-91977954D01* +X243064004Y-92001242D01* +X243050761Y-92023337D01* +X243035415Y-92044028D01* +X243018116Y-92063116D01* +X242999028Y-92080415D01* +X242978337Y-92095761D01* +X242956242Y-92109004D01* +X242932954Y-92120018D01* +X242908700Y-92128697D01* +X242883711Y-92134956D01* +X242858229Y-92138736D01* +X242832500Y-92140000D01* +X242307500Y-92140000D01* +X242281771Y-92138736D01* +X242256289Y-92134956D01* +X242231300Y-92128697D01* +X242207046Y-92120018D01* +X242183758Y-92109004D01* +X242161663Y-92095761D01* +X242140972Y-92080415D01* +X242121884Y-92063116D01* +X242104585Y-92044028D01* +X242089239Y-92023337D01* +X242075996Y-92001242D01* +X242064982Y-91977954D01* +X242056303Y-91953700D01* +X242050044Y-91928711D01* +X242046264Y-91903229D01* +X242045000Y-91877500D01* +X242045000Y-91002500D01* +X242046264Y-90976771D01* +X242050044Y-90951289D01* +X242056303Y-90926300D01* +X242064982Y-90902046D01* +X242075996Y-90878758D01* +X242089239Y-90856663D01* +X242104585Y-90835972D01* +X242121884Y-90816884D01* +X242140972Y-90799585D01* +X242161663Y-90784239D01* +X242183758Y-90770996D01* +X242207046Y-90759982D01* +X242231300Y-90751303D01* +X242256289Y-90745044D01* +X242281771Y-90741264D01* +X242307500Y-90740000D01* +X242832500Y-90740000D01* +X242858229Y-90741264D01* +X242858229Y-90741264D01* +G37* +D11* +X242570000Y-91440000D03* +D10* +G36* +X241158229Y-90741264D02* +G01* +X241183711Y-90745044D01* +X241208700Y-90751303D01* +X241232954Y-90759982D01* +X241256242Y-90770996D01* +X241278337Y-90784239D01* +X241299028Y-90799585D01* +X241318116Y-90816884D01* +X241335415Y-90835972D01* +X241350761Y-90856663D01* +X241364004Y-90878758D01* +X241375018Y-90902046D01* +X241383697Y-90926300D01* +X241389956Y-90951289D01* +X241393736Y-90976771D01* +X241395000Y-91002500D01* +X241395000Y-91877500D01* +X241393736Y-91903229D01* +X241389956Y-91928711D01* +X241383697Y-91953700D01* +X241375018Y-91977954D01* +X241364004Y-92001242D01* +X241350761Y-92023337D01* +X241335415Y-92044028D01* +X241318116Y-92063116D01* +X241299028Y-92080415D01* +X241278337Y-92095761D01* +X241256242Y-92109004D01* +X241232954Y-92120018D01* +X241208700Y-92128697D01* +X241183711Y-92134956D01* +X241158229Y-92138736D01* +X241132500Y-92140000D01* +X240607500Y-92140000D01* +X240581771Y-92138736D01* +X240556289Y-92134956D01* +X240531300Y-92128697D01* +X240507046Y-92120018D01* +X240483758Y-92109004D01* +X240461663Y-92095761D01* +X240440972Y-92080415D01* +X240421884Y-92063116D01* +X240404585Y-92044028D01* +X240389239Y-92023337D01* +X240375996Y-92001242D01* +X240364982Y-91977954D01* +X240356303Y-91953700D01* +X240350044Y-91928711D01* +X240346264Y-91903229D01* +X240345000Y-91877500D01* +X240345000Y-91002500D01* +X240346264Y-90976771D01* +X240350044Y-90951289D01* +X240356303Y-90926300D01* +X240364982Y-90902046D01* +X240375996Y-90878758D01* +X240389239Y-90856663D01* +X240404585Y-90835972D01* +X240421884Y-90816884D01* +X240440972Y-90799585D01* +X240461663Y-90784239D01* +X240483758Y-90770996D01* +X240507046Y-90759982D01* +X240531300Y-90751303D01* +X240556289Y-90745044D01* +X240581771Y-90741264D01* +X240607500Y-90740000D01* +X241132500Y-90740000D01* +X241158229Y-90741264D01* +X241158229Y-90741264D01* +G37* +D11* +X240870000Y-91440000D03* +D10* +G36* +X268433229Y-108696264D02* +G01* +X268458711Y-108700044D01* +X268483700Y-108706303D01* +X268507954Y-108714982D01* +X268531242Y-108725996D01* +X268553337Y-108739239D01* +X268574028Y-108754585D01* +X268593116Y-108771884D01* +X268610415Y-108790972D01* +X268625761Y-108811663D01* +X268639004Y-108833758D01* +X268650018Y-108857046D01* +X268658697Y-108881300D01* +X268664956Y-108906289D01* +X268668736Y-108931771D01* +X268670000Y-108957500D01* +X268670000Y-109482500D01* +X268668736Y-109508229D01* +X268664956Y-109533711D01* +X268658697Y-109558700D01* +X268650018Y-109582954D01* +X268639004Y-109606242D01* +X268625761Y-109628337D01* +X268610415Y-109649028D01* +X268593116Y-109668116D01* +X268574028Y-109685415D01* +X268553337Y-109700761D01* +X268531242Y-109714004D01* +X268507954Y-109725018D01* +X268483700Y-109733697D01* +X268458711Y-109739956D01* +X268433229Y-109743736D01* +X268407500Y-109745000D01* +X267532500Y-109745000D01* +X267506771Y-109743736D01* +X267481289Y-109739956D01* +X267456300Y-109733697D01* +X267432046Y-109725018D01* +X267408758Y-109714004D01* +X267386663Y-109700761D01* +X267365972Y-109685415D01* +X267346884Y-109668116D01* +X267329585Y-109649028D01* +X267314239Y-109628337D01* +X267300996Y-109606242D01* +X267289982Y-109582954D01* +X267281303Y-109558700D01* +X267275044Y-109533711D01* +X267271264Y-109508229D01* +X267270000Y-109482500D01* +X267270000Y-108957500D01* +X267271264Y-108931771D01* +X267275044Y-108906289D01* +X267281303Y-108881300D01* +X267289982Y-108857046D01* +X267300996Y-108833758D01* +X267314239Y-108811663D01* +X267329585Y-108790972D01* +X267346884Y-108771884D01* +X267365972Y-108754585D01* +X267386663Y-108739239D01* +X267408758Y-108725996D01* +X267432046Y-108714982D01* +X267456300Y-108706303D01* +X267481289Y-108700044D01* +X267506771Y-108696264D01* +X267532500Y-108695000D01* +X268407500Y-108695000D01* +X268433229Y-108696264D01* +X268433229Y-108696264D01* +G37* +D11* +X267970000Y-109220000D03* +D10* +G36* +X268433229Y-106996264D02* +G01* +X268458711Y-107000044D01* +X268483700Y-107006303D01* +X268507954Y-107014982D01* +X268531242Y-107025996D01* +X268553337Y-107039239D01* +X268574028Y-107054585D01* +X268593116Y-107071884D01* +X268610415Y-107090972D01* +X268625761Y-107111663D01* +X268639004Y-107133758D01* +X268650018Y-107157046D01* +X268658697Y-107181300D01* +X268664956Y-107206289D01* +X268668736Y-107231771D01* +X268670000Y-107257500D01* +X268670000Y-107782500D01* +X268668736Y-107808229D01* +X268664956Y-107833711D01* +X268658697Y-107858700D01* +X268650018Y-107882954D01* +X268639004Y-107906242D01* +X268625761Y-107928337D01* +X268610415Y-107949028D01* +X268593116Y-107968116D01* +X268574028Y-107985415D01* +X268553337Y-108000761D01* +X268531242Y-108014004D01* +X268507954Y-108025018D01* +X268483700Y-108033697D01* +X268458711Y-108039956D01* +X268433229Y-108043736D01* +X268407500Y-108045000D01* +X267532500Y-108045000D01* +X267506771Y-108043736D01* +X267481289Y-108039956D01* +X267456300Y-108033697D01* +X267432046Y-108025018D01* +X267408758Y-108014004D01* +X267386663Y-108000761D01* +X267365972Y-107985415D01* +X267346884Y-107968116D01* +X267329585Y-107949028D01* +X267314239Y-107928337D01* +X267300996Y-107906242D01* +X267289982Y-107882954D01* +X267281303Y-107858700D01* +X267275044Y-107833711D01* +X267271264Y-107808229D01* +X267270000Y-107782500D01* +X267270000Y-107257500D01* +X267271264Y-107231771D01* +X267275044Y-107206289D01* +X267281303Y-107181300D01* +X267289982Y-107157046D01* +X267300996Y-107133758D01* +X267314239Y-107111663D01* +X267329585Y-107090972D01* +X267346884Y-107071884D01* +X267365972Y-107054585D01* +X267386663Y-107039239D01* +X267408758Y-107025996D01* +X267432046Y-107014982D01* +X267456300Y-107006303D01* +X267481289Y-107000044D01* +X267506771Y-106996264D01* +X267532500Y-106995000D01* +X268407500Y-106995000D01* +X268433229Y-106996264D01* +X268433229Y-106996264D01* +G37* +D11* +X267970000Y-107520000D03* +D10* +G36* +X268433229Y-118426264D02* +G01* +X268458711Y-118430044D01* +X268483700Y-118436303D01* +X268507954Y-118444982D01* +X268531242Y-118455996D01* +X268553337Y-118469239D01* +X268574028Y-118484585D01* +X268593116Y-118501884D01* +X268610415Y-118520972D01* +X268625761Y-118541663D01* +X268639004Y-118563758D01* +X268650018Y-118587046D01* +X268658697Y-118611300D01* +X268664956Y-118636289D01* +X268668736Y-118661771D01* +X268670000Y-118687500D01* +X268670000Y-119212500D01* +X268668736Y-119238229D01* +X268664956Y-119263711D01* +X268658697Y-119288700D01* +X268650018Y-119312954D01* +X268639004Y-119336242D01* +X268625761Y-119358337D01* +X268610415Y-119379028D01* +X268593116Y-119398116D01* +X268574028Y-119415415D01* +X268553337Y-119430761D01* +X268531242Y-119444004D01* +X268507954Y-119455018D01* +X268483700Y-119463697D01* +X268458711Y-119469956D01* +X268433229Y-119473736D01* +X268407500Y-119475000D01* +X267532500Y-119475000D01* +X267506771Y-119473736D01* +X267481289Y-119469956D01* +X267456300Y-119463697D01* +X267432046Y-119455018D01* +X267408758Y-119444004D01* +X267386663Y-119430761D01* +X267365972Y-119415415D01* +X267346884Y-119398116D01* +X267329585Y-119379028D01* +X267314239Y-119358337D01* +X267300996Y-119336242D01* +X267289982Y-119312954D01* +X267281303Y-119288700D01* +X267275044Y-119263711D01* +X267271264Y-119238229D01* +X267270000Y-119212500D01* +X267270000Y-118687500D01* +X267271264Y-118661771D01* +X267275044Y-118636289D01* +X267281303Y-118611300D01* +X267289982Y-118587046D01* +X267300996Y-118563758D01* +X267314239Y-118541663D01* +X267329585Y-118520972D01* +X267346884Y-118501884D01* +X267365972Y-118484585D01* +X267386663Y-118469239D01* +X267408758Y-118455996D01* +X267432046Y-118444982D01* +X267456300Y-118436303D01* +X267481289Y-118430044D01* +X267506771Y-118426264D01* +X267532500Y-118425000D01* +X268407500Y-118425000D01* +X268433229Y-118426264D01* +X268433229Y-118426264D01* +G37* +D11* +X267970000Y-118950000D03* +D10* +G36* +X268433229Y-120126264D02* +G01* +X268458711Y-120130044D01* +X268483700Y-120136303D01* +X268507954Y-120144982D01* +X268531242Y-120155996D01* +X268553337Y-120169239D01* +X268574028Y-120184585D01* +X268593116Y-120201884D01* +X268610415Y-120220972D01* +X268625761Y-120241663D01* +X268639004Y-120263758D01* +X268650018Y-120287046D01* +X268658697Y-120311300D01* +X268664956Y-120336289D01* +X268668736Y-120361771D01* +X268670000Y-120387500D01* +X268670000Y-120912500D01* +X268668736Y-120938229D01* +X268664956Y-120963711D01* +X268658697Y-120988700D01* +X268650018Y-121012954D01* +X268639004Y-121036242D01* +X268625761Y-121058337D01* +X268610415Y-121079028D01* +X268593116Y-121098116D01* +X268574028Y-121115415D01* +X268553337Y-121130761D01* +X268531242Y-121144004D01* +X268507954Y-121155018D01* +X268483700Y-121163697D01* +X268458711Y-121169956D01* +X268433229Y-121173736D01* +X268407500Y-121175000D01* +X267532500Y-121175000D01* +X267506771Y-121173736D01* +X267481289Y-121169956D01* +X267456300Y-121163697D01* +X267432046Y-121155018D01* +X267408758Y-121144004D01* +X267386663Y-121130761D01* +X267365972Y-121115415D01* +X267346884Y-121098116D01* +X267329585Y-121079028D01* +X267314239Y-121058337D01* +X267300996Y-121036242D01* +X267289982Y-121012954D01* +X267281303Y-120988700D01* +X267275044Y-120963711D01* +X267271264Y-120938229D01* +X267270000Y-120912500D01* +X267270000Y-120387500D01* +X267271264Y-120361771D01* +X267275044Y-120336289D01* +X267281303Y-120311300D01* +X267289982Y-120287046D01* +X267300996Y-120263758D01* +X267314239Y-120241663D01* +X267329585Y-120220972D01* +X267346884Y-120201884D01* +X267365972Y-120184585D01* +X267386663Y-120169239D01* +X267408758Y-120155996D01* +X267432046Y-120144982D01* +X267456300Y-120136303D01* +X267481289Y-120130044D01* +X267506771Y-120126264D01* +X267532500Y-120125000D01* +X268407500Y-120125000D01* +X268433229Y-120126264D01* +X268433229Y-120126264D01* +G37* +D11* +X267970000Y-120650000D03* +D10* +G36* +X227793229Y-111236264D02* +G01* +X227818711Y-111240044D01* +X227843700Y-111246303D01* +X227867954Y-111254982D01* +X227891242Y-111265996D01* +X227913337Y-111279239D01* +X227934028Y-111294585D01* +X227953116Y-111311884D01* +X227970415Y-111330972D01* +X227985761Y-111351663D01* +X227999004Y-111373758D01* +X228010018Y-111397046D01* +X228018697Y-111421300D01* +X228024956Y-111446289D01* +X228028736Y-111471771D01* +X228030000Y-111497500D01* +X228030000Y-112022500D01* +X228028736Y-112048229D01* +X228024956Y-112073711D01* +X228018697Y-112098700D01* +X228010018Y-112122954D01* +X227999004Y-112146242D01* +X227985761Y-112168337D01* +X227970415Y-112189028D01* +X227953116Y-112208116D01* +X227934028Y-112225415D01* +X227913337Y-112240761D01* +X227891242Y-112254004D01* +X227867954Y-112265018D01* +X227843700Y-112273697D01* +X227818711Y-112279956D01* +X227793229Y-112283736D01* +X227767500Y-112285000D01* +X226892500Y-112285000D01* +X226866771Y-112283736D01* +X226841289Y-112279956D01* +X226816300Y-112273697D01* +X226792046Y-112265018D01* +X226768758Y-112254004D01* +X226746663Y-112240761D01* +X226725972Y-112225415D01* +X226706884Y-112208116D01* +X226689585Y-112189028D01* +X226674239Y-112168337D01* +X226660996Y-112146242D01* +X226649982Y-112122954D01* +X226641303Y-112098700D01* +X226635044Y-112073711D01* +X226631264Y-112048229D01* +X226630000Y-112022500D01* +X226630000Y-111497500D01* +X226631264Y-111471771D01* +X226635044Y-111446289D01* +X226641303Y-111421300D01* +X226649982Y-111397046D01* +X226660996Y-111373758D01* +X226674239Y-111351663D01* +X226689585Y-111330972D01* +X226706884Y-111311884D01* +X226725972Y-111294585D01* +X226746663Y-111279239D01* +X226768758Y-111265996D01* +X226792046Y-111254982D01* +X226816300Y-111246303D01* +X226841289Y-111240044D01* +X226866771Y-111236264D01* +X226892500Y-111235000D01* +X227767500Y-111235000D01* +X227793229Y-111236264D01* +X227793229Y-111236264D01* +G37* +D11* +X227330000Y-111760000D03* +D10* +G36* +X227793229Y-109536264D02* +G01* +X227818711Y-109540044D01* +X227843700Y-109546303D01* +X227867954Y-109554982D01* +X227891242Y-109565996D01* +X227913337Y-109579239D01* +X227934028Y-109594585D01* +X227953116Y-109611884D01* +X227970415Y-109630972D01* +X227985761Y-109651663D01* +X227999004Y-109673758D01* +X228010018Y-109697046D01* +X228018697Y-109721300D01* +X228024956Y-109746289D01* +X228028736Y-109771771D01* +X228030000Y-109797500D01* +X228030000Y-110322500D01* +X228028736Y-110348229D01* +X228024956Y-110373711D01* +X228018697Y-110398700D01* +X228010018Y-110422954D01* +X227999004Y-110446242D01* +X227985761Y-110468337D01* +X227970415Y-110489028D01* +X227953116Y-110508116D01* +X227934028Y-110525415D01* +X227913337Y-110540761D01* +X227891242Y-110554004D01* +X227867954Y-110565018D01* +X227843700Y-110573697D01* +X227818711Y-110579956D01* +X227793229Y-110583736D01* +X227767500Y-110585000D01* +X226892500Y-110585000D01* +X226866771Y-110583736D01* +X226841289Y-110579956D01* +X226816300Y-110573697D01* +X226792046Y-110565018D01* +X226768758Y-110554004D01* +X226746663Y-110540761D01* +X226725972Y-110525415D01* +X226706884Y-110508116D01* +X226689585Y-110489028D01* +X226674239Y-110468337D01* +X226660996Y-110446242D01* +X226649982Y-110422954D01* +X226641303Y-110398700D01* +X226635044Y-110373711D01* +X226631264Y-110348229D01* +X226630000Y-110322500D01* +X226630000Y-109797500D01* +X226631264Y-109771771D01* +X226635044Y-109746289D01* +X226641303Y-109721300D01* +X226649982Y-109697046D01* +X226660996Y-109673758D01* +X226674239Y-109651663D01* +X226689585Y-109630972D01* +X226706884Y-109611884D01* +X226725972Y-109594585D01* +X226746663Y-109579239D01* +X226768758Y-109565996D01* +X226792046Y-109554982D01* +X226816300Y-109546303D01* +X226841289Y-109540044D01* +X226866771Y-109536264D01* +X226892500Y-109535000D01* +X227767500Y-109535000D01* +X227793229Y-109536264D01* +X227793229Y-109536264D01* +G37* +D11* +X227330000Y-110060000D03* +D10* +G36* +X259407229Y-90741264D02* +G01* +X259432711Y-90745044D01* +X259457700Y-90751303D01* +X259481954Y-90759982D01* +X259505242Y-90770996D01* +X259527337Y-90784239D01* +X259548028Y-90799585D01* +X259567116Y-90816884D01* +X259584415Y-90835972D01* +X259599761Y-90856663D01* +X259613004Y-90878758D01* +X259624018Y-90902046D01* +X259632697Y-90926300D01* +X259638956Y-90951289D01* +X259642736Y-90976771D01* +X259644000Y-91002500D01* +X259644000Y-91877500D01* +X259642736Y-91903229D01* +X259638956Y-91928711D01* +X259632697Y-91953700D01* +X259624018Y-91977954D01* +X259613004Y-92001242D01* +X259599761Y-92023337D01* +X259584415Y-92044028D01* +X259567116Y-92063116D01* +X259548028Y-92080415D01* +X259527337Y-92095761D01* +X259505242Y-92109004D01* +X259481954Y-92120018D01* +X259457700Y-92128697D01* +X259432711Y-92134956D01* +X259407229Y-92138736D01* +X259381500Y-92140000D01* +X258856500Y-92140000D01* +X258830771Y-92138736D01* +X258805289Y-92134956D01* +X258780300Y-92128697D01* +X258756046Y-92120018D01* +X258732758Y-92109004D01* +X258710663Y-92095761D01* +X258689972Y-92080415D01* +X258670884Y-92063116D01* +X258653585Y-92044028D01* +X258638239Y-92023337D01* +X258624996Y-92001242D01* +X258613982Y-91977954D01* +X258605303Y-91953700D01* +X258599044Y-91928711D01* +X258595264Y-91903229D01* +X258594000Y-91877500D01* +X258594000Y-91002500D01* +X258595264Y-90976771D01* +X258599044Y-90951289D01* +X258605303Y-90926300D01* +X258613982Y-90902046D01* +X258624996Y-90878758D01* +X258638239Y-90856663D01* +X258653585Y-90835972D01* +X258670884Y-90816884D01* +X258689972Y-90799585D01* +X258710663Y-90784239D01* +X258732758Y-90770996D01* +X258756046Y-90759982D01* +X258780300Y-90751303D01* +X258805289Y-90745044D01* +X258830771Y-90741264D01* +X258856500Y-90740000D01* +X259381500Y-90740000D01* +X259407229Y-90741264D01* +X259407229Y-90741264D01* +G37* +D11* +X259119000Y-91440000D03* +D10* +G36* +X261107229Y-90741264D02* +G01* +X261132711Y-90745044D01* +X261157700Y-90751303D01* +X261181954Y-90759982D01* +X261205242Y-90770996D01* +X261227337Y-90784239D01* +X261248028Y-90799585D01* +X261267116Y-90816884D01* +X261284415Y-90835972D01* +X261299761Y-90856663D01* +X261313004Y-90878758D01* +X261324018Y-90902046D01* +X261332697Y-90926300D01* +X261338956Y-90951289D01* +X261342736Y-90976771D01* +X261344000Y-91002500D01* +X261344000Y-91877500D01* +X261342736Y-91903229D01* +X261338956Y-91928711D01* +X261332697Y-91953700D01* +X261324018Y-91977954D01* +X261313004Y-92001242D01* +X261299761Y-92023337D01* +X261284415Y-92044028D01* +X261267116Y-92063116D01* +X261248028Y-92080415D01* +X261227337Y-92095761D01* +X261205242Y-92109004D01* +X261181954Y-92120018D01* +X261157700Y-92128697D01* +X261132711Y-92134956D01* +X261107229Y-92138736D01* +X261081500Y-92140000D01* +X260556500Y-92140000D01* +X260530771Y-92138736D01* +X260505289Y-92134956D01* +X260480300Y-92128697D01* +X260456046Y-92120018D01* +X260432758Y-92109004D01* +X260410663Y-92095761D01* +X260389972Y-92080415D01* +X260370884Y-92063116D01* +X260353585Y-92044028D01* +X260338239Y-92023337D01* +X260324996Y-92001242D01* +X260313982Y-91977954D01* +X260305303Y-91953700D01* +X260299044Y-91928711D01* +X260295264Y-91903229D01* +X260294000Y-91877500D01* +X260294000Y-91002500D01* +X260295264Y-90976771D01* +X260299044Y-90951289D01* +X260305303Y-90926300D01* +X260313982Y-90902046D01* +X260324996Y-90878758D01* +X260338239Y-90856663D01* +X260353585Y-90835972D01* +X260370884Y-90816884D01* +X260389972Y-90799585D01* +X260410663Y-90784239D01* +X260432758Y-90770996D01* +X260456046Y-90759982D01* +X260480300Y-90751303D01* +X260505289Y-90745044D01* +X260530771Y-90741264D01* +X260556500Y-90740000D01* +X261081500Y-90740000D01* +X261107229Y-90741264D01* +X261107229Y-90741264D01* +G37* +D11* +X260819000Y-91440000D03* +D10* +G36* +X227793229Y-122236264D02* +G01* +X227818711Y-122240044D01* +X227843700Y-122246303D01* +X227867954Y-122254982D01* +X227891242Y-122265996D01* +X227913337Y-122279239D01* +X227934028Y-122294585D01* +X227953116Y-122311884D01* +X227970415Y-122330972D01* +X227985761Y-122351663D01* +X227999004Y-122373758D01* +X228010018Y-122397046D01* +X228018697Y-122421300D01* +X228024956Y-122446289D01* +X228028736Y-122471771D01* +X228030000Y-122497500D01* +X228030000Y-123022500D01* +X228028736Y-123048229D01* +X228024956Y-123073711D01* +X228018697Y-123098700D01* +X228010018Y-123122954D01* +X227999004Y-123146242D01* +X227985761Y-123168337D01* +X227970415Y-123189028D01* +X227953116Y-123208116D01* +X227934028Y-123225415D01* +X227913337Y-123240761D01* +X227891242Y-123254004D01* +X227867954Y-123265018D01* +X227843700Y-123273697D01* +X227818711Y-123279956D01* +X227793229Y-123283736D01* +X227767500Y-123285000D01* +X226892500Y-123285000D01* +X226866771Y-123283736D01* +X226841289Y-123279956D01* +X226816300Y-123273697D01* +X226792046Y-123265018D01* +X226768758Y-123254004D01* +X226746663Y-123240761D01* +X226725972Y-123225415D01* +X226706884Y-123208116D01* +X226689585Y-123189028D01* +X226674239Y-123168337D01* +X226660996Y-123146242D01* +X226649982Y-123122954D01* +X226641303Y-123098700D01* +X226635044Y-123073711D01* +X226631264Y-123048229D01* +X226630000Y-123022500D01* +X226630000Y-122497500D01* +X226631264Y-122471771D01* +X226635044Y-122446289D01* +X226641303Y-122421300D01* +X226649982Y-122397046D01* +X226660996Y-122373758D01* +X226674239Y-122351663D01* +X226689585Y-122330972D01* +X226706884Y-122311884D01* +X226725972Y-122294585D01* +X226746663Y-122279239D01* +X226768758Y-122265996D01* +X226792046Y-122254982D01* +X226816300Y-122246303D01* +X226841289Y-122240044D01* +X226866771Y-122236264D01* +X226892500Y-122235000D01* +X227767500Y-122235000D01* +X227793229Y-122236264D01* +X227793229Y-122236264D01* +G37* +D11* +X227330000Y-122760000D03* +D10* +G36* +X227793229Y-123936264D02* +G01* +X227818711Y-123940044D01* +X227843700Y-123946303D01* +X227867954Y-123954982D01* +X227891242Y-123965996D01* +X227913337Y-123979239D01* +X227934028Y-123994585D01* +X227953116Y-124011884D01* +X227970415Y-124030972D01* +X227985761Y-124051663D01* +X227999004Y-124073758D01* +X228010018Y-124097046D01* +X228018697Y-124121300D01* +X228024956Y-124146289D01* +X228028736Y-124171771D01* +X228030000Y-124197500D01* +X228030000Y-124722500D01* +X228028736Y-124748229D01* +X228024956Y-124773711D01* +X228018697Y-124798700D01* +X228010018Y-124822954D01* +X227999004Y-124846242D01* +X227985761Y-124868337D01* +X227970415Y-124889028D01* +X227953116Y-124908116D01* +X227934028Y-124925415D01* +X227913337Y-124940761D01* +X227891242Y-124954004D01* +X227867954Y-124965018D01* +X227843700Y-124973697D01* +X227818711Y-124979956D01* +X227793229Y-124983736D01* +X227767500Y-124985000D01* +X226892500Y-124985000D01* +X226866771Y-124983736D01* +X226841289Y-124979956D01* +X226816300Y-124973697D01* +X226792046Y-124965018D01* +X226768758Y-124954004D01* +X226746663Y-124940761D01* +X226725972Y-124925415D01* +X226706884Y-124908116D01* +X226689585Y-124889028D01* +X226674239Y-124868337D01* +X226660996Y-124846242D01* +X226649982Y-124822954D01* +X226641303Y-124798700D01* +X226635044Y-124773711D01* +X226631264Y-124748229D01* +X226630000Y-124722500D01* +X226630000Y-124197500D01* +X226631264Y-124171771D01* +X226635044Y-124146289D01* +X226641303Y-124121300D01* +X226649982Y-124097046D01* +X226660996Y-124073758D01* +X226674239Y-124051663D01* +X226689585Y-124030972D01* +X226706884Y-124011884D01* +X226725972Y-123994585D01* +X226746663Y-123979239D01* +X226768758Y-123965996D01* +X226792046Y-123954982D01* +X226816300Y-123946303D01* +X226841289Y-123940044D01* +X226866771Y-123936264D01* +X226892500Y-123935000D01* +X227767500Y-123935000D01* +X227793229Y-123936264D01* +X227793229Y-123936264D01* +G37* +D11* +X227330000Y-124460000D03* +D14* +X203200000Y-129540000D03* +X201168000Y-89281000D03* +X271780000Y-129540000D03* +D15* +X207010000Y-129540000D03* +X204978000Y-89281000D03* +X267970000Y-129540000D03* +D10* +G36* +X223369703Y-98125722D02* +G01* +X223384264Y-98127882D01* +X223398543Y-98131459D01* +X223412403Y-98136418D01* +X223425710Y-98142712D01* +X223438336Y-98150280D01* +X223450159Y-98159048D01* +X223461066Y-98168934D01* +X223470952Y-98179841D01* +X223479720Y-98191664D01* +X223487288Y-98204290D01* +X223493582Y-98217597D01* +X223498541Y-98231457D01* +X223502118Y-98245736D01* +X223504278Y-98260297D01* +X223505000Y-98275000D01* +X223505000Y-98575000D01* +X223504278Y-98589703D01* +X223502118Y-98604264D01* +X223498541Y-98618543D01* +X223493582Y-98632403D01* +X223487288Y-98645710D01* +X223479720Y-98658336D01* +X223470952Y-98670159D01* +X223461066Y-98681066D01* +X223450159Y-98690952D01* +X223438336Y-98699720D01* +X223425710Y-98707288D01* +X223412403Y-98713582D01* +X223398543Y-98718541D01* +X223384264Y-98722118D01* +X223369703Y-98724278D01* +X223355000Y-98725000D01* +X219655000Y-98725000D01* +X219640297Y-98724278D01* +X219625736Y-98722118D01* +X219611457Y-98718541D01* +X219597597Y-98713582D01* +X219584290Y-98707288D01* +X219571664Y-98699720D01* +X219559841Y-98690952D01* +X219548934Y-98681066D01* +X219539048Y-98670159D01* +X219530280Y-98658336D01* +X219522712Y-98645710D01* +X219516418Y-98632403D01* +X219511459Y-98618543D01* +X219507882Y-98604264D01* +X219505722Y-98589703D01* +X219505000Y-98575000D01* +X219505000Y-98275000D01* +X219505722Y-98260297D01* +X219507882Y-98245736D01* +X219511459Y-98231457D01* +X219516418Y-98217597D01* +X219522712Y-98204290D01* +X219530280Y-98191664D01* +X219539048Y-98179841D01* +X219548934Y-98168934D01* +X219559841Y-98159048D01* +X219571664Y-98150280D01* +X219584290Y-98142712D01* +X219597597Y-98136418D01* +X219611457Y-98131459D01* +X219625736Y-98127882D01* +X219640297Y-98125722D01* +X219655000Y-98125000D01* +X223355000Y-98125000D01* +X223369703Y-98125722D01* +X223369703Y-98125722D01* +G37* +D13* +X221505000Y-98425000D03* +D10* +G36* +X223369703Y-99395722D02* +G01* +X223384264Y-99397882D01* +X223398543Y-99401459D01* +X223412403Y-99406418D01* +X223425710Y-99412712D01* +X223438336Y-99420280D01* +X223450159Y-99429048D01* +X223461066Y-99438934D01* +X223470952Y-99449841D01* +X223479720Y-99461664D01* +X223487288Y-99474290D01* +X223493582Y-99487597D01* +X223498541Y-99501457D01* +X223502118Y-99515736D01* +X223504278Y-99530297D01* +X223505000Y-99545000D01* +X223505000Y-99845000D01* +X223504278Y-99859703D01* +X223502118Y-99874264D01* +X223498541Y-99888543D01* +X223493582Y-99902403D01* +X223487288Y-99915710D01* +X223479720Y-99928336D01* +X223470952Y-99940159D01* +X223461066Y-99951066D01* +X223450159Y-99960952D01* +X223438336Y-99969720D01* +X223425710Y-99977288D01* +X223412403Y-99983582D01* +X223398543Y-99988541D01* +X223384264Y-99992118D01* +X223369703Y-99994278D01* +X223355000Y-99995000D01* +X219655000Y-99995000D01* +X219640297Y-99994278D01* +X219625736Y-99992118D01* +X219611457Y-99988541D01* +X219597597Y-99983582D01* +X219584290Y-99977288D01* +X219571664Y-99969720D01* +X219559841Y-99960952D01* +X219548934Y-99951066D01* +X219539048Y-99940159D01* +X219530280Y-99928336D01* +X219522712Y-99915710D01* +X219516418Y-99902403D01* +X219511459Y-99888543D01* +X219507882Y-99874264D01* +X219505722Y-99859703D01* +X219505000Y-99845000D01* +X219505000Y-99545000D01* +X219505722Y-99530297D01* +X219507882Y-99515736D01* +X219511459Y-99501457D01* +X219516418Y-99487597D01* +X219522712Y-99474290D01* +X219530280Y-99461664D01* +X219539048Y-99449841D01* +X219548934Y-99438934D01* +X219559841Y-99429048D01* +X219571664Y-99420280D01* +X219584290Y-99412712D01* +X219597597Y-99406418D01* +X219611457Y-99401459D01* +X219625736Y-99397882D01* +X219640297Y-99395722D01* +X219655000Y-99395000D01* +X223355000Y-99395000D01* +X223369703Y-99395722D01* +X223369703Y-99395722D01* +G37* +D13* +X221505000Y-99695000D03* +D10* +G36* +X215969703Y-114635722D02* +G01* +X215984264Y-114637882D01* +X215998543Y-114641459D01* +X216012403Y-114646418D01* +X216025710Y-114652712D01* +X216038336Y-114660280D01* +X216050159Y-114669048D01* +X216061066Y-114678934D01* +X216070952Y-114689841D01* +X216079720Y-114701664D01* +X216087288Y-114714290D01* +X216093582Y-114727597D01* +X216098541Y-114741457D01* +X216102118Y-114755736D01* +X216104278Y-114770297D01* +X216105000Y-114785000D01* +X216105000Y-115085000D01* +X216104278Y-115099703D01* +X216102118Y-115114264D01* +X216098541Y-115128543D01* +X216093582Y-115142403D01* +X216087288Y-115155710D01* +X216079720Y-115168336D01* +X216070952Y-115180159D01* +X216061066Y-115191066D01* +X216050159Y-115200952D01* +X216038336Y-115209720D01* +X216025710Y-115217288D01* +X216012403Y-115223582D01* +X215998543Y-115228541D01* +X215984264Y-115232118D01* +X215969703Y-115234278D01* +X215955000Y-115235000D01* +X212255000Y-115235000D01* +X212240297Y-115234278D01* +X212225736Y-115232118D01* +X212211457Y-115228541D01* +X212197597Y-115223582D01* +X212184290Y-115217288D01* +X212171664Y-115209720D01* +X212159841Y-115200952D01* +X212148934Y-115191066D01* +X212139048Y-115180159D01* +X212130280Y-115168336D01* +X212122712Y-115155710D01* +X212116418Y-115142403D01* +X212111459Y-115128543D01* +X212107882Y-115114264D01* +X212105722Y-115099703D01* +X212105000Y-115085000D01* +X212105000Y-114785000D01* +X212105722Y-114770297D01* +X212107882Y-114755736D01* +X212111459Y-114741457D01* +X212116418Y-114727597D01* +X212122712Y-114714290D01* +X212130280Y-114701664D01* +X212139048Y-114689841D01* +X212148934Y-114678934D01* +X212159841Y-114669048D01* +X212171664Y-114660280D01* +X212184290Y-114652712D01* +X212197597Y-114646418D01* +X212211457Y-114641459D01* +X212225736Y-114637882D01* +X212240297Y-114635722D01* +X212255000Y-114635000D01* +X215955000Y-114635000D01* +X215969703Y-114635722D01* +X215969703Y-114635722D01* +G37* +D13* +X214105000Y-114935000D03* +D10* +G36* +X215969703Y-107015722D02* +G01* +X215984264Y-107017882D01* +X215998543Y-107021459D01* +X216012403Y-107026418D01* +X216025710Y-107032712D01* +X216038336Y-107040280D01* +X216050159Y-107049048D01* +X216061066Y-107058934D01* +X216070952Y-107069841D01* +X216079720Y-107081664D01* +X216087288Y-107094290D01* +X216093582Y-107107597D01* +X216098541Y-107121457D01* +X216102118Y-107135736D01* +X216104278Y-107150297D01* +X216105000Y-107165000D01* +X216105000Y-107465000D01* +X216104278Y-107479703D01* +X216102118Y-107494264D01* +X216098541Y-107508543D01* +X216093582Y-107522403D01* +X216087288Y-107535710D01* +X216079720Y-107548336D01* +X216070952Y-107560159D01* +X216061066Y-107571066D01* +X216050159Y-107580952D01* +X216038336Y-107589720D01* +X216025710Y-107597288D01* +X216012403Y-107603582D01* +X215998543Y-107608541D01* +X215984264Y-107612118D01* +X215969703Y-107614278D01* +X215955000Y-107615000D01* +X212255000Y-107615000D01* +X212240297Y-107614278D01* +X212225736Y-107612118D01* +X212211457Y-107608541D01* +X212197597Y-107603582D01* +X212184290Y-107597288D01* +X212171664Y-107589720D01* +X212159841Y-107580952D01* +X212148934Y-107571066D01* +X212139048Y-107560159D01* +X212130280Y-107548336D01* +X212122712Y-107535710D01* +X212116418Y-107522403D01* +X212111459Y-107508543D01* +X212107882Y-107494264D01* +X212105722Y-107479703D01* +X212105000Y-107465000D01* +X212105000Y-107165000D01* +X212105722Y-107150297D01* +X212107882Y-107135736D01* +X212111459Y-107121457D01* +X212116418Y-107107597D01* +X212122712Y-107094290D01* +X212130280Y-107081664D01* +X212139048Y-107069841D01* +X212148934Y-107058934D01* +X212159841Y-107049048D01* +X212171664Y-107040280D01* +X212184290Y-107032712D01* +X212197597Y-107026418D01* +X212211457Y-107021459D01* +X212225736Y-107017882D01* +X212240297Y-107015722D01* +X212255000Y-107015000D01* +X215955000Y-107015000D01* +X215969703Y-107015722D01* +X215969703Y-107015722D01* +G37* +D13* +X214105000Y-107315000D03* +D10* +G36* +X223369703Y-109555722D02* +G01* +X223384264Y-109557882D01* +X223398543Y-109561459D01* +X223412403Y-109566418D01* +X223425710Y-109572712D01* +X223438336Y-109580280D01* +X223450159Y-109589048D01* +X223461066Y-109598934D01* +X223470952Y-109609841D01* +X223479720Y-109621664D01* +X223487288Y-109634290D01* +X223493582Y-109647597D01* +X223498541Y-109661457D01* +X223502118Y-109675736D01* +X223504278Y-109690297D01* +X223505000Y-109705000D01* +X223505000Y-110005000D01* +X223504278Y-110019703D01* +X223502118Y-110034264D01* +X223498541Y-110048543D01* +X223493582Y-110062403D01* +X223487288Y-110075710D01* +X223479720Y-110088336D01* +X223470952Y-110100159D01* +X223461066Y-110111066D01* +X223450159Y-110120952D01* +X223438336Y-110129720D01* +X223425710Y-110137288D01* +X223412403Y-110143582D01* +X223398543Y-110148541D01* +X223384264Y-110152118D01* +X223369703Y-110154278D01* +X223355000Y-110155000D01* +X219655000Y-110155000D01* +X219640297Y-110154278D01* +X219625736Y-110152118D01* +X219611457Y-110148541D01* +X219597597Y-110143582D01* +X219584290Y-110137288D01* +X219571664Y-110129720D01* +X219559841Y-110120952D01* +X219548934Y-110111066D01* +X219539048Y-110100159D01* +X219530280Y-110088336D01* +X219522712Y-110075710D01* +X219516418Y-110062403D01* +X219511459Y-110048543D01* +X219507882Y-110034264D01* +X219505722Y-110019703D01* +X219505000Y-110005000D01* +X219505000Y-109705000D01* +X219505722Y-109690297D01* +X219507882Y-109675736D01* +X219511459Y-109661457D01* +X219516418Y-109647597D01* +X219522712Y-109634290D01* +X219530280Y-109621664D01* +X219539048Y-109609841D01* +X219548934Y-109598934D01* +X219559841Y-109589048D01* +X219571664Y-109580280D01* +X219584290Y-109572712D01* +X219597597Y-109566418D01* +X219611457Y-109561459D01* +X219625736Y-109557882D01* +X219640297Y-109555722D01* +X219655000Y-109555000D01* +X223355000Y-109555000D01* +X223369703Y-109555722D01* +X223369703Y-109555722D01* +G37* +D13* +X221505000Y-109855000D03* +D10* +G36* +X215969703Y-104475722D02* +G01* +X215984264Y-104477882D01* +X215998543Y-104481459D01* +X216012403Y-104486418D01* +X216025710Y-104492712D01* +X216038336Y-104500280D01* +X216050159Y-104509048D01* +X216061066Y-104518934D01* +X216070952Y-104529841D01* +X216079720Y-104541664D01* +X216087288Y-104554290D01* +X216093582Y-104567597D01* +X216098541Y-104581457D01* +X216102118Y-104595736D01* +X216104278Y-104610297D01* +X216105000Y-104625000D01* +X216105000Y-104925000D01* +X216104278Y-104939703D01* +X216102118Y-104954264D01* +X216098541Y-104968543D01* +X216093582Y-104982403D01* +X216087288Y-104995710D01* +X216079720Y-105008336D01* +X216070952Y-105020159D01* +X216061066Y-105031066D01* +X216050159Y-105040952D01* +X216038336Y-105049720D01* +X216025710Y-105057288D01* +X216012403Y-105063582D01* +X215998543Y-105068541D01* +X215984264Y-105072118D01* +X215969703Y-105074278D01* +X215955000Y-105075000D01* +X212255000Y-105075000D01* +X212240297Y-105074278D01* +X212225736Y-105072118D01* +X212211457Y-105068541D01* +X212197597Y-105063582D01* +X212184290Y-105057288D01* +X212171664Y-105049720D01* +X212159841Y-105040952D01* +X212148934Y-105031066D01* +X212139048Y-105020159D01* +X212130280Y-105008336D01* +X212122712Y-104995710D01* +X212116418Y-104982403D01* +X212111459Y-104968543D01* +X212107882Y-104954264D01* +X212105722Y-104939703D01* +X212105000Y-104925000D01* +X212105000Y-104625000D01* +X212105722Y-104610297D01* +X212107882Y-104595736D01* +X212111459Y-104581457D01* +X212116418Y-104567597D01* +X212122712Y-104554290D01* +X212130280Y-104541664D01* +X212139048Y-104529841D01* +X212148934Y-104518934D01* +X212159841Y-104509048D01* +X212171664Y-104500280D01* +X212184290Y-104492712D01* +X212197597Y-104486418D01* +X212211457Y-104481459D01* +X212225736Y-104477882D01* +X212240297Y-104475722D01* +X212255000Y-104475000D01* +X215955000Y-104475000D01* +X215969703Y-104475722D01* +X215969703Y-104475722D01* +G37* +D13* +X214105000Y-104775000D03* +D10* +G36* +X223369703Y-107015722D02* +G01* +X223384264Y-107017882D01* +X223398543Y-107021459D01* +X223412403Y-107026418D01* +X223425710Y-107032712D01* +X223438336Y-107040280D01* +X223450159Y-107049048D01* +X223461066Y-107058934D01* +X223470952Y-107069841D01* +X223479720Y-107081664D01* +X223487288Y-107094290D01* +X223493582Y-107107597D01* +X223498541Y-107121457D01* +X223502118Y-107135736D01* +X223504278Y-107150297D01* +X223505000Y-107165000D01* +X223505000Y-107465000D01* +X223504278Y-107479703D01* +X223502118Y-107494264D01* +X223498541Y-107508543D01* +X223493582Y-107522403D01* +X223487288Y-107535710D01* +X223479720Y-107548336D01* +X223470952Y-107560159D01* +X223461066Y-107571066D01* +X223450159Y-107580952D01* +X223438336Y-107589720D01* +X223425710Y-107597288D01* +X223412403Y-107603582D01* +X223398543Y-107608541D01* +X223384264Y-107612118D01* +X223369703Y-107614278D01* +X223355000Y-107615000D01* +X219655000Y-107615000D01* +X219640297Y-107614278D01* +X219625736Y-107612118D01* +X219611457Y-107608541D01* +X219597597Y-107603582D01* +X219584290Y-107597288D01* +X219571664Y-107589720D01* +X219559841Y-107580952D01* +X219548934Y-107571066D01* +X219539048Y-107560159D01* +X219530280Y-107548336D01* +X219522712Y-107535710D01* +X219516418Y-107522403D01* +X219511459Y-107508543D01* +X219507882Y-107494264D01* +X219505722Y-107479703D01* +X219505000Y-107465000D01* +X219505000Y-107165000D01* +X219505722Y-107150297D01* +X219507882Y-107135736D01* +X219511459Y-107121457D01* +X219516418Y-107107597D01* +X219522712Y-107094290D01* +X219530280Y-107081664D01* +X219539048Y-107069841D01* +X219548934Y-107058934D01* +X219559841Y-107049048D01* +X219571664Y-107040280D01* +X219584290Y-107032712D01* +X219597597Y-107026418D01* +X219611457Y-107021459D01* +X219625736Y-107017882D01* +X219640297Y-107015722D01* +X219655000Y-107015000D01* +X223355000Y-107015000D01* +X223369703Y-107015722D01* +X223369703Y-107015722D01* +G37* +D13* +X221505000Y-107315000D03* +D10* +G36* +X215969703Y-98125722D02* +G01* +X215984264Y-98127882D01* +X215998543Y-98131459D01* +X216012403Y-98136418D01* +X216025710Y-98142712D01* +X216038336Y-98150280D01* +X216050159Y-98159048D01* +X216061066Y-98168934D01* +X216070952Y-98179841D01* +X216079720Y-98191664D01* +X216087288Y-98204290D01* +X216093582Y-98217597D01* +X216098541Y-98231457D01* +X216102118Y-98245736D01* +X216104278Y-98260297D01* +X216105000Y-98275000D01* +X216105000Y-98575000D01* +X216104278Y-98589703D01* +X216102118Y-98604264D01* +X216098541Y-98618543D01* +X216093582Y-98632403D01* +X216087288Y-98645710D01* +X216079720Y-98658336D01* +X216070952Y-98670159D01* +X216061066Y-98681066D01* +X216050159Y-98690952D01* +X216038336Y-98699720D01* +X216025710Y-98707288D01* +X216012403Y-98713582D01* +X215998543Y-98718541D01* +X215984264Y-98722118D01* +X215969703Y-98724278D01* +X215955000Y-98725000D01* +X212255000Y-98725000D01* +X212240297Y-98724278D01* +X212225736Y-98722118D01* +X212211457Y-98718541D01* +X212197597Y-98713582D01* +X212184290Y-98707288D01* +X212171664Y-98699720D01* +X212159841Y-98690952D01* +X212148934Y-98681066D01* +X212139048Y-98670159D01* +X212130280Y-98658336D01* +X212122712Y-98645710D01* +X212116418Y-98632403D01* +X212111459Y-98618543D01* +X212107882Y-98604264D01* +X212105722Y-98589703D01* +X212105000Y-98575000D01* +X212105000Y-98275000D01* +X212105722Y-98260297D01* +X212107882Y-98245736D01* +X212111459Y-98231457D01* +X212116418Y-98217597D01* +X212122712Y-98204290D01* +X212130280Y-98191664D01* +X212139048Y-98179841D01* +X212148934Y-98168934D01* +X212159841Y-98159048D01* +X212171664Y-98150280D01* +X212184290Y-98142712D01* +X212197597Y-98136418D01* +X212211457Y-98131459D01* +X212225736Y-98127882D01* +X212240297Y-98125722D01* +X212255000Y-98125000D01* +X215955000Y-98125000D01* +X215969703Y-98125722D01* +X215969703Y-98125722D01* +G37* +D13* +X214105000Y-98425000D03* +D10* +G36* +X215969703Y-99395722D02* +G01* +X215984264Y-99397882D01* +X215998543Y-99401459D01* +X216012403Y-99406418D01* +X216025710Y-99412712D01* +X216038336Y-99420280D01* +X216050159Y-99429048D01* +X216061066Y-99438934D01* +X216070952Y-99449841D01* +X216079720Y-99461664D01* +X216087288Y-99474290D01* +X216093582Y-99487597D01* +X216098541Y-99501457D01* +X216102118Y-99515736D01* +X216104278Y-99530297D01* +X216105000Y-99545000D01* +X216105000Y-99845000D01* +X216104278Y-99859703D01* +X216102118Y-99874264D01* +X216098541Y-99888543D01* +X216093582Y-99902403D01* +X216087288Y-99915710D01* +X216079720Y-99928336D01* +X216070952Y-99940159D01* +X216061066Y-99951066D01* +X216050159Y-99960952D01* +X216038336Y-99969720D01* +X216025710Y-99977288D01* +X216012403Y-99983582D01* +X215998543Y-99988541D01* +X215984264Y-99992118D01* +X215969703Y-99994278D01* +X215955000Y-99995000D01* +X212255000Y-99995000D01* +X212240297Y-99994278D01* +X212225736Y-99992118D01* +X212211457Y-99988541D01* +X212197597Y-99983582D01* +X212184290Y-99977288D01* +X212171664Y-99969720D01* +X212159841Y-99960952D01* +X212148934Y-99951066D01* +X212139048Y-99940159D01* +X212130280Y-99928336D01* +X212122712Y-99915710D01* +X212116418Y-99902403D01* +X212111459Y-99888543D01* +X212107882Y-99874264D01* +X212105722Y-99859703D01* +X212105000Y-99845000D01* +X212105000Y-99545000D01* +X212105722Y-99530297D01* +X212107882Y-99515736D01* +X212111459Y-99501457D01* +X212116418Y-99487597D01* +X212122712Y-99474290D01* +X212130280Y-99461664D01* +X212139048Y-99449841D01* +X212148934Y-99438934D01* +X212159841Y-99429048D01* +X212171664Y-99420280D01* +X212184290Y-99412712D01* +X212197597Y-99406418D01* +X212211457Y-99401459D01* +X212225736Y-99397882D01* +X212240297Y-99395722D01* +X212255000Y-99395000D01* +X215955000Y-99395000D01* +X215969703Y-99395722D01* +X215969703Y-99395722D01* +G37* +D13* +X214105000Y-99695000D03* +D10* +G36* +X215969703Y-100665722D02* +G01* +X215984264Y-100667882D01* +X215998543Y-100671459D01* +X216012403Y-100676418D01* +X216025710Y-100682712D01* +X216038336Y-100690280D01* +X216050159Y-100699048D01* +X216061066Y-100708934D01* +X216070952Y-100719841D01* +X216079720Y-100731664D01* +X216087288Y-100744290D01* +X216093582Y-100757597D01* +X216098541Y-100771457D01* +X216102118Y-100785736D01* +X216104278Y-100800297D01* +X216105000Y-100815000D01* +X216105000Y-101115000D01* +X216104278Y-101129703D01* +X216102118Y-101144264D01* +X216098541Y-101158543D01* +X216093582Y-101172403D01* +X216087288Y-101185710D01* +X216079720Y-101198336D01* +X216070952Y-101210159D01* +X216061066Y-101221066D01* +X216050159Y-101230952D01* +X216038336Y-101239720D01* +X216025710Y-101247288D01* +X216012403Y-101253582D01* +X215998543Y-101258541D01* +X215984264Y-101262118D01* +X215969703Y-101264278D01* +X215955000Y-101265000D01* +X212255000Y-101265000D01* +X212240297Y-101264278D01* +X212225736Y-101262118D01* +X212211457Y-101258541D01* +X212197597Y-101253582D01* +X212184290Y-101247288D01* +X212171664Y-101239720D01* +X212159841Y-101230952D01* +X212148934Y-101221066D01* +X212139048Y-101210159D01* +X212130280Y-101198336D01* +X212122712Y-101185710D01* +X212116418Y-101172403D01* +X212111459Y-101158543D01* +X212107882Y-101144264D01* +X212105722Y-101129703D01* +X212105000Y-101115000D01* +X212105000Y-100815000D01* +X212105722Y-100800297D01* +X212107882Y-100785736D01* +X212111459Y-100771457D01* +X212116418Y-100757597D01* +X212122712Y-100744290D01* +X212130280Y-100731664D01* +X212139048Y-100719841D01* +X212148934Y-100708934D01* +X212159841Y-100699048D01* +X212171664Y-100690280D01* +X212184290Y-100682712D01* +X212197597Y-100676418D01* +X212211457Y-100671459D01* +X212225736Y-100667882D01* +X212240297Y-100665722D01* +X212255000Y-100665000D01* +X215955000Y-100665000D01* +X215969703Y-100665722D01* +X215969703Y-100665722D01* +G37* +D13* +X214105000Y-100965000D03* +D10* +G36* +X215969703Y-101935722D02* +G01* +X215984264Y-101937882D01* +X215998543Y-101941459D01* +X216012403Y-101946418D01* +X216025710Y-101952712D01* +X216038336Y-101960280D01* +X216050159Y-101969048D01* +X216061066Y-101978934D01* +X216070952Y-101989841D01* +X216079720Y-102001664D01* +X216087288Y-102014290D01* +X216093582Y-102027597D01* +X216098541Y-102041457D01* +X216102118Y-102055736D01* +X216104278Y-102070297D01* +X216105000Y-102085000D01* +X216105000Y-102385000D01* +X216104278Y-102399703D01* +X216102118Y-102414264D01* +X216098541Y-102428543D01* +X216093582Y-102442403D01* +X216087288Y-102455710D01* +X216079720Y-102468336D01* +X216070952Y-102480159D01* +X216061066Y-102491066D01* +X216050159Y-102500952D01* +X216038336Y-102509720D01* +X216025710Y-102517288D01* +X216012403Y-102523582D01* +X215998543Y-102528541D01* +X215984264Y-102532118D01* +X215969703Y-102534278D01* +X215955000Y-102535000D01* +X212255000Y-102535000D01* +X212240297Y-102534278D01* +X212225736Y-102532118D01* +X212211457Y-102528541D01* +X212197597Y-102523582D01* +X212184290Y-102517288D01* +X212171664Y-102509720D01* +X212159841Y-102500952D01* +X212148934Y-102491066D01* +X212139048Y-102480159D01* +X212130280Y-102468336D01* +X212122712Y-102455710D01* +X212116418Y-102442403D01* +X212111459Y-102428543D01* +X212107882Y-102414264D01* +X212105722Y-102399703D01* +X212105000Y-102385000D01* +X212105000Y-102085000D01* +X212105722Y-102070297D01* +X212107882Y-102055736D01* +X212111459Y-102041457D01* +X212116418Y-102027597D01* +X212122712Y-102014290D01* +X212130280Y-102001664D01* +X212139048Y-101989841D01* +X212148934Y-101978934D01* +X212159841Y-101969048D01* +X212171664Y-101960280D01* +X212184290Y-101952712D01* +X212197597Y-101946418D01* +X212211457Y-101941459D01* +X212225736Y-101937882D01* +X212240297Y-101935722D01* +X212255000Y-101935000D01* +X215955000Y-101935000D01* +X215969703Y-101935722D01* +X215969703Y-101935722D01* +G37* +D13* +X214105000Y-102235000D03* +D10* +G36* +X215969703Y-103205722D02* +G01* +X215984264Y-103207882D01* +X215998543Y-103211459D01* +X216012403Y-103216418D01* +X216025710Y-103222712D01* +X216038336Y-103230280D01* +X216050159Y-103239048D01* +X216061066Y-103248934D01* +X216070952Y-103259841D01* +X216079720Y-103271664D01* +X216087288Y-103284290D01* +X216093582Y-103297597D01* +X216098541Y-103311457D01* +X216102118Y-103325736D01* +X216104278Y-103340297D01* +X216105000Y-103355000D01* +X216105000Y-103655000D01* +X216104278Y-103669703D01* +X216102118Y-103684264D01* +X216098541Y-103698543D01* +X216093582Y-103712403D01* +X216087288Y-103725710D01* +X216079720Y-103738336D01* +X216070952Y-103750159D01* +X216061066Y-103761066D01* +X216050159Y-103770952D01* +X216038336Y-103779720D01* +X216025710Y-103787288D01* +X216012403Y-103793582D01* +X215998543Y-103798541D01* +X215984264Y-103802118D01* +X215969703Y-103804278D01* +X215955000Y-103805000D01* +X212255000Y-103805000D01* +X212240297Y-103804278D01* +X212225736Y-103802118D01* +X212211457Y-103798541D01* +X212197597Y-103793582D01* +X212184290Y-103787288D01* +X212171664Y-103779720D01* +X212159841Y-103770952D01* +X212148934Y-103761066D01* +X212139048Y-103750159D01* +X212130280Y-103738336D01* +X212122712Y-103725710D01* +X212116418Y-103712403D01* +X212111459Y-103698543D01* +X212107882Y-103684264D01* +X212105722Y-103669703D01* +X212105000Y-103655000D01* +X212105000Y-103355000D01* +X212105722Y-103340297D01* +X212107882Y-103325736D01* +X212111459Y-103311457D01* +X212116418Y-103297597D01* +X212122712Y-103284290D01* +X212130280Y-103271664D01* +X212139048Y-103259841D01* +X212148934Y-103248934D01* +X212159841Y-103239048D01* +X212171664Y-103230280D01* +X212184290Y-103222712D01* +X212197597Y-103216418D01* +X212211457Y-103211459D01* +X212225736Y-103207882D01* +X212240297Y-103205722D01* +X212255000Y-103205000D01* +X215955000Y-103205000D01* +X215969703Y-103205722D01* +X215969703Y-103205722D01* +G37* +D13* +X214105000Y-103505000D03* +D10* +G36* +X215969703Y-108285722D02* +G01* +X215984264Y-108287882D01* +X215998543Y-108291459D01* +X216012403Y-108296418D01* +X216025710Y-108302712D01* +X216038336Y-108310280D01* +X216050159Y-108319048D01* +X216061066Y-108328934D01* +X216070952Y-108339841D01* +X216079720Y-108351664D01* +X216087288Y-108364290D01* +X216093582Y-108377597D01* +X216098541Y-108391457D01* +X216102118Y-108405736D01* +X216104278Y-108420297D01* +X216105000Y-108435000D01* +X216105000Y-108735000D01* +X216104278Y-108749703D01* +X216102118Y-108764264D01* +X216098541Y-108778543D01* +X216093582Y-108792403D01* +X216087288Y-108805710D01* +X216079720Y-108818336D01* +X216070952Y-108830159D01* +X216061066Y-108841066D01* +X216050159Y-108850952D01* +X216038336Y-108859720D01* +X216025710Y-108867288D01* +X216012403Y-108873582D01* +X215998543Y-108878541D01* +X215984264Y-108882118D01* +X215969703Y-108884278D01* +X215955000Y-108885000D01* +X212255000Y-108885000D01* +X212240297Y-108884278D01* +X212225736Y-108882118D01* +X212211457Y-108878541D01* +X212197597Y-108873582D01* +X212184290Y-108867288D01* +X212171664Y-108859720D01* +X212159841Y-108850952D01* +X212148934Y-108841066D01* +X212139048Y-108830159D01* +X212130280Y-108818336D01* +X212122712Y-108805710D01* +X212116418Y-108792403D01* +X212111459Y-108778543D01* +X212107882Y-108764264D01* +X212105722Y-108749703D01* +X212105000Y-108735000D01* +X212105000Y-108435000D01* +X212105722Y-108420297D01* +X212107882Y-108405736D01* +X212111459Y-108391457D01* +X212116418Y-108377597D01* +X212122712Y-108364290D01* +X212130280Y-108351664D01* +X212139048Y-108339841D01* +X212148934Y-108328934D01* +X212159841Y-108319048D01* +X212171664Y-108310280D01* +X212184290Y-108302712D01* +X212197597Y-108296418D01* +X212211457Y-108291459D01* +X212225736Y-108287882D01* +X212240297Y-108285722D01* +X212255000Y-108285000D01* +X215955000Y-108285000D01* +X215969703Y-108285722D01* +X215969703Y-108285722D01* +G37* +D13* +X214105000Y-108585000D03* +D10* +G36* +X215969703Y-109555722D02* +G01* +X215984264Y-109557882D01* +X215998543Y-109561459D01* +X216012403Y-109566418D01* +X216025710Y-109572712D01* +X216038336Y-109580280D01* +X216050159Y-109589048D01* +X216061066Y-109598934D01* +X216070952Y-109609841D01* +X216079720Y-109621664D01* +X216087288Y-109634290D01* +X216093582Y-109647597D01* +X216098541Y-109661457D01* +X216102118Y-109675736D01* +X216104278Y-109690297D01* +X216105000Y-109705000D01* +X216105000Y-110005000D01* +X216104278Y-110019703D01* +X216102118Y-110034264D01* +X216098541Y-110048543D01* +X216093582Y-110062403D01* +X216087288Y-110075710D01* +X216079720Y-110088336D01* +X216070952Y-110100159D01* +X216061066Y-110111066D01* +X216050159Y-110120952D01* +X216038336Y-110129720D01* +X216025710Y-110137288D01* +X216012403Y-110143582D01* +X215998543Y-110148541D01* +X215984264Y-110152118D01* +X215969703Y-110154278D01* +X215955000Y-110155000D01* +X212255000Y-110155000D01* +X212240297Y-110154278D01* +X212225736Y-110152118D01* +X212211457Y-110148541D01* +X212197597Y-110143582D01* +X212184290Y-110137288D01* +X212171664Y-110129720D01* +X212159841Y-110120952D01* +X212148934Y-110111066D01* +X212139048Y-110100159D01* +X212130280Y-110088336D01* +X212122712Y-110075710D01* +X212116418Y-110062403D01* +X212111459Y-110048543D01* +X212107882Y-110034264D01* +X212105722Y-110019703D01* +X212105000Y-110005000D01* +X212105000Y-109705000D01* +X212105722Y-109690297D01* +X212107882Y-109675736D01* +X212111459Y-109661457D01* +X212116418Y-109647597D01* +X212122712Y-109634290D01* +X212130280Y-109621664D01* +X212139048Y-109609841D01* +X212148934Y-109598934D01* +X212159841Y-109589048D01* +X212171664Y-109580280D01* +X212184290Y-109572712D01* +X212197597Y-109566418D01* +X212211457Y-109561459D01* +X212225736Y-109557882D01* +X212240297Y-109555722D01* +X212255000Y-109555000D01* +X215955000Y-109555000D01* +X215969703Y-109555722D01* +X215969703Y-109555722D01* +G37* +D13* +X214105000Y-109855000D03* +D10* +G36* +X215969703Y-110825722D02* +G01* +X215984264Y-110827882D01* +X215998543Y-110831459D01* +X216012403Y-110836418D01* +X216025710Y-110842712D01* +X216038336Y-110850280D01* +X216050159Y-110859048D01* +X216061066Y-110868934D01* +X216070952Y-110879841D01* +X216079720Y-110891664D01* +X216087288Y-110904290D01* +X216093582Y-110917597D01* +X216098541Y-110931457D01* +X216102118Y-110945736D01* +X216104278Y-110960297D01* +X216105000Y-110975000D01* +X216105000Y-111275000D01* +X216104278Y-111289703D01* +X216102118Y-111304264D01* +X216098541Y-111318543D01* +X216093582Y-111332403D01* +X216087288Y-111345710D01* +X216079720Y-111358336D01* +X216070952Y-111370159D01* +X216061066Y-111381066D01* +X216050159Y-111390952D01* +X216038336Y-111399720D01* +X216025710Y-111407288D01* +X216012403Y-111413582D01* +X215998543Y-111418541D01* +X215984264Y-111422118D01* +X215969703Y-111424278D01* +X215955000Y-111425000D01* +X212255000Y-111425000D01* +X212240297Y-111424278D01* +X212225736Y-111422118D01* +X212211457Y-111418541D01* +X212197597Y-111413582D01* +X212184290Y-111407288D01* +X212171664Y-111399720D01* +X212159841Y-111390952D01* +X212148934Y-111381066D01* +X212139048Y-111370159D01* +X212130280Y-111358336D01* +X212122712Y-111345710D01* +X212116418Y-111332403D01* +X212111459Y-111318543D01* +X212107882Y-111304264D01* +X212105722Y-111289703D01* +X212105000Y-111275000D01* +X212105000Y-110975000D01* +X212105722Y-110960297D01* +X212107882Y-110945736D01* +X212111459Y-110931457D01* +X212116418Y-110917597D01* +X212122712Y-110904290D01* +X212130280Y-110891664D01* +X212139048Y-110879841D01* +X212148934Y-110868934D01* +X212159841Y-110859048D01* +X212171664Y-110850280D01* +X212184290Y-110842712D01* +X212197597Y-110836418D01* +X212211457Y-110831459D01* +X212225736Y-110827882D01* +X212240297Y-110825722D01* +X212255000Y-110825000D01* +X215955000Y-110825000D01* +X215969703Y-110825722D01* +X215969703Y-110825722D01* +G37* +D13* +X214105000Y-111125000D03* +D10* +G36* +X215969703Y-112095722D02* +G01* +X215984264Y-112097882D01* +X215998543Y-112101459D01* +X216012403Y-112106418D01* +X216025710Y-112112712D01* +X216038336Y-112120280D01* +X216050159Y-112129048D01* +X216061066Y-112138934D01* +X216070952Y-112149841D01* +X216079720Y-112161664D01* +X216087288Y-112174290D01* +X216093582Y-112187597D01* +X216098541Y-112201457D01* +X216102118Y-112215736D01* +X216104278Y-112230297D01* +X216105000Y-112245000D01* +X216105000Y-112545000D01* +X216104278Y-112559703D01* +X216102118Y-112574264D01* +X216098541Y-112588543D01* +X216093582Y-112602403D01* +X216087288Y-112615710D01* +X216079720Y-112628336D01* +X216070952Y-112640159D01* +X216061066Y-112651066D01* +X216050159Y-112660952D01* +X216038336Y-112669720D01* +X216025710Y-112677288D01* +X216012403Y-112683582D01* +X215998543Y-112688541D01* +X215984264Y-112692118D01* +X215969703Y-112694278D01* +X215955000Y-112695000D01* +X212255000Y-112695000D01* +X212240297Y-112694278D01* +X212225736Y-112692118D01* +X212211457Y-112688541D01* +X212197597Y-112683582D01* +X212184290Y-112677288D01* +X212171664Y-112669720D01* +X212159841Y-112660952D01* +X212148934Y-112651066D01* +X212139048Y-112640159D01* +X212130280Y-112628336D01* +X212122712Y-112615710D01* +X212116418Y-112602403D01* +X212111459Y-112588543D01* +X212107882Y-112574264D01* +X212105722Y-112559703D01* +X212105000Y-112545000D01* +X212105000Y-112245000D01* +X212105722Y-112230297D01* +X212107882Y-112215736D01* +X212111459Y-112201457D01* +X212116418Y-112187597D01* +X212122712Y-112174290D01* +X212130280Y-112161664D01* +X212139048Y-112149841D01* +X212148934Y-112138934D01* +X212159841Y-112129048D01* +X212171664Y-112120280D01* +X212184290Y-112112712D01* +X212197597Y-112106418D01* +X212211457Y-112101459D01* +X212225736Y-112097882D01* +X212240297Y-112095722D01* +X212255000Y-112095000D01* +X215955000Y-112095000D01* +X215969703Y-112095722D01* +X215969703Y-112095722D01* +G37* +D13* +X214105000Y-112395000D03* +D10* +G36* +X215969703Y-113365722D02* +G01* +X215984264Y-113367882D01* +X215998543Y-113371459D01* +X216012403Y-113376418D01* +X216025710Y-113382712D01* +X216038336Y-113390280D01* +X216050159Y-113399048D01* +X216061066Y-113408934D01* +X216070952Y-113419841D01* +X216079720Y-113431664D01* +X216087288Y-113444290D01* +X216093582Y-113457597D01* +X216098541Y-113471457D01* +X216102118Y-113485736D01* +X216104278Y-113500297D01* +X216105000Y-113515000D01* +X216105000Y-113815000D01* +X216104278Y-113829703D01* +X216102118Y-113844264D01* +X216098541Y-113858543D01* +X216093582Y-113872403D01* +X216087288Y-113885710D01* +X216079720Y-113898336D01* +X216070952Y-113910159D01* +X216061066Y-113921066D01* +X216050159Y-113930952D01* +X216038336Y-113939720D01* +X216025710Y-113947288D01* +X216012403Y-113953582D01* +X215998543Y-113958541D01* +X215984264Y-113962118D01* +X215969703Y-113964278D01* +X215955000Y-113965000D01* +X212255000Y-113965000D01* +X212240297Y-113964278D01* +X212225736Y-113962118D01* +X212211457Y-113958541D01* +X212197597Y-113953582D01* +X212184290Y-113947288D01* +X212171664Y-113939720D01* +X212159841Y-113930952D01* +X212148934Y-113921066D01* +X212139048Y-113910159D01* +X212130280Y-113898336D01* +X212122712Y-113885710D01* +X212116418Y-113872403D01* +X212111459Y-113858543D01* +X212107882Y-113844264D01* +X212105722Y-113829703D01* +X212105000Y-113815000D01* +X212105000Y-113515000D01* +X212105722Y-113500297D01* +X212107882Y-113485736D01* +X212111459Y-113471457D01* +X212116418Y-113457597D01* +X212122712Y-113444290D01* +X212130280Y-113431664D01* +X212139048Y-113419841D01* +X212148934Y-113408934D01* +X212159841Y-113399048D01* +X212171664Y-113390280D01* +X212184290Y-113382712D01* +X212197597Y-113376418D01* +X212211457Y-113371459D01* +X212225736Y-113367882D01* +X212240297Y-113365722D01* +X212255000Y-113365000D01* +X215955000Y-113365000D01* +X215969703Y-113365722D01* +X215969703Y-113365722D01* +G37* +D13* +X214105000Y-113665000D03* +D10* +G36* +X223369703Y-114635722D02* +G01* +X223384264Y-114637882D01* +X223398543Y-114641459D01* +X223412403Y-114646418D01* +X223425710Y-114652712D01* +X223438336Y-114660280D01* +X223450159Y-114669048D01* +X223461066Y-114678934D01* +X223470952Y-114689841D01* +X223479720Y-114701664D01* +X223487288Y-114714290D01* +X223493582Y-114727597D01* +X223498541Y-114741457D01* +X223502118Y-114755736D01* +X223504278Y-114770297D01* +X223505000Y-114785000D01* +X223505000Y-115085000D01* +X223504278Y-115099703D01* +X223502118Y-115114264D01* +X223498541Y-115128543D01* +X223493582Y-115142403D01* +X223487288Y-115155710D01* +X223479720Y-115168336D01* +X223470952Y-115180159D01* +X223461066Y-115191066D01* +X223450159Y-115200952D01* +X223438336Y-115209720D01* +X223425710Y-115217288D01* +X223412403Y-115223582D01* +X223398543Y-115228541D01* +X223384264Y-115232118D01* +X223369703Y-115234278D01* +X223355000Y-115235000D01* +X219655000Y-115235000D01* +X219640297Y-115234278D01* +X219625736Y-115232118D01* +X219611457Y-115228541D01* +X219597597Y-115223582D01* +X219584290Y-115217288D01* +X219571664Y-115209720D01* +X219559841Y-115200952D01* +X219548934Y-115191066D01* +X219539048Y-115180159D01* +X219530280Y-115168336D01* +X219522712Y-115155710D01* +X219516418Y-115142403D01* +X219511459Y-115128543D01* +X219507882Y-115114264D01* +X219505722Y-115099703D01* +X219505000Y-115085000D01* +X219505000Y-114785000D01* +X219505722Y-114770297D01* +X219507882Y-114755736D01* +X219511459Y-114741457D01* +X219516418Y-114727597D01* +X219522712Y-114714290D01* +X219530280Y-114701664D01* +X219539048Y-114689841D01* +X219548934Y-114678934D01* +X219559841Y-114669048D01* +X219571664Y-114660280D01* +X219584290Y-114652712D01* +X219597597Y-114646418D01* +X219611457Y-114641459D01* +X219625736Y-114637882D01* +X219640297Y-114635722D01* +X219655000Y-114635000D01* +X223355000Y-114635000D01* +X223369703Y-114635722D01* +X223369703Y-114635722D01* +G37* +D13* +X221505000Y-114935000D03* +D10* +G36* +X223369703Y-113365722D02* +G01* +X223384264Y-113367882D01* +X223398543Y-113371459D01* +X223412403Y-113376418D01* +X223425710Y-113382712D01* +X223438336Y-113390280D01* +X223450159Y-113399048D01* +X223461066Y-113408934D01* +X223470952Y-113419841D01* +X223479720Y-113431664D01* +X223487288Y-113444290D01* +X223493582Y-113457597D01* +X223498541Y-113471457D01* +X223502118Y-113485736D01* +X223504278Y-113500297D01* +X223505000Y-113515000D01* +X223505000Y-113815000D01* +X223504278Y-113829703D01* +X223502118Y-113844264D01* +X223498541Y-113858543D01* +X223493582Y-113872403D01* +X223487288Y-113885710D01* +X223479720Y-113898336D01* +X223470952Y-113910159D01* +X223461066Y-113921066D01* +X223450159Y-113930952D01* +X223438336Y-113939720D01* +X223425710Y-113947288D01* +X223412403Y-113953582D01* +X223398543Y-113958541D01* +X223384264Y-113962118D01* +X223369703Y-113964278D01* +X223355000Y-113965000D01* +X219655000Y-113965000D01* +X219640297Y-113964278D01* +X219625736Y-113962118D01* +X219611457Y-113958541D01* +X219597597Y-113953582D01* +X219584290Y-113947288D01* +X219571664Y-113939720D01* +X219559841Y-113930952D01* +X219548934Y-113921066D01* +X219539048Y-113910159D01* +X219530280Y-113898336D01* +X219522712Y-113885710D01* +X219516418Y-113872403D01* +X219511459Y-113858543D01* +X219507882Y-113844264D01* +X219505722Y-113829703D01* +X219505000Y-113815000D01* +X219505000Y-113515000D01* +X219505722Y-113500297D01* +X219507882Y-113485736D01* +X219511459Y-113471457D01* +X219516418Y-113457597D01* +X219522712Y-113444290D01* +X219530280Y-113431664D01* +X219539048Y-113419841D01* +X219548934Y-113408934D01* +X219559841Y-113399048D01* +X219571664Y-113390280D01* +X219584290Y-113382712D01* +X219597597Y-113376418D01* +X219611457Y-113371459D01* +X219625736Y-113367882D01* +X219640297Y-113365722D01* +X219655000Y-113365000D01* +X223355000Y-113365000D01* +X223369703Y-113365722D01* +X223369703Y-113365722D01* +G37* +D13* +X221505000Y-113665000D03* +D10* +G36* +X223369703Y-112095722D02* +G01* +X223384264Y-112097882D01* +X223398543Y-112101459D01* +X223412403Y-112106418D01* +X223425710Y-112112712D01* +X223438336Y-112120280D01* +X223450159Y-112129048D01* +X223461066Y-112138934D01* +X223470952Y-112149841D01* +X223479720Y-112161664D01* +X223487288Y-112174290D01* +X223493582Y-112187597D01* +X223498541Y-112201457D01* +X223502118Y-112215736D01* +X223504278Y-112230297D01* +X223505000Y-112245000D01* +X223505000Y-112545000D01* +X223504278Y-112559703D01* +X223502118Y-112574264D01* +X223498541Y-112588543D01* +X223493582Y-112602403D01* +X223487288Y-112615710D01* +X223479720Y-112628336D01* +X223470952Y-112640159D01* +X223461066Y-112651066D01* +X223450159Y-112660952D01* +X223438336Y-112669720D01* +X223425710Y-112677288D01* +X223412403Y-112683582D01* +X223398543Y-112688541D01* +X223384264Y-112692118D01* +X223369703Y-112694278D01* +X223355000Y-112695000D01* +X219655000Y-112695000D01* +X219640297Y-112694278D01* +X219625736Y-112692118D01* +X219611457Y-112688541D01* +X219597597Y-112683582D01* +X219584290Y-112677288D01* +X219571664Y-112669720D01* +X219559841Y-112660952D01* +X219548934Y-112651066D01* +X219539048Y-112640159D01* +X219530280Y-112628336D01* +X219522712Y-112615710D01* +X219516418Y-112602403D01* +X219511459Y-112588543D01* +X219507882Y-112574264D01* +X219505722Y-112559703D01* +X219505000Y-112545000D01* +X219505000Y-112245000D01* +X219505722Y-112230297D01* +X219507882Y-112215736D01* +X219511459Y-112201457D01* +X219516418Y-112187597D01* +X219522712Y-112174290D01* +X219530280Y-112161664D01* +X219539048Y-112149841D01* +X219548934Y-112138934D01* +X219559841Y-112129048D01* +X219571664Y-112120280D01* +X219584290Y-112112712D01* +X219597597Y-112106418D01* +X219611457Y-112101459D01* +X219625736Y-112097882D01* +X219640297Y-112095722D01* +X219655000Y-112095000D01* +X223355000Y-112095000D01* +X223369703Y-112095722D01* +X223369703Y-112095722D01* +G37* +D13* +X221505000Y-112395000D03* +D10* +G36* +X223369703Y-110825722D02* +G01* +X223384264Y-110827882D01* +X223398543Y-110831459D01* +X223412403Y-110836418D01* +X223425710Y-110842712D01* +X223438336Y-110850280D01* +X223450159Y-110859048D01* +X223461066Y-110868934D01* +X223470952Y-110879841D01* +X223479720Y-110891664D01* +X223487288Y-110904290D01* +X223493582Y-110917597D01* +X223498541Y-110931457D01* +X223502118Y-110945736D01* +X223504278Y-110960297D01* +X223505000Y-110975000D01* +X223505000Y-111275000D01* +X223504278Y-111289703D01* +X223502118Y-111304264D01* +X223498541Y-111318543D01* +X223493582Y-111332403D01* +X223487288Y-111345710D01* +X223479720Y-111358336D01* +X223470952Y-111370159D01* +X223461066Y-111381066D01* +X223450159Y-111390952D01* +X223438336Y-111399720D01* +X223425710Y-111407288D01* +X223412403Y-111413582D01* +X223398543Y-111418541D01* +X223384264Y-111422118D01* +X223369703Y-111424278D01* +X223355000Y-111425000D01* +X219655000Y-111425000D01* +X219640297Y-111424278D01* +X219625736Y-111422118D01* +X219611457Y-111418541D01* +X219597597Y-111413582D01* +X219584290Y-111407288D01* +X219571664Y-111399720D01* +X219559841Y-111390952D01* +X219548934Y-111381066D01* +X219539048Y-111370159D01* +X219530280Y-111358336D01* +X219522712Y-111345710D01* +X219516418Y-111332403D01* +X219511459Y-111318543D01* +X219507882Y-111304264D01* +X219505722Y-111289703D01* +X219505000Y-111275000D01* +X219505000Y-110975000D01* +X219505722Y-110960297D01* +X219507882Y-110945736D01* +X219511459Y-110931457D01* +X219516418Y-110917597D01* +X219522712Y-110904290D01* +X219530280Y-110891664D01* +X219539048Y-110879841D01* +X219548934Y-110868934D01* +X219559841Y-110859048D01* +X219571664Y-110850280D01* +X219584290Y-110842712D01* +X219597597Y-110836418D01* +X219611457Y-110831459D01* +X219625736Y-110827882D01* +X219640297Y-110825722D01* +X219655000Y-110825000D01* +X223355000Y-110825000D01* +X223369703Y-110825722D01* +X223369703Y-110825722D01* +G37* +D13* +X221505000Y-111125000D03* +D10* +G36* +X223369703Y-105745722D02* +G01* +X223384264Y-105747882D01* +X223398543Y-105751459D01* +X223412403Y-105756418D01* +X223425710Y-105762712D01* +X223438336Y-105770280D01* +X223450159Y-105779048D01* +X223461066Y-105788934D01* +X223470952Y-105799841D01* +X223479720Y-105811664D01* +X223487288Y-105824290D01* +X223493582Y-105837597D01* +X223498541Y-105851457D01* +X223502118Y-105865736D01* +X223504278Y-105880297D01* +X223505000Y-105895000D01* +X223505000Y-106195000D01* +X223504278Y-106209703D01* +X223502118Y-106224264D01* +X223498541Y-106238543D01* +X223493582Y-106252403D01* +X223487288Y-106265710D01* +X223479720Y-106278336D01* +X223470952Y-106290159D01* +X223461066Y-106301066D01* +X223450159Y-106310952D01* +X223438336Y-106319720D01* +X223425710Y-106327288D01* +X223412403Y-106333582D01* +X223398543Y-106338541D01* +X223384264Y-106342118D01* +X223369703Y-106344278D01* +X223355000Y-106345000D01* +X219655000Y-106345000D01* +X219640297Y-106344278D01* +X219625736Y-106342118D01* +X219611457Y-106338541D01* +X219597597Y-106333582D01* +X219584290Y-106327288D01* +X219571664Y-106319720D01* +X219559841Y-106310952D01* +X219548934Y-106301066D01* +X219539048Y-106290159D01* +X219530280Y-106278336D01* +X219522712Y-106265710D01* +X219516418Y-106252403D01* +X219511459Y-106238543D01* +X219507882Y-106224264D01* +X219505722Y-106209703D01* +X219505000Y-106195000D01* +X219505000Y-105895000D01* +X219505722Y-105880297D01* +X219507882Y-105865736D01* +X219511459Y-105851457D01* +X219516418Y-105837597D01* +X219522712Y-105824290D01* +X219530280Y-105811664D01* +X219539048Y-105799841D01* +X219548934Y-105788934D01* +X219559841Y-105779048D01* +X219571664Y-105770280D01* +X219584290Y-105762712D01* +X219597597Y-105756418D01* +X219611457Y-105751459D01* +X219625736Y-105747882D01* +X219640297Y-105745722D01* +X219655000Y-105745000D01* +X223355000Y-105745000D01* +X223369703Y-105745722D01* +X223369703Y-105745722D01* +G37* +D13* +X221505000Y-106045000D03* +D10* +G36* +X223369703Y-104475722D02* +G01* +X223384264Y-104477882D01* +X223398543Y-104481459D01* +X223412403Y-104486418D01* +X223425710Y-104492712D01* +X223438336Y-104500280D01* +X223450159Y-104509048D01* +X223461066Y-104518934D01* +X223470952Y-104529841D01* +X223479720Y-104541664D01* +X223487288Y-104554290D01* +X223493582Y-104567597D01* +X223498541Y-104581457D01* +X223502118Y-104595736D01* +X223504278Y-104610297D01* +X223505000Y-104625000D01* +X223505000Y-104925000D01* +X223504278Y-104939703D01* +X223502118Y-104954264D01* +X223498541Y-104968543D01* +X223493582Y-104982403D01* +X223487288Y-104995710D01* +X223479720Y-105008336D01* +X223470952Y-105020159D01* +X223461066Y-105031066D01* +X223450159Y-105040952D01* +X223438336Y-105049720D01* +X223425710Y-105057288D01* +X223412403Y-105063582D01* +X223398543Y-105068541D01* +X223384264Y-105072118D01* +X223369703Y-105074278D01* +X223355000Y-105075000D01* +X219655000Y-105075000D01* +X219640297Y-105074278D01* +X219625736Y-105072118D01* +X219611457Y-105068541D01* +X219597597Y-105063582D01* +X219584290Y-105057288D01* +X219571664Y-105049720D01* +X219559841Y-105040952D01* +X219548934Y-105031066D01* +X219539048Y-105020159D01* +X219530280Y-105008336D01* +X219522712Y-104995710D01* +X219516418Y-104982403D01* +X219511459Y-104968543D01* +X219507882Y-104954264D01* +X219505722Y-104939703D01* +X219505000Y-104925000D01* +X219505000Y-104625000D01* +X219505722Y-104610297D01* +X219507882Y-104595736D01* +X219511459Y-104581457D01* +X219516418Y-104567597D01* +X219522712Y-104554290D01* +X219530280Y-104541664D01* +X219539048Y-104529841D01* +X219548934Y-104518934D01* +X219559841Y-104509048D01* +X219571664Y-104500280D01* +X219584290Y-104492712D01* +X219597597Y-104486418D01* +X219611457Y-104481459D01* +X219625736Y-104477882D01* +X219640297Y-104475722D01* +X219655000Y-104475000D01* +X223355000Y-104475000D01* +X223369703Y-104475722D01* +X223369703Y-104475722D01* +G37* +D13* +X221505000Y-104775000D03* +D10* +G36* +X223369703Y-103205722D02* +G01* +X223384264Y-103207882D01* +X223398543Y-103211459D01* +X223412403Y-103216418D01* +X223425710Y-103222712D01* +X223438336Y-103230280D01* +X223450159Y-103239048D01* +X223461066Y-103248934D01* +X223470952Y-103259841D01* +X223479720Y-103271664D01* +X223487288Y-103284290D01* +X223493582Y-103297597D01* +X223498541Y-103311457D01* +X223502118Y-103325736D01* +X223504278Y-103340297D01* +X223505000Y-103355000D01* +X223505000Y-103655000D01* +X223504278Y-103669703D01* +X223502118Y-103684264D01* +X223498541Y-103698543D01* +X223493582Y-103712403D01* +X223487288Y-103725710D01* +X223479720Y-103738336D01* +X223470952Y-103750159D01* +X223461066Y-103761066D01* +X223450159Y-103770952D01* +X223438336Y-103779720D01* +X223425710Y-103787288D01* +X223412403Y-103793582D01* +X223398543Y-103798541D01* +X223384264Y-103802118D01* +X223369703Y-103804278D01* +X223355000Y-103805000D01* +X219655000Y-103805000D01* +X219640297Y-103804278D01* +X219625736Y-103802118D01* +X219611457Y-103798541D01* +X219597597Y-103793582D01* +X219584290Y-103787288D01* +X219571664Y-103779720D01* +X219559841Y-103770952D01* +X219548934Y-103761066D01* +X219539048Y-103750159D01* +X219530280Y-103738336D01* +X219522712Y-103725710D01* +X219516418Y-103712403D01* +X219511459Y-103698543D01* +X219507882Y-103684264D01* +X219505722Y-103669703D01* +X219505000Y-103655000D01* +X219505000Y-103355000D01* +X219505722Y-103340297D01* +X219507882Y-103325736D01* +X219511459Y-103311457D01* +X219516418Y-103297597D01* +X219522712Y-103284290D01* +X219530280Y-103271664D01* +X219539048Y-103259841D01* +X219548934Y-103248934D01* +X219559841Y-103239048D01* +X219571664Y-103230280D01* +X219584290Y-103222712D01* +X219597597Y-103216418D01* +X219611457Y-103211459D01* +X219625736Y-103207882D01* +X219640297Y-103205722D01* +X219655000Y-103205000D01* +X223355000Y-103205000D01* +X223369703Y-103205722D01* +X223369703Y-103205722D01* +G37* +D13* +X221505000Y-103505000D03* +D10* +G36* +X223369703Y-101935722D02* +G01* +X223384264Y-101937882D01* +X223398543Y-101941459D01* +X223412403Y-101946418D01* +X223425710Y-101952712D01* +X223438336Y-101960280D01* +X223450159Y-101969048D01* +X223461066Y-101978934D01* +X223470952Y-101989841D01* +X223479720Y-102001664D01* +X223487288Y-102014290D01* +X223493582Y-102027597D01* +X223498541Y-102041457D01* +X223502118Y-102055736D01* +X223504278Y-102070297D01* +X223505000Y-102085000D01* +X223505000Y-102385000D01* +X223504278Y-102399703D01* +X223502118Y-102414264D01* +X223498541Y-102428543D01* +X223493582Y-102442403D01* +X223487288Y-102455710D01* +X223479720Y-102468336D01* +X223470952Y-102480159D01* +X223461066Y-102491066D01* +X223450159Y-102500952D01* +X223438336Y-102509720D01* +X223425710Y-102517288D01* +X223412403Y-102523582D01* +X223398543Y-102528541D01* +X223384264Y-102532118D01* +X223369703Y-102534278D01* +X223355000Y-102535000D01* +X219655000Y-102535000D01* +X219640297Y-102534278D01* +X219625736Y-102532118D01* +X219611457Y-102528541D01* +X219597597Y-102523582D01* +X219584290Y-102517288D01* +X219571664Y-102509720D01* +X219559841Y-102500952D01* +X219548934Y-102491066D01* +X219539048Y-102480159D01* +X219530280Y-102468336D01* +X219522712Y-102455710D01* +X219516418Y-102442403D01* +X219511459Y-102428543D01* +X219507882Y-102414264D01* +X219505722Y-102399703D01* +X219505000Y-102385000D01* +X219505000Y-102085000D01* +X219505722Y-102070297D01* +X219507882Y-102055736D01* +X219511459Y-102041457D01* +X219516418Y-102027597D01* +X219522712Y-102014290D01* +X219530280Y-102001664D01* +X219539048Y-101989841D01* +X219548934Y-101978934D01* +X219559841Y-101969048D01* +X219571664Y-101960280D01* +X219584290Y-101952712D01* +X219597597Y-101946418D01* +X219611457Y-101941459D01* +X219625736Y-101937882D01* +X219640297Y-101935722D01* +X219655000Y-101935000D01* +X223355000Y-101935000D01* +X223369703Y-101935722D01* +X223369703Y-101935722D01* +G37* +D13* +X221505000Y-102235000D03* +D10* +G36* +X223369703Y-100665722D02* +G01* +X223384264Y-100667882D01* +X223398543Y-100671459D01* +X223412403Y-100676418D01* +X223425710Y-100682712D01* +X223438336Y-100690280D01* +X223450159Y-100699048D01* +X223461066Y-100708934D01* +X223470952Y-100719841D01* +X223479720Y-100731664D01* +X223487288Y-100744290D01* +X223493582Y-100757597D01* +X223498541Y-100771457D01* +X223502118Y-100785736D01* +X223504278Y-100800297D01* +X223505000Y-100815000D01* +X223505000Y-101115000D01* +X223504278Y-101129703D01* +X223502118Y-101144264D01* +X223498541Y-101158543D01* +X223493582Y-101172403D01* +X223487288Y-101185710D01* +X223479720Y-101198336D01* +X223470952Y-101210159D01* +X223461066Y-101221066D01* +X223450159Y-101230952D01* +X223438336Y-101239720D01* +X223425710Y-101247288D01* +X223412403Y-101253582D01* +X223398543Y-101258541D01* +X223384264Y-101262118D01* +X223369703Y-101264278D01* +X223355000Y-101265000D01* +X219655000Y-101265000D01* +X219640297Y-101264278D01* +X219625736Y-101262118D01* +X219611457Y-101258541D01* +X219597597Y-101253582D01* +X219584290Y-101247288D01* +X219571664Y-101239720D01* +X219559841Y-101230952D01* +X219548934Y-101221066D01* +X219539048Y-101210159D01* +X219530280Y-101198336D01* +X219522712Y-101185710D01* +X219516418Y-101172403D01* +X219511459Y-101158543D01* +X219507882Y-101144264D01* +X219505722Y-101129703D01* +X219505000Y-101115000D01* +X219505000Y-100815000D01* +X219505722Y-100800297D01* +X219507882Y-100785736D01* +X219511459Y-100771457D01* +X219516418Y-100757597D01* +X219522712Y-100744290D01* +X219530280Y-100731664D01* +X219539048Y-100719841D01* +X219548934Y-100708934D01* +X219559841Y-100699048D01* +X219571664Y-100690280D01* +X219584290Y-100682712D01* +X219597597Y-100676418D01* +X219611457Y-100671459D01* +X219625736Y-100667882D01* +X219640297Y-100665722D01* +X219655000Y-100665000D01* +X223355000Y-100665000D01* +X223369703Y-100665722D01* +X223369703Y-100665722D01* +G37* +D13* +X221505000Y-100965000D03* +D10* +G36* +X215969703Y-105745722D02* +G01* +X215984264Y-105747882D01* +X215998543Y-105751459D01* +X216012403Y-105756418D01* +X216025710Y-105762712D01* +X216038336Y-105770280D01* +X216050159Y-105779048D01* +X216061066Y-105788934D01* +X216070952Y-105799841D01* +X216079720Y-105811664D01* +X216087288Y-105824290D01* +X216093582Y-105837597D01* +X216098541Y-105851457D01* +X216102118Y-105865736D01* +X216104278Y-105880297D01* +X216105000Y-105895000D01* +X216105000Y-106195000D01* +X216104278Y-106209703D01* +X216102118Y-106224264D01* +X216098541Y-106238543D01* +X216093582Y-106252403D01* +X216087288Y-106265710D01* +X216079720Y-106278336D01* +X216070952Y-106290159D01* +X216061066Y-106301066D01* +X216050159Y-106310952D01* +X216038336Y-106319720D01* +X216025710Y-106327288D01* +X216012403Y-106333582D01* +X215998543Y-106338541D01* +X215984264Y-106342118D01* +X215969703Y-106344278D01* +X215955000Y-106345000D01* +X212255000Y-106345000D01* +X212240297Y-106344278D01* +X212225736Y-106342118D01* +X212211457Y-106338541D01* +X212197597Y-106333582D01* +X212184290Y-106327288D01* +X212171664Y-106319720D01* +X212159841Y-106310952D01* +X212148934Y-106301066D01* +X212139048Y-106290159D01* +X212130280Y-106278336D01* +X212122712Y-106265710D01* +X212116418Y-106252403D01* +X212111459Y-106238543D01* +X212107882Y-106224264D01* +X212105722Y-106209703D01* +X212105000Y-106195000D01* +X212105000Y-105895000D01* +X212105722Y-105880297D01* +X212107882Y-105865736D01* +X212111459Y-105851457D01* +X212116418Y-105837597D01* +X212122712Y-105824290D01* +X212130280Y-105811664D01* +X212139048Y-105799841D01* +X212148934Y-105788934D01* +X212159841Y-105779048D01* +X212171664Y-105770280D01* +X212184290Y-105762712D01* +X212197597Y-105756418D01* +X212211457Y-105751459D01* +X212225736Y-105747882D01* +X212240297Y-105745722D01* +X212255000Y-105745000D01* +X215955000Y-105745000D01* +X215969703Y-105745722D01* +X215969703Y-105745722D01* +G37* +D13* +X214105000Y-106045000D03* +D10* +G36* +X223369703Y-108285722D02* +G01* +X223384264Y-108287882D01* +X223398543Y-108291459D01* +X223412403Y-108296418D01* +X223425710Y-108302712D01* +X223438336Y-108310280D01* +X223450159Y-108319048D01* +X223461066Y-108328934D01* +X223470952Y-108339841D01* +X223479720Y-108351664D01* +X223487288Y-108364290D01* +X223493582Y-108377597D01* +X223498541Y-108391457D01* +X223502118Y-108405736D01* +X223504278Y-108420297D01* +X223505000Y-108435000D01* +X223505000Y-108735000D01* +X223504278Y-108749703D01* +X223502118Y-108764264D01* +X223498541Y-108778543D01* +X223493582Y-108792403D01* +X223487288Y-108805710D01* +X223479720Y-108818336D01* +X223470952Y-108830159D01* +X223461066Y-108841066D01* +X223450159Y-108850952D01* +X223438336Y-108859720D01* +X223425710Y-108867288D01* +X223412403Y-108873582D01* +X223398543Y-108878541D01* +X223384264Y-108882118D01* +X223369703Y-108884278D01* +X223355000Y-108885000D01* +X219655000Y-108885000D01* +X219640297Y-108884278D01* +X219625736Y-108882118D01* +X219611457Y-108878541D01* +X219597597Y-108873582D01* +X219584290Y-108867288D01* +X219571664Y-108859720D01* +X219559841Y-108850952D01* +X219548934Y-108841066D01* +X219539048Y-108830159D01* +X219530280Y-108818336D01* +X219522712Y-108805710D01* +X219516418Y-108792403D01* +X219511459Y-108778543D01* +X219507882Y-108764264D01* +X219505722Y-108749703D01* +X219505000Y-108735000D01* +X219505000Y-108435000D01* +X219505722Y-108420297D01* +X219507882Y-108405736D01* +X219511459Y-108391457D01* +X219516418Y-108377597D01* +X219522712Y-108364290D01* +X219530280Y-108351664D01* +X219539048Y-108339841D01* +X219548934Y-108328934D01* +X219559841Y-108319048D01* +X219571664Y-108310280D01* +X219584290Y-108302712D01* +X219597597Y-108296418D01* +X219611457Y-108291459D01* +X219625736Y-108287882D01* +X219640297Y-108285722D01* +X219655000Y-108285000D01* +X223355000Y-108285000D01* +X223369703Y-108285722D01* +X223369703Y-108285722D01* +G37* +D13* +X221505000Y-108585000D03* +D17* +X226060000Y-111760000D03* +D16* +X205232000Y-111760000D03* +D17* +X211201000Y-117475000D03* +X206883000Y-117475000D03* +X224155000Y-106045000D03* +X224155000Y-98425000D03* +X221488000Y-97536000D03* +X221488000Y-115824000D03* +X224155000Y-114935000D03* +X216916000Y-117475000D03* +X215773000Y-118745000D03* +X215773000Y-94615000D03* +X216916000Y-95885000D03* +X228600000Y-110109000D03* +X226060000Y-110109000D03* +D13* +X232029000Y-116840000D03* +X234569000Y-116840000D03* +X232029000Y-110490000D03* +X234569000Y-110490000D03* +X251460000Y-96139000D03* +X251460000Y-98679000D03* +X234950000Y-98679000D03* +X234950000Y-96139000D03* +X260731000Y-115570000D03* +X263271000Y-115570000D03* +X260731000Y-104140000D03* +X263271000Y-104140000D03* +X257810000Y-124841000D03* +X257810000Y-127381000D03* +X241300000Y-127381000D03* +X241300000Y-124841000D03* +D17* +X266700000Y-107569000D03* +X269240000Y-107569000D03* +X267970000Y-106426000D03* +X226060000Y-122809000D03* +X228600000Y-122809000D03* +X227330000Y-121666000D03* +D13* +X219710000Y-131064000D03* +X222250000Y-131064000D03* +X224790000Y-131064000D03* +X227330000Y-131064000D03* +X229870000Y-131064000D03* +X232410000Y-131064000D03* +X224028000Y-129667000D03* +X227330000Y-129286000D03* +X231140000Y-128524000D03* +X229489000Y-128905000D03* +X234950000Y-131064000D03* +X234950000Y-107315000D03* +X217170000Y-131064000D03* +X214630000Y-131064000D03* +X212090000Y-131064000D03* +X209550000Y-131064000D03* +X204470000Y-131064000D03* +X201930000Y-131064000D03* +X199136000Y-131064000D03* +X275844000Y-131064000D03* +X273050000Y-131064000D03* +X270510000Y-131064000D03* +X265430000Y-131064000D03* +X262890000Y-131064000D03* +X260350000Y-131064000D03* +X257810000Y-131064000D03* +X255270000Y-131064000D03* +X252730000Y-131064000D03* +X250190000Y-131064000D03* +X247650000Y-131064000D03* +X245110000Y-131064000D03* +X242570000Y-131064000D03* +X240030000Y-131064000D03* +X237490000Y-131064000D03* +X199136000Y-125730000D03* +X199136000Y-110490000D03* +X199136000Y-115570000D03* +X199136000Y-105410000D03* +X199136000Y-100330000D03* +X199136000Y-95250000D03* +X275844000Y-128270000D03* +X275844000Y-125730000D03* +X275844000Y-123190000D03* +X275844000Y-120650000D03* +X275844000Y-118110000D03* +X275844000Y-115570000D03* +X275844000Y-113030000D03* +X275844000Y-110490000D03* +X275844000Y-107950000D03* +X275844000Y-105410000D03* +X275844000Y-102870000D03* +X275844000Y-100330000D03* +X199136000Y-90170000D03* +X275844000Y-90170000D03* +X275844000Y-97790000D03* +X275844000Y-92710000D03* +X275844000Y-95250000D03* +X207264000Y-116586000D03* +X207264000Y-118364000D03* +X210693000Y-118364000D03* +X210693000Y-116586000D03* +X208661000Y-110490000D03* +X207264000Y-113030000D03* +X210947000Y-114554000D03* +X227457000Y-113665000D03* +X228219000Y-114935000D03* +X229108000Y-116205000D03* +X227203000Y-117729000D03* +X231013000Y-120777000D03* +X231013000Y-122555000D03* +X231775000Y-106045000D03* +X273050000Y-119761000D03* +X271018000Y-119761000D03* +X271018000Y-121539000D03* +X273050000Y-121539000D03* +X266700000Y-121539000D03* +X265430000Y-121539000D03* +X265430000Y-119761000D03* +X264160000Y-121539000D03* +X264287000Y-118745000D03* +X264287000Y-117475000D03* +X273050000Y-108331000D03* +X273050000Y-105410000D03* +X273050000Y-102870000D03* +X273050000Y-100330000D03* +X273050000Y-97790000D03* +X273050000Y-95250000D03* +X273050000Y-110109000D03* +X273050000Y-118110000D03* +X273050000Y-115570000D03* +X273050000Y-113030000D03* +X273050000Y-125730000D03* +X273050000Y-123190000D03* +X273050000Y-128270000D03* +X206502000Y-110490000D03* +D17* +X267970000Y-117856000D03* +X266700000Y-118999000D03* +X269240000Y-118999000D03* +D13* +X213550500Y-127317500D03* +X212661500Y-126428500D03* +X211772500Y-125539500D03* +X210883500Y-124650500D03* +X209994500Y-123761500D03* +X209105500Y-122872500D03* +X216090500Y-124777500D03* +X214312500Y-122999500D03* +X211645500Y-120332500D03* +X213423500Y-122110500D03* +X212534500Y-121221500D03* +X215201500Y-123888500D03* +X225425000Y-126873000D03* +X224155000Y-126873000D03* +X225933000Y-129667000D03* +X221615000Y-126873000D03* +X220345000Y-126873000D03* +X235204000Y-100330000D03* +X235458000Y-101600000D03* +X235712000Y-102870000D03* +X235966000Y-104140000D03* +X237363000Y-104521000D03* +X236474000Y-109220000D03* +X236347000Y-115570000D03* +X236347000Y-114300000D03* +X236347000Y-113030000D03* +X236347000Y-116840000D03* +X226314000Y-116840000D03* +X225298000Y-115951000D03* +X235077000Y-118110000D03* +X231140000Y-118745000D03* +X237617000Y-116840000D03* +X206121000Y-115443000D03* +X206502000Y-94996000D03* +X211201000Y-90043000D03* +X213233000Y-90043000D03* +X215011000Y-90043000D03* +X268351000Y-90043000D03* +X269494000Y-121539000D03* +X218313000Y-126873000D03* +X272923000Y-87503000D03* +X199771000Y-88011000D03* +X270510000Y-87503000D03* +X267970000Y-87503000D03* +X265430000Y-87503000D03* +X262890000Y-87503000D03* +X260350000Y-87503000D03* +X257810000Y-87503000D03* +X250190000Y-87503000D03* +X252730000Y-87503000D03* +X255270000Y-87503000D03* +X242570000Y-87503000D03* +X245110000Y-87503000D03* +X247650000Y-87503000D03* +X237490000Y-87503000D03* +X240030000Y-87503000D03* +X234950000Y-87503000D03* +X227330000Y-87503000D03* +X229870000Y-87503000D03* +X232410000Y-87503000D03* +X219710000Y-87503000D03* +X224790000Y-87503000D03* +X222250000Y-87503000D03* +X212090000Y-87503000D03* +X217170000Y-87503000D03* +X214630000Y-87503000D03* +X204470000Y-87503000D03* +X209550000Y-87503000D03* +X207010000Y-87503000D03* +X202057000Y-87503000D03* +X201930000Y-107950000D03* +X201930000Y-102870000D03* +X201930000Y-97790000D03* +X201930000Y-92710000D03* +X201930000Y-118110000D03* +X201930000Y-123190000D03* +X205740000Y-91440000D03* +X207010000Y-90170000D03* +X203200000Y-88900000D03* +X275209000Y-88011000D03* +X271653000Y-88773000D03* +X274320000Y-91440000D03* +X204470000Y-92710000D03* +X208280000Y-88900000D03* +X204470000Y-120650000D03* +X207264000Y-123190000D03* +X204343000Y-115570000D03* +X265430000Y-90043000D03* +X262890000Y-90043000D03* +X238760000Y-91313000D03* +X238760000Y-93853000D03* +X226060000Y-96393000D03* +X234950000Y-95123000D03* +X218440000Y-96393000D03* +X228600000Y-96393000D03* +X233680000Y-96393000D03* +X220980000Y-96393000D03* +X231140000Y-96393000D03* +X219710000Y-95123000D03* +X223520000Y-96393000D03* +X237490000Y-95123000D03* +X216027000Y-91313000D03* +X215011000Y-92583000D03* +X227330000Y-97663000D03* +X229870000Y-97663000D03* +X240030000Y-95123000D03* +X264160000Y-91313000D03* +X266954000Y-91313000D03* +X262890000Y-92583000D03* +X265430000Y-92583000D03* +X266954000Y-93853000D03* +X264160000Y-96393000D03* +X261493000Y-96393000D03* +X271780000Y-96520000D03* +X271780000Y-101600000D03* +X271780000Y-106934000D03* +X271780000Y-99060000D03* +X271780000Y-104140000D03* +X269367000Y-104140000D03* +X270510000Y-95250000D03* +X270510000Y-100330000D03* +X270510000Y-105410000D03* +X270510000Y-97790000D03* +X270510000Y-102870000D03* +X270510000Y-108331000D03* +X266954000Y-104140000D03* +X268224000Y-92583000D03* +X271780000Y-93980000D03* +X269494000Y-91313000D03* +X213233000Y-92583000D03* +X212217000Y-91313000D03* +X211201000Y-92583000D03* +X212217000Y-93599000D03* +X228092000Y-118618000D03* +X228981000Y-119507000D03* +X214439500Y-128206500D03* +X216979500Y-125666500D03* +X217805000Y-124460000D03* +X219583000Y-124460000D03* +X217805000Y-123190000D03* +X219583000Y-123190000D03* +X220091000Y-119507000D03* +X224536000Y-120269000D03* +X221742000Y-124587000D03* +X222758000Y-125603000D03* +X225171000Y-121412000D03* +X223520000Y-123063000D03* +X223647000Y-124714000D03* +X222631000Y-123825000D03* +X232410000Y-97663000D03* +X238760000Y-118110000D03* +X236347000Y-111760000D03* +X244475000Y-103505000D03* +X243205000Y-104775000D03* +X238125000Y-109855000D03* +X239395000Y-108585000D03* +X241935000Y-106045000D03* +X240665000Y-107315000D03* +X265430000Y-97790000D03* +X265430000Y-102870000D03* +X265430000Y-100330000D03* +X264160000Y-101600000D03* +X262890000Y-97790000D03* +X265430000Y-105410000D03* +X264160000Y-106680000D03* +X265430000Y-107950000D03* +X221488000Y-123063000D03* +X221107000Y-120269000D03* +X238760000Y-121920000D03* +X208661000Y-92583000D03* +X209931000Y-91313000D03* +X209931000Y-93599000D03* +X208661000Y-95123000D03* +X211201000Y-95123000D03* +X207518000Y-93726000D03* +X212217000Y-96393000D03* +X209931000Y-96393000D03* +X207645000Y-96393000D03* +X206502000Y-97790000D03* +X208661000Y-97790000D03* +X209931000Y-99060000D03* +X207645000Y-99060000D03* +X206502000Y-100330000D03* +X208661000Y-100330000D03* +X209931000Y-101600000D03* +X206502000Y-102870000D03* +X207645000Y-101600000D03* +X208661000Y-102870000D03* +X209931000Y-104140000D03* +X206502000Y-105410000D03* +X207645000Y-104140000D03* +X208661000Y-105410000D03* +X208661000Y-107950000D03* +X209931000Y-106680000D03* +X207645000Y-106680000D03* +X206502000Y-107950000D03* +X207645000Y-109220000D03* +X209931000Y-109220000D03* +X213106000Y-94615000D03* +X211201000Y-107950000D03* +X211201000Y-105410000D03* +X211201000Y-100330000D03* +X211201000Y-102870000D03* +X271780000Y-111760000D03* +X271780000Y-114300000D03* +X271780000Y-116840000D03* +X270510000Y-110490000D03* +X270510000Y-115570000D03* +X270510000Y-113030000D03* +X269240000Y-111760000D03* +X267970000Y-113030000D03* +X269240000Y-114300000D03* +X265430000Y-113030000D03* +X266700000Y-111760000D03* +X267970000Y-110490000D03* +X264160000Y-111760000D03* +X265430000Y-110490000D03* +X271018000Y-123190000D03* +X271018000Y-125730000D03* +X269494000Y-125730000D03* +X269494000Y-123190000D03* +X266700000Y-123190000D03* +X266700000Y-125730000D03* +X268097000Y-124460000D03* +X268097000Y-127000000D03* +X269494000Y-128270000D03* +X266700000Y-128270000D03* +X265430000Y-124460000D03* +X265430000Y-127000000D03* +X268097000Y-122428000D03* +X268351000Y-116205000D03* +X211201000Y-97790000D03* +X227330000Y-126873000D03* +X231140000Y-126873000D03* +X235585000Y-129540000D03* +X233807000Y-127762000D03* +X245110000Y-128524000D03* +X250190000Y-128524000D03* +X261620000Y-129667000D03* +X262890000Y-128270000D03* +X262890000Y-128270000D03* +X256540000Y-129794000D03* +X225552000Y-124714000D03* +X212598000Y-119253000D03* +X209296000Y-124968000D03* +X210058000Y-126238000D03* +X210820000Y-127254000D03* +X212090000Y-127762000D03* +X214122000Y-129540000D03* +X208661000Y-126238000D03* +X206121000Y-124968000D03* +X209550000Y-128651000D03* +X201295000Y-129794000D03* +X203200000Y-127889000D03* +X205740000Y-127381000D03* +X230505000Y-105410000D03* +X229235000Y-106045000D03* +X227965000Y-105410000D03* +X226695000Y-106045000D03* +X225425000Y-105410000D03* +X224663000Y-109855000D03* +X230251000Y-110871000D03* +X238760000Y-119507000D03* +X229489000Y-126873000D03* +X250190000Y-116840000D03* +X245110000Y-116840000D03* +X251460000Y-118110000D03* +X252730000Y-119380000D03* +X245110000Y-119380000D03* +X257810000Y-116840000D03* +X255270000Y-119380000D03* +X254000000Y-118110000D03* +X247650000Y-119380000D03* +X255270000Y-116840000D03* +X257810000Y-119380000D03* +X247650000Y-116840000D03* +X246380000Y-118110000D03* +X256540000Y-118110000D03* +X259080000Y-118110000D03* +X248920000Y-118110000D03* +X252730000Y-116840000D03* +X250190000Y-119380000D03* +X255270000Y-114300000D03* +X256540000Y-115570000D03* +X254000000Y-115570000D03* +X257810000Y-114300000D03* +X245110000Y-114300000D03* +X247650000Y-114300000D03* +X250190000Y-114300000D03* +X246380000Y-115570000D03* +X251460000Y-115570000D03* +X252730000Y-114300000D03* +X248920000Y-115570000D03* +X256540000Y-113030000D03* +X248920000Y-113030000D03* +X251460000Y-113030000D03* +X254000000Y-113030000D03* +X259080000Y-115570000D03* +X259080000Y-113030000D03* +X242570000Y-119380000D03* +X242570000Y-116840000D03* +X243840000Y-118110000D03* +X243840000Y-115570000D03* +X240030000Y-119380000D03* +X241300000Y-118110000D03* +X246380000Y-113030000D03* +D17* +X240919000Y-90170000D03* +X240919000Y-92710000D03* +X260858000Y-90170000D03* +X260858000Y-92710000D03* +X261874000Y-91440000D03* +X239776000Y-91440000D03* +D13* +X236220000Y-93853000D03* +X233680000Y-93853000D03* +X218440000Y-93853000D03* +X220980000Y-93853000D03* +X234950000Y-92583000D03* +X219710000Y-92583000D03* +X237490000Y-92583000D03* +X229870000Y-92583000D03* +X232410000Y-92583000D03* +X227330000Y-92583000D03* +X224790000Y-92583000D03* +X222250000Y-92583000D03* +X217170000Y-92583000D03* +X245110000Y-90043000D03* +X247650000Y-90043000D03* +X250190000Y-90043000D03* +X252730000Y-90043000D03* +X257810000Y-90043000D03* +X255270000Y-90043000D03* +X255270000Y-94996000D03* +X252730000Y-94996000D03* +X257810000Y-94996000D03* +X245110000Y-94996000D03* +X250317000Y-94996000D03* +X247650000Y-94996000D03* +X248920000Y-92837000D03* +X251460000Y-92837000D03* +X256540000Y-92837000D03* +X254000000Y-92837000D03* +X246380000Y-92837000D03* +X243840000Y-92837000D03* +X237490000Y-90043000D03* +X234950000Y-90043000D03* +X227330000Y-90043000D03* +X229870000Y-90043000D03* +X232410000Y-90043000D03* +X219710000Y-90043000D03* +X224790000Y-90043000D03* +X222250000Y-90043000D03* +X217170000Y-90043000D03* +X265430000Y-115570000D03* +X245110000Y-123190000D03* +X247650000Y-123190000D03* +X246380000Y-121920000D03* +X248920000Y-121920000D03* +X242570000Y-123190000D03* +X243840000Y-121920000D03* +X240030000Y-123190000D03* +X241300000Y-121920000D03* +X251460000Y-121920000D03* +X252730000Y-123190000D03* +X255270000Y-123190000D03* +X254000000Y-121920000D03* +X257810000Y-123190000D03* +X256540000Y-121920000D03* +X259080000Y-121920000D03* +X237490000Y-123190000D03* +X247015000Y-100965000D03* +X245745000Y-102235000D03* +X246380000Y-110490000D03* +X247904000Y-107315000D03* +X247904000Y-106045000D03* +X247904000Y-104775000D03* +X247650000Y-109220000D03* +X259080000Y-110490000D03* +X257810000Y-109220000D03* +X252730000Y-109220000D03* +X250190000Y-109220000D03* +X255270000Y-109220000D03* +X254000000Y-110490000D03* +X251460000Y-110490000D03* +X248920000Y-110490000D03* +X256540000Y-110490000D03* +X249174000Y-103505000D03* +X249174000Y-102235000D03* +X249174000Y-100965000D03* +X259080000Y-106045000D03* +X259080000Y-107315000D03* +X259080000Y-102235000D03* +X259080000Y-100965000D03* +X251460000Y-107315000D03* +X251460000Y-106045000D03* +X254000000Y-107315000D03* +X254000000Y-106045000D03* +X256540000Y-106045000D03* +X256540000Y-107315000D03* +X251460000Y-103505000D03* +X250190000Y-104775000D03* +X256540000Y-103505000D03* +X254000000Y-103505000D03* +X252730000Y-104775000D03* +X257810000Y-104775000D03* +X255270000Y-104775000D03* +X259080000Y-103505000D03* +X230251000Y-102743000D03* +X225679000Y-102743000D03* +X231140000Y-98933000D03* +X228600000Y-98933000D03* +X226060000Y-98933000D03* +X224790000Y-97663000D03* +X230886000Y-104140000D03* +X224917000Y-104140000D03* +X230886000Y-109474000D03* +X230505000Y-107315000D03* +X225425000Y-107315000D03* +X227965000Y-107315000D03* +X227965000Y-104140000D03* +X227965000Y-102489000D03* +X199136000Y-120650000D03* +X201930000Y-113030000D03* +X205867000Y-121920000D03* +X207264000Y-120650000D03* +X205867000Y-119380000D03* +X204470000Y-123190000D03* +X204470000Y-118110000D03* +X203200000Y-91440000D03* +X203200000Y-124460000D03* +X203200000Y-116840000D03* +X203200000Y-119380000D03* +X203200000Y-93980000D03* +X203200000Y-121920000D03* +X203200000Y-111760000D03* +X203200000Y-96520000D03* +X203200000Y-104140000D03* +X203200000Y-99060000D03* +X203200000Y-109220000D03* +X203200000Y-101600000D03* +X203200000Y-106680000D03* +X201930000Y-95250000D03* +X201930000Y-100330000D03* +X201930000Y-105410000D03* +X201930000Y-110490000D03* +X201930000Y-115570000D03* +X201930000Y-125730000D03* +X201930000Y-120650000D03* +X200533000Y-116840000D03* +X200533000Y-111760000D03* +X200533000Y-119380000D03* +X200533000Y-121920000D03* +X200533000Y-124460000D03* +X200533000Y-127000000D03* +X200533000Y-91440000D03* +X200533000Y-93980000D03* +X200533000Y-96520000D03* +X200533000Y-99060000D03* +X200533000Y-101600000D03* +X200533000Y-104140000D03* +X200533000Y-106680000D03* +X200533000Y-109220000D03* +X200533000Y-114300000D03* +X199136000Y-92710000D03* +X199136000Y-97790000D03* +X199136000Y-102870000D03* +X199136000Y-107950000D03* +X199136000Y-113030000D03* +X199136000Y-118110000D03* +X199136000Y-123190000D03* +X199136000Y-128270000D03* +X235585000Y-121285000D03* +X268224000Y-102870000D03* +X262890000Y-94742000D03* +X265430000Y-94742000D03* +X264160000Y-93599000D03* +X260350000Y-94996000D03* +X217805000Y-114935000D03* +X217805000Y-105410000D03* +X218059000Y-108585000D03* +X218059000Y-107315000D03* +X218059000Y-103505000D03* +X218059000Y-102235000D03* +X218059000Y-100965000D03* +X218059000Y-99695000D03* +X217805000Y-98425000D03* +X229362000Y-121158000D03* +X203581000Y-114554000D03* +X209169000Y-123698000D03* +X212471000Y-120396000D03* +X235712000Y-112395000D03* +X224409000Y-115824000D03* +X210947000Y-125476000D03* +X214249000Y-122174000D03* +X235712000Y-114935000D03* +X226441000Y-117602000D03* +X211836000Y-126365000D03* +X215138000Y-123063000D03* +X235712000Y-116205000D03* +X227330000Y-118491000D03* +X263271000Y-114300000D03* +X263271000Y-116840000D03* +X263271000Y-118110000D03* +X263271000Y-119380000D03* +X263271000Y-121920000D03* +X260350000Y-127381000D03* +X259080000Y-127381000D03* +X252730000Y-127381000D03* +X251460000Y-127381000D03* +X246380000Y-127381000D03* +X243840000Y-127381000D03* +X238760000Y-127381000D03* +X237490000Y-127381000D03* +X234950000Y-130175000D03* +X229870000Y-130175000D03* +X227330000Y-130175000D03* +X216027000Y-123952000D03* +X212725000Y-127254000D03* +X224790000Y-126365000D03* +X213360000Y-129286000D03* +X236982000Y-117475000D03* +X228981000Y-120396000D03* +X221869000Y-123825000D03* +X222250000Y-130175000D03* +X220980000Y-126365000D03* +X238252000Y-116205000D03* +X221996000Y-125349000D03* +X223393000Y-123952000D03* +X225933000Y-121539000D03* +X213614000Y-128143000D03* +X216916000Y-124841000D03* +X235712000Y-117475000D03* +X215900000Y-130683000D03* +X228219000Y-119380000D03* +X218694000Y-122682000D03* +X217995500Y-125920500D03* +X210058000Y-124587000D03* +X213360000Y-121285000D03* +X205105000Y-128905000D03* +X235712000Y-113665000D03* +X225552000Y-116713000D03* +D18* +X214105000Y-98425000D02* +X214105000Y-95902000D01* +X214105000Y-114935000D02* +X214105000Y-117458000D01* +D19* +X227330000Y-111760000D02* +X226060000Y-111760000D01* +D18* +X233300000Y-111760000D02* +X232029000Y-111760000D01* +D19* +X227330000Y-111760000D02* +X232029000Y-111760000D01* +D18* +X262000000Y-120650000D02* +X263271000Y-120650000D01* +D19* +X263271000Y-120650000D02* +X267970000Y-120650000D01* +D18* +X262000000Y-109220000D02* +X263271000Y-109220000D01* +D19* +X263271000Y-109220000D02* +X267970000Y-109220000D01* +D18* +X259080000Y-97410000D02* +X259080000Y-96139000D01* +D19* +X259080000Y-91440000D02* +X259080000Y-96139000D01* +D18* +X242570000Y-97410000D02* +X242570000Y-96139000D01* +X233300000Y-124460000D02* +X232029000Y-124460000D01* +D19* +X232029000Y-124460000D02* +X227330000Y-124460000D01* +X267970000Y-109220000D02* +X274320000Y-109220000D01* +D20* +X274320000Y-120650000D02* +X274320000Y-109220000D01* +D19* +X267970000Y-120650000D02* +X274320000Y-120650000D01* +D20* +X274320000Y-135382000D02* +X274320000Y-120904000D01* +D18* +X262000000Y-120650000D02* +X260731000Y-120650000D01* +X250190000Y-126110000D02* +X250190000Y-124841000D01* +X233300000Y-124460000D02* +X234569000Y-124460000D01* +D19* +X238379000Y-120650000D02* +X234696000Y-124333000D01* +D18* +X234696000Y-124333000D02* +X234569000Y-124460000D01* +X233300000Y-105410000D02* +X234569000Y-105410000D01* +X242570000Y-98679000D02* +X242570000Y-97410000D01* +D19* +X249555000Y-120650000D02* +X250190000Y-120650000D01* +X250190000Y-120650000D02* +X250190000Y-121285000D01* +X250190000Y-121285000D02* +X249555000Y-120650000D01* +X238379000Y-120650000D02* +X249555000Y-120650000D01* +X250190000Y-121285000D02* +X250190000Y-124841000D01* +X250190000Y-121285000D02* +X250825000Y-120650000D01* +X250825000Y-120650000D02* +X250190000Y-120650000D01* +X260731000Y-120650000D02* +X250825000Y-120650000D01* +D20* +X205232000Y-94234000D02* +X205232000Y-111760000D01* +X210693000Y-88773000D02* +X205232000Y-94234000D01* +X274320000Y-94234000D02* +X268859000Y-88773000D01* +X274320000Y-109220000D02* +X274320000Y-94234000D01* +D19* +X214122000Y-95885000D02* +X214122000Y-88773000D01* +D20* +X214122000Y-88773000D02* +X210693000Y-88773000D01* +X242570000Y-88773000D02* +X214122000Y-88773000D01* +D19* +X259080000Y-91440000D02* +X259080000Y-88773000D01* +D20* +X259080000Y-88773000D02* +X242570000Y-88773000D01* +X268859000Y-88773000D02* +X259080000Y-88773000D01* +D19* +X242570000Y-100584000D02* +X242570000Y-98679000D01* +X237744000Y-105410000D02* +X242570000Y-100584000D01* +X234569000Y-105410000D02* +X237744000Y-105410000D01* +X205232000Y-115824000D02* +X206883000Y-117475000D01* +X205232000Y-111760000D02* +X205232000Y-115824000D01* +X242570000Y-88773000D02* +X242570000Y-91440000D01* +X242570000Y-91440000D02* +X242570000Y-96139000D01* +X211201000Y-117475000D02* +X214122000Y-117475000D01* +D21* +X221505000Y-106045000D02* +X224155000Y-106045000D01* +D18* +X221505000Y-98425000D02* +X224155000Y-98425000D01* +X221505000Y-97553000D02* +X221488000Y-97536000D01* +X221505000Y-98425000D02* +X221505000Y-97553000D01* +X221505000Y-115807000D02* +X221488000Y-115824000D01* +X221505000Y-114935000D02* +X221505000Y-115807000D01* +X221505000Y-114935000D02* +X224155000Y-114935000D01* +D19* +X215822000Y-117475000D02* +X216916000Y-117475000D01* +X215822000Y-118696000D02* +X215773000Y-118745000D01* +X215822000Y-117475000D02* +X215822000Y-118696000D01* +X215822000Y-94664000D02* +X215773000Y-94615000D01* +X215822000Y-95885000D02* +X215822000Y-94664000D01* +X215822000Y-95885000D02* +X216916000Y-95885000D01* +X228551000Y-110060000D02* +X228600000Y-110109000D01* +X227330000Y-110060000D02* +X228551000Y-110060000D01* +X226109000Y-110060000D02* +X226060000Y-110109000D01* +X227330000Y-110060000D02* +X226109000Y-110060000D01* +D18* +X233300000Y-116840000D02* +X232029000Y-116840000D01* +X233300000Y-116840000D02* +X234569000Y-116840000D01* +X233300000Y-110490000D02* +X232029000Y-110490000D01* +X233300000Y-110490000D02* +X234569000Y-110490000D01* +X251460000Y-97410000D02* +X251460000Y-96139000D01* +X251460000Y-97410000D02* +X251460000Y-98679000D01* +X234950000Y-97410000D02* +X234950000Y-98679000D01* +X234950000Y-97410000D02* +X234950000Y-96139000D01* +X262000000Y-115570000D02* +X260731000Y-115570000D01* +X262000000Y-104140000D02* +X260731000Y-104140000D01* +X262000000Y-104140000D02* +X263271000Y-104140000D01* +X257810000Y-126110000D02* +X257810000Y-124841000D01* +X257810000Y-126110000D02* +X257810000Y-127381000D01* +X241300000Y-126110000D02* +X241300000Y-127381000D01* +X241300000Y-126110000D02* +X241300000Y-124841000D01* +D19* +X266749000Y-107520000D02* +X266700000Y-107569000D01* +X267970000Y-107520000D02* +X266749000Y-107520000D01* +X269191000Y-107520000D02* +X269240000Y-107569000D01* +X267970000Y-107520000D02* +X269191000Y-107520000D01* +X267970000Y-107520000D02* +X267970000Y-106426000D01* +X226109000Y-122760000D02* +X226060000Y-122809000D01* +X227330000Y-122760000D02* +X226109000Y-122760000D01* +X228551000Y-122760000D02* +X228600000Y-122809000D01* +X227330000Y-122760000D02* +X228551000Y-122760000D01* +X227330000Y-122760000D02* +X227330000Y-121666000D01* +D18* +X262000000Y-115570000D02* +X263271000Y-115570000D01* +D19* +X267970000Y-118950000D02* +X267970000Y-117856000D01* +X266749000Y-118950000D02* +X266700000Y-118999000D01* +X267970000Y-118950000D02* +X266749000Y-118950000D01* +X269191000Y-118950000D02* +X269240000Y-118999000D01* +X267970000Y-118950000D02* +X269191000Y-118950000D01* +X240870000Y-90219000D02* +X240919000Y-90170000D01* +X240870000Y-91440000D02* +X240870000Y-90219000D01* +X240870000Y-91440000D02* +X240870000Y-92661000D01* +X260819000Y-90209000D02* +X260858000Y-90170000D01* +X260819000Y-91440000D02* +X260819000Y-90209000D01* +X260819000Y-92671000D02* +X260858000Y-92710000D01* +X260819000Y-91440000D02* +X260819000Y-92671000D01* +X260819000Y-91440000D02* +X261874000Y-91440000D01* +X240870000Y-91440000D02* +X239776000Y-91440000D01* +D18* +X203532000Y-114505000D02* +X203581000Y-114554000D01* +X203532000Y-113411000D02* +X203532000Y-114505000D01* +X202311000Y-113411000D02* +X201930000Y-113030000D01* +X203532000Y-113411000D02* +X202311000Y-113411000D01* +X203532000Y-112092000D02* +X203200000Y-111760000D01* +X203532000Y-113411000D02* +X203532000Y-112092000D01* +D21* +X248856500Y-100330000D02* +X262000000Y-100330000D01* +X236791500Y-112395000D02* +X248856500Y-100330000D01* +X235712000Y-112395000D02* +X236791500Y-112395000D01* +X200660000Y-129540000D02* +X200660000Y-135382000D01* +X208534000Y-124333000D02* +X205867000Y-124333000D01* +X205867000Y-124333000D02* +X200660000Y-129540000D01* +X209169000Y-123698000D02* +X208534000Y-124333000D01* +X216090500Y-119507000D02* +X219011500Y-116586000D01* +X213360000Y-119507000D02* +X216090500Y-119507000D01* +X223647000Y-116586000D02* +X224409000Y-115824000D01* +X219011500Y-116586000D02* +X223647000Y-116586000D01* +X212471000Y-120396000D02* +X213360000Y-119507000D01* +X248920000Y-102870000D02* +X262000000Y-102870000D01* +X235712000Y-114935000D02* +X236855000Y-114935000D01* +X236855000Y-114935000D02* +X248920000Y-102870000D01* +X210185000Y-128905000D02* +X208280000Y-130810000D01* +X210185000Y-127000000D02* +X210185000Y-128905000D01* +X208280000Y-130810000D02* +X208280000Y-135382000D01* +X210947000Y-126238000D02* +X210185000Y-127000000D01* +X210947000Y-125476000D02* +X210947000Y-126238000D01* +X215392000Y-121031000D02* +X214249000Y-122174000D01* +X219583000Y-118110000D02* +X216662000Y-121031000D01* +X216662000Y-121031000D02* +X215392000Y-121031000D01* +X225933000Y-118110000D02* +X219583000Y-118110000D01* +X226441000Y-117602000D02* +X225933000Y-118110000D01* +X236855000Y-116205000D02* +X247650000Y-105410000D01* +X247650000Y-105410000D02* +X262000000Y-105410000D01* +X235712000Y-116205000D02* +X236855000Y-116205000D01* +X213360000Y-130810000D02* +X213360000Y-135382000D01* +X211455000Y-128905000D02* +X213360000Y-130810000D01* +X211455000Y-126746000D02* +X211455000Y-128905000D01* +X211836000Y-126365000D02* +X211455000Y-126746000D01* +X215646000Y-123063000D02* +X215138000Y-123063000D01* +X226949000Y-118872000D02* +X219837000Y-118872000D01* +X219837000Y-118872000D02* +X215646000Y-123063000D01* +X227330000Y-118491000D02* +X226949000Y-118872000D01* +X225425000Y-112141000D02* +X226314000Y-113030000D01* +X225425000Y-111506000D02* +X225425000Y-112141000D01* +X223774000Y-109855000D02* +X225425000Y-111506000D01* +X221505000Y-109855000D02* +X223774000Y-109855000D01* +X220345000Y-130175000D02* +X220980000Y-130810000D01* +X207924333Y-120929333D02* +X217170000Y-130175000D01* +X220980000Y-130810000D02* +X220980000Y-135382000D01* +X207924333Y-113131667D02* +X207924333Y-120929333D01* +X217170000Y-130175000D02* +X220345000Y-130175000D01* +X211836000Y-109220000D02* +X207924333Y-113131667D01* +X217170000Y-109220000D02* +X211836000Y-109220000D01* +X217805000Y-109855000D02* +X217170000Y-109220000D01* +X221505000Y-109855000D02* +X217805000Y-109855000D01* +X233300000Y-113030000D02* +X226314000Y-113030000D01* +X224815380Y-112166380D02* +X224815380Y-112393512D01* +X224815380Y-112393512D02* +X227991868Y-115570000D01* +X223774000Y-111125000D02* +X224815380Y-112166380D01* +X221505000Y-111125000D02* +X223774000Y-111125000D01* +X222758000Y-129413000D02* +X223520000Y-130175000D01* +X217449033Y-129413000D02* +X222758000Y-129413000D01* +X208533953Y-120497920D02* +X217449033Y-129413000D01* +X208533953Y-113538047D02* +X208533953Y-120497920D01* +X217170000Y-110490000D02* +X211582000Y-110490000D01* +X211582000Y-110490000D02* +X208533953Y-113538047D01* +X223520000Y-130175000D02* +X223520000Y-135382000D01* +X217805000Y-111125000D02* +X217170000Y-110490000D01* +X221505000Y-111125000D02* +X217805000Y-111125000D01* +X233300000Y-115570000D02* +X227991868Y-115570000D01* +X214105000Y-112395000D02* +X217170000Y-112395000D01* +X217170000Y-112395000D02* +X217805000Y-113030000D01* +X217805000Y-113030000D02* +X224155000Y-113030000D01* +X224155000Y-113030000D02* +X231775000Y-120650000D01* +X229870000Y-128270000D02* +X231140000Y-129540000D01* +X231140000Y-129540000D02* +X231140000Y-135382000D01* +X217791566Y-128270000D02* +X229870000Y-128270000D01* +X209448382Y-119926816D02* +X217791566Y-128270000D01* +X209448382Y-114147618D02* +X209448382Y-119672816D01* +X211201000Y-112395000D02* +X209448382Y-114147618D01* +X214105000Y-112395000D02* +X211201000Y-112395000D01* +X209448382Y-119672816D02* +X209448382Y-119887999D01* +X231775000Y-120650000D02* +X233300000Y-120650000D01* +X230378000Y-121031000D02* +X231267000Y-121920000D01* +X230378000Y-119684066D02* +X230378000Y-121031000D01* +X224358934Y-113665000D02* +X230378000Y-119684066D01* +X221505000Y-113665000D02* +X224358934Y-113665000D01* +X233680000Y-129413000D02* +X233680000Y-135382000D01* +X232156000Y-127889000D02* +X233680000Y-129413000D01* +X209753191Y-119710191D02* +X217932000Y-127889000D01* +X209753191Y-114350809D02* +X209753191Y-119710191D01* +X217932000Y-127889000D02* +X232156000Y-127889000D01* +X211074000Y-113030000D02* +X209753191Y-114350809D01* +X221505000Y-113665000D02* +X217805000Y-113665000D01* +X217805000Y-113665000D02* +X217170000Y-113030000D01* +X217170000Y-113030000D02* +X211074000Y-113030000D01* +X233300000Y-121920000D02* +X231267000Y-121920000D01* +X236220000Y-135382000D02* +X236220000Y-128905000D01* +X234950000Y-127635000D02* +X234950000Y-126110000D01* +X236220000Y-128905000D02* +X234950000Y-127635000D01* +X236220000Y-126110000D02* +X236220000Y-127635000D01* +X238760000Y-130175000D02* +X238760000Y-135382000D01* +X236220000Y-127635000D02* +X238760000Y-130175000D01* +X240030000Y-128270000D02* +X241300000Y-129540000D01* +X240030000Y-126110000D02* +X240030000Y-128270000D01* +X241300000Y-135382000D02* +X241300000Y-129540000D01* +X243840000Y-130810000D02* +X243840000Y-135382000D01* +X242570000Y-129540000D02* +X243840000Y-130810000D01* +X242570000Y-126364000D02* +X242570000Y-129540000D01* +X246380000Y-130810000D02* +X246380000Y-135382000D01* +X247650000Y-129540000D02* +X246380000Y-130810000D01* +X247650000Y-126110000D02* +X247650000Y-129540000D01* +X248920000Y-126110000D02* +X248920000Y-135382000D01* +X254000000Y-128270000D02* +X251460000Y-130810000D01* +X254000000Y-126364000D02* +X254000000Y-128270000D01* +X251460000Y-130810000D02* +X251460000Y-135382000D01* +X254000000Y-129540000D02* +X254000000Y-135382000D01* +X255270000Y-128270000D02* +X254000000Y-129540000D01* +X255270000Y-126364000D02* +X255270000Y-128270000D01* +X256540000Y-135382000D02* +X256540000Y-130810000D01* +X256540000Y-130810000D02* +X258445000Y-128905000D01* +X262000000Y-127255000D02* +X260350000Y-128905000D01* +X262000000Y-124460000D02* +X262000000Y-127255000D01* +X260350000Y-128905000D02* +X258445000Y-128905000D01* +X262000000Y-114300000D02* +X263271000Y-114300000D01* +X262000000Y-113030000D02* +X262000000Y-114300000D01* +X262000000Y-116840000D02* +X263271000Y-116840000D01* +X262000000Y-118110000D02* +X263271000Y-118110000D01* +X262000000Y-119380000D02* +X263271000Y-119380000D01* +X262000000Y-121920000D02* +X263271000Y-121920000D01* +X260350000Y-126110000D02* +X260350000Y-127381000D01* +X259080000Y-126110000D02* +X259080000Y-127381000D01* +X252730000Y-126110000D02* +X252730000Y-127381000D01* +X251460000Y-126110000D02* +X251460000Y-127381000D01* +X246380000Y-126110000D02* +X246380000Y-127381000D01* +X243840000Y-126110000D02* +X243840000Y-127381000D01* +X238760000Y-126110000D02* +X238760000Y-127381000D01* +X237490000Y-126110000D02* +X237490000Y-127381000D01* +X229997000Y-122428000D02* +X230759000Y-123190000D01* +X229997000Y-119734132D02* +X229997000Y-122428000D01* +X217805000Y-114300000D02* +X224562868Y-114300000D01* +X224562868Y-114300000D02* +X229997000Y-119734132D01* +X217170000Y-113665000D02* +X217805000Y-114300000D01* +X214105000Y-113665000D02* +X217170000Y-113665000D01* +X210058000Y-119507000D02* +X218059000Y-127508000D01* +X210058000Y-114554000D02* +X210058000Y-119507000D01* +X232283000Y-127508000D02* +X234950000Y-130175000D01* +X218059000Y-127508000D02* +X232283000Y-127508000D01* +X210947000Y-113665000D02* +X210058000Y-114554000D01* +X214105000Y-113665000D02* +X210947000Y-113665000D01* +X230759000Y-123190000D02* +X233300000Y-123190000D01* +X221505000Y-112395000D02* +X223954736Y-112395000D01* +X223954736Y-112395000D02* +X230939736Y-119380000D01* +X228346000Y-128651000D02* +X229870000Y-130175000D01* +X217678000Y-128651000D02* +X228346000Y-128651000D01* +X209143573Y-120116573D02* +X217678000Y-128651000D01* +X211316401Y-111760000D02* +X209143573Y-113932828D01* +X217805000Y-112395000D02* +X217170000Y-111760000D01* +X209143573Y-113932828D02* +X209143573Y-120116573D01* +X217170000Y-111760000D02* +X211316401Y-111760000D01* +X221505000Y-112395000D02* +X217805000Y-112395000D01* +X233300000Y-119380000D02* +X230939736Y-119380000D01* +X217805000Y-111760000D02* +X223750802Y-111760000D01* +X223750802Y-111760000D02* +X230100802Y-118110000D01* +X217170000Y-111125000D02* +X217805000Y-111760000D01* +X214105000Y-111125000D02* +X217170000Y-111125000D01* +X208838763Y-120319763D02* +X217551000Y-129032000D01* +X208838763Y-113741237D02* +X208838763Y-120319763D01* +X217551000Y-129032000D02* +X226187000Y-129032000D01* +X226187000Y-129032000D02* +X227330000Y-130175000D01* +X211455000Y-111125000D02* +X208838763Y-113741237D01* +X214105000Y-111125000D02* +X211455000Y-111125000D01* +X230100802Y-118110000D02* +X233300000Y-118110000D01* +X212598000Y-127381000D02* +X212725000Y-127254000D01* +X212725000Y-128651000D02* +X212725000Y-127508000D01* +X213360000Y-129286000D02* +X212725000Y-128651000D01* +X216408000Y-123825000D02* +X216154000Y-124079000D01* +X221869000Y-123825000D02* +X216408000Y-123825000D01* +X224409000Y-126365000D02* +X224790000Y-126365000D01* +X221869000Y-123825000D02* +X224409000Y-126365000D01* +X225298000Y-120396000D02* +X228981000Y-120396000D01* +X221869000Y-123825000D02* +X225298000Y-120396000D01* +X248031000Y-107950000D02* +X262000000Y-107950000D01* +X238506000Y-117475000D02* +X248031000Y-107950000D01* +X236982000Y-117475000D02* +X238506000Y-117475000D01* +X262000000Y-123190000D02* +X263398000Y-123190000D01* +X264160000Y-123952000D02* +X263398000Y-123190000D01* +X264160000Y-135382000D02* +X264160000Y-123952000D01* +X225120190Y-112267256D02* +X227152934Y-114300000D01* +X225120190Y-111836190D02* +X225120190Y-112267256D01* +X223774000Y-110490000D02* +X225120190Y-111836190D01* +X217805000Y-110490000D02* +X223774000Y-110490000D01* +X217170000Y-109855000D02* +X217805000Y-110490000D01* +X214105000Y-109855000D02* +X217170000Y-109855000D01* +X211709000Y-109855000D02* +X214105000Y-109855000D01* +X217297000Y-129794000D02* +X208229143Y-120726143D01* +X208229143Y-113334857D02* +X211709000Y-109855000D01* +X221869000Y-129794000D02* +X217297000Y-129794000D01* +X222250000Y-130175000D02* +X221869000Y-129794000D01* +X208229143Y-120726143D02* +X208229143Y-113334857D01* +X227152934Y-114300000D02* +X233300000Y-114300000D01* +X220980000Y-126365000D02* +X221996000Y-125349000D01* +X223520000Y-123952000D02* +X225933000Y-121539000D01* +X223393000Y-123952000D02* +X223520000Y-123952000D01* +X238252000Y-116078000D02* +X247650000Y-106680000D01* +X238252000Y-116205000D02* +X238252000Y-116078000D01* +X247650000Y-106680000D02* +X262000000Y-106680000D01* +X262000000Y-111760000D02* +X262000000Y-110490000D01* +X217995500Y-125920500D02* +X216916000Y-124841000D01* +X227965000Y-119634000D02* +X228219000Y-119380000D01* +X220853000Y-119634000D02* +X227965000Y-119634000D01* +X218694000Y-121793000D02* +X220853000Y-119634000D01* +X218694000Y-122682000D02* +X218694000Y-121793000D01* +X215900000Y-130429000D02* +X213614000Y-128143000D01* +X215900000Y-130683000D02* +X215900000Y-130429000D01* +X245999000Y-111760000D02* +X262000000Y-111760000D01* +X239014000Y-118745000D02* +X245999000Y-111760000D01* +X235712000Y-117919500D02* +X236537500Y-118745000D01* +X236537500Y-118745000D02* +X239014000Y-118745000D01* +X235712000Y-117475000D02* +X235712000Y-117919500D01* +X236855000Y-113665000D02* +X248920000Y-101600000D01* +X235712000Y-113665000D02* +X236855000Y-113665000D01* +X248920000Y-101600000D02* +X262000000Y-101600000D01* +X205105000Y-128905000D02* +X208407000Y-125603000D01* +X208407000Y-125603000D02* +X209550000Y-125603000D01* +X214376000Y-120269000D02* +X213360000Y-121285000D01* +X216408000Y-120269000D02* +X214376000Y-120269000D01* +X219329000Y-117348000D02* +X216408000Y-120269000D01* +X224917000Y-117348000D02* +X219329000Y-117348000D01* +X225552000Y-116713000D02* +X224917000Y-117348000D01* +X210058000Y-125095000D02* +X209550000Y-125603000D01* +X210058000Y-124587000D02* +X210058000Y-125095000D01* +X236220000Y-98679000D02* +X236220000Y-97410000D01* +X232156000Y-99695000D02* +X235204000Y-99695000D01* +X223774000Y-99695000D02* +X224104190Y-100025190D01* +X231825810Y-100025190D02* +X232156000Y-99695000D01* +X235204000Y-99695000D02* +X236220000Y-98679000D01* +X224104190Y-100025190D02* +X231825810Y-100025190D01* +X221505000Y-99695000D02* +X223774000Y-99695000D01* +X224104190Y-100634810D02* +X231825810Y-100634810D01* +X237490000Y-98933000D02* +X237490000Y-97410000D01* +X232156000Y-100965000D02* +X235458000Y-100965000D01* +X221505000Y-100965000D02* +X223774000Y-100965000D01* +X231825810Y-100634810D02* +X232156000Y-100965000D01* +X235458000Y-100965000D02* +X237490000Y-98933000D01* +X223774000Y-100965000D02* +X224104190Y-100634810D01* +X224764570Y-101244430D02* +X223774000Y-102235000D01* +X232156000Y-102235000D02* +X231165430Y-101244430D01* +X238760000Y-99187000D02* +X235712000Y-102235000D01* +X235712000Y-102235000D02* +X232156000Y-102235000D01* +X223774000Y-102235000D02* +X221505000Y-102235000D01* +X231165430Y-101244430D02* +X224764570Y-101244430D01* +X238760000Y-97410000D02* +X238760000Y-99187000D01* +X240030000Y-99441000D02* +X240030000Y-97410000D01* +X235966000Y-103505000D02* +X240030000Y-99441000D01* +X221505000Y-103505000D02* +X223774000Y-103505000D01* +X223774000Y-103505000D02* +X225424950Y-101854050D01* +X225424950Y-101854050D02* +X230505050Y-101854050D01* +X232156000Y-103505000D02* +X235966000Y-103505000D01* +X230505050Y-101854050D02* +X232156000Y-103505000D01* +X217170000Y-103505000D02* +X214105000Y-103505000D01* +X217805000Y-102870000D02* +X217170000Y-103505000D01* +X223774000Y-102870000D02* +X217805000Y-102870000D01* +X233300000Y-102870000D02* +X232156000Y-102870000D01* +X230835240Y-101549240D02* +X225094760Y-101549240D01* +X232156000Y-102870000D02* +X230835240Y-101549240D01* +X225094760Y-101549240D02* +X223774000Y-102870000D01* +X217170000Y-102235000D02* +X214105000Y-102235000D01* +X217805000Y-101600000D02* +X217170000Y-102235000D01* +X217805000Y-101600000D02* +X223774000Y-101600000D01* +X223774000Y-101600000D02* +X224434380Y-100939620D01* +X224434380Y-100939620D02* +X231495620Y-100939620D01* +X232156000Y-101600000D02* +X233300000Y-101600000D01* +X231495620Y-100939620D02* +X232156000Y-101600000D01* +X214105000Y-100965000D02* +X217170000Y-100965000D01* +X217170000Y-100965000D02* +X217805000Y-100330000D01* +X217805000Y-100330000D02* +X224155000Y-100330000D01* +X224155000Y-100330000D02* +X233300000Y-100330000D01* +X214105000Y-99695000D02* +X217170000Y-99695000D01* +X217170000Y-99695000D02* +X217805000Y-99060000D01* +X217805000Y-99060000D02* +X223774000Y-99060000D01* +X223774000Y-99060000D02* +X224434380Y-99720380D01* +X224434380Y-99720380D02* +X231495620Y-99720380D01* +X232156000Y-99060000D02* +X233300000Y-99060000D01* +X231495620Y-99720380D02* +X232156000Y-99060000D01* +X236220000Y-104775000D02* +X241300000Y-99695000D01* +X241300000Y-99695000D02* +X241300000Y-97410000D01* +X221505000Y-104775000D02* +X236220000Y-104775000D01* +X228600000Y-106680000D02* +X233300000Y-106680000D01* +X217805000Y-106680000D02* +X228600000Y-106680000D01* +X217170000Y-106045000D02* +X217805000Y-106680000D01* +X214105000Y-106045000D02* +X217170000Y-106045000D01* +X232156000Y-104140000D02* +X233300000Y-104140000D01* +X231140000Y-103505000D02* +X231775000Y-104140000D01* +X224663000Y-103505000D02* +X231140000Y-103505000D01* +X217805000Y-104140000D02* +X224028000Y-104140000D01* +X224028000Y-104140000D02* +X224663000Y-103505000D01* +X231775000Y-104140000D02* +X232156000Y-104140000D01* +X217170000Y-104775000D02* +X217805000Y-104140000D01* +X214105000Y-104775000D02* +X217170000Y-104775000D01* +X245110000Y-101600000D02* +X245110000Y-97410000D01* +X236855000Y-109855000D02* +X245110000Y-101600000D01* +X232156000Y-109855000D02* +X236855000Y-109855000D01* +X231190810Y-108889810D02* +X232156000Y-109855000D01* +X224104190Y-108889810D02* +X231190810Y-108889810D01* +X223774000Y-109220000D02* +X224104190Y-108889810D01* +X217805000Y-109220000D02* +X223774000Y-109220000D01* +X217170000Y-108585000D02* +X217805000Y-109220000D01* +X214105000Y-108585000D02* +X217170000Y-108585000D01* +X223774000Y-107315000D02* +X221505000Y-107315000D01* +X224409000Y-107950000D02* +X223774000Y-107315000D01* +X233300000Y-107950000D02* +X224409000Y-107950000D01* +X232156000Y-109220000D02* +X233300000Y-109220000D01* +X231521000Y-108585000D02* +X232156000Y-109220000D01* +X221505000Y-108585000D02* +X231521000Y-108585000D01* +X217170000Y-107315000D02* +X214105000Y-107315000D01* +X223774000Y-107950000D02* +X217805000Y-107950000D01* +X224078810Y-108254810D02* +X223774000Y-107950000D01* +X217805000Y-107950000D02* +X217170000Y-107315000D01* +X231825810Y-108254810D02* +X224078810Y-108254810D01* +X232156000Y-108585000D02* +X231825810Y-108254810D01* +X236601000Y-108585000D02* +X232156000Y-108585000D01* +X243840000Y-101346000D02* +X236601000Y-108585000D01* +X243840000Y-97410000D02* +X243840000Y-101346000D01* +X262000000Y-99060000D02* +X267970000Y-99060000D01* +X267970000Y-99060000D02* +X267970000Y-97282000D01* +X267970000Y-95382000D02* +X260853000Y-95382000D01* +X260350000Y-95885000D02* +X260350000Y-97410000D01* +X260853000Y-95382000D02* +X260350000Y-95885000D01* +X248920000Y-97410000D02* +X248920000Y-96266000D01* +X250190000Y-96266000D02* +X250190000Y-97410000D01* +X249174000Y-96012000D02* +X249936000Y-96012000D01* +X249936000Y-96012000D02* +X250190000Y-96266000D01* +X248920000Y-96266000D02* +X249174000Y-96012000D01* +X253746000Y-96012000D02* +X254000000Y-96266000D01* +X252984000Y-96012000D02* +X253746000Y-96012000D01* +X254000000Y-96266000D02* +X254000000Y-97410000D01* +X252730000Y-96266000D02* +X252984000Y-96012000D01* +X252730000Y-97410000D02* +X252730000Y-96266000D01* +X256540000Y-96266000D02* +X256540000Y-97410000D01* +X256286000Y-96012000D02* +X256540000Y-96266000D01* +X255524000Y-96012000D02* +X256286000Y-96012000D01* +X255270000Y-96266000D02* +X255524000Y-96012000D01* +X255270000Y-97410000D02* +X255270000Y-96266000D01* +G36* +X274490190Y-87138692D02* +G01* +X274898217Y-87261882D01* +X275274553Y-87461982D01* +X275604852Y-87731369D01* +X275876537Y-88059780D01* +X276079256Y-88434703D01* +X276205294Y-88841861D01* +X276251600Y-89282436D01* +X276251601Y-132003800D01* +X275362751Y-132003800D01* +X275362751Y-131993000D01* +X275361400Y-131979283D01* +X275361400Y-120852848D01* +X275353930Y-120777000D01* +X275361400Y-120701152D01* +X275361400Y-94285141D01* +X275366437Y-94233999D01* +X275361400Y-94182858D01* +X275361400Y-94182848D01* +X275346331Y-94029850D01* +X275286783Y-93833546D01* +X275190081Y-93652630D01* +X275092550Y-93533788D01* +X275092547Y-93533785D01* +X275059943Y-93494057D01* +X275020215Y-93461453D01* +X270726379Y-89167617D01* +X272914800Y-89167617D01* +X272914800Y-89394383D01* +X272959040Y-89616793D01* +X273045820Y-89826298D01* +X273171805Y-90014847D01* +X273332153Y-90175195D01* +X273520702Y-90301180D01* +X273730207Y-90387960D01* +X273952617Y-90432200D01* +X274179383Y-90432200D01* +X274401793Y-90387960D01* +X274611298Y-90301180D01* +X274799847Y-90175195D01* +X274960195Y-90014847D01* +X275086180Y-89826298D01* +X275172960Y-89616793D01* +X275217200Y-89394383D01* +X275217200Y-89167617D01* +X275172960Y-88945207D01* +X275086180Y-88735702D01* +X274960195Y-88547153D01* +X274799847Y-88386805D01* +X274611298Y-88260820D01* +X274401793Y-88174040D01* +X274179383Y-88129800D01* +X273952617Y-88129800D01* +X273730207Y-88174040D01* +X273520702Y-88260820D01* +X273332153Y-88386805D01* +X273171805Y-88547153D01* +X273045820Y-88735702D01* +X272959040Y-88945207D01* +X272914800Y-89167617D01* +X270726379Y-89167617D01* +X269631552Y-88072791D01* +X269598943Y-88033057D01* +X269440370Y-87902919D01* +X269259454Y-87806217D01* +X269063150Y-87746669D01* +X268910152Y-87731600D01* +X268910141Y-87731600D01* +X268859000Y-87726563D01* +X268807859Y-87731600D01* +X210744141Y-87731600D01* +X210692999Y-87726563D01* +X210641858Y-87731600D01* +X210641848Y-87731600D01* +X210488850Y-87746669D01* +X210292546Y-87806217D01* +X210292544Y-87806218D01* +X210111630Y-87902919D01* +X209992788Y-88000450D01* +X209992785Y-88000453D01* +X209953057Y-88033057D01* +X209920453Y-88072785D01* +X204531791Y-93461448D01* +X204492057Y-93494057D01* +X204361919Y-93652630D01* +X204265217Y-93833547D01* +X204205669Y-94029851D01* +X204190600Y-94182849D01* +X204190600Y-94182859D01* +X204185563Y-94234000D01* +X204190600Y-94285141D01* +X204190601Y-111657426D01* +X204190600Y-111657431D01* +X204190600Y-111862569D01* +X204200633Y-111913009D01* +X204205670Y-111964150D01* +X204220587Y-112013324D01* +X204230620Y-112063765D01* +X204250301Y-112111279D01* +X204265218Y-112160454D01* +X204289442Y-112205773D01* +X204309123Y-112253288D01* +X204337696Y-112296051D01* +X204361920Y-112341370D01* +X204394520Y-112381093D01* +X204423092Y-112423854D01* +X204459458Y-112460220D01* +X204492058Y-112499943D01* +X204531781Y-112532543D01* +X204568146Y-112568908D01* +X204571600Y-112571216D01* +X204571600Y-112606135D01* +X204517803Y-112671686D01* +X204467602Y-112765607D01* +X204436687Y-112867517D01* +X204426249Y-112973500D01* +X204426249Y-113848500D01* +X204436687Y-113954483D01* +X204467602Y-114056393D01* +X204517803Y-114150314D01* +X204571601Y-114215866D01* +X204571601Y-115791551D01* +X204568405Y-115824000D01* +X204581156Y-115953460D01* +X204618918Y-116077946D01* +X204646411Y-116129381D01* +X204680242Y-116192674D01* +X204762768Y-116293233D01* +X204787968Y-116313914D01* +X206301171Y-117827118D01* +X206355276Y-117908092D01* +X206449908Y-118002724D01* +X206561184Y-118077076D01* +X206684826Y-118128291D01* +X206816085Y-118154400D01* +X206949915Y-118154400D01* +X207081174Y-118128291D01* +X207204816Y-118077076D01* +X207316092Y-118002724D01* +X207410724Y-117908092D01* +X207485076Y-117796816D01* +X207536291Y-117673174D01* +X207562400Y-117541915D01* +X207562400Y-117408085D01* +X207536291Y-117276826D01* +X207485076Y-117153184D01* +X207410724Y-117041908D01* +X207316092Y-116947276D01* +X207235118Y-116893171D01* +X205892400Y-115550454D01* +X205892400Y-114215865D01* +X205946197Y-114150314D01* +X205996398Y-114056393D01* +X206027313Y-113954483D01* +X206037751Y-113848500D01* +X206037751Y-112973500D01* +X206027313Y-112867517D01* +X205996398Y-112765607D01* +X205946197Y-112671686D01* +X205892400Y-112606135D01* +X205892400Y-112571216D01* +X205895854Y-112568908D01* +X205932215Y-112532547D01* +X205971943Y-112499943D01* +X206004547Y-112460215D01* +X206040908Y-112423854D01* +X206069476Y-112381099D01* +X206102081Y-112341370D01* +X206126309Y-112296043D01* +X206154877Y-112253288D01* +X206174555Y-112205782D01* +X206198783Y-112160454D01* +X206213703Y-112111270D01* +X206233380Y-112063765D01* +X206243411Y-112013334D01* +X206258331Y-111964150D01* +X206263369Y-111912999D01* +X206273400Y-111862569D01* +X206273400Y-94665361D01* +X211124362Y-89814400D01* +X213461601Y-89814400D01* +X213461600Y-95080135D01* +X213407803Y-95145686D01* +X213357602Y-95239607D01* +X213326687Y-95341517D01* +X213316249Y-95447500D01* +X213316249Y-96322500D01* +X213326687Y-96428483D01* +X213357602Y-96530393D01* +X213407803Y-96624314D01* +X213475364Y-96706636D01* +X213557686Y-96774197D01* +X213571601Y-96781634D01* +X213571600Y-97844249D01* +X212255000Y-97844249D01* +X212170965Y-97852526D01* +X212090159Y-97877038D01* +X212015688Y-97916844D01* +X211950413Y-97970413D01* +X211896844Y-98035688D01* +X211857038Y-98110159D01* +X211832526Y-98190965D01* +X211824249Y-98275000D01* +X211824249Y-98575000D01* +X211832526Y-98659035D01* +X211857038Y-98739841D01* +X211896844Y-98814312D01* +X211950413Y-98879587D01* +X212015688Y-98933156D01* +X212090159Y-98972962D01* +X212170965Y-98997474D01* +X212255000Y-99005751D01* +X215955000Y-99005751D01* +X216039035Y-98997474D01* +X216119841Y-98972962D01* +X216194312Y-98933156D01* +X216259587Y-98879587D01* +X216313156Y-98814312D01* +X216352962Y-98739841D01* +X216377474Y-98659035D01* +X216385751Y-98575000D01* +X216385751Y-98275000D01* +X216377474Y-98190965D01* +X216357464Y-98125000D01* +X219224248Y-98125000D01* +X219225600Y-98281350D01* +X219295450Y-98351200D01* +X221431200Y-98351200D01* +X221431200Y-97915450D01* +X221578800Y-97915450D01* +X221578800Y-98351200D01* +X223714550Y-98351200D01* +X223784400Y-98281350D01* +X223784584Y-98260000D01* +X234369248Y-98260000D01* +X234374643Y-98314772D01* +X234390619Y-98367439D01* +X234416563Y-98415977D01* +X234451478Y-98458522D01* +X234494023Y-98493437D01* +X234542561Y-98519381D01* +X234595228Y-98535357D01* +X234650000Y-98540752D01* +X234806350Y-98539400D01* +X234876200Y-98469550D01* +X234876200Y-97483800D01* +X235023800Y-97483800D01* +X235023800Y-98469550D01* +X235093650Y-98539400D01* +X235250000Y-98540752D01* +X235304772Y-98535357D01* +X235357439Y-98519381D01* +X235405977Y-98493437D01* +X235448522Y-98458522D01* +X235483437Y-98415977D01* +X235509381Y-98367439D01* +X235525357Y-98314772D01* +X235530752Y-98260000D01* +X235529400Y-97553650D01* +X235459550Y-97483800D01* +X235023800Y-97483800D01* +X234876200Y-97483800D01* +X234440450Y-97483800D01* +X234370600Y-97553650D01* +X234369248Y-98260000D01* +X223784584Y-98260000D01* +X223785752Y-98125000D01* +X223780357Y-98070228D01* +X223764381Y-98017561D01* +X223738437Y-97969023D01* +X223703522Y-97926478D01* +X223660977Y-97891563D01* +X223612439Y-97865619D01* +X223559772Y-97849643D01* +X223505000Y-97844248D01* +X221648650Y-97845600D01* +X221578800Y-97915450D01* +X221431200Y-97915450D01* +X221361350Y-97845600D01* +X219505000Y-97844248D01* +X219450228Y-97849643D01* +X219397561Y-97865619D01* +X219349023Y-97891563D01* +X219306478Y-97926478D01* +X219271563Y-97969023D01* +X219245619Y-98017561D01* +X219229643Y-98070228D01* +X219224248Y-98125000D01* +X216357464Y-98125000D01* +X216352962Y-98110159D01* +X216313156Y-98035688D01* +X216259587Y-97970413D01* +X216194312Y-97916844D01* +X216119841Y-97877038D01* +X216039035Y-97852526D01* +X215955000Y-97844249D01* +X214638400Y-97844249D01* +X214638400Y-96799807D01* +X214686314Y-96774197D01* +X214768636Y-96706636D01* +X214836197Y-96624314D01* +X214857210Y-96585000D01* +X215016248Y-96585000D01* +X215021643Y-96639772D01* +X215037619Y-96692439D01* +X215063563Y-96740977D01* +X215098478Y-96783522D01* +X215141023Y-96818437D01* +X215189561Y-96844381D01* +X215242228Y-96860357D01* +X215297000Y-96865752D01* +X215678350Y-96864400D01* +X215748200Y-96794550D01* +X215748200Y-95958800D01* +X215895800Y-95958800D01* +X215895800Y-96794550D01* +X215965650Y-96864400D01* +X216347000Y-96865752D01* +X216401772Y-96860357D01* +X216454439Y-96844381D01* +X216502977Y-96818437D01* +X216545522Y-96783522D01* +X216580437Y-96740977D01* +X216606381Y-96692439D01* +X216622357Y-96639772D01* +X216627752Y-96585000D01* +X216627692Y-96560000D01* +X234369248Y-96560000D01* +X234370600Y-97266350D01* +X234440450Y-97336200D01* +X234876200Y-97336200D01* +X234876200Y-96350450D01* +X235023800Y-96350450D01* +X235023800Y-97336200D01* +X235459550Y-97336200D01* +X235529400Y-97266350D01* +X235530752Y-96560000D01* +X235525357Y-96505228D01* +X235509381Y-96452561D01* +X235483437Y-96404023D01* +X235448522Y-96361478D01* +X235405977Y-96326563D01* +X235357439Y-96300619D01* +X235304772Y-96284643D01* +X235250000Y-96279248D01* +X235093650Y-96280600D01* +X235023800Y-96350450D01* +X234876200Y-96350450D01* +X234806350Y-96280600D01* +X234650000Y-96279248D01* +X234595228Y-96284643D01* +X234542561Y-96300619D01* +X234494023Y-96326563D01* +X234451478Y-96361478D01* +X234416563Y-96404023D01* +X234390619Y-96452561D01* +X234374643Y-96505228D01* +X234369248Y-96560000D01* +X216627692Y-96560000D01* +X216626400Y-96028650D01* +X216556550Y-95958800D01* +X215895800Y-95958800D01* +X215748200Y-95958800D01* +X215087450Y-95958800D01* +X215017600Y-96028650D01* +X215016248Y-96585000D01* +X214857210Y-96585000D01* +X214886398Y-96530393D01* +X214917313Y-96428483D01* +X214927751Y-96322500D01* +X214927751Y-95447500D01* +X214917313Y-95341517D01* +X214886398Y-95239607D01* +X214857211Y-95185000D01* +X215016248Y-95185000D01* +X215017600Y-95741350D01* +X215087450Y-95811200D01* +X215748200Y-95811200D01* +X215748200Y-94975450D01* +X215895800Y-94975450D01* +X215895800Y-95811200D01* +X216556550Y-95811200D01* +X216626400Y-95741350D01* +X216627752Y-95185000D01* +X216622357Y-95130228D01* +X216606381Y-95077561D01* +X216580437Y-95029023D01* +X216545522Y-94986478D01* +X216502977Y-94951563D01* +X216454439Y-94925619D01* +X216401772Y-94909643D01* +X216347000Y-94904248D01* +X215965650Y-94905600D01* +X215895800Y-94975450D01* +X215748200Y-94975450D01* +X215678350Y-94905600D01* +X215297000Y-94904248D01* +X215242228Y-94909643D01* +X215189561Y-94925619D01* +X215141023Y-94951563D01* +X215098478Y-94986478D01* +X215063563Y-95029023D01* +X215037619Y-95077561D01* +X215021643Y-95130228D01* +X215016248Y-95185000D01* +X214857211Y-95185000D01* +X214836197Y-95145686D01* +X214782400Y-95080135D01* +X214782400Y-92140000D01* +X240064248Y-92140000D01* +X240069643Y-92194772D01* +X240085619Y-92247439D01* +X240111563Y-92295977D01* +X240146478Y-92338522D01* +X240189023Y-92373437D01* +X240237561Y-92399381D01* +X240290228Y-92415357D01* +X240345000Y-92420752D01* +X240726350Y-92419400D01* +X240796200Y-92349550D01* +X240796200Y-91513800D01* +X240943800Y-91513800D01* +X240943800Y-92349550D01* +X241013650Y-92419400D01* +X241395000Y-92420752D01* +X241449772Y-92415357D01* +X241502439Y-92399381D01* +X241550977Y-92373437D01* +X241593522Y-92338522D01* +X241628437Y-92295977D01* +X241654381Y-92247439D01* +X241670357Y-92194772D01* +X241675752Y-92140000D01* +X241674400Y-91583650D01* +X241604550Y-91513800D01* +X240943800Y-91513800D01* +X240796200Y-91513800D01* +X240135450Y-91513800D01* +X240065600Y-91583650D01* +X240064248Y-92140000D01* +X214782400Y-92140000D01* +X214782400Y-90740000D01* +X240064248Y-90740000D01* +X240065600Y-91296350D01* +X240135450Y-91366200D01* +X240796200Y-91366200D01* +X240796200Y-90530450D01* +X240943800Y-90530450D01* +X240943800Y-91366200D01* +X241604550Y-91366200D01* +X241674400Y-91296350D01* +X241675752Y-90740000D01* +X241670357Y-90685228D01* +X241654381Y-90632561D01* +X241628437Y-90584023D01* +X241593522Y-90541478D01* +X241550977Y-90506563D01* +X241502439Y-90480619D01* +X241449772Y-90464643D01* +X241395000Y-90459248D01* +X241013650Y-90460600D01* +X240943800Y-90530450D01* +X240796200Y-90530450D01* +X240726350Y-90460600D01* +X240345000Y-90459248D01* +X240290228Y-90464643D01* +X240237561Y-90480619D01* +X240189023Y-90506563D01* +X240146478Y-90541478D01* +X240111563Y-90584023D01* +X240085619Y-90632561D01* +X240069643Y-90685228D01* +X240064248Y-90740000D01* +X214782400Y-90740000D01* +X214782400Y-89814400D01* +X241909600Y-89814400D01* +X241909601Y-90635134D01* +X241855803Y-90700686D01* +X241805602Y-90794607D01* +X241774687Y-90896517D01* +X241764249Y-91002500D01* +X241764249Y-91877500D01* +X241774687Y-91983483D01* +X241805602Y-92085393D01* +X241855803Y-92179314D01* +X241909600Y-92244865D01* +X241909601Y-96171439D01* +X241919157Y-96268461D01* +X241956919Y-96392947D01* +X242018242Y-96507674D01* +X242032674Y-96525260D01* +X242022038Y-96545159D01* +X241997526Y-96625965D01* +X241989249Y-96710000D01* +X241989249Y-98110000D01* +X241997526Y-98194035D01* +X242022038Y-98274841D01* +X242032027Y-98293529D01* +X242018242Y-98310326D01* +X241956919Y-98425053D01* +X241919157Y-98549539D01* +X241909601Y-98646561D01* +X241909600Y-100310453D01* +X237470454Y-104749600D01* +X236748293Y-104749600D01* +X241539104Y-99958790D01* +X241552662Y-99947663D01* +X241563790Y-99934104D01* +X241563795Y-99934099D01* +X241579438Y-99915038D01* +X241597101Y-99893516D01* +X241630121Y-99831740D01* +X241650454Y-99764710D01* +X241655600Y-99712463D01* +X241655600Y-99712456D01* +X241657319Y-99695000D01* +X241655600Y-99677545D01* +X241655600Y-98486176D01* +X241689312Y-98468156D01* +X241754587Y-98414587D01* +X241808156Y-98349312D01* +X241847962Y-98274841D01* +X241872474Y-98194035D01* +X241880751Y-98110000D01* +X241880751Y-96710000D01* +X241872474Y-96625965D01* +X241847962Y-96545159D01* +X241808156Y-96470688D01* +X241754587Y-96405413D01* +X241689312Y-96351844D01* +X241614841Y-96312038D01* +X241534035Y-96287526D01* +X241450000Y-96279249D01* +X241150000Y-96279249D01* +X241065965Y-96287526D01* +X240985159Y-96312038D01* +X240910688Y-96351844D01* +X240845413Y-96405413D01* +X240791844Y-96470688D01* +X240752038Y-96545159D01* +X240727526Y-96625965D01* +X240719249Y-96710000D01* +X240719249Y-98110000D01* +X240727526Y-98194035D01* +X240752038Y-98274841D01* +X240791844Y-98349312D01* +X240845413Y-98414587D01* +X240910688Y-98468156D01* +X240944401Y-98486176D01* +X240944400Y-99547706D01* +X236072707Y-104419400D01* +X234408713Y-104419400D01* +X234422474Y-104374035D01* +X234430751Y-104290000D01* +X234430751Y-103990000D01* +X234422474Y-103905965D01* +X234408713Y-103860600D01* +X235948545Y-103860600D01* +X235966000Y-103862319D01* +X235983455Y-103860600D01* +X235983463Y-103860600D01* +X236035710Y-103855454D01* +X236102740Y-103835121D01* +X236164516Y-103802101D01* +X236218663Y-103757663D01* +X236229799Y-103744094D01* +X240269104Y-99704790D01* +X240282662Y-99693663D01* +X240293790Y-99680104D01* +X240293795Y-99680099D01* +X240309438Y-99661038D01* +X240327101Y-99639516D01* +X240360121Y-99577740D01* +X240380454Y-99510710D01* +X240385600Y-99458463D01* +X240385600Y-99458456D01* +X240387319Y-99441000D01* +X240385600Y-99423545D01* +X240385600Y-98486176D01* +X240419312Y-98468156D01* +X240484587Y-98414587D01* +X240538156Y-98349312D01* +X240577962Y-98274841D01* +X240602474Y-98194035D01* +X240610751Y-98110000D01* +X240610751Y-96710000D01* +X240602474Y-96625965D01* +X240577962Y-96545159D01* +X240538156Y-96470688D01* +X240484587Y-96405413D01* +X240419312Y-96351844D01* +X240344841Y-96312038D01* +X240264035Y-96287526D01* +X240180000Y-96279249D01* +X239880000Y-96279249D01* +X239795965Y-96287526D01* +X239715159Y-96312038D01* +X239640688Y-96351844D01* +X239575413Y-96405413D01* +X239521844Y-96470688D01* +X239482038Y-96545159D01* +X239457526Y-96625965D01* +X239449249Y-96710000D01* +X239449249Y-98110000D01* +X239457526Y-98194035D01* +X239482038Y-98274841D01* +X239521844Y-98349312D01* +X239575413Y-98414587D01* +X239640688Y-98468156D01* +X239674400Y-98486176D01* +X239674400Y-99293706D01* +X235818707Y-103149400D01* +X234408713Y-103149400D01* +X234422474Y-103104035D01* +X234430751Y-103020000D01* +X234430751Y-102720000D01* +X234422474Y-102635965D01* +X234408713Y-102590600D01* +X235694545Y-102590600D01* +X235712000Y-102592319D01* +X235729455Y-102590600D01* +X235729463Y-102590600D01* +X235781710Y-102585454D01* +X235848740Y-102565121D01* +X235910516Y-102532101D01* +X235964663Y-102487663D01* +X235975799Y-102474094D01* +X238999100Y-99450794D01* +X239012663Y-99439663D01* +X239057101Y-99385516D01* +X239090121Y-99323740D01* +X239109906Y-99258516D01* +X239110454Y-99256711D01* +X239112059Y-99240413D01* +X239115600Y-99204463D01* +X239115600Y-99204456D01* +X239117319Y-99187000D01* +X239115600Y-99169545D01* +X239115600Y-98486176D01* +X239149312Y-98468156D01* +X239214587Y-98414587D01* +X239268156Y-98349312D01* +X239307962Y-98274841D01* +X239332474Y-98194035D01* +X239340751Y-98110000D01* +X239340751Y-96710000D01* +X239332474Y-96625965D01* +X239307962Y-96545159D01* +X239268156Y-96470688D01* +X239214587Y-96405413D01* +X239149312Y-96351844D01* +X239074841Y-96312038D01* +X238994035Y-96287526D01* +X238910000Y-96279249D01* +X238610000Y-96279249D01* +X238525965Y-96287526D01* +X238445159Y-96312038D01* +X238370688Y-96351844D01* +X238305413Y-96405413D01* +X238251844Y-96470688D01* +X238212038Y-96545159D01* +X238187526Y-96625965D01* +X238179249Y-96710000D01* +X238179249Y-98110000D01* +X238187526Y-98194035D01* +X238212038Y-98274841D01* +X238251844Y-98349312D01* +X238305413Y-98414587D01* +X238370688Y-98468156D01* +X238404401Y-98486176D01* +X238404401Y-99039705D01* +X235564707Y-101879400D01* +X234408713Y-101879400D01* +X234422474Y-101834035D01* +X234430751Y-101750000D01* +X234430751Y-101450000D01* +X234422474Y-101365965D01* +X234408713Y-101320600D01* +X235440545Y-101320600D01* +X235458000Y-101322319D01* +X235475455Y-101320600D01* +X235475463Y-101320600D01* +X235527710Y-101315454D01* +X235594740Y-101295121D01* +X235656516Y-101262101D01* +X235710663Y-101217663D01* +X235721799Y-101204094D01* +X237729104Y-99196790D01* +X237742662Y-99185663D01* +X237753790Y-99172104D01* +X237753795Y-99172099D01* +X237776937Y-99143900D01* +X237787101Y-99131516D01* +X237820121Y-99069740D01* +X237839532Y-99005751D01* +X237840454Y-99002711D01* +X237843008Y-98976775D01* +X237845600Y-98950463D01* +X237845600Y-98950456D01* +X237847319Y-98933000D01* +X237845600Y-98915545D01* +X237845600Y-98486176D01* +X237879312Y-98468156D01* +X237944587Y-98414587D01* +X237998156Y-98349312D01* +X238037962Y-98274841D01* +X238062474Y-98194035D01* +X238070751Y-98110000D01* +X238070751Y-96710000D01* +X238062474Y-96625965D01* +X238037962Y-96545159D01* +X237998156Y-96470688D01* +X237944587Y-96405413D01* +X237879312Y-96351844D01* +X237804841Y-96312038D01* +X237724035Y-96287526D01* +X237640000Y-96279249D01* +X237340000Y-96279249D01* +X237255965Y-96287526D01* +X237175159Y-96312038D01* +X237100688Y-96351844D01* +X237035413Y-96405413D01* +X236981844Y-96470688D01* +X236942038Y-96545159D01* +X236917526Y-96625965D01* +X236909249Y-96710000D01* +X236909249Y-98110000D01* +X236917526Y-98194035D01* +X236942038Y-98274841D01* +X236981844Y-98349312D01* +X237035413Y-98414587D01* +X237100688Y-98468156D01* +X237134400Y-98486176D01* +X237134400Y-98785706D01* +X235310707Y-100609400D01* +X234408713Y-100609400D01* +X234422474Y-100564035D01* +X234430751Y-100480000D01* +X234430751Y-100180000D01* +X234422474Y-100095965D01* +X234408713Y-100050600D01* +X235186545Y-100050600D01* +X235204000Y-100052319D01* +X235221455Y-100050600D01* +X235221463Y-100050600D01* +X235273710Y-100045454D01* +X235340740Y-100025121D01* +X235402516Y-99992101D01* +X235456663Y-99947663D01* +X235467799Y-99934094D01* +X236459104Y-98942790D01* +X236472662Y-98931663D01* +X236483790Y-98918104D01* +X236483795Y-98918099D01* +X236511689Y-98884110D01* +X236517101Y-98877516D01* +X236550121Y-98815740D01* +X236570454Y-98748710D01* +X236575600Y-98696463D01* +X236575600Y-98696456D01* +X236577319Y-98679000D01* +X236575600Y-98661545D01* +X236575600Y-98486176D01* +X236609312Y-98468156D01* +X236674587Y-98414587D01* +X236728156Y-98349312D01* +X236767962Y-98274841D01* +X236792474Y-98194035D01* +X236800751Y-98110000D01* +X236800751Y-96710000D01* +X236792474Y-96625965D01* +X236767962Y-96545159D01* +X236728156Y-96470688D01* +X236674587Y-96405413D01* +X236609312Y-96351844D01* +X236534841Y-96312038D01* +X236454035Y-96287526D01* +X236370000Y-96279249D01* +X236070000Y-96279249D01* +X235985965Y-96287526D01* +X235905159Y-96312038D01* +X235830688Y-96351844D01* +X235765413Y-96405413D01* +X235711844Y-96470688D01* +X235672038Y-96545159D01* +X235647526Y-96625965D01* +X235639249Y-96710000D01* +X235639249Y-98110000D01* +X235647526Y-98194035D01* +X235672038Y-98274841D01* +X235711844Y-98349312D01* +X235765413Y-98414587D01* +X235830688Y-98468156D01* +X235864400Y-98486176D01* +X235864400Y-98531706D01* +X235056707Y-99339400D01* +X234408713Y-99339400D01* +X234422474Y-99294035D01* +X234430751Y-99210000D01* +X234430751Y-98910000D01* +X234422474Y-98825965D01* +X234397962Y-98745159D01* +X234358156Y-98670688D01* +X234304587Y-98605413D01* +X234239312Y-98551844D01* +X234164841Y-98512038D01* +X234084035Y-98487526D01* +X234000000Y-98479249D01* +X232600000Y-98479249D01* +X232515965Y-98487526D01* +X232435159Y-98512038D01* +X232360688Y-98551844D01* +X232295413Y-98605413D01* +X232241844Y-98670688D01* +X232223824Y-98704400D01* +X232173452Y-98704400D01* +X232155999Y-98702681D01* +X232138546Y-98704400D01* +X232138537Y-98704400D01* +X232086290Y-98709546D01* +X232019260Y-98729879D01* +X231957484Y-98762899D01* +X231957482Y-98762900D01* +X231957483Y-98762900D01* +X231916900Y-98796205D01* +X231916895Y-98796210D01* +X231903337Y-98807337D01* +X231892210Y-98820895D01* +X231348327Y-99364780D01* +X224581675Y-99364780D01* +X224037798Y-98820905D01* +X224026663Y-98807337D01* +X223972516Y-98762899D01* +X223910740Y-98729879D01* +X223843710Y-98709546D01* +X223791463Y-98704400D01* +X223791455Y-98704400D01* +X223785569Y-98703820D01* +X223784400Y-98568650D01* +X223714550Y-98498800D01* +X221578800Y-98498800D01* +X221578800Y-98518800D01* +X221431200Y-98518800D01* +X221431200Y-98498800D01* +X219295450Y-98498800D01* +X219225600Y-98568650D01* +X219224426Y-98704400D01* +X217822455Y-98704400D01* +X217805000Y-98702681D01* +X217787545Y-98704400D01* +X217787537Y-98704400D01* +X217735290Y-98709546D01* +X217668260Y-98729879D01* +X217633032Y-98748709D01* +X217606484Y-98762899D01* +X217585925Y-98779772D01* +X217552337Y-98807337D01* +X217541206Y-98820900D01* +X217022707Y-99339400D01* +X216331176Y-99339400D01* +X216313156Y-99305688D01* +X216259587Y-99240413D01* +X216194312Y-99186844D01* +X216119841Y-99147038D01* +X216039035Y-99122526D01* +X215955000Y-99114249D01* +X212255000Y-99114249D01* +X212170965Y-99122526D01* +X212090159Y-99147038D01* +X212015688Y-99186844D01* +X211950413Y-99240413D01* +X211896844Y-99305688D01* +X211857038Y-99380159D01* +X211832526Y-99460965D01* +X211824249Y-99545000D01* +X211824249Y-99845000D01* +X211832526Y-99929035D01* +X211857038Y-100009841D01* +X211896844Y-100084312D01* +X211950413Y-100149587D01* +X212015688Y-100203156D01* +X212090159Y-100242962D01* +X212170965Y-100267474D01* +X212255000Y-100275751D01* +X215955000Y-100275751D01* +X216039035Y-100267474D01* +X216119841Y-100242962D01* +X216194312Y-100203156D01* +X216259587Y-100149587D01* +X216313156Y-100084312D01* +X216331176Y-100050600D01* +X217152545Y-100050600D01* +X217170000Y-100052319D01* +X217187455Y-100050600D01* +X217187463Y-100050600D01* +X217239710Y-100045454D01* +X217306740Y-100025121D01* +X217368516Y-99992101D01* +X217422663Y-99947663D01* +X217433799Y-99934094D01* +X217952294Y-99415600D01* +X219246287Y-99415600D01* +X219232526Y-99460965D01* +X219224249Y-99545000D01* +X219224249Y-99845000D01* +X219232526Y-99929035D01* +X219246287Y-99974400D01* +X217822455Y-99974400D01* +X217805000Y-99972681D01* +X217787545Y-99974400D01* +X217787537Y-99974400D01* +X217735290Y-99979546D01* +X217668260Y-99999879D01* +X217606484Y-100032899D01* +X217552337Y-100077337D01* +X217541206Y-100090900D01* +X217022707Y-100609400D01* +X216331176Y-100609400D01* +X216313156Y-100575688D01* +X216259587Y-100510413D01* +X216194312Y-100456844D01* +X216119841Y-100417038D01* +X216039035Y-100392526D01* +X215955000Y-100384249D01* +X212255000Y-100384249D01* +X212170965Y-100392526D01* +X212090159Y-100417038D01* +X212015688Y-100456844D01* +X211950413Y-100510413D01* +X211896844Y-100575688D01* +X211857038Y-100650159D01* +X211832526Y-100730965D01* +X211824249Y-100815000D01* +X211824249Y-101115000D01* +X211832526Y-101199035D01* +X211857038Y-101279841D01* +X211896844Y-101354312D01* +X211950413Y-101419587D01* +X212015688Y-101473156D01* +X212090159Y-101512962D01* +X212170965Y-101537474D01* +X212255000Y-101545751D01* +X215955000Y-101545751D01* +X216039035Y-101537474D01* +X216119841Y-101512962D01* +X216194312Y-101473156D01* +X216259587Y-101419587D01* +X216313156Y-101354312D01* +X216331176Y-101320600D01* +X217152545Y-101320600D01* +X217170000Y-101322319D01* +X217187455Y-101320600D01* +X217187463Y-101320600D01* +X217239710Y-101315454D01* +X217306740Y-101295121D01* +X217368516Y-101262101D01* +X217422663Y-101217663D01* +X217433799Y-101204094D01* +X217952294Y-100685600D01* +X219246287Y-100685600D01* +X219232526Y-100730965D01* +X219224249Y-100815000D01* +X219224249Y-101115000D01* +X219232526Y-101199035D01* +X219246287Y-101244400D01* +X217822455Y-101244400D01* +X217805000Y-101242681D01* +X217787545Y-101244400D01* +X217787537Y-101244400D01* +X217735290Y-101249546D01* +X217668260Y-101269879D01* +X217606484Y-101302899D01* +X217552337Y-101347337D01* +X217541206Y-101360900D01* +X217022707Y-101879400D01* +X216331176Y-101879400D01* +X216313156Y-101845688D01* +X216259587Y-101780413D01* +X216194312Y-101726844D01* +X216119841Y-101687038D01* +X216039035Y-101662526D01* +X215955000Y-101654249D01* +X212255000Y-101654249D01* +X212170965Y-101662526D01* +X212090159Y-101687038D01* +X212015688Y-101726844D01* +X211950413Y-101780413D01* +X211896844Y-101845688D01* +X211857038Y-101920159D01* +X211832526Y-102000965D01* +X211824249Y-102085000D01* +X211824249Y-102385000D01* +X211832526Y-102469035D01* +X211857038Y-102549841D01* +X211896844Y-102624312D01* +X211950413Y-102689587D01* +X212015688Y-102743156D01* +X212090159Y-102782962D01* +X212170965Y-102807474D01* +X212255000Y-102815751D01* +X215955000Y-102815751D01* +X216039035Y-102807474D01* +X216119841Y-102782962D01* +X216194312Y-102743156D01* +X216259587Y-102689587D01* +X216313156Y-102624312D01* +X216331176Y-102590600D01* +X217152545Y-102590600D01* +X217170000Y-102592319D01* +X217187455Y-102590600D01* +X217187463Y-102590600D01* +X217239710Y-102585454D01* +X217306740Y-102565121D01* +X217368516Y-102532101D01* +X217422663Y-102487663D01* +X217433799Y-102474094D01* +X217952294Y-101955600D01* +X219246287Y-101955600D01* +X219232526Y-102000965D01* +X219224249Y-102085000D01* +X219224249Y-102385000D01* +X219232526Y-102469035D01* +X219246287Y-102514400D01* +X217822455Y-102514400D01* +X217805000Y-102512681D01* +X217787545Y-102514400D01* +X217787537Y-102514400D01* +X217735290Y-102519546D01* +X217668260Y-102539879D01* +X217606484Y-102572899D01* +X217552337Y-102617337D01* +X217541206Y-102630900D01* +X217022707Y-103149400D01* +X216331176Y-103149400D01* +X216313156Y-103115688D01* +X216259587Y-103050413D01* +X216194312Y-102996844D01* +X216119841Y-102957038D01* +X216039035Y-102932526D01* +X215955000Y-102924249D01* +X212255000Y-102924249D01* +X212170965Y-102932526D01* +X212090159Y-102957038D01* +X212015688Y-102996844D01* +X211950413Y-103050413D01* +X211896844Y-103115688D01* +X211857038Y-103190159D01* +X211832526Y-103270965D01* +X211824249Y-103355000D01* +X211824249Y-103655000D01* +X211832526Y-103739035D01* +X211857038Y-103819841D01* +X211896844Y-103894312D01* +X211950413Y-103959587D01* +X212015688Y-104013156D01* +X212090159Y-104052962D01* +X212170965Y-104077474D01* +X212255000Y-104085751D01* +X215955000Y-104085751D01* +X216039035Y-104077474D01* +X216119841Y-104052962D01* +X216194312Y-104013156D01* +X216259587Y-103959587D01* +X216313156Y-103894312D01* +X216331176Y-103860600D01* +X217152545Y-103860600D01* +X217170000Y-103862319D01* +X217187455Y-103860600D01* +X217187463Y-103860600D01* +X217239710Y-103855454D01* +X217306740Y-103835121D01* +X217368516Y-103802101D01* +X217422663Y-103757663D01* +X217433799Y-103744094D01* +X217952294Y-103225600D01* +X219246287Y-103225600D01* +X219232526Y-103270965D01* +X219224249Y-103355000D01* +X219224249Y-103655000D01* +X219232526Y-103739035D01* +X219246287Y-103784400D01* +X217822455Y-103784400D01* +X217805000Y-103782681D01* +X217787545Y-103784400D01* +X217787537Y-103784400D01* +X217735290Y-103789546D01* +X217668260Y-103809879D01* +X217606484Y-103842899D01* +X217552337Y-103887337D01* +X217541206Y-103900900D01* +X217022707Y-104419400D01* +X216331176Y-104419400D01* +X216313156Y-104385688D01* +X216259587Y-104320413D01* +X216194312Y-104266844D01* +X216119841Y-104227038D01* +X216039035Y-104202526D01* +X215955000Y-104194249D01* +X212255000Y-104194249D01* +X212170965Y-104202526D01* +X212090159Y-104227038D01* +X212015688Y-104266844D01* +X211950413Y-104320413D01* +X211896844Y-104385688D01* +X211857038Y-104460159D01* +X211832526Y-104540965D01* +X211824249Y-104625000D01* +X211824249Y-104925000D01* +X211832526Y-105009035D01* +X211857038Y-105089841D01* +X211896844Y-105164312D01* +X211950413Y-105229587D01* +X212015688Y-105283156D01* +X212090159Y-105322962D01* +X212170965Y-105347474D01* +X212255000Y-105355751D01* +X215955000Y-105355751D01* +X216039035Y-105347474D01* +X216119841Y-105322962D01* +X216194312Y-105283156D01* +X216259587Y-105229587D01* +X216313156Y-105164312D01* +X216331176Y-105130600D01* +X217152545Y-105130600D01* +X217170000Y-105132319D01* +X217187455Y-105130600D01* +X217187463Y-105130600D01* +X217239710Y-105125454D01* +X217306740Y-105105121D01* +X217368516Y-105072101D01* +X217422663Y-105027663D01* +X217433799Y-105014094D01* +X217952294Y-104495600D01* +X219246287Y-104495600D01* +X219232526Y-104540965D01* +X219224249Y-104625000D01* +X219224249Y-104925000D01* +X219232526Y-105009035D01* +X219257038Y-105089841D01* +X219296844Y-105164312D01* +X219350413Y-105229587D01* +X219415688Y-105283156D01* +X219490159Y-105322962D01* +X219570965Y-105347474D01* +X219655000Y-105355751D01* +X223355000Y-105355751D01* +X223439035Y-105347474D01* +X223519841Y-105322962D01* +X223594312Y-105283156D01* +X223659587Y-105229587D01* +X223713156Y-105164312D01* +X223731176Y-105130600D01* +X232191287Y-105130600D01* +X232177526Y-105175965D01* +X232169249Y-105260000D01* +X232169249Y-105560000D01* +X232177526Y-105644035D01* +X232202038Y-105724841D01* +X232241844Y-105799312D01* +X232295413Y-105864587D01* +X232360688Y-105918156D01* +X232435159Y-105957962D01* +X232515965Y-105982474D01* +X232600000Y-105990751D01* +X234000000Y-105990751D01* +X234084035Y-105982474D01* +X234164841Y-105957962D01* +X234183528Y-105947973D01* +X234200326Y-105961759D01* +X234315053Y-106023082D01* +X234439539Y-106060844D01* +X234536561Y-106070400D01* +X237711561Y-106070400D01* +X237744000Y-106073595D01* +X237776439Y-106070400D01* +X237873461Y-106060844D01* +X237997947Y-106023082D01* +X238112674Y-105961759D01* +X238213233Y-105879233D01* +X238233918Y-105854028D01* +X243014034Y-101073913D01* +X243039233Y-101053233D01* +X243121759Y-100952674D01* +X243183082Y-100837947D01* +X243220844Y-100713461D01* +X243230400Y-100616439D01* +X243230400Y-100616438D01* +X243233595Y-100584000D01* +X243230400Y-100551561D01* +X243230400Y-98646561D01* +X243220844Y-98549539D01* +X243183082Y-98425053D01* +X243121759Y-98310326D01* +X243107973Y-98293528D01* +X243117962Y-98274841D01* +X243142474Y-98194035D01* +X243150751Y-98110000D01* +X243150751Y-96710000D01* +X243142474Y-96625965D01* +X243117962Y-96545159D01* +X243107326Y-96525261D01* +X243121759Y-96507674D01* +X243183082Y-96392947D01* +X243220844Y-96268461D01* +X243230400Y-96171439D01* +X243230400Y-92244865D01* +X243284197Y-92179314D01* +X243334398Y-92085393D01* +X243365313Y-91983483D01* +X243375751Y-91877500D01* +X243375751Y-91002500D01* +X243365313Y-90896517D01* +X243334398Y-90794607D01* +X243284197Y-90700686D01* +X243230400Y-90635135D01* +X243230400Y-89814400D01* +X258419601Y-89814400D01* +X258419600Y-90682656D01* +X258404803Y-90700686D01* +X258354602Y-90794607D01* +X258323687Y-90896517D01* +X258313249Y-91002500D01* +X258313249Y-91877500D01* +X258323687Y-91983483D01* +X258354602Y-92085393D01* +X258404803Y-92179314D01* +X258419600Y-92197344D01* +X258419601Y-96171439D01* +X258429157Y-96268461D01* +X258466919Y-96392947D01* +X258528242Y-96507674D01* +X258542674Y-96525260D01* +X258532038Y-96545159D01* +X258507526Y-96625965D01* +X258499249Y-96710000D01* +X258499249Y-98110000D01* +X258507526Y-98194035D01* +X258532038Y-98274841D01* +X258571844Y-98349312D01* +X258625413Y-98414587D01* +X258690688Y-98468156D01* +X258765159Y-98507962D01* +X258845965Y-98532474D01* +X258930000Y-98540751D01* +X259230000Y-98540751D01* +X259314035Y-98532474D01* +X259394841Y-98507962D01* +X259469312Y-98468156D01* +X259534587Y-98414587D01* +X259588156Y-98349312D01* +X259627962Y-98274841D01* +X259652474Y-98194035D01* +X259660751Y-98110000D01* +X259660751Y-96710000D01* +X259769249Y-96710000D01* +X259769249Y-98110000D01* +X259777526Y-98194035D01* +X259802038Y-98274841D01* +X259841844Y-98349312D01* +X259895413Y-98414587D01* +X259960688Y-98468156D01* +X260035159Y-98507962D01* +X260115965Y-98532474D01* +X260200000Y-98540751D01* +X260500000Y-98540751D01* +X260584035Y-98532474D01* +X260664841Y-98507962D01* +X260739312Y-98468156D01* +X260804587Y-98414587D01* +X260858156Y-98349312D01* +X260897962Y-98274841D01* +X260922474Y-98194035D01* +X260930751Y-98110000D01* +X260930751Y-96710000D01* +X260922474Y-96625965D01* +X260897962Y-96545159D01* +X260858156Y-96470688D01* +X260804587Y-96405413D01* +X260739312Y-96351844D01* +X260705600Y-96333824D01* +X260705600Y-96032293D01* +X261000295Y-95737600D01* +X267012945Y-95737600D01* +X267026795Y-95783259D01* +X267072377Y-95868536D01* +X267133719Y-95943281D01* +X267208464Y-96004623D01* +X267293741Y-96050205D01* +X267386272Y-96078273D01* +X267482500Y-96087751D01* +X268457500Y-96087751D01* +X268553728Y-96078273D01* +X268646259Y-96050205D01* +X268731536Y-96004623D01* +X268806281Y-95943281D01* +X268867623Y-95868536D01* +X268913205Y-95783259D01* +X268941273Y-95690728D01* +X268950751Y-95594500D01* +X268950751Y-95169500D01* +X268941273Y-95073272D01* +X268913205Y-94980741D01* +X268867623Y-94895464D01* +X268806281Y-94820719D01* +X268731536Y-94759377D01* +X268646259Y-94713795D01* +X268553728Y-94685727D01* +X268457500Y-94676249D01* +X267482500Y-94676249D01* +X267386272Y-94685727D01* +X267293741Y-94713795D01* +X267208464Y-94759377D01* +X267133719Y-94820719D01* +X267072377Y-94895464D01* +X267026795Y-94980741D01* +X267012945Y-95026400D01* +X260870452Y-95026400D01* +X260852999Y-95024681D01* +X260835546Y-95026400D01* +X260835537Y-95026400D01* +X260783290Y-95031546D01* +X260716260Y-95051879D01* +X260654484Y-95084899D01* +X260654482Y-95084900D01* +X260654483Y-95084900D01* +X260613900Y-95118205D01* +X260613895Y-95118210D01* +X260600337Y-95129337D01* +X260589210Y-95142895D01* +X260110901Y-95621206D01* +X260097337Y-95632338D01* +X260052899Y-95686485D01* +X260019879Y-95748261D01* +X259999546Y-95815291D01* +X259994400Y-95867538D01* +X259994400Y-95867545D01* +X259992681Y-95885000D01* +X259994400Y-95902456D01* +X259994400Y-96333824D01* +X259960688Y-96351844D01* +X259895413Y-96405413D01* +X259841844Y-96470688D01* +X259802038Y-96545159D01* +X259777526Y-96625965D01* +X259769249Y-96710000D01* +X259660751Y-96710000D01* +X259652474Y-96625965D01* +X259627962Y-96545159D01* +X259617326Y-96525261D01* +X259631759Y-96507674D01* +X259693082Y-96392947D01* +X259730844Y-96268461D01* +X259740400Y-96171439D01* +X259740400Y-92282347D01* +X259765636Y-92261636D01* +X259833197Y-92179314D01* +X259854210Y-92140000D01* +X260013248Y-92140000D01* +X260018643Y-92194772D01* +X260034619Y-92247439D01* +X260060563Y-92295977D01* +X260095478Y-92338522D01* +X260138023Y-92373437D01* +X260186561Y-92399381D01* +X260239228Y-92415357D01* +X260294000Y-92420752D01* +X260675350Y-92419400D01* +X260745200Y-92349550D01* +X260745200Y-91513800D01* +X260892800Y-91513800D01* +X260892800Y-92349550D01* +X260962650Y-92419400D01* +X261344000Y-92420752D01* +X261398772Y-92415357D01* +X261451439Y-92399381D01* +X261499977Y-92373437D01* +X261542522Y-92338522D01* +X261577437Y-92295977D01* +X261603381Y-92247439D01* +X261619357Y-92194772D01* +X261624752Y-92140000D01* +X261623400Y-91583650D01* +X261553550Y-91513800D01* +X260892800Y-91513800D01* +X260745200Y-91513800D01* +X260084450Y-91513800D01* +X260014600Y-91583650D01* +X260013248Y-92140000D01* +X259854210Y-92140000D01* +X259883398Y-92085393D01* +X259914313Y-91983483D01* +X259924751Y-91877500D01* +X259924751Y-91002500D01* +X259914313Y-90896517D01* +X259883398Y-90794607D01* +X259854211Y-90740000D01* +X260013248Y-90740000D01* +X260014600Y-91296350D01* +X260084450Y-91366200D01* +X260745200Y-91366200D01* +X260745200Y-90530450D01* +X260892800Y-90530450D01* +X260892800Y-91366200D01* +X261553550Y-91366200D01* +X261623400Y-91296350D01* +X261624752Y-90740000D01* +X261619357Y-90685228D01* +X261603381Y-90632561D01* +X261577437Y-90584023D01* +X261542522Y-90541478D01* +X261499977Y-90506563D01* +X261451439Y-90480619D01* +X261398772Y-90464643D01* +X261344000Y-90459248D01* +X260962650Y-90460600D01* +X260892800Y-90530450D01* +X260745200Y-90530450D01* +X260675350Y-90460600D01* +X260294000Y-90459248D01* +X260239228Y-90464643D01* +X260186561Y-90480619D01* +X260138023Y-90506563D01* +X260095478Y-90541478D01* +X260060563Y-90584023D01* +X260034619Y-90632561D01* +X260018643Y-90685228D01* +X260013248Y-90740000D01* +X259854211Y-90740000D01* +X259833197Y-90700686D01* +X259765636Y-90618364D01* +X259740400Y-90597653D01* +X259740400Y-89814400D01* +X268427639Y-89814400D01* +X273278601Y-94665363D01* +X273278600Y-108559600D01* +X268774865Y-108559600D01* +X268709314Y-108505803D01* +X268615393Y-108455602D01* +X268513483Y-108424687D01* +X268407500Y-108414249D01* +X267532500Y-108414249D01* +X267426517Y-108424687D01* +X267324607Y-108455602D01* +X267230686Y-108505803D01* +X267165135Y-108559600D01* +X263238561Y-108559600D01* +X263141539Y-108569156D01* +X263017053Y-108606918D01* +X262902326Y-108668241D01* +X262884739Y-108682674D01* +X262864841Y-108672038D01* +X262784035Y-108647526D01* +X262700000Y-108639249D01* +X261300000Y-108639249D01* +X261215965Y-108647526D01* +X261135159Y-108672038D01* +X261060688Y-108711844D01* +X260995413Y-108765413D01* +X260941844Y-108830688D01* +X260902038Y-108905159D01* +X260877526Y-108985965D01* +X260869249Y-109070000D01* +X260869249Y-109370000D01* +X260877526Y-109454035D01* +X260902038Y-109534841D01* +X260941844Y-109609312D01* +X260995413Y-109674587D01* +X261060688Y-109728156D01* +X261135159Y-109767962D01* +X261215965Y-109792474D01* +X261300000Y-109800751D01* +X262700000Y-109800751D01* +X262784035Y-109792474D01* +X262864841Y-109767962D01* +X262884739Y-109757326D01* +X262902326Y-109771759D01* +X263017053Y-109833082D01* +X263141539Y-109870844D01* +X263238561Y-109880400D01* +X267165135Y-109880400D01* +X267230686Y-109934197D01* +X267324607Y-109984398D01* +X267426517Y-110015313D01* +X267532500Y-110025751D01* +X268407500Y-110025751D01* +X268513483Y-110015313D01* +X268615393Y-109984398D01* +X268709314Y-109934197D01* +X268774865Y-109880400D01* +X273278601Y-109880400D01* +X273278600Y-119989600D01* +X268774865Y-119989600D01* +X268709314Y-119935803D01* +X268615393Y-119885602D01* +X268513483Y-119854687D01* +X268407500Y-119844249D01* +X267532500Y-119844249D01* +X267426517Y-119854687D01* +X267324607Y-119885602D01* +X267230686Y-119935803D01* +X267165135Y-119989600D01* +X263238561Y-119989600D01* +X263141539Y-119999156D01* +X263017053Y-120036918D01* +X262902326Y-120098241D01* +X262884739Y-120112674D01* +X262864841Y-120102038D01* +X262784035Y-120077526D01* +X262700000Y-120069249D01* +X261300000Y-120069249D01* +X261215965Y-120077526D01* +X261135159Y-120102038D01* +X261116472Y-120112027D01* +X261099674Y-120098241D01* +X260984947Y-120036918D01* +X260860461Y-119999156D01* +X260763439Y-119989600D01* +X250857439Y-119989600D01* +X250825000Y-119986405D01* +X250792561Y-119989600D01* +X250222439Y-119989600D01* +X250190000Y-119986405D01* +X250157561Y-119989600D01* +X249587439Y-119989600D01* +X249555000Y-119986405D01* +X249522561Y-119989600D01* +X238411439Y-119989600D01* +X238379000Y-119986405D01* +X238346561Y-119989600D01* +X238249539Y-119999156D01* +X238125053Y-120036918D01* +X238010326Y-120098241D01* +X237909767Y-120180767D01* +X237889086Y-120205967D01* +X234206089Y-123888965D01* +X234180350Y-123920328D01* +X234164841Y-123912038D01* +X234084035Y-123887526D01* +X234000000Y-123879249D01* +X232600000Y-123879249D01* +X232515965Y-123887526D01* +X232435159Y-123912038D01* +X232415261Y-123922674D01* +X232397674Y-123908241D01* +X232282947Y-123846918D01* +X232158461Y-123809156D01* +X232061439Y-123799600D01* +X228134865Y-123799600D01* +X228069314Y-123745803D01* +X227975393Y-123695602D01* +X227873483Y-123664687D01* +X227767500Y-123654249D01* +X226892500Y-123654249D01* +X226786517Y-123664687D01* +X226684607Y-123695602D01* +X226590686Y-123745803D01* +X226508364Y-123813364D01* +X226440803Y-123895686D01* +X226390602Y-123989607D01* +X226359687Y-124091517D01* +X226349249Y-124197500D01* +X226349249Y-124722500D01* +X226359687Y-124828483D01* +X226390602Y-124930393D01* +X226440803Y-125024314D01* +X226508364Y-125106636D01* +X226590686Y-125174197D01* +X226684607Y-125224398D01* +X226786517Y-125255313D01* +X226892500Y-125265751D01* +X227767500Y-125265751D01* +X227873483Y-125255313D01* +X227975393Y-125224398D01* +X228069314Y-125174197D01* +X228134865Y-125120400D01* +X232061439Y-125120400D01* +X232158461Y-125110844D01* +X232282947Y-125073082D01* +X232397674Y-125011759D01* +X232415261Y-124997326D01* +X232435159Y-125007962D01* +X232515965Y-125032474D01* +X232600000Y-125040751D01* +X234000000Y-125040751D01* +X234084035Y-125032474D01* +X234164841Y-125007962D01* +X234192084Y-124993400D01* +X234542813Y-124993400D01* +X234569000Y-124995979D01* +X234595187Y-124993400D01* +X234595195Y-124993400D01* +X234629378Y-124990033D01* +X234688503Y-124995857D01* +X234635159Y-125012038D01* +X234560688Y-125051844D01* +X234495413Y-125105413D01* +X234441844Y-125170688D01* +X234402038Y-125245159D01* +X234377526Y-125325965D01* +X234369249Y-125410000D01* +X234369249Y-126810000D01* +X234377526Y-126894035D01* +X234402038Y-126974841D01* +X234441844Y-127049312D01* +X234495413Y-127114587D01* +X234560688Y-127168156D01* +X234594400Y-127186176D01* +X234594400Y-127617544D01* +X234592681Y-127635000D01* +X234594400Y-127652455D01* +X234594400Y-127652462D01* +X234598145Y-127690484D01* +X234599546Y-127704710D01* +X234601491Y-127711121D01* +X234619879Y-127771739D01* +X234652899Y-127833515D01* +X234697337Y-127887662D01* +X234710901Y-127898794D01* +X235864401Y-129052296D01* +X235864401Y-131331249D01* +X235839000Y-131331249D01* +X235709899Y-131343964D01* +X235585759Y-131381622D01* +X235471351Y-131442774D01* +X235371071Y-131525071D01* +X235288774Y-131625351D01* +X235227622Y-131739759D01* +X235189964Y-131863899D01* +X235177249Y-131993000D01* +X235177249Y-132003800D01* +X234722751Y-132003800D01* +X234722751Y-131993000D01* +X234710036Y-131863899D01* +X234672378Y-131739759D01* +X234611226Y-131625351D01* +X234528929Y-131525071D01* +X234428649Y-131442774D01* +X234314241Y-131381622D01* +X234190101Y-131343964D01* +X234061000Y-131331249D01* +X234035600Y-131331249D01* +X234035600Y-129763494D01* +X234373825Y-130101719D01* +X234370600Y-130117934D01* +X234370600Y-130232066D01* +X234392866Y-130344005D01* +X234436543Y-130449449D01* +X234499951Y-130544346D01* +X234580654Y-130625049D01* +X234675551Y-130688457D01* +X234780995Y-130732134D01* +X234892934Y-130754400D01* +X235007066Y-130754400D01* +X235119005Y-130732134D01* +X235224449Y-130688457D01* +X235319346Y-130625049D01* +X235400049Y-130544346D01* +X235463457Y-130449449D01* +X235507134Y-130344005D01* +X235529400Y-130232066D01* +X235529400Y-130117934D01* +X235507134Y-130005995D01* +X235463457Y-129900551D01* +X235400049Y-129805654D01* +X235319346Y-129724951D01* +X235224449Y-129661543D01* +X235119005Y-129617866D01* +X235007066Y-129595600D01* +X234892934Y-129595600D01* +X234876719Y-129598825D01* +X232546799Y-127268906D01* +X232535663Y-127255337D01* +X232481516Y-127210899D01* +X232419740Y-127177879D01* +X232352710Y-127157546D01* +X232300463Y-127152400D01* +X232300455Y-127152400D01* +X232283000Y-127150681D01* +X232265545Y-127152400D01* +X218206294Y-127152400D01* +X215837828Y-124783934D01* +X216336600Y-124783934D01* +X216336600Y-124898066D01* +X216358866Y-125010005D01* +X216402543Y-125115449D01* +X216465951Y-125210346D01* +X216546654Y-125291049D01* +X216641551Y-125354457D01* +X216746995Y-125398134D01* +X216858934Y-125420400D01* +X216973066Y-125420400D01* +X216989281Y-125417175D01* +X217419325Y-125847219D01* +X217416100Y-125863434D01* +X217416100Y-125977566D01* +X217438366Y-126089505D01* +X217482043Y-126194949D01* +X217545451Y-126289846D01* +X217626154Y-126370549D01* +X217721051Y-126433957D01* +X217826495Y-126477634D01* +X217938434Y-126499900D01* +X218052566Y-126499900D01* +X218164505Y-126477634D01* +X218269949Y-126433957D01* +X218364846Y-126370549D01* +X218427461Y-126307934D01* +X220400600Y-126307934D01* +X220400600Y-126422066D01* +X220422866Y-126534005D01* +X220466543Y-126639449D01* +X220529951Y-126734346D01* +X220610654Y-126815049D01* +X220705551Y-126878457D01* +X220810995Y-126922134D01* +X220922934Y-126944400D01* +X221037066Y-126944400D01* +X221149005Y-126922134D01* +X221254449Y-126878457D01* +X221349346Y-126815049D01* +X221430049Y-126734346D01* +X221493457Y-126639449D01* +X221537134Y-126534005D01* +X221559400Y-126422066D01* +X221559400Y-126307934D01* +X221556175Y-126291719D01* +X221922719Y-125925175D01* +X221938934Y-125928400D01* +X222053066Y-125928400D01* +X222165005Y-125906134D01* +X222270449Y-125862457D01* +X222365346Y-125799049D01* +X222446049Y-125718346D01* +X222509457Y-125623449D01* +X222553134Y-125518005D01* +X222575400Y-125406066D01* +X222575400Y-125291934D01* +X222553134Y-125179995D01* +X222509457Y-125074551D01* +X222446049Y-124979654D01* +X222365346Y-124898951D01* +X222270449Y-124835543D01* +X222165005Y-124791866D01* +X222053066Y-124769600D01* +X221938934Y-124769600D01* +X221826995Y-124791866D01* +X221721551Y-124835543D01* +X221626654Y-124898951D01* +X221545951Y-124979654D01* +X221482543Y-125074551D01* +X221438866Y-125179995D01* +X221416600Y-125291934D01* +X221416600Y-125406066D01* +X221419825Y-125422281D01* +X221053281Y-125788825D01* +X221037066Y-125785600D01* +X220922934Y-125785600D01* +X220810995Y-125807866D01* +X220705551Y-125851543D01* +X220610654Y-125914951D01* +X220529951Y-125995654D01* +X220466543Y-126090551D01* +X220422866Y-126195995D01* +X220400600Y-126307934D01* +X218427461Y-126307934D01* +X218445549Y-126289846D01* +X218508957Y-126194949D01* +X218552634Y-126089505D01* +X218574900Y-125977566D01* +X218574900Y-125863434D01* +X218552634Y-125751495D01* +X218508957Y-125646051D01* +X218445549Y-125551154D01* +X218364846Y-125470451D01* +X218269949Y-125407043D01* +X218164505Y-125363366D01* +X218052566Y-125341100D01* +X217938434Y-125341100D01* +X217922219Y-125344325D01* +X217492175Y-124914281D01* +X217495400Y-124898066D01* +X217495400Y-124783934D01* +X217473134Y-124671995D01* +X217429457Y-124566551D01* +X217366049Y-124471654D01* +X217285346Y-124390951D01* +X217190449Y-124327543D01* +X217085005Y-124283866D01* +X216973066Y-124261600D01* +X216858934Y-124261600D01* +X216746995Y-124283866D01* +X216641551Y-124327543D01* +X216546654Y-124390951D01* +X216465951Y-124471654D01* +X216402543Y-124566551D01* +X216358866Y-124671995D01* +X216336600Y-124783934D01* +X215837828Y-124783934D01* +X214948828Y-123894934D01* +X215447600Y-123894934D01* +X215447600Y-124009066D01* +X215469866Y-124121005D01* +X215513543Y-124226449D01* +X215576951Y-124321346D01* +X215657654Y-124402049D01* +X215752551Y-124465457D01* +X215857995Y-124509134D01* +X215969934Y-124531400D01* +X216084066Y-124531400D01* +X216196005Y-124509134D01* +X216301449Y-124465457D01* +X216396346Y-124402049D01* +X216477049Y-124321346D01* +X216540457Y-124226449D01* +X216559449Y-124180600D01* +X221409766Y-124180600D01* +X221418951Y-124194346D01* +X221499654Y-124275049D01* +X221594551Y-124338457D01* +X221699995Y-124382134D01* +X221811934Y-124404400D01* +X221926066Y-124404400D01* +X221942281Y-124401175D01* +X224145210Y-126604105D01* +X224156337Y-126617663D01* +X224169895Y-126628790D01* +X224169900Y-126628795D01* +X224195186Y-126649546D01* +X224210484Y-126662101D01* +X224272260Y-126695121D01* +X224324287Y-126710903D01* +X224339951Y-126734346D01* +X224420654Y-126815049D01* +X224515551Y-126878457D01* +X224620995Y-126922134D01* +X224732934Y-126944400D01* +X224847066Y-126944400D01* +X224959005Y-126922134D01* +X225064449Y-126878457D01* +X225159346Y-126815049D01* +X225240049Y-126734346D01* +X225303457Y-126639449D01* +X225347134Y-126534005D01* +X225369400Y-126422066D01* +X225369400Y-126307934D01* +X225347134Y-126195995D01* +X225303457Y-126090551D01* +X225240049Y-125995654D01* +X225159346Y-125914951D01* +X225064449Y-125851543D01* +X224959005Y-125807866D01* +X224847066Y-125785600D01* +X224732934Y-125785600D01* +X224620995Y-125807866D01* +X224515551Y-125851543D01* +X224445347Y-125898452D01* +X222445175Y-123898281D01* +X222445840Y-123894934D01* +X222813600Y-123894934D01* +X222813600Y-124009066D01* +X222835866Y-124121005D01* +X222879543Y-124226449D01* +X222942951Y-124321346D01* +X223023654Y-124402049D01* +X223118551Y-124465457D01* +X223223995Y-124509134D01* +X223335934Y-124531400D01* +X223450066Y-124531400D01* +X223562005Y-124509134D01* +X223667449Y-124465457D01* +X223762346Y-124402049D01* +X223843049Y-124321346D01* +X223906457Y-124226449D01* +X223950134Y-124121005D01* +X223972400Y-124009066D01* +X223972400Y-124002493D01* +X224689893Y-123285000D01* +X226349248Y-123285000D01* +X226354643Y-123339772D01* +X226370619Y-123392439D01* +X226396563Y-123440977D01* +X226431478Y-123483522D01* +X226474023Y-123518437D01* +X226522561Y-123544381D01* +X226575228Y-123560357D01* +X226630000Y-123565752D01* +X227186350Y-123564400D01* +X227256200Y-123494550D01* +X227256200Y-122833800D01* +X227403800Y-122833800D01* +X227403800Y-123494550D01* +X227473650Y-123564400D01* +X228030000Y-123565752D01* +X228084772Y-123560357D01* +X228137439Y-123544381D01* +X228185977Y-123518437D01* +X228228522Y-123483522D01* +X228263437Y-123440977D01* +X228289381Y-123392439D01* +X228305357Y-123339772D01* +X228310752Y-123285000D01* +X228309400Y-122903650D01* +X228239550Y-122833800D01* +X227403800Y-122833800D01* +X227256200Y-122833800D01* +X226420450Y-122833800D01* +X226350600Y-122903650D01* +X226349248Y-123285000D01* +X224689893Y-123285000D01* +X225739894Y-122235000D01* +X226349248Y-122235000D01* +X226350600Y-122616350D01* +X226420450Y-122686200D01* +X227256200Y-122686200D01* +X227256200Y-122025450D01* +X227403800Y-122025450D01* +X227403800Y-122686200D01* +X228239550Y-122686200D01* +X228309400Y-122616350D01* +X228310752Y-122235000D01* +X228305357Y-122180228D01* +X228289381Y-122127561D01* +X228263437Y-122079023D01* +X228228522Y-122036478D01* +X228185977Y-122001563D01* +X228137439Y-121975619D01* +X228084772Y-121959643D01* +X228030000Y-121954248D01* +X227473650Y-121955600D01* +X227403800Y-122025450D01* +X227256200Y-122025450D01* +X227186350Y-121955600D01* +X226630000Y-121954248D01* +X226575228Y-121959643D01* +X226522561Y-121975619D01* +X226474023Y-122001563D01* +X226431478Y-122036478D01* +X226396563Y-122079023D01* +X226370619Y-122127561D01* +X226354643Y-122180228D01* +X226349248Y-122235000D01* +X225739894Y-122235000D01* +X225859720Y-122115175D01* +X225875934Y-122118400D01* +X225990066Y-122118400D01* +X226102005Y-122096134D01* +X226207449Y-122052457D01* +X226302346Y-121989049D01* +X226383049Y-121908346D01* +X226446457Y-121813449D01* +X226490134Y-121708005D01* +X226512400Y-121596066D01* +X226512400Y-121481934D01* +X226490134Y-121369995D01* +X226446457Y-121264551D01* +X226383049Y-121169654D01* +X226302346Y-121088951D01* +X226207449Y-121025543D01* +X226102005Y-120981866D01* +X225990066Y-120959600D01* +X225875934Y-120959600D01* +X225763995Y-120981866D01* +X225658551Y-121025543D01* +X225563654Y-121088951D01* +X225482951Y-121169654D01* +X225419543Y-121264551D01* +X225375866Y-121369995D01* +X225353600Y-121481934D01* +X225353600Y-121596066D01* +X225356825Y-121612280D01* +X223570657Y-123398450D01* +X223562005Y-123394866D01* +X223450066Y-123372600D01* +X223335934Y-123372600D01* +X223223995Y-123394866D01* +X223118551Y-123438543D01* +X223023654Y-123501951D01* +X222942951Y-123582654D01* +X222879543Y-123677551D01* +X222835866Y-123782995D01* +X222813600Y-123894934D01* +X222445840Y-123894934D01* +X222448400Y-123882066D01* +X222448400Y-123767934D01* +X222445175Y-123751719D01* +X225445295Y-120751600D01* +X228521766Y-120751600D01* +X228530951Y-120765346D01* +X228611654Y-120846049D01* +X228706551Y-120909457D01* +X228811995Y-120953134D01* +X228923934Y-120975400D01* +X229038066Y-120975400D01* +X229150005Y-120953134D01* +X229255449Y-120909457D01* +X229350346Y-120846049D01* +X229431049Y-120765346D01* +X229494457Y-120670449D01* +X229538134Y-120565005D01* +X229560400Y-120453066D01* +X229560400Y-120338934D01* +X229538134Y-120226995D01* +X229494457Y-120121551D01* +X229431049Y-120026654D01* +X229350346Y-119945951D01* +X229255449Y-119882543D01* +X229150005Y-119838866D01* +X229038066Y-119816600D01* +X228923934Y-119816600D01* +X228811995Y-119838866D01* +X228706551Y-119882543D01* +X228611654Y-119945951D01* +X228530951Y-120026654D01* +X228521766Y-120040400D01* +X225315452Y-120040400D01* +X225297999Y-120038681D01* +X225280546Y-120040400D01* +X225280537Y-120040400D01* +X225228290Y-120045546D01* +X225161260Y-120065879D01* +X225099484Y-120098899D01* +X225099482Y-120098900D01* +X225099483Y-120098900D01* +X225058900Y-120132205D01* +X225058895Y-120132210D01* +X225045337Y-120143337D01* +X225034210Y-120156895D01* +X221942281Y-123248825D01* +X221926066Y-123245600D01* +X221811934Y-123245600D01* +X221699995Y-123267866D01* +X221594551Y-123311543D01* +X221499654Y-123374951D01* +X221418951Y-123455654D01* +X221409766Y-123469400D01* +X216425452Y-123469400D01* +X216407999Y-123467681D01* +X216390546Y-123469400D01* +X216390537Y-123469400D01* +X216353142Y-123473083D01* +X216301449Y-123438543D01* +X216196005Y-123394866D01* +X216084066Y-123372600D01* +X215969934Y-123372600D01* +X215857995Y-123394866D01* +X215752551Y-123438543D01* +X215657654Y-123501951D01* +X215576951Y-123582654D01* +X215513543Y-123677551D01* +X215469866Y-123782995D01* +X215447600Y-123894934D01* +X214948828Y-123894934D01* +X214059828Y-123005934D01* +X214558600Y-123005934D01* +X214558600Y-123120066D01* +X214580866Y-123232005D01* +X214624543Y-123337449D01* +X214687951Y-123432346D01* +X214768654Y-123513049D01* +X214863551Y-123576457D01* +X214968995Y-123620134D01* +X215080934Y-123642400D01* +X215195066Y-123642400D01* +X215307005Y-123620134D01* +X215412449Y-123576457D01* +X215507346Y-123513049D01* +X215588049Y-123432346D01* +X215597234Y-123418600D01* +X215628545Y-123418600D01* +X215646000Y-123420319D01* +X215663455Y-123418600D01* +X215663463Y-123418600D01* +X215715710Y-123413454D01* +X215782740Y-123393121D01* +X215844516Y-123360101D01* +X215898663Y-123315663D01* +X215909799Y-123302094D01* +X216586959Y-122624934D01* +X218114600Y-122624934D01* +X218114600Y-122739066D01* +X218136866Y-122851005D01* +X218180543Y-122956449D01* +X218243951Y-123051346D01* +X218324654Y-123132049D01* +X218419551Y-123195457D01* +X218524995Y-123239134D01* +X218636934Y-123261400D01* +X218751066Y-123261400D01* +X218863005Y-123239134D01* +X218968449Y-123195457D01* +X219063346Y-123132049D01* +X219144049Y-123051346D01* +X219207457Y-122956449D01* +X219251134Y-122851005D01* +X219273400Y-122739066D01* +X219273400Y-122624934D01* +X219251134Y-122512995D01* +X219207457Y-122407551D01* +X219144049Y-122312654D01* +X219063346Y-122231951D01* +X219049600Y-122222766D01* +X219049600Y-121940293D01* +X221000295Y-119989600D01* +X227947545Y-119989600D01* +X227965000Y-119991319D01* +X227982455Y-119989600D01* +X227982463Y-119989600D01* +X228034710Y-119984454D01* +X228101740Y-119964121D01* +X228124502Y-119951954D01* +X228161934Y-119959400D01* +X228276066Y-119959400D01* +X228388005Y-119937134D01* +X228493449Y-119893457D01* +X228588346Y-119830049D01* +X228669049Y-119749346D01* +X228732457Y-119654449D01* +X228776134Y-119549005D01* +X228798400Y-119437066D01* +X228798400Y-119322934D01* +X228776134Y-119210995D01* +X228732457Y-119105551D01* +X228669049Y-119010654D01* +X228588346Y-118929951D01* +X228493449Y-118866543D01* +X228388005Y-118822866D01* +X228276066Y-118800600D01* +X228161934Y-118800600D01* +X228049995Y-118822866D01* +X227944551Y-118866543D01* +X227849654Y-118929951D01* +X227768951Y-119010654D01* +X227705543Y-119105551D01* +X227661866Y-119210995D01* +X227648458Y-119278400D01* +X220870455Y-119278400D01* +X220852999Y-119276681D01* +X220835544Y-119278400D01* +X220835537Y-119278400D01* +X220790187Y-119282867D01* +X220783289Y-119283546D01* +X220754102Y-119292400D01* +X220716260Y-119303879D01* +X220654484Y-119336899D01* +X220654482Y-119336900D01* +X220654483Y-119336900D01* +X220613900Y-119370205D01* +X220613895Y-119370210D01* +X220600337Y-119381337D01* +X220589210Y-119394895D01* +X218454901Y-121529206D01* +X218441338Y-121540337D01* +X218396900Y-121594484D01* +X218391194Y-121605159D01* +X218363880Y-121656259D01* +X218343546Y-121723290D01* +X218336681Y-121793000D01* +X218338401Y-121810465D01* +X218338401Y-122222766D01* +X218324654Y-122231951D01* +X218243951Y-122312654D01* +X218180543Y-122407551D01* +X218136866Y-122512995D01* +X218114600Y-122624934D01* +X216586959Y-122624934D01* +X219984294Y-119227600D01* +X226931545Y-119227600D01* +X226949000Y-119229319D01* +X226966455Y-119227600D01* +X226966463Y-119227600D01* +X227018710Y-119222454D01* +X227085740Y-119202121D01* +X227147516Y-119169101D01* +X227201663Y-119124663D01* +X227212798Y-119111095D01* +X227256719Y-119067175D01* +X227272934Y-119070400D01* +X227387066Y-119070400D01* +X227499005Y-119048134D01* +X227604449Y-119004457D01* +X227699346Y-118941049D01* +X227780049Y-118860346D01* +X227843457Y-118765449D01* +X227887134Y-118660005D01* +X227909400Y-118548066D01* +X227909400Y-118433934D01* +X227887134Y-118321995D01* +X227843457Y-118216551D01* +X227780049Y-118121654D01* +X227699346Y-118040951D01* +X227604449Y-117977543D01* +X227499005Y-117933866D01* +X227387066Y-117911600D01* +X227272934Y-117911600D01* +X227160995Y-117933866D01* +X227055551Y-117977543D01* +X226960654Y-118040951D01* +X226879951Y-118121654D01* +X226816543Y-118216551D01* +X226772866Y-118321995D01* +X226750600Y-118433934D01* +X226750600Y-118516400D01* +X219854455Y-118516400D01* +X219836999Y-118514681D01* +X219819544Y-118516400D01* +X219819537Y-118516400D01* +X219774187Y-118520867D01* +X219767289Y-118521546D01* +X219738102Y-118530400D01* +X219700260Y-118541879D01* +X219638484Y-118574899D01* +X219584337Y-118619337D01* +X219573206Y-118632900D01* +X215550251Y-122655856D01* +X215507346Y-122612951D01* +X215412449Y-122549543D01* +X215307005Y-122505866D01* +X215195066Y-122483600D01* +X215080934Y-122483600D01* +X214968995Y-122505866D01* +X214863551Y-122549543D01* +X214768654Y-122612951D01* +X214687951Y-122693654D01* +X214624543Y-122788551D01* +X214580866Y-122893995D01* +X214558600Y-123005934D01* +X214059828Y-123005934D01* +X213170828Y-122116934D01* +X213669600Y-122116934D01* +X213669600Y-122231066D01* +X213691866Y-122343005D01* +X213735543Y-122448449D01* +X213798951Y-122543346D01* +X213879654Y-122624049D01* +X213974551Y-122687457D01* +X214079995Y-122731134D01* +X214191934Y-122753400D01* +X214306066Y-122753400D01* +X214418005Y-122731134D01* +X214523449Y-122687457D01* +X214618346Y-122624049D01* +X214699049Y-122543346D01* +X214762457Y-122448449D01* +X214806134Y-122343005D01* +X214828400Y-122231066D01* +X214828400Y-122116934D01* +X214825175Y-122100719D01* +X215539295Y-121386600D01* +X216644545Y-121386600D01* +X216662000Y-121388319D01* +X216679455Y-121386600D01* +X216679463Y-121386600D01* +X216731710Y-121381454D01* +X216798740Y-121361121D01* +X216860516Y-121328101D01* +X216914663Y-121283663D01* +X216925799Y-121270094D01* +X219730294Y-118465600D01* +X225915545Y-118465600D01* +X225933000Y-118467319D01* +X225950455Y-118465600D01* +X225950463Y-118465600D01* +X226002710Y-118460454D01* +X226069740Y-118440121D01* +X226131516Y-118407101D01* +X226185663Y-118362663D01* +X226196798Y-118349095D01* +X226367719Y-118178175D01* +X226383934Y-118181400D01* +X226498066Y-118181400D01* +X226610005Y-118159134D01* +X226715449Y-118115457D01* +X226810346Y-118052049D01* +X226891049Y-117971346D01* +X226954457Y-117876449D01* +X226998134Y-117771005D01* +X227020400Y-117659066D01* +X227020400Y-117544934D01* +X226998134Y-117432995D01* +X226954457Y-117327551D01* +X226891049Y-117232654D01* +X226810346Y-117151951D01* +X226715449Y-117088543D01* +X226610005Y-117044866D01* +X226498066Y-117022600D01* +X226383934Y-117022600D01* +X226271995Y-117044866D01* +X226166551Y-117088543D01* +X226071654Y-117151951D01* +X225990951Y-117232654D01* +X225927543Y-117327551D01* +X225883866Y-117432995D01* +X225861600Y-117544934D01* +X225861600Y-117659066D01* +X225864825Y-117675281D01* +X225785707Y-117754400D01* +X219600455Y-117754400D01* +X219583000Y-117752681D01* +X219565545Y-117754400D01* +X219565537Y-117754400D01* +X219513290Y-117759546D01* +X219446260Y-117779879D01* +X219384484Y-117812899D01* +X219330337Y-117857337D01* +X219319206Y-117870900D01* +X216514707Y-120675400D01* +X215409455Y-120675400D01* +X215391999Y-120673681D01* +X215374544Y-120675400D01* +X215374537Y-120675400D01* +X215329187Y-120679867D01* +X215322289Y-120680546D01* +X215293102Y-120689400D01* +X215255260Y-120700879D01* +X215193484Y-120733899D01* +X215193482Y-120733900D01* +X215193483Y-120733900D01* +X215152900Y-120767205D01* +X215152895Y-120767210D01* +X215139337Y-120778337D01* +X215128210Y-120791895D01* +X214322281Y-121597825D01* +X214306066Y-121594600D01* +X214191934Y-121594600D01* +X214079995Y-121616866D01* +X213974551Y-121660543D01* +X213879654Y-121723951D01* +X213798951Y-121804654D01* +X213735543Y-121899551D01* +X213691866Y-122004995D01* +X213669600Y-122116934D01* +X213170828Y-122116934D01* +X212281828Y-121227934D01* +X212780600Y-121227934D01* +X212780600Y-121342066D01* +X212802866Y-121454005D01* +X212846543Y-121559449D01* +X212909951Y-121654346D01* +X212990654Y-121735049D01* +X213085551Y-121798457D01* +X213190995Y-121842134D01* +X213302934Y-121864400D01* +X213417066Y-121864400D01* +X213529005Y-121842134D01* +X213634449Y-121798457D01* +X213729346Y-121735049D01* +X213810049Y-121654346D01* +X213873457Y-121559449D01* +X213917134Y-121454005D01* +X213939400Y-121342066D01* +X213939400Y-121227934D01* +X213936175Y-121211719D01* +X214523294Y-120624600D01* +X216390545Y-120624600D01* +X216408000Y-120626319D01* +X216425455Y-120624600D01* +X216425463Y-120624600D01* +X216477710Y-120619454D01* +X216544740Y-120599121D01* +X216606516Y-120566101D01* +X216660663Y-120521663D01* +X216671799Y-120508094D01* +X219476294Y-117703600D01* +X224899545Y-117703600D01* +X224917000Y-117705319D01* +X224934455Y-117703600D01* +X224934463Y-117703600D01* +X224986710Y-117698454D01* +X225053740Y-117678121D01* +X225115516Y-117645101D01* +X225169663Y-117600663D01* +X225180799Y-117587094D01* +X225478719Y-117289175D01* +X225494934Y-117292400D01* +X225609066Y-117292400D01* +X225721005Y-117270134D01* +X225826449Y-117226457D01* +X225921346Y-117163049D01* +X226002049Y-117082346D01* +X226065457Y-116987449D01* +X226109134Y-116882005D01* +X226131400Y-116770066D01* +X226131400Y-116655934D01* +X226109134Y-116543995D01* +X226065457Y-116438551D01* +X226002049Y-116343654D01* +X225921346Y-116262951D01* +X225826449Y-116199543D01* +X225721005Y-116155866D01* +X225609066Y-116133600D01* +X225494934Y-116133600D01* +X225382995Y-116155866D01* +X225277551Y-116199543D01* +X225182654Y-116262951D01* +X225101951Y-116343654D01* +X225038543Y-116438551D01* +X224994866Y-116543995D01* +X224972600Y-116655934D01* +X224972600Y-116770066D01* +X224975825Y-116786281D01* +X224769707Y-116992400D01* +X219346455Y-116992400D01* +X219329000Y-116990681D01* +X219311545Y-116992400D01* +X219311537Y-116992400D01* +X219259290Y-116997546D01* +X219192260Y-117017879D01* +X219130484Y-117050899D01* +X219076337Y-117095337D01* +X219065206Y-117108900D01* +X216260707Y-119913400D01* +X214393455Y-119913400D01* +X214376000Y-119911681D01* +X214358544Y-119913400D01* +X214358537Y-119913400D01* +X214312566Y-119917928D01* +X214306289Y-119918546D01* +X214279027Y-119926816D01* +X214239260Y-119938879D01* +X214177484Y-119971899D01* +X214123337Y-120016337D01* +X214112206Y-120029900D01* +X213433281Y-120708825D01* +X213417066Y-120705600D01* +X213302934Y-120705600D01* +X213190995Y-120727866D01* +X213085551Y-120771543D01* +X212990654Y-120834951D01* +X212909951Y-120915654D01* +X212846543Y-121010551D01* +X212802866Y-121115995D01* +X212780600Y-121227934D01* +X212281828Y-121227934D01* +X211392828Y-120338934D01* +X211891600Y-120338934D01* +X211891600Y-120453066D01* +X211913866Y-120565005D01* +X211957543Y-120670449D01* +X212020951Y-120765346D01* +X212101654Y-120846049D01* +X212196551Y-120909457D01* +X212301995Y-120953134D01* +X212413934Y-120975400D01* +X212528066Y-120975400D01* +X212640005Y-120953134D01* +X212745449Y-120909457D01* +X212840346Y-120846049D01* +X212921049Y-120765346D01* +X212984457Y-120670449D01* +X213028134Y-120565005D01* +X213050400Y-120453066D01* +X213050400Y-120338934D01* +X213047175Y-120322719D01* +X213507294Y-119862600D01* +X216073045Y-119862600D01* +X216090500Y-119864319D01* +X216107955Y-119862600D01* +X216107963Y-119862600D01* +X216160210Y-119857454D01* +X216227240Y-119837121D01* +X216289016Y-119804101D01* +X216343163Y-119759663D01* +X216354299Y-119746094D01* +X219158794Y-116941600D01* +X223629545Y-116941600D01* +X223647000Y-116943319D01* +X223664455Y-116941600D01* +X223664463Y-116941600D01* +X223716710Y-116936454D01* +X223783740Y-116916121D01* +X223845516Y-116883101D01* +X223899663Y-116838663D01* +X223910799Y-116825094D01* +X224335719Y-116400175D01* +X224351934Y-116403400D01* +X224466066Y-116403400D01* +X224578005Y-116381134D01* +X224683449Y-116337457D01* +X224778346Y-116274049D01* +X224859049Y-116193346D01* +X224922457Y-116098449D01* +X224966134Y-115993005D01* +X224988400Y-115881066D01* +X224988400Y-115766934D01* +X224966134Y-115654995D01* +X224922457Y-115549551D01* +X224859049Y-115454654D01* +X224778346Y-115373951D01* +X224683449Y-115310543D01* +X224578005Y-115266866D01* +X224466066Y-115244600D01* +X224351934Y-115244600D01* +X224239995Y-115266866D01* +X224134551Y-115310543D01* +X224039654Y-115373951D01* +X223958951Y-115454654D01* +X223895543Y-115549551D01* +X223851866Y-115654995D01* +X223829600Y-115766934D01* +X223829600Y-115881066D01* +X223832825Y-115897281D01* +X223499707Y-116230400D01* +X219028955Y-116230400D01* +X219011500Y-116228681D01* +X218994045Y-116230400D01* +X218994037Y-116230400D01* +X218941790Y-116235546D01* +X218874760Y-116255879D01* +X218812984Y-116288899D01* +X218758837Y-116333337D01* +X218747706Y-116346900D01* +X215943207Y-119151400D01* +X213377455Y-119151400D01* +X213360000Y-119149681D01* +X213342544Y-119151400D01* +X213342537Y-119151400D01* +X213296566Y-119155928D01* +X213290289Y-119156546D01* +X213269956Y-119162714D01* +X213223260Y-119176879D01* +X213161484Y-119209899D01* +X213107337Y-119254337D01* +X213096206Y-119267900D01* +X212544281Y-119819825D01* +X212528066Y-119816600D01* +X212413934Y-119816600D01* +X212301995Y-119838866D01* +X212196551Y-119882543D01* +X212101654Y-119945951D01* +X212020951Y-120026654D01* +X211957543Y-120121551D01* +X211913866Y-120226995D01* +X211891600Y-120338934D01* +X211392828Y-120338934D01* +X210413600Y-119359707D01* +X210413600Y-117408085D01* +X210521600Y-117408085D01* +X210521600Y-117541915D01* +X210547709Y-117673174D01* +X210598924Y-117796816D01* +X210673276Y-117908092D01* +X210767908Y-118002724D01* +X210879184Y-118077076D01* +X211002826Y-118128291D01* +X211134085Y-118154400D01* +X211267915Y-118154400D01* +X211363435Y-118135400D01* +X213365623Y-118135400D01* +X213407803Y-118214314D01* +X213475364Y-118296636D01* +X213557686Y-118364197D01* +X213651607Y-118414398D01* +X213753517Y-118445313D01* +X213859500Y-118455751D01* +X214384500Y-118455751D01* +X214490483Y-118445313D01* +X214592393Y-118414398D01* +X214686314Y-118364197D01* +X214768636Y-118296636D01* +X214836197Y-118214314D01* +X214857210Y-118175000D01* +X215016248Y-118175000D01* +X215021643Y-118229772D01* +X215037619Y-118282439D01* +X215063563Y-118330977D01* +X215098478Y-118373522D01* +X215141023Y-118408437D01* +X215189561Y-118434381D01* +X215242228Y-118450357D01* +X215297000Y-118455752D01* +X215678350Y-118454400D01* +X215748200Y-118384550D01* +X215748200Y-117548800D01* +X215895800Y-117548800D01* +X215895800Y-118384550D01* +X215965650Y-118454400D01* +X216347000Y-118455752D01* +X216401772Y-118450357D01* +X216454439Y-118434381D01* +X216502977Y-118408437D01* +X216545522Y-118373522D01* +X216580437Y-118330977D01* +X216606381Y-118282439D01* +X216622357Y-118229772D01* +X216627752Y-118175000D01* +X216626400Y-117618650D01* +X216556550Y-117548800D01* +X215895800Y-117548800D01* +X215748200Y-117548800D01* +X215087450Y-117548800D01* +X215017600Y-117618650D01* +X215016248Y-118175000D01* +X214857210Y-118175000D01* +X214886398Y-118120393D01* +X214917313Y-118018483D01* +X214927751Y-117912500D01* +X214927751Y-117037500D01* +X214917313Y-116931517D01* +X214886398Y-116829607D01* +X214857211Y-116775000D01* +X215016248Y-116775000D01* +X215017600Y-117331350D01* +X215087450Y-117401200D01* +X215748200Y-117401200D01* +X215748200Y-116565450D01* +X215895800Y-116565450D01* +X215895800Y-117401200D01* +X216556550Y-117401200D01* +X216626400Y-117331350D01* +X216627752Y-116775000D01* +X216622357Y-116720228D01* +X216606381Y-116667561D01* +X216580437Y-116619023D01* +X216545522Y-116576478D01* +X216502977Y-116541563D01* +X216454439Y-116515619D01* +X216401772Y-116499643D01* +X216347000Y-116494248D01* +X215965650Y-116495600D01* +X215895800Y-116565450D01* +X215748200Y-116565450D01* +X215678350Y-116495600D01* +X215297000Y-116494248D01* +X215242228Y-116499643D01* +X215189561Y-116515619D01* +X215141023Y-116541563D01* +X215098478Y-116576478D01* +X215063563Y-116619023D01* +X215037619Y-116667561D01* +X215021643Y-116720228D01* +X215016248Y-116775000D01* +X214857211Y-116775000D01* +X214836197Y-116735686D01* +X214768636Y-116653364D01* +X214686314Y-116585803D01* +X214638400Y-116560193D01* +X214638400Y-115515751D01* +X215955000Y-115515751D01* +X216039035Y-115507474D01* +X216119841Y-115482962D01* +X216194312Y-115443156D01* +X216259587Y-115389587D01* +X216313156Y-115324312D01* +X216352962Y-115249841D01* +X216357463Y-115235000D01* +X219224248Y-115235000D01* +X219229643Y-115289772D01* +X219245619Y-115342439D01* +X219271563Y-115390977D01* +X219306478Y-115433522D01* +X219349023Y-115468437D01* +X219397561Y-115494381D01* +X219450228Y-115510357D01* +X219505000Y-115515752D01* +X221361350Y-115514400D01* +X221431200Y-115444550D01* +X221431200Y-115008800D01* +X221578800Y-115008800D01* +X221578800Y-115444550D01* +X221648650Y-115514400D01* +X223505000Y-115515752D01* +X223559772Y-115510357D01* +X223612439Y-115494381D01* +X223660977Y-115468437D01* +X223703522Y-115433522D01* +X223738437Y-115390977D01* +X223764381Y-115342439D01* +X223780357Y-115289772D01* +X223785752Y-115235000D01* +X223784400Y-115078650D01* +X223714550Y-115008800D01* +X221578800Y-115008800D01* +X221431200Y-115008800D01* +X219295450Y-115008800D01* +X219225600Y-115078650D01* +X219224248Y-115235000D01* +X216357463Y-115235000D01* +X216377474Y-115169035D01* +X216385751Y-115085000D01* +X216385751Y-114785000D01* +X216377474Y-114700965D01* +X216352962Y-114620159D01* +X216313156Y-114545688D01* +X216259587Y-114480413D01* +X216194312Y-114426844D01* +X216119841Y-114387038D01* +X216039035Y-114362526D01* +X215955000Y-114354249D01* +X212255000Y-114354249D01* +X212170965Y-114362526D01* +X212090159Y-114387038D01* +X212015688Y-114426844D01* +X211950413Y-114480413D01* +X211896844Y-114545688D01* +X211857038Y-114620159D01* +X211832526Y-114700965D01* +X211824249Y-114785000D01* +X211824249Y-115085000D01* +X211832526Y-115169035D01* +X211857038Y-115249841D01* +X211896844Y-115324312D01* +X211950413Y-115389587D01* +X212015688Y-115443156D01* +X212090159Y-115482962D01* +X212170965Y-115507474D01* +X212255000Y-115515751D01* +X213571600Y-115515751D01* +X213571601Y-116578366D01* +X213557686Y-116585803D01* +X213475364Y-116653364D01* +X213407803Y-116735686D01* +X213365623Y-116814600D01* +X211363435Y-116814600D01* +X211267915Y-116795600D01* +X211134085Y-116795600D01* +X211002826Y-116821709D01* +X210879184Y-116872924D01* +X210767908Y-116947276D01* +X210673276Y-117041908D01* +X210598924Y-117153184D01* +X210547709Y-117276826D01* +X210521600Y-117408085D01* +X210413600Y-117408085D01* +X210413600Y-114701293D01* +X211094294Y-114020600D01* +X211878824Y-114020600D01* +X211896844Y-114054312D01* +X211950413Y-114119587D01* +X212015688Y-114173156D01* +X212090159Y-114212962D01* +X212170965Y-114237474D01* +X212255000Y-114245751D01* +X215955000Y-114245751D01* +X216039035Y-114237474D01* +X216119841Y-114212962D01* +X216194312Y-114173156D01* +X216259587Y-114119587D01* +X216313156Y-114054312D01* +X216331176Y-114020600D01* +X217022707Y-114020600D01* +X217541204Y-114539098D01* +X217552337Y-114552663D01* +X217565900Y-114563794D01* +X217565901Y-114563795D01* +X217591186Y-114584546D01* +X217606484Y-114597101D01* +X217668260Y-114630121D01* +X217735290Y-114650454D01* +X217787537Y-114655600D01* +X217787545Y-114655600D01* +X217805000Y-114657319D01* +X217822455Y-114655600D01* +X219224426Y-114655600D01* +X219225600Y-114791350D01* +X219295450Y-114861200D01* +X221431200Y-114861200D01* +X221431200Y-114841200D01* +X221578800Y-114841200D01* +X221578800Y-114861200D01* +X223714550Y-114861200D01* +X223784400Y-114791350D01* +X223785574Y-114655600D01* +X224415575Y-114655600D01* +X229641400Y-119881427D01* +X229641401Y-122410535D01* +X229639681Y-122428000D01* +X229646546Y-122497710D01* +X229666880Y-122564741D01* +X229683390Y-122595628D01* +X229699900Y-122626516D01* +X229744338Y-122680663D01* +X229757901Y-122691794D01* +X230495204Y-123429098D01* +X230506337Y-123442663D01* +X230560484Y-123487101D01* +X230622260Y-123520121D01* +X230689290Y-123540454D01* +X230741537Y-123545600D01* +X230741545Y-123545600D01* +X230759000Y-123547319D01* +X230776455Y-123545600D01* +X232223824Y-123545600D01* +X232241844Y-123579312D01* +X232295413Y-123644587D01* +X232360688Y-123698156D01* +X232435159Y-123737962D01* +X232515965Y-123762474D01* +X232600000Y-123770751D01* +X234000000Y-123770751D01* +X234084035Y-123762474D01* +X234164841Y-123737962D01* +X234239312Y-123698156D01* +X234304587Y-123644587D01* +X234358156Y-123579312D01* +X234397962Y-123504841D01* +X234422474Y-123424035D01* +X234430751Y-123340000D01* +X234430751Y-123040000D01* +X234422474Y-122955965D01* +X234397962Y-122875159D01* +X234358156Y-122800688D01* +X234304587Y-122735413D01* +X234239312Y-122681844D01* +X234164841Y-122642038D01* +X234084035Y-122617526D01* +X234000000Y-122609249D01* +X232600000Y-122609249D01* +X232515965Y-122617526D01* +X232435159Y-122642038D01* +X232360688Y-122681844D01* +X232295413Y-122735413D01* +X232241844Y-122800688D01* +X232223824Y-122834400D01* +X230906294Y-122834400D01* +X230352600Y-122280707D01* +X230352600Y-121508493D01* +X231003206Y-122159100D01* +X231014337Y-122172663D01* +X231068484Y-122217101D01* +X231130260Y-122250121D01* +X231176956Y-122264286D01* +X231197289Y-122270454D01* +X231203566Y-122271072D01* +X231249537Y-122275600D01* +X231249544Y-122275600D01* +X231267000Y-122277319D01* +X231284455Y-122275600D01* +X232223824Y-122275600D01* +X232241844Y-122309312D01* +X232295413Y-122374587D01* +X232360688Y-122428156D01* +X232435159Y-122467962D01* +X232515965Y-122492474D01* +X232600000Y-122500751D01* +X234000000Y-122500751D01* +X234084035Y-122492474D01* +X234164841Y-122467962D01* +X234239312Y-122428156D01* +X234304587Y-122374587D01* +X234358156Y-122309312D01* +X234397962Y-122234841D01* +X234422474Y-122154035D01* +X234430751Y-122070000D01* +X234430751Y-121770000D01* +X234422474Y-121685965D01* +X234397962Y-121605159D01* +X234358156Y-121530688D01* +X234304587Y-121465413D01* +X234239312Y-121411844D01* +X234164841Y-121372038D01* +X234084035Y-121347526D01* +X234000000Y-121339249D01* +X232600000Y-121339249D01* +X232515965Y-121347526D01* +X232435159Y-121372038D01* +X232360688Y-121411844D01* +X232295413Y-121465413D01* +X232241844Y-121530688D01* +X232223824Y-121564400D01* +X231414294Y-121564400D01* +X230733600Y-120883707D01* +X230733600Y-120111495D01* +X231511210Y-120889105D01* +X231522337Y-120902663D01* +X231535895Y-120913790D01* +X231535900Y-120913795D01* +X231570078Y-120941844D01* +X231576484Y-120947101D01* +X231638260Y-120980121D01* +X231705290Y-121000454D01* +X231757537Y-121005600D01* +X231757546Y-121005600D01* +X231774999Y-121007319D01* +X231792452Y-121005600D01* +X232223824Y-121005600D01* +X232241844Y-121039312D01* +X232295413Y-121104587D01* +X232360688Y-121158156D01* +X232435159Y-121197962D01* +X232515965Y-121222474D01* +X232600000Y-121230751D01* +X234000000Y-121230751D01* +X234084035Y-121222474D01* +X234164841Y-121197962D01* +X234239312Y-121158156D01* +X234304587Y-121104587D01* +X234358156Y-121039312D01* +X234397962Y-120964841D01* +X234422474Y-120884035D01* +X234430751Y-120800000D01* +X234430751Y-120500000D01* +X234422474Y-120415965D01* +X234397962Y-120335159D01* +X234358156Y-120260688D01* +X234304587Y-120195413D01* +X234239312Y-120141844D01* +X234164841Y-120102038D01* +X234084035Y-120077526D01* +X234000000Y-120069249D01* +X232600000Y-120069249D01* +X232515965Y-120077526D01* +X232435159Y-120102038D01* +X232360688Y-120141844D01* +X232295413Y-120195413D01* +X232241844Y-120260688D01* +X232223824Y-120294400D01* +X231922295Y-120294400D01* +X231363495Y-119735600D01* +X232223824Y-119735600D01* +X232241844Y-119769312D01* +X232295413Y-119834587D01* +X232360688Y-119888156D01* +X232435159Y-119927962D01* +X232515965Y-119952474D01* +X232600000Y-119960751D01* +X234000000Y-119960751D01* +X234084035Y-119952474D01* +X234164841Y-119927962D01* +X234239312Y-119888156D01* +X234304587Y-119834587D01* +X234358156Y-119769312D01* +X234397962Y-119694841D01* +X234422474Y-119614035D01* +X234430751Y-119530000D01* +X234430751Y-119230000D01* +X260869249Y-119230000D01* +X260869249Y-119530000D01* +X260877526Y-119614035D01* +X260902038Y-119694841D01* +X260941844Y-119769312D01* +X260995413Y-119834587D01* +X261060688Y-119888156D01* +X261135159Y-119927962D01* +X261215965Y-119952474D01* +X261300000Y-119960751D01* +X262700000Y-119960751D01* +X262784035Y-119952474D01* +X262864841Y-119927962D01* +X262939312Y-119888156D01* +X262961440Y-119869996D01* +X262996551Y-119893457D01* +X263101995Y-119937134D01* +X263213934Y-119959400D01* +X263328066Y-119959400D01* +X263440005Y-119937134D01* +X263545449Y-119893457D01* +X263640346Y-119830049D01* +X263721049Y-119749346D01* +X263784457Y-119654449D01* +X263828134Y-119549005D01* +X263842854Y-119475000D01* +X266989248Y-119475000D01* +X266994643Y-119529772D01* +X267010619Y-119582439D01* +X267036563Y-119630977D01* +X267071478Y-119673522D01* +X267114023Y-119708437D01* +X267162561Y-119734381D01* +X267215228Y-119750357D01* +X267270000Y-119755752D01* +X267826350Y-119754400D01* +X267896200Y-119684550D01* +X267896200Y-119023800D01* +X268043800Y-119023800D01* +X268043800Y-119684550D01* +X268113650Y-119754400D01* +X268670000Y-119755752D01* +X268724772Y-119750357D01* +X268777439Y-119734381D01* +X268825977Y-119708437D01* +X268868522Y-119673522D01* +X268903437Y-119630977D01* +X268929381Y-119582439D01* +X268945357Y-119529772D01* +X268950752Y-119475000D01* +X268949400Y-119093650D01* +X268879550Y-119023800D01* +X268043800Y-119023800D01* +X267896200Y-119023800D01* +X267060450Y-119023800D01* +X266990600Y-119093650D01* +X266989248Y-119475000D01* +X263842854Y-119475000D01* +X263850400Y-119437066D01* +X263850400Y-119322934D01* +X263828134Y-119210995D01* +X263784457Y-119105551D01* +X263721049Y-119010654D01* +X263640346Y-118929951D01* +X263545449Y-118866543D01* +X263440005Y-118822866D01* +X263328066Y-118800600D01* +X263213934Y-118800600D01* +X263101995Y-118822866D01* +X262996551Y-118866543D01* +X262961440Y-118890004D01* +X262939312Y-118871844D01* +X262864841Y-118832038D01* +X262784035Y-118807526D01* +X262700000Y-118799249D01* +X261300000Y-118799249D01* +X261215965Y-118807526D01* +X261135159Y-118832038D01* +X261060688Y-118871844D01* +X260995413Y-118925413D01* +X260941844Y-118990688D01* +X260902038Y-119065159D01* +X260877526Y-119145965D01* +X260869249Y-119230000D01* +X234430751Y-119230000D01* +X234422474Y-119145965D01* +X234397962Y-119065159D01* +X234358156Y-118990688D01* +X234304587Y-118925413D01* +X234239312Y-118871844D01* +X234164841Y-118832038D01* +X234084035Y-118807526D01* +X234000000Y-118799249D01* +X232600000Y-118799249D01* +X232515965Y-118807526D01* +X232435159Y-118832038D01* +X232360688Y-118871844D01* +X232295413Y-118925413D01* +X232241844Y-118990688D01* +X232223824Y-119024400D01* +X231087030Y-119024400D01* +X230528230Y-118465600D01* +X232223824Y-118465600D01* +X232241844Y-118499312D01* +X232295413Y-118564587D01* +X232360688Y-118618156D01* +X232435159Y-118657962D01* +X232515965Y-118682474D01* +X232600000Y-118690751D01* +X234000000Y-118690751D01* +X234084035Y-118682474D01* +X234164841Y-118657962D01* +X234239312Y-118618156D01* +X234304587Y-118564587D01* +X234358156Y-118499312D01* +X234397962Y-118424841D01* +X234422474Y-118344035D01* +X234430751Y-118260000D01* +X234430751Y-117960000D01* +X234422474Y-117875965D01* +X234397962Y-117795159D01* +X234358156Y-117720688D01* +X234304587Y-117655413D01* +X234239312Y-117601844D01* +X234164841Y-117562038D01* +X234084035Y-117537526D01* +X234000000Y-117529249D01* +X232600000Y-117529249D01* +X232515965Y-117537526D01* +X232435159Y-117562038D01* +X232360688Y-117601844D01* +X232295413Y-117655413D01* +X232241844Y-117720688D01* +X232223824Y-117754400D01* +X230248097Y-117754400D01* +X229633697Y-117140000D01* +X232169248Y-117140000D01* +X232174643Y-117194772D01* +X232190619Y-117247439D01* +X232216563Y-117295977D01* +X232251478Y-117338522D01* +X232294023Y-117373437D01* +X232342561Y-117399381D01* +X232395228Y-117415357D01* +X232450000Y-117420752D01* +X233156350Y-117419400D01* +X233226200Y-117349550D01* +X233226200Y-116913800D01* +X233373800Y-116913800D01* +X233373800Y-117349550D01* +X233443650Y-117419400D01* +X234150000Y-117420752D01* +X234178609Y-117417934D01* +X235132600Y-117417934D01* +X235132600Y-117532066D01* +X235154866Y-117644005D01* +X235198543Y-117749449D01* +X235261951Y-117844346D01* +X235342654Y-117925049D01* +X235356113Y-117934042D01* +X235356400Y-117936955D01* +X235356400Y-117936963D01* +X235357998Y-117953181D01* +X235361546Y-117989210D01* +X235381880Y-118056241D01* +X235393017Y-118077076D01* +X235414900Y-118118016D01* +X235459338Y-118172163D01* +X235472901Y-118183294D01* +X236273710Y-118984105D01* +X236284837Y-118997663D01* +X236298395Y-119008790D01* +X236298400Y-119008795D01* +X236323686Y-119029546D01* +X236338984Y-119042101D01* +X236400760Y-119075121D01* +X236467790Y-119095454D01* +X236520037Y-119100600D01* +X236520046Y-119100600D01* +X236537499Y-119102319D01* +X236554952Y-119100600D01* +X238996545Y-119100600D01* +X239014000Y-119102319D01* +X239031455Y-119100600D01* +X239031463Y-119100600D01* +X239083710Y-119095454D01* +X239150740Y-119075121D01* +X239212516Y-119042101D01* +X239266663Y-118997663D01* +X239277799Y-118984094D01* +X240301893Y-117960000D01* +X260869249Y-117960000D01* +X260869249Y-118260000D01* +X260877526Y-118344035D01* +X260902038Y-118424841D01* +X260941844Y-118499312D01* +X260995413Y-118564587D01* +X261060688Y-118618156D01* +X261135159Y-118657962D01* +X261215965Y-118682474D01* +X261300000Y-118690751D01* +X262700000Y-118690751D01* +X262784035Y-118682474D01* +X262864841Y-118657962D01* +X262939312Y-118618156D01* +X262961440Y-118599996D01* +X262996551Y-118623457D01* +X263101995Y-118667134D01* +X263213934Y-118689400D01* +X263328066Y-118689400D01* +X263440005Y-118667134D01* +X263545449Y-118623457D01* +X263640346Y-118560049D01* +X263721049Y-118479346D01* +X263757361Y-118425000D01* +X266989248Y-118425000D01* +X266990600Y-118806350D01* +X267060450Y-118876200D01* +X267896200Y-118876200D01* +X267896200Y-118215450D01* +X268043800Y-118215450D01* +X268043800Y-118876200D01* +X268879550Y-118876200D01* +X268949400Y-118806350D01* +X268950752Y-118425000D01* +X268945357Y-118370228D01* +X268929381Y-118317561D01* +X268903437Y-118269023D01* +X268868522Y-118226478D01* +X268825977Y-118191563D01* +X268777439Y-118165619D01* +X268724772Y-118149643D01* +X268670000Y-118144248D01* +X268113650Y-118145600D01* +X268043800Y-118215450D01* +X267896200Y-118215450D01* +X267826350Y-118145600D01* +X267270000Y-118144248D01* +X267215228Y-118149643D01* +X267162561Y-118165619D01* +X267114023Y-118191563D01* +X267071478Y-118226478D01* +X267036563Y-118269023D01* +X267010619Y-118317561D01* +X266994643Y-118370228D01* +X266989248Y-118425000D01* +X263757361Y-118425000D01* +X263784457Y-118384449D01* +X263828134Y-118279005D01* +X263850400Y-118167066D01* +X263850400Y-118052934D01* +X263828134Y-117940995D01* +X263784457Y-117835551D01* +X263721049Y-117740654D01* +X263640346Y-117659951D01* +X263545449Y-117596543D01* +X263440005Y-117552866D01* +X263328066Y-117530600D01* +X263213934Y-117530600D01* +X263101995Y-117552866D01* +X262996551Y-117596543D01* +X262961440Y-117620004D01* +X262939312Y-117601844D01* +X262864841Y-117562038D01* +X262784035Y-117537526D01* +X262700000Y-117529249D01* +X261300000Y-117529249D01* +X261215965Y-117537526D01* +X261135159Y-117562038D01* +X261060688Y-117601844D01* +X260995413Y-117655413D01* +X260941844Y-117720688D01* +X260902038Y-117795159D01* +X260877526Y-117875965D01* +X260869249Y-117960000D01* +X240301893Y-117960000D01* +X241571893Y-116690000D01* +X260869249Y-116690000D01* +X260869249Y-116990000D01* +X260877526Y-117074035D01* +X260902038Y-117154841D01* +X260941844Y-117229312D01* +X260995413Y-117294587D01* +X261060688Y-117348156D01* +X261135159Y-117387962D01* +X261215965Y-117412474D01* +X261300000Y-117420751D01* +X262700000Y-117420751D01* +X262784035Y-117412474D01* +X262864841Y-117387962D01* +X262939312Y-117348156D01* +X262961440Y-117329996D01* +X262996551Y-117353457D01* +X263101995Y-117397134D01* +X263213934Y-117419400D01* +X263328066Y-117419400D01* +X263440005Y-117397134D01* +X263545449Y-117353457D01* +X263640346Y-117290049D01* +X263721049Y-117209346D01* +X263784457Y-117114449D01* +X263828134Y-117009005D01* +X263850400Y-116897066D01* +X263850400Y-116782934D01* +X263828134Y-116670995D01* +X263784457Y-116565551D01* +X263721049Y-116470654D01* +X263640346Y-116389951D01* +X263545449Y-116326543D01* +X263440005Y-116282866D01* +X263328066Y-116260600D01* +X263213934Y-116260600D01* +X263101995Y-116282866D01* +X262996551Y-116326543D01* +X262961440Y-116350004D01* +X262939312Y-116331844D01* +X262864841Y-116292038D01* +X262784035Y-116267526D01* +X262700000Y-116259249D01* +X261300000Y-116259249D01* +X261215965Y-116267526D01* +X261135159Y-116292038D01* +X261060688Y-116331844D01* +X260995413Y-116385413D01* +X260941844Y-116450688D01* +X260902038Y-116525159D01* +X260877526Y-116605965D01* +X260869249Y-116690000D01* +X241571893Y-116690000D01* +X242391893Y-115870000D01* +X260869248Y-115870000D01* +X260874643Y-115924772D01* +X260890619Y-115977439D01* +X260916563Y-116025977D01* +X260951478Y-116068522D01* +X260994023Y-116103437D01* +X261042561Y-116129381D01* +X261095228Y-116145357D01* +X261150000Y-116150752D01* +X261856350Y-116149400D01* +X261926200Y-116079550D01* +X261926200Y-115643800D01* +X262073800Y-115643800D01* +X262073800Y-116079550D01* +X262143650Y-116149400D01* +X262850000Y-116150752D01* +X262904772Y-116145357D01* +X262957439Y-116129381D01* +X263005977Y-116103437D01* +X263048522Y-116068522D01* +X263083437Y-116025977D01* +X263109381Y-115977439D01* +X263125357Y-115924772D01* +X263130752Y-115870000D01* +X263129400Y-115713650D01* +X263059550Y-115643800D01* +X262073800Y-115643800D01* +X261926200Y-115643800D01* +X260940450Y-115643800D01* +X260870600Y-115713650D01* +X260869248Y-115870000D01* +X242391893Y-115870000D01* +X242991893Y-115270000D01* +X260869248Y-115270000D01* +X260870600Y-115426350D01* +X260940450Y-115496200D01* +X261926200Y-115496200D01* +X261926200Y-115060450D01* +X262073800Y-115060450D01* +X262073800Y-115496200D01* +X263059550Y-115496200D01* +X263129400Y-115426350D01* +X263130752Y-115270000D01* +X263125357Y-115215228D01* +X263109381Y-115162561D01* +X263083437Y-115114023D01* +X263048522Y-115071478D01* +X263005977Y-115036563D01* +X262957439Y-115010619D01* +X262904772Y-114994643D01* +X262850000Y-114989248D01* +X262143650Y-114990600D01* +X262073800Y-115060450D01* +X261926200Y-115060450D01* +X261856350Y-114990600D01* +X261150000Y-114989248D01* +X261095228Y-114994643D01* +X261042561Y-115010619D01* +X260994023Y-115036563D01* +X260951478Y-115071478D01* +X260916563Y-115114023D01* +X260890619Y-115162561D01* +X260874643Y-115215228D01* +X260869248Y-115270000D01* +X242991893Y-115270000D01* +X245381893Y-112880000D01* +X260869249Y-112880000D01* +X260869249Y-113180000D01* +X260877526Y-113264035D01* +X260902038Y-113344841D01* +X260941844Y-113419312D01* +X260995413Y-113484587D01* +X261060688Y-113538156D01* +X261135159Y-113577962D01* +X261215965Y-113602474D01* +X261300000Y-113610751D01* +X261644400Y-113610751D01* +X261644401Y-113719249D01* +X261300000Y-113719249D01* +X261215965Y-113727526D01* +X261135159Y-113752038D01* +X261060688Y-113791844D01* +X260995413Y-113845413D01* +X260941844Y-113910688D01* +X260902038Y-113985159D01* +X260877526Y-114065965D01* +X260869249Y-114150000D01* +X260869249Y-114450000D01* +X260877526Y-114534035D01* +X260902038Y-114614841D01* +X260941844Y-114689312D01* +X260995413Y-114754587D01* +X261060688Y-114808156D01* +X261135159Y-114847962D01* +X261215965Y-114872474D01* +X261300000Y-114880751D01* +X262700000Y-114880751D01* +X262784035Y-114872474D01* +X262864841Y-114847962D01* +X262939312Y-114808156D01* +X262961440Y-114789996D01* +X262996551Y-114813457D01* +X263101995Y-114857134D01* +X263213934Y-114879400D01* +X263328066Y-114879400D01* +X263440005Y-114857134D01* +X263545449Y-114813457D01* +X263640346Y-114750049D01* +X263721049Y-114669346D01* +X263784457Y-114574449D01* +X263828134Y-114469005D01* +X263850400Y-114357066D01* +X263850400Y-114242934D01* +X263828134Y-114130995D01* +X263784457Y-114025551D01* +X263721049Y-113930654D01* +X263640346Y-113849951D01* +X263545449Y-113786543D01* +X263440005Y-113742866D01* +X263328066Y-113720600D01* +X263213934Y-113720600D01* +X263101995Y-113742866D01* +X262996551Y-113786543D01* +X262961440Y-113810004D01* +X262939312Y-113791844D01* +X262864841Y-113752038D01* +X262784035Y-113727526D01* +X262700000Y-113719249D01* +X262355600Y-113719249D01* +X262355600Y-113610751D01* +X262700000Y-113610751D01* +X262784035Y-113602474D01* +X262864841Y-113577962D01* +X262939312Y-113538156D01* +X263004587Y-113484587D01* +X263058156Y-113419312D01* +X263097962Y-113344841D01* +X263122474Y-113264035D01* +X263130751Y-113180000D01* +X263130751Y-112880000D01* +X263122474Y-112795965D01* +X263097962Y-112715159D01* +X263058156Y-112640688D01* +X263004587Y-112575413D01* +X262939312Y-112521844D01* +X262864841Y-112482038D01* +X262784035Y-112457526D01* +X262700000Y-112449249D01* +X261300000Y-112449249D01* +X261215965Y-112457526D01* +X261135159Y-112482038D01* +X261060688Y-112521844D01* +X260995413Y-112575413D01* +X260941844Y-112640688D01* +X260902038Y-112715159D01* +X260877526Y-112795965D01* +X260869249Y-112880000D01* +X245381893Y-112880000D01* +X246146294Y-112115600D01* +X260923824Y-112115600D01* +X260941844Y-112149312D01* +X260995413Y-112214587D01* +X261060688Y-112268156D01* +X261135159Y-112307962D01* +X261215965Y-112332474D01* +X261300000Y-112340751D01* +X262700000Y-112340751D01* +X262784035Y-112332474D01* +X262864841Y-112307962D01* +X262939312Y-112268156D01* +X263004587Y-112214587D01* +X263058156Y-112149312D01* +X263097962Y-112074841D01* +X263122474Y-111994035D01* +X263130751Y-111910000D01* +X263130751Y-111610000D01* +X263122474Y-111525965D01* +X263097962Y-111445159D01* +X263058156Y-111370688D01* +X263004587Y-111305413D01* +X262939312Y-111251844D01* +X262864841Y-111212038D01* +X262784035Y-111187526D01* +X262700000Y-111179249D01* +X262355600Y-111179249D01* +X262355600Y-111070751D01* +X262700000Y-111070751D01* +X262784035Y-111062474D01* +X262864841Y-111037962D01* +X262939312Y-110998156D01* +X263004587Y-110944587D01* +X263058156Y-110879312D01* +X263097962Y-110804841D01* +X263122474Y-110724035D01* +X263130751Y-110640000D01* +X263130751Y-110340000D01* +X263122474Y-110255965D01* +X263097962Y-110175159D01* +X263058156Y-110100688D01* +X263004587Y-110035413D01* +X262939312Y-109981844D01* +X262864841Y-109942038D01* +X262784035Y-109917526D01* +X262700000Y-109909249D01* +X261300000Y-109909249D01* +X261215965Y-109917526D01* +X261135159Y-109942038D01* +X261060688Y-109981844D01* +X260995413Y-110035413D01* +X260941844Y-110100688D01* +X260902038Y-110175159D01* +X260877526Y-110255965D01* +X260869249Y-110340000D01* +X260869249Y-110640000D01* +X260877526Y-110724035D01* +X260902038Y-110804841D01* +X260941844Y-110879312D01* +X260995413Y-110944587D01* +X261060688Y-110998156D01* +X261135159Y-111037962D01* +X261215965Y-111062474D01* +X261300000Y-111070751D01* +X261644401Y-111070751D01* +X261644400Y-111179249D01* +X261300000Y-111179249D01* +X261215965Y-111187526D01* +X261135159Y-111212038D01* +X261060688Y-111251844D01* +X260995413Y-111305413D01* +X260941844Y-111370688D01* +X260923824Y-111404400D01* +X246016455Y-111404400D01* +X245999000Y-111402681D01* +X245981545Y-111404400D01* +X245981537Y-111404400D01* +X245929290Y-111409546D01* +X245862260Y-111429879D01* +X245801745Y-111462225D01* +X245800484Y-111462899D01* +X245769245Y-111488537D01* +X245746337Y-111507337D01* +X245735206Y-111520900D01* +X238866707Y-118389400D01* +X236684795Y-118389400D01* +X236150894Y-117855501D01* +X236162049Y-117844346D01* +X236225457Y-117749449D01* +X236269134Y-117644005D01* +X236291400Y-117532066D01* +X236291400Y-117417934D01* +X236402600Y-117417934D01* +X236402600Y-117532066D01* +X236424866Y-117644005D01* +X236468543Y-117749449D01* +X236531951Y-117844346D01* +X236612654Y-117925049D01* +X236707551Y-117988457D01* +X236812995Y-118032134D01* +X236924934Y-118054400D01* +X237039066Y-118054400D01* +X237151005Y-118032134D01* +X237256449Y-117988457D01* +X237351346Y-117925049D01* +X237432049Y-117844346D01* +X237441234Y-117830600D01* +X238488545Y-117830600D01* +X238506000Y-117832319D01* +X238523455Y-117830600D01* +X238523463Y-117830600D01* +X238575710Y-117825454D01* +X238642740Y-117805121D01* +X238704516Y-117772101D01* +X238758663Y-117727663D01* +X238769799Y-117714094D01* +X248178295Y-108305600D01* +X260923824Y-108305600D01* +X260941844Y-108339312D01* +X260995413Y-108404587D01* +X261060688Y-108458156D01* +X261135159Y-108497962D01* +X261215965Y-108522474D01* +X261300000Y-108530751D01* +X262700000Y-108530751D01* +X262784035Y-108522474D01* +X262864841Y-108497962D01* +X262939312Y-108458156D01* +X263004587Y-108404587D01* +X263058156Y-108339312D01* +X263097962Y-108264841D01* +X263122474Y-108184035D01* +X263130751Y-108100000D01* +X263130751Y-108045000D01* +X266989248Y-108045000D01* +X266994643Y-108099772D01* +X267010619Y-108152439D01* +X267036563Y-108200977D01* +X267071478Y-108243522D01* +X267114023Y-108278437D01* +X267162561Y-108304381D01* +X267215228Y-108320357D01* +X267270000Y-108325752D01* +X267826350Y-108324400D01* +X267896200Y-108254550D01* +X267896200Y-107593800D01* +X268043800Y-107593800D01* +X268043800Y-108254550D01* +X268113650Y-108324400D01* +X268670000Y-108325752D01* +X268724772Y-108320357D01* +X268777439Y-108304381D01* +X268825977Y-108278437D01* +X268868522Y-108243522D01* +X268903437Y-108200977D01* +X268929381Y-108152439D01* +X268945357Y-108099772D01* +X268950752Y-108045000D01* +X268949400Y-107663650D01* +X268879550Y-107593800D01* +X268043800Y-107593800D01* +X267896200Y-107593800D01* +X267060450Y-107593800D01* +X266990600Y-107663650D01* +X266989248Y-108045000D01* +X263130751Y-108045000D01* +X263130751Y-107800000D01* +X263122474Y-107715965D01* +X263097962Y-107635159D01* +X263058156Y-107560688D01* +X263004587Y-107495413D01* +X262939312Y-107441844D01* +X262864841Y-107402038D01* +X262784035Y-107377526D01* +X262700000Y-107369249D01* +X261300000Y-107369249D01* +X261215965Y-107377526D01* +X261135159Y-107402038D01* +X261060688Y-107441844D01* +X260995413Y-107495413D01* +X260941844Y-107560688D01* +X260923824Y-107594400D01* +X248048454Y-107594400D01* +X248030999Y-107592681D01* +X248013544Y-107594400D01* +X248013537Y-107594400D01* +X247968187Y-107598867D01* +X247961289Y-107599546D01* +X247932102Y-107608400D01* +X247894260Y-107619879D01* +X247832484Y-107652899D01* +X247832482Y-107652900D01* +X247832483Y-107652900D01* +X247791900Y-107686205D01* +X247791895Y-107686210D01* +X247778337Y-107697337D01* +X247767210Y-107710895D01* +X238358707Y-117119400D01* +X237441234Y-117119400D01* +X237432049Y-117105654D01* +X237351346Y-117024951D01* +X237256449Y-116961543D01* +X237151005Y-116917866D01* +X237039066Y-116895600D01* +X236924934Y-116895600D01* +X236812995Y-116917866D01* +X236707551Y-116961543D01* +X236612654Y-117024951D01* +X236531951Y-117105654D01* +X236468543Y-117200551D01* +X236424866Y-117305995D01* +X236402600Y-117417934D01* +X236291400Y-117417934D01* +X236269134Y-117305995D01* +X236225457Y-117200551D01* +X236162049Y-117105654D01* +X236081346Y-117024951D01* +X235986449Y-116961543D01* +X235881005Y-116917866D01* +X235769066Y-116895600D01* +X235654934Y-116895600D01* +X235542995Y-116917866D01* +X235437551Y-116961543D01* +X235342654Y-117024951D01* +X235261951Y-117105654D01* +X235198543Y-117200551D01* +X235154866Y-117305995D01* +X235132600Y-117417934D01* +X234178609Y-117417934D01* +X234204772Y-117415357D01* +X234257439Y-117399381D01* +X234305977Y-117373437D01* +X234348522Y-117338522D01* +X234383437Y-117295977D01* +X234409381Y-117247439D01* +X234425357Y-117194772D01* +X234430752Y-117140000D01* +X234429400Y-116983650D01* +X234359550Y-116913800D01* +X233373800Y-116913800D01* +X233226200Y-116913800D01* +X232240450Y-116913800D01* +X232170600Y-116983650D01* +X232169248Y-117140000D01* +X229633697Y-117140000D01* +X229033697Y-116540000D01* +X232169248Y-116540000D01* +X232170600Y-116696350D01* +X232240450Y-116766200D01* +X233226200Y-116766200D01* +X233226200Y-116330450D01* +X233373800Y-116330450D01* +X233373800Y-116766200D01* +X234359550Y-116766200D01* +X234429400Y-116696350D01* +X234430752Y-116540000D01* +X234425357Y-116485228D01* +X234409381Y-116432561D01* +X234383437Y-116384023D01* +X234348522Y-116341478D01* +X234305977Y-116306563D01* +X234257439Y-116280619D01* +X234204772Y-116264643D01* +X234150000Y-116259248D01* +X233443650Y-116260600D01* +X233373800Y-116330450D01* +X233226200Y-116330450D01* +X233156350Y-116260600D01* +X232450000Y-116259248D01* +X232395228Y-116264643D01* +X232342561Y-116280619D01* +X232294023Y-116306563D01* +X232251478Y-116341478D01* +X232216563Y-116384023D01* +X232190619Y-116432561D01* +X232174643Y-116485228D01* +X232169248Y-116540000D01* +X229033697Y-116540000D01* +X228419296Y-115925600D01* +X232223824Y-115925600D01* +X232241844Y-115959312D01* +X232295413Y-116024587D01* +X232360688Y-116078156D01* +X232435159Y-116117962D01* +X232515965Y-116142474D01* +X232600000Y-116150751D01* +X234000000Y-116150751D01* +X234028600Y-116147934D01* +X235132600Y-116147934D01* +X235132600Y-116262066D01* +X235154866Y-116374005D01* +X235198543Y-116479449D01* +X235261951Y-116574346D01* +X235342654Y-116655049D01* +X235437551Y-116718457D01* +X235542995Y-116762134D01* +X235654934Y-116784400D01* +X235769066Y-116784400D01* +X235881005Y-116762134D01* +X235986449Y-116718457D01* +X236081346Y-116655049D01* +X236162049Y-116574346D01* +X236171234Y-116560600D01* +X236837545Y-116560600D01* +X236855000Y-116562319D01* +X236872455Y-116560600D01* +X236872463Y-116560600D01* +X236924710Y-116555454D01* +X236991740Y-116535121D01* +X237053516Y-116502101D01* +X237107663Y-116457663D01* +X237118799Y-116444094D01* +X237414959Y-116147934D01* +X237672600Y-116147934D01* +X237672600Y-116262066D01* +X237694866Y-116374005D01* +X237738543Y-116479449D01* +X237801951Y-116574346D01* +X237882654Y-116655049D01* +X237977551Y-116718457D01* +X238082995Y-116762134D01* +X238194934Y-116784400D01* +X238309066Y-116784400D01* +X238421005Y-116762134D01* +X238526449Y-116718457D01* +X238621346Y-116655049D01* +X238702049Y-116574346D01* +X238765457Y-116479449D01* +X238809134Y-116374005D01* +X238831400Y-116262066D01* +X238831400Y-116147934D01* +X238809134Y-116035995D01* +X238805550Y-116027343D01* +X247797295Y-107035600D01* +X260923824Y-107035600D01* +X260941844Y-107069312D01* +X260995413Y-107134587D01* +X261060688Y-107188156D01* +X261135159Y-107227962D01* +X261215965Y-107252474D01* +X261300000Y-107260751D01* +X262700000Y-107260751D01* +X262784035Y-107252474D01* +X262864841Y-107227962D01* +X262939312Y-107188156D01* +X263004587Y-107134587D01* +X263058156Y-107069312D01* +X263097877Y-106995000D01* +X266989248Y-106995000D01* +X266990600Y-107376350D01* +X267060450Y-107446200D01* +X267896200Y-107446200D01* +X267896200Y-106785450D01* +X268043800Y-106785450D01* +X268043800Y-107446200D01* +X268879550Y-107446200D01* +X268949400Y-107376350D01* +X268950752Y-106995000D01* +X268945357Y-106940228D01* +X268929381Y-106887561D01* +X268903437Y-106839023D01* +X268868522Y-106796478D01* +X268825977Y-106761563D01* +X268777439Y-106735619D01* +X268724772Y-106719643D01* +X268670000Y-106714248D01* +X268113650Y-106715600D01* +X268043800Y-106785450D01* +X267896200Y-106785450D01* +X267826350Y-106715600D01* +X267270000Y-106714248D01* +X267215228Y-106719643D01* +X267162561Y-106735619D01* +X267114023Y-106761563D01* +X267071478Y-106796478D01* +X267036563Y-106839023D01* +X267010619Y-106887561D01* +X266994643Y-106940228D01* +X266989248Y-106995000D01* +X263097877Y-106995000D01* +X263097962Y-106994841D01* +X263122474Y-106914035D01* +X263130751Y-106830000D01* +X263130751Y-106530000D01* +X263122474Y-106445965D01* +X263097962Y-106365159D01* +X263058156Y-106290688D01* +X263004587Y-106225413D01* +X262939312Y-106171844D01* +X262864841Y-106132038D01* +X262784035Y-106107526D01* +X262700000Y-106099249D01* +X261300000Y-106099249D01* +X261215965Y-106107526D01* +X261135159Y-106132038D01* +X261060688Y-106171844D01* +X260995413Y-106225413D01* +X260941844Y-106290688D01* +X260923824Y-106324400D01* +X247667455Y-106324400D01* +X247649999Y-106322681D01* +X247632544Y-106324400D01* +X247632537Y-106324400D01* +X247587187Y-106328867D01* +X247580289Y-106329546D01* +X247551102Y-106338400D01* +X247513260Y-106349879D01* +X247451484Y-106382899D01* +X247451482Y-106382900D01* +X247451483Y-106382900D01* +X247410900Y-106416205D01* +X247410895Y-106416210D01* +X247397337Y-106427337D01* +X247386210Y-106440895D01* +X238201507Y-115625600D01* +X238194934Y-115625600D01* +X238082995Y-115647866D01* +X237977551Y-115691543D01* +X237882654Y-115754951D01* +X237801951Y-115835654D01* +X237738543Y-115930551D01* +X237694866Y-116035995D01* +X237672600Y-116147934D01* +X237414959Y-116147934D01* +X247797295Y-105765600D01* +X260923824Y-105765600D01* +X260941844Y-105799312D01* +X260995413Y-105864587D01* +X261060688Y-105918156D01* +X261135159Y-105957962D01* +X261215965Y-105982474D01* +X261300000Y-105990751D01* +X262700000Y-105990751D01* +X262784035Y-105982474D01* +X262864841Y-105957962D01* +X262939312Y-105918156D01* +X263004587Y-105864587D01* +X263058156Y-105799312D01* +X263097962Y-105724841D01* +X263122474Y-105644035D01* +X263130751Y-105560000D01* +X263130751Y-105260000D01* +X263122474Y-105175965D01* +X263097962Y-105095159D01* +X263058156Y-105020688D01* +X263004587Y-104955413D01* +X262939312Y-104901844D01* +X262864841Y-104862038D01* +X262784035Y-104837526D01* +X262700000Y-104829249D01* +X261300000Y-104829249D01* +X261215965Y-104837526D01* +X261135159Y-104862038D01* +X261060688Y-104901844D01* +X260995413Y-104955413D01* +X260941844Y-105020688D01* +X260923824Y-105054400D01* +X247667452Y-105054400D01* +X247649999Y-105052681D01* +X247632546Y-105054400D01* +X247632537Y-105054400D01* +X247580290Y-105059546D01* +X247513260Y-105079879D01* +X247451484Y-105112899D01* +X247451482Y-105112900D01* +X247451483Y-105112900D01* +X247410900Y-105146205D01* +X247410895Y-105146210D01* +X247397337Y-105157337D01* +X247386210Y-105170895D01* +X236707707Y-115849400D01* +X236171234Y-115849400D01* +X236162049Y-115835654D01* +X236081346Y-115754951D01* +X235986449Y-115691543D01* +X235881005Y-115647866D01* +X235769066Y-115625600D01* +X235654934Y-115625600D01* +X235542995Y-115647866D01* +X235437551Y-115691543D01* +X235342654Y-115754951D01* +X235261951Y-115835654D01* +X235198543Y-115930551D01* +X235154866Y-116035995D01* +X235132600Y-116147934D01* +X234028600Y-116147934D01* +X234084035Y-116142474D01* +X234164841Y-116117962D01* +X234239312Y-116078156D01* +X234304587Y-116024587D01* +X234358156Y-115959312D01* +X234397962Y-115884841D01* +X234422474Y-115804035D01* +X234430751Y-115720000D01* +X234430751Y-115420000D01* +X234422474Y-115335965D01* +X234397962Y-115255159D01* +X234358156Y-115180688D01* +X234304587Y-115115413D01* +X234239312Y-115061844D01* +X234164841Y-115022038D01* +X234084035Y-114997526D01* +X234000000Y-114989249D01* +X232600000Y-114989249D01* +X232515965Y-114997526D01* +X232435159Y-115022038D01* +X232360688Y-115061844D01* +X232295413Y-115115413D01* +X232241844Y-115180688D01* +X232223824Y-115214400D01* +X228139163Y-115214400D01* +X227580363Y-114655600D01* +X232223824Y-114655600D01* +X232241844Y-114689312D01* +X232295413Y-114754587D01* +X232360688Y-114808156D01* +X232435159Y-114847962D01* +X232515965Y-114872474D01* +X232600000Y-114880751D01* +X234000000Y-114880751D01* +X234028600Y-114877934D01* +X235132600Y-114877934D01* +X235132600Y-114992066D01* +X235154866Y-115104005D01* +X235198543Y-115209449D01* +X235261951Y-115304346D01* +X235342654Y-115385049D01* +X235437551Y-115448457D01* +X235542995Y-115492134D01* +X235654934Y-115514400D01* +X235769066Y-115514400D01* +X235881005Y-115492134D01* +X235986449Y-115448457D01* +X236081346Y-115385049D01* +X236162049Y-115304346D01* +X236171234Y-115290600D01* +X236837545Y-115290600D01* +X236855000Y-115292319D01* +X236872455Y-115290600D01* +X236872463Y-115290600D01* +X236924710Y-115285454D01* +X236991740Y-115265121D01* +X237053516Y-115232101D01* +X237107663Y-115187663D01* +X237118799Y-115174094D01* +X247852893Y-104440000D01* +X260869248Y-104440000D01* +X260874643Y-104494772D01* +X260890619Y-104547439D01* +X260916563Y-104595977D01* +X260951478Y-104638522D01* +X260994023Y-104673437D01* +X261042561Y-104699381D01* +X261095228Y-104715357D01* +X261150000Y-104720752D01* +X261856350Y-104719400D01* +X261926200Y-104649550D01* +X261926200Y-104213800D01* +X262073800Y-104213800D01* +X262073800Y-104649550D01* +X262143650Y-104719400D01* +X262850000Y-104720752D01* +X262904772Y-104715357D01* +X262957439Y-104699381D01* +X263005977Y-104673437D01* +X263048522Y-104638522D01* +X263083437Y-104595977D01* +X263109381Y-104547439D01* +X263125357Y-104494772D01* +X263130752Y-104440000D01* +X263129400Y-104283650D01* +X263059550Y-104213800D01* +X262073800Y-104213800D01* +X261926200Y-104213800D01* +X260940450Y-104213800D01* +X260870600Y-104283650D01* +X260869248Y-104440000D01* +X247852893Y-104440000D01* +X248452893Y-103840000D01* +X260869248Y-103840000D01* +X260870600Y-103996350D01* +X260940450Y-104066200D01* +X261926200Y-104066200D01* +X261926200Y-103630450D01* +X262073800Y-103630450D01* +X262073800Y-104066200D01* +X263059550Y-104066200D01* +X263129400Y-103996350D01* +X263130752Y-103840000D01* +X263125357Y-103785228D01* +X263109381Y-103732561D01* +X263083437Y-103684023D01* +X263048522Y-103641478D01* +X263005977Y-103606563D01* +X262957439Y-103580619D01* +X262904772Y-103564643D01* +X262850000Y-103559248D01* +X262143650Y-103560600D01* +X262073800Y-103630450D01* +X261926200Y-103630450D01* +X261856350Y-103560600D01* +X261150000Y-103559248D01* +X261095228Y-103564643D01* +X261042561Y-103580619D01* +X260994023Y-103606563D01* +X260951478Y-103641478D01* +X260916563Y-103684023D01* +X260890619Y-103732561D01* +X260874643Y-103785228D01* +X260869248Y-103840000D01* +X248452893Y-103840000D01* +X249067294Y-103225600D01* +X260923824Y-103225600D01* +X260941844Y-103259312D01* +X260995413Y-103324587D01* +X261060688Y-103378156D01* +X261135159Y-103417962D01* +X261215965Y-103442474D01* +X261300000Y-103450751D01* +X262700000Y-103450751D01* +X262784035Y-103442474D01* +X262864841Y-103417962D01* +X262939312Y-103378156D01* +X263004587Y-103324587D01* +X263058156Y-103259312D01* +X263097962Y-103184841D01* +X263122474Y-103104035D01* +X263130751Y-103020000D01* +X263130751Y-102720000D01* +X263122474Y-102635965D01* +X263097962Y-102555159D01* +X263058156Y-102480688D01* +X263004587Y-102415413D01* +X262939312Y-102361844D01* +X262864841Y-102322038D01* +X262784035Y-102297526D01* +X262700000Y-102289249D01* +X261300000Y-102289249D01* +X261215965Y-102297526D01* +X261135159Y-102322038D01* +X261060688Y-102361844D01* +X260995413Y-102415413D01* +X260941844Y-102480688D01* +X260923824Y-102514400D01* +X248937455Y-102514400D01* +X248920000Y-102512681D01* +X248902545Y-102514400D01* +X248902537Y-102514400D01* +X248850290Y-102519546D01* +X248783260Y-102539879D01* +X248721484Y-102572899D01* +X248667337Y-102617337D01* +X248656206Y-102630900D01* +X236707707Y-114579400D01* +X236171234Y-114579400D01* +X236162049Y-114565654D01* +X236081346Y-114484951D01* +X235986449Y-114421543D01* +X235881005Y-114377866D01* +X235769066Y-114355600D01* +X235654934Y-114355600D01* +X235542995Y-114377866D01* +X235437551Y-114421543D01* +X235342654Y-114484951D01* +X235261951Y-114565654D01* +X235198543Y-114660551D01* +X235154866Y-114765995D01* +X235132600Y-114877934D01* +X234028600Y-114877934D01* +X234084035Y-114872474D01* +X234164841Y-114847962D01* +X234239312Y-114808156D01* +X234304587Y-114754587D01* +X234358156Y-114689312D01* +X234397962Y-114614841D01* +X234422474Y-114534035D01* +X234430751Y-114450000D01* +X234430751Y-114150000D01* +X234422474Y-114065965D01* +X234397962Y-113985159D01* +X234358156Y-113910688D01* +X234304587Y-113845413D01* +X234239312Y-113791844D01* +X234164841Y-113752038D01* +X234084035Y-113727526D01* +X234000000Y-113719249D01* +X232600000Y-113719249D01* +X232515965Y-113727526D01* +X232435159Y-113752038D01* +X232360688Y-113791844D01* +X232295413Y-113845413D01* +X232241844Y-113910688D01* +X232223824Y-113944400D01* +X227300229Y-113944400D01* +X226741428Y-113385600D01* +X232223824Y-113385600D01* +X232241844Y-113419312D01* +X232295413Y-113484587D01* +X232360688Y-113538156D01* +X232435159Y-113577962D01* +X232515965Y-113602474D01* +X232600000Y-113610751D01* +X234000000Y-113610751D01* +X234028600Y-113607934D01* +X235132600Y-113607934D01* +X235132600Y-113722066D01* +X235154866Y-113834005D01* +X235198543Y-113939449D01* +X235261951Y-114034346D01* +X235342654Y-114115049D01* +X235437551Y-114178457D01* +X235542995Y-114222134D01* +X235654934Y-114244400D01* +X235769066Y-114244400D01* +X235881005Y-114222134D01* +X235986449Y-114178457D01* +X236081346Y-114115049D01* +X236162049Y-114034346D01* +X236171234Y-114020600D01* +X236837545Y-114020600D01* +X236855000Y-114022319D01* +X236872455Y-114020600D01* +X236872463Y-114020600D01* +X236924710Y-114015454D01* +X236991740Y-113995121D01* +X237053516Y-113962101D01* +X237107663Y-113917663D01* +X237118799Y-113904094D01* +X249067294Y-101955600D01* +X260923824Y-101955600D01* +X260941844Y-101989312D01* +X260995413Y-102054587D01* +X261060688Y-102108156D01* +X261135159Y-102147962D01* +X261215965Y-102172474D01* +X261300000Y-102180751D01* +X262700000Y-102180751D01* +X262784035Y-102172474D01* +X262864841Y-102147962D01* +X262939312Y-102108156D01* +X263004587Y-102054587D01* +X263058156Y-101989312D01* +X263097962Y-101914841D01* +X263122474Y-101834035D01* +X263130751Y-101750000D01* +X263130751Y-101450000D01* +X263122474Y-101365965D01* +X263097962Y-101285159D01* +X263097878Y-101285000D01* +X266989248Y-101285000D01* +X266994643Y-101339772D01* +X267010619Y-101392439D01* +X267036563Y-101440977D01* +X267071478Y-101483522D01* +X267114023Y-101518437D01* +X267162561Y-101544381D01* +X267215228Y-101560357D01* +X267270000Y-101565752D01* +X267826350Y-101564400D01* +X267896200Y-101494550D01* +X267896200Y-100833800D01* +X268043800Y-100833800D01* +X268043800Y-101494550D01* +X268113650Y-101564400D01* +X268670000Y-101565752D01* +X268724772Y-101560357D01* +X268777439Y-101544381D01* +X268825977Y-101518437D01* +X268868522Y-101483522D01* +X268903437Y-101440977D01* +X268929381Y-101392439D01* +X268945357Y-101339772D01* +X268950752Y-101285000D01* +X268949400Y-100903650D01* +X268879550Y-100833800D01* +X268043800Y-100833800D01* +X267896200Y-100833800D01* +X267060450Y-100833800D01* +X266990600Y-100903650D01* +X266989248Y-101285000D01* +X263097878Y-101285000D01* +X263058156Y-101210688D01* +X263004587Y-101145413D01* +X262939312Y-101091844D01* +X262864841Y-101052038D01* +X262784035Y-101027526D01* +X262700000Y-101019249D01* +X261300000Y-101019249D01* +X261215965Y-101027526D01* +X261135159Y-101052038D01* +X261060688Y-101091844D01* +X260995413Y-101145413D01* +X260941844Y-101210688D01* +X260923824Y-101244400D01* +X248937455Y-101244400D01* +X248920000Y-101242681D01* +X248902545Y-101244400D01* +X248902537Y-101244400D01* +X248850290Y-101249546D01* +X248783260Y-101269879D01* +X248721484Y-101302899D01* +X248667337Y-101347337D01* +X248656206Y-101360900D01* +X236707707Y-113309400D01* +X236171234Y-113309400D01* +X236162049Y-113295654D01* +X236081346Y-113214951D01* +X235986449Y-113151543D01* +X235881005Y-113107866D01* +X235769066Y-113085600D01* +X235654934Y-113085600D01* +X235542995Y-113107866D01* +X235437551Y-113151543D01* +X235342654Y-113214951D01* +X235261951Y-113295654D01* +X235198543Y-113390551D01* +X235154866Y-113495995D01* +X235132600Y-113607934D01* +X234028600Y-113607934D01* +X234084035Y-113602474D01* +X234164841Y-113577962D01* +X234239312Y-113538156D01* +X234304587Y-113484587D01* +X234358156Y-113419312D01* +X234397962Y-113344841D01* +X234422474Y-113264035D01* +X234430751Y-113180000D01* +X234430751Y-112880000D01* +X234422474Y-112795965D01* +X234397962Y-112715159D01* +X234358156Y-112640688D01* +X234304587Y-112575413D01* +X234239312Y-112521844D01* +X234164841Y-112482038D01* +X234084035Y-112457526D01* +X234000000Y-112449249D01* +X232600000Y-112449249D01* +X232515965Y-112457526D01* +X232435159Y-112482038D01* +X232360688Y-112521844D01* +X232295413Y-112575413D01* +X232241844Y-112640688D01* +X232223824Y-112674400D01* +X226461294Y-112674400D01* +X226209806Y-112422912D01* +X226222435Y-112420400D01* +X226525135Y-112420400D01* +X226590686Y-112474197D01* +X226684607Y-112524398D01* +X226786517Y-112555313D01* +X226892500Y-112565751D01* +X227767500Y-112565751D01* +X227873483Y-112555313D01* +X227975393Y-112524398D01* +X228069314Y-112474197D01* +X228134865Y-112420400D01* +X232061439Y-112420400D01* +X232158461Y-112410844D01* +X232282947Y-112373082D01* +X232397674Y-112311759D01* +X232415261Y-112297326D01* +X232435159Y-112307962D01* +X232515965Y-112332474D01* +X232600000Y-112340751D01* +X234000000Y-112340751D01* +X234028600Y-112337934D01* +X235132600Y-112337934D01* +X235132600Y-112452066D01* +X235154866Y-112564005D01* +X235198543Y-112669449D01* +X235261951Y-112764346D01* +X235342654Y-112845049D01* +X235437551Y-112908457D01* +X235542995Y-112952134D01* +X235654934Y-112974400D01* +X235769066Y-112974400D01* +X235881005Y-112952134D01* +X235986449Y-112908457D01* +X236081346Y-112845049D01* +X236162049Y-112764346D01* +X236171234Y-112750600D01* +X236774045Y-112750600D01* +X236791500Y-112752319D01* +X236808955Y-112750600D01* +X236808963Y-112750600D01* +X236861210Y-112745454D01* +X236928240Y-112725121D01* +X236990016Y-112692101D01* +X237044163Y-112647663D01* +X237055299Y-112634094D01* +X249003794Y-100685600D01* +X260923824Y-100685600D01* +X260941844Y-100719312D01* +X260995413Y-100784587D01* +X261060688Y-100838156D01* +X261135159Y-100877962D01* +X261215965Y-100902474D01* +X261300000Y-100910751D01* +X262700000Y-100910751D01* +X262784035Y-100902474D01* +X262864841Y-100877962D01* +X262939312Y-100838156D01* +X263004587Y-100784587D01* +X263058156Y-100719312D01* +X263097962Y-100644841D01* +X263122474Y-100564035D01* +X263130751Y-100480000D01* +X263130751Y-100235000D01* +X266989248Y-100235000D01* +X266990600Y-100616350D01* +X267060450Y-100686200D01* +X267896200Y-100686200D01* +X267896200Y-100025450D01* +X268043800Y-100025450D01* +X268043800Y-100686200D01* +X268879550Y-100686200D01* +X268949400Y-100616350D01* +X268950752Y-100235000D01* +X268945357Y-100180228D01* +X268929381Y-100127561D01* +X268903437Y-100079023D01* +X268868522Y-100036478D01* +X268825977Y-100001563D01* +X268777439Y-99975619D01* +X268724772Y-99959643D01* +X268670000Y-99954248D01* +X268113650Y-99955600D01* +X268043800Y-100025450D01* +X267896200Y-100025450D01* +X267826350Y-99955600D01* +X267270000Y-99954248D01* +X267215228Y-99959643D01* +X267162561Y-99975619D01* +X267114023Y-100001563D01* +X267071478Y-100036478D01* +X267036563Y-100079023D01* +X267010619Y-100127561D01* +X266994643Y-100180228D01* +X266989248Y-100235000D01* +X263130751Y-100235000D01* +X263130751Y-100180000D01* +X263122474Y-100095965D01* +X263097962Y-100015159D01* +X263058156Y-99940688D01* +X263004587Y-99875413D01* +X262939312Y-99821844D01* +X262864841Y-99782038D01* +X262784035Y-99757526D01* +X262700000Y-99749249D01* +X261300000Y-99749249D01* +X261215965Y-99757526D01* +X261135159Y-99782038D01* +X261060688Y-99821844D01* +X260995413Y-99875413D01* +X260941844Y-99940688D01* +X260923824Y-99974400D01* +X248873955Y-99974400D01* +X248856500Y-99972681D01* +X248839045Y-99974400D01* +X248839037Y-99974400D01* +X248786790Y-99979546D01* +X248719760Y-99999879D01* +X248657984Y-100032899D01* +X248603837Y-100077337D01* +X248592706Y-100090900D01* +X236644207Y-112039400D01* +X236171234Y-112039400D01* +X236162049Y-112025654D01* +X236081346Y-111944951D01* +X235986449Y-111881543D01* +X235881005Y-111837866D01* +X235769066Y-111815600D01* +X235654934Y-111815600D01* +X235542995Y-111837866D01* +X235437551Y-111881543D01* +X235342654Y-111944951D01* +X235261951Y-112025654D01* +X235198543Y-112120551D01* +X235154866Y-112225995D01* +X235132600Y-112337934D01* +X234028600Y-112337934D01* +X234084035Y-112332474D01* +X234164841Y-112307962D01* +X234239312Y-112268156D01* +X234304587Y-112214587D01* +X234358156Y-112149312D01* +X234397962Y-112074841D01* +X234422474Y-111994035D01* +X234430751Y-111910000D01* +X234430751Y-111610000D01* +X234422474Y-111525965D01* +X234397962Y-111445159D01* +X234358156Y-111370688D01* +X234304587Y-111305413D01* +X234239312Y-111251844D01* +X234164841Y-111212038D01* +X234084035Y-111187526D01* +X234000000Y-111179249D01* +X232600000Y-111179249D01* +X232515965Y-111187526D01* +X232435159Y-111212038D01* +X232415261Y-111222674D01* +X232397674Y-111208241D01* +X232282947Y-111146918D01* +X232158461Y-111109156D01* +X232061439Y-111099600D01* +X228134865Y-111099600D01* +X228069314Y-111045803D01* +X227975393Y-110995602D01* +X227873483Y-110964687D01* +X227767500Y-110954249D01* +X226892500Y-110954249D01* +X226786517Y-110964687D01* +X226684607Y-110995602D01* +X226590686Y-111045803D01* +X226525135Y-111099600D01* +X226222435Y-111099600D01* +X226126915Y-111080600D01* +X225993085Y-111080600D01* +X225861826Y-111106709D01* +X225738184Y-111157924D01* +X225643250Y-111221356D01* +X225006894Y-110585000D01* +X226349248Y-110585000D01* +X226354643Y-110639772D01* +X226370619Y-110692439D01* +X226396563Y-110740977D01* +X226431478Y-110783522D01* +X226474023Y-110818437D01* +X226522561Y-110844381D01* +X226575228Y-110860357D01* +X226630000Y-110865752D01* +X227186350Y-110864400D01* +X227256200Y-110794550D01* +X227256200Y-110133800D01* +X227403800Y-110133800D01* +X227403800Y-110794550D01* +X227473650Y-110864400D01* +X228030000Y-110865752D01* +X228084772Y-110860357D01* +X228137439Y-110844381D01* +X228185977Y-110818437D01* +X228220628Y-110790000D01* +X232169248Y-110790000D01* +X232174643Y-110844772D01* +X232190619Y-110897439D01* +X232216563Y-110945977D01* +X232251478Y-110988522D01* +X232294023Y-111023437D01* +X232342561Y-111049381D01* +X232395228Y-111065357D01* +X232450000Y-111070752D01* +X233156350Y-111069400D01* +X233226200Y-110999550D01* +X233226200Y-110563800D01* +X233373800Y-110563800D01* +X233373800Y-110999550D01* +X233443650Y-111069400D01* +X234150000Y-111070752D01* +X234204772Y-111065357D01* +X234257439Y-111049381D01* +X234305977Y-111023437D01* +X234348522Y-110988522D01* +X234383437Y-110945977D01* +X234409381Y-110897439D01* +X234425357Y-110844772D01* +X234430752Y-110790000D01* +X234429400Y-110633650D01* +X234359550Y-110563800D01* +X233373800Y-110563800D01* +X233226200Y-110563800D01* +X232240450Y-110563800D01* +X232170600Y-110633650D01* +X232169248Y-110790000D01* +X228220628Y-110790000D01* +X228228522Y-110783522D01* +X228263437Y-110740977D01* +X228289381Y-110692439D01* +X228305357Y-110639772D01* +X228310752Y-110585000D01* +X228309400Y-110203650D01* +X228239550Y-110133800D01* +X227403800Y-110133800D01* +X227256200Y-110133800D01* +X226420450Y-110133800D01* +X226350600Y-110203650D01* +X226349248Y-110585000D01* +X225006894Y-110585000D01* +X224037799Y-109615906D01* +X224026663Y-109602337D01* +X223972516Y-109557899D01* +X223934352Y-109537500D01* +X223939029Y-109535000D01* +X226349248Y-109535000D01* +X226350600Y-109916350D01* +X226420450Y-109986200D01* +X227256200Y-109986200D01* +X227256200Y-109325450D01* +X227403800Y-109325450D01* +X227403800Y-109986200D01* +X228239550Y-109986200D01* +X228309400Y-109916350D01* +X228310752Y-109535000D01* +X228305357Y-109480228D01* +X228289381Y-109427561D01* +X228263437Y-109379023D01* +X228228522Y-109336478D01* +X228185977Y-109301563D01* +X228137439Y-109275619D01* +X228084772Y-109259643D01* +X228030000Y-109254248D01* +X227473650Y-109255600D01* +X227403800Y-109325450D01* +X227256200Y-109325450D01* +X227186350Y-109255600D01* +X226630000Y-109254248D01* +X226575228Y-109259643D01* +X226522561Y-109275619D01* +X226474023Y-109301563D01* +X226431478Y-109336478D01* +X226396563Y-109379023D01* +X226370619Y-109427561D01* +X226354643Y-109480228D01* +X226349248Y-109535000D01* +X223939029Y-109535000D01* +X223972516Y-109517101D01* +X224026663Y-109472663D01* +X224037798Y-109459095D01* +X224251484Y-109245410D01* +X231043517Y-109245410D01* +X231892204Y-110094098D01* +X231903337Y-110107663D01* +X231957484Y-110152101D01* +X232019260Y-110185121D01* +X232086290Y-110205454D01* +X232138537Y-110210600D01* +X232138545Y-110210600D01* +X232156000Y-110212319D01* +X232169430Y-110210996D01* +X232170600Y-110346350D01* +X232240450Y-110416200D01* +X233226200Y-110416200D01* +X233226200Y-110396200D01* +X233373800Y-110396200D01* +X233373800Y-110416200D01* +X234359550Y-110416200D01* +X234429400Y-110346350D01* +X234430574Y-110210600D01* +X236837545Y-110210600D01* +X236855000Y-110212319D01* +X236872455Y-110210600D01* +X236872463Y-110210600D01* +X236924710Y-110205454D01* +X236991740Y-110185121D01* +X237053516Y-110152101D01* +X237107663Y-110107663D01* +X237118799Y-110094094D01* +X245349104Y-101863790D01* +X245362662Y-101852663D01* +X245373790Y-101839104D01* +X245373795Y-101839099D01* +X245389438Y-101820038D01* +X245407101Y-101798516D01* +X245440121Y-101736740D01* +X245460454Y-101669710D01* +X245465600Y-101617463D01* +X245465600Y-101617456D01* +X245467319Y-101600000D01* +X245465600Y-101582545D01* +X245465600Y-98910000D01* +X260869249Y-98910000D01* +X260869249Y-99210000D01* +X260877526Y-99294035D01* +X260902038Y-99374841D01* +X260941844Y-99449312D01* +X260995413Y-99514587D01* +X261060688Y-99568156D01* +X261135159Y-99607962D01* +X261215965Y-99632474D01* +X261300000Y-99640751D01* +X262700000Y-99640751D01* +X262784035Y-99632474D01* +X262864841Y-99607962D01* +X262939312Y-99568156D01* +X263004587Y-99514587D01* +X263058156Y-99449312D01* +X263076176Y-99415600D01* +X266998418Y-99415600D01* +X266999687Y-99428483D01* +X267030602Y-99530393D01* +X267080803Y-99624314D01* +X267148364Y-99706636D01* +X267230686Y-99774197D01* +X267324607Y-99824398D01* +X267426517Y-99855313D01* +X267532500Y-99865751D01* +X268407500Y-99865751D01* +X268513483Y-99855313D01* +X268615393Y-99824398D01* +X268709314Y-99774197D01* +X268791636Y-99706636D01* +X268859197Y-99624314D01* +X268909398Y-99530393D01* +X268940313Y-99428483D01* +X268950751Y-99322500D01* +X268950751Y-98797500D01* +X268940313Y-98691517D01* +X268909398Y-98589607D01* +X268859197Y-98495686D01* +X268791636Y-98413364D01* +X268709314Y-98345803D01* +X268615393Y-98295602D01* +X268513483Y-98264687D01* +X268407500Y-98254249D01* +X268325600Y-98254249D01* +X268325600Y-97987751D01* +X268457500Y-97987751D01* +X268553728Y-97978273D01* +X268646259Y-97950205D01* +X268731536Y-97904623D01* +X268806281Y-97843281D01* +X268867623Y-97768536D01* +X268913205Y-97683259D01* +X268941273Y-97590728D01* +X268950751Y-97494500D01* +X268950751Y-97069500D01* +X268941273Y-96973272D01* +X268913205Y-96880741D01* +X268867623Y-96795464D01* +X268806281Y-96720719D01* +X268731536Y-96659377D01* +X268646259Y-96613795D01* +X268553728Y-96585727D01* +X268457500Y-96576249D01* +X267482500Y-96576249D01* +X267386272Y-96585727D01* +X267293741Y-96613795D01* +X267208464Y-96659377D01* +X267133719Y-96720719D01* +X267072377Y-96795464D01* +X267026795Y-96880741D01* +X266998727Y-96973272D01* +X266989249Y-97069500D01* +X266989249Y-97494500D01* +X266998727Y-97590728D01* +X267026795Y-97683259D01* +X267072377Y-97768536D01* +X267133719Y-97843281D01* +X267208464Y-97904623D01* +X267293741Y-97950205D01* +X267386272Y-97978273D01* +X267482500Y-97987751D01* +X267614401Y-97987751D01* +X267614400Y-98254249D01* +X267532500Y-98254249D01* +X267426517Y-98264687D01* +X267324607Y-98295602D01* +X267230686Y-98345803D01* +X267148364Y-98413364D01* +X267080803Y-98495686D01* +X267030602Y-98589607D01* +X266999687Y-98691517D01* +X266998418Y-98704400D01* +X263076176Y-98704400D01* +X263058156Y-98670688D01* +X263004587Y-98605413D01* +X262939312Y-98551844D01* +X262864841Y-98512038D01* +X262784035Y-98487526D01* +X262700000Y-98479249D01* +X261300000Y-98479249D01* +X261215965Y-98487526D01* +X261135159Y-98512038D01* +X261060688Y-98551844D01* +X260995413Y-98605413D01* +X260941844Y-98670688D01* +X260902038Y-98745159D01* +X260877526Y-98825965D01* +X260869249Y-98910000D01* +X245465600Y-98910000D01* +X245465600Y-98486176D01* +X245499312Y-98468156D01* +X245564587Y-98414587D01* +X245618156Y-98349312D01* +X245657962Y-98274841D01* +X245682474Y-98194035D01* +X245690751Y-98110000D01* +X245690751Y-96710000D01* +X245799249Y-96710000D01* +X245799249Y-98110000D01* +X245807526Y-98194035D01* +X245832038Y-98274841D01* +X245871844Y-98349312D01* +X245925413Y-98414587D01* +X245990688Y-98468156D01* +X246065159Y-98507962D01* +X246145965Y-98532474D01* +X246230000Y-98540751D01* +X246530000Y-98540751D01* +X246614035Y-98532474D01* +X246694841Y-98507962D01* +X246769312Y-98468156D01* +X246834587Y-98414587D01* +X246888156Y-98349312D01* +X246927962Y-98274841D01* +X246952474Y-98194035D01* +X246960751Y-98110000D01* +X246960751Y-96710000D01* +X247069249Y-96710000D01* +X247069249Y-98110000D01* +X247077526Y-98194035D01* +X247102038Y-98274841D01* +X247141844Y-98349312D01* +X247195413Y-98414587D01* +X247260688Y-98468156D01* +X247335159Y-98507962D01* +X247415965Y-98532474D01* +X247500000Y-98540751D01* +X247800000Y-98540751D01* +X247884035Y-98532474D01* +X247964841Y-98507962D01* +X248039312Y-98468156D01* +X248104587Y-98414587D01* +X248158156Y-98349312D01* +X248197962Y-98274841D01* +X248222474Y-98194035D01* +X248230751Y-98110000D01* +X248230751Y-96710000D01* +X248339249Y-96710000D01* +X248339249Y-98110000D01* +X248347526Y-98194035D01* +X248372038Y-98274841D01* +X248411844Y-98349312D01* +X248465413Y-98414587D01* +X248530688Y-98468156D01* +X248605159Y-98507962D01* +X248685965Y-98532474D01* +X248770000Y-98540751D01* +X249070000Y-98540751D01* +X249154035Y-98532474D01* +X249234841Y-98507962D01* +X249309312Y-98468156D01* +X249374587Y-98414587D01* +X249428156Y-98349312D01* +X249467962Y-98274841D01* +X249492474Y-98194035D01* +X249500751Y-98110000D01* +X249500751Y-96710000D01* +X249492474Y-96625965D01* +X249467962Y-96545159D01* +X249428156Y-96470688D01* +X249374587Y-96405413D01* +X249328511Y-96367600D01* +X249781489Y-96367600D01* +X249735413Y-96405413D01* +X249681844Y-96470688D01* +X249642038Y-96545159D01* +X249617526Y-96625965D01* +X249609249Y-96710000D01* +X249609249Y-98110000D01* +X249617526Y-98194035D01* +X249642038Y-98274841D01* +X249681844Y-98349312D01* +X249735413Y-98414587D01* +X249800688Y-98468156D01* +X249875159Y-98507962D01* +X249955965Y-98532474D01* +X250040000Y-98540751D01* +X250340000Y-98540751D01* +X250424035Y-98532474D01* +X250504841Y-98507962D01* +X250579312Y-98468156D01* +X250644587Y-98414587D01* +X250698156Y-98349312D01* +X250737962Y-98274841D01* +X250742463Y-98260000D01* +X250879248Y-98260000D01* +X250884643Y-98314772D01* +X250900619Y-98367439D01* +X250926563Y-98415977D01* +X250961478Y-98458522D01* +X251004023Y-98493437D01* +X251052561Y-98519381D01* +X251105228Y-98535357D01* +X251160000Y-98540752D01* +X251316350Y-98539400D01* +X251386200Y-98469550D01* +X251386200Y-97483800D01* +X251533800Y-97483800D01* +X251533800Y-98469550D01* +X251603650Y-98539400D01* +X251760000Y-98540752D01* +X251814772Y-98535357D01* +X251867439Y-98519381D01* +X251915977Y-98493437D01* +X251958522Y-98458522D01* +X251993437Y-98415977D01* +X252019381Y-98367439D01* +X252035357Y-98314772D01* +X252040752Y-98260000D01* +X252039400Y-97553650D01* +X251969550Y-97483800D01* +X251533800Y-97483800D01* +X251386200Y-97483800D01* +X250950450Y-97483800D01* +X250880600Y-97553650D01* +X250879248Y-98260000D01* +X250742463Y-98260000D01* +X250762474Y-98194035D01* +X250770751Y-98110000D01* +X250770751Y-96710000D01* +X250762474Y-96625965D01* +X250742464Y-96560000D01* +X250879248Y-96560000D01* +X250880600Y-97266350D01* +X250950450Y-97336200D01* +X251386200Y-97336200D01* +X251386200Y-96350450D01* +X251533800Y-96350450D01* +X251533800Y-97336200D01* +X251969550Y-97336200D01* +X252039400Y-97266350D01* +X252040464Y-96710000D01* +X252149249Y-96710000D01* +X252149249Y-98110000D01* +X252157526Y-98194035D01* +X252182038Y-98274841D01* +X252221844Y-98349312D01* +X252275413Y-98414587D01* +X252340688Y-98468156D01* +X252415159Y-98507962D01* +X252495965Y-98532474D01* +X252580000Y-98540751D01* +X252880000Y-98540751D01* +X252964035Y-98532474D01* +X253044841Y-98507962D01* +X253119312Y-98468156D01* +X253184587Y-98414587D01* +X253238156Y-98349312D01* +X253277962Y-98274841D01* +X253302474Y-98194035D01* +X253310751Y-98110000D01* +X253310751Y-96710000D01* +X253302474Y-96625965D01* +X253277962Y-96545159D01* +X253238156Y-96470688D01* +X253184587Y-96405413D01* +X253138511Y-96367600D01* +X253591489Y-96367600D01* +X253545413Y-96405413D01* +X253491844Y-96470688D01* +X253452038Y-96545159D01* +X253427526Y-96625965D01* +X253419249Y-96710000D01* +X253419249Y-98110000D01* +X253427526Y-98194035D01* +X253452038Y-98274841D01* +X253491844Y-98349312D01* +X253545413Y-98414587D01* +X253610688Y-98468156D01* +X253685159Y-98507962D01* +X253765965Y-98532474D01* +X253850000Y-98540751D01* +X254150000Y-98540751D01* +X254234035Y-98532474D01* +X254314841Y-98507962D01* +X254389312Y-98468156D01* +X254454587Y-98414587D01* +X254508156Y-98349312D01* +X254547962Y-98274841D01* +X254572474Y-98194035D01* +X254580751Y-98110000D01* +X254580751Y-96710000D01* +X254689249Y-96710000D01* +X254689249Y-98110000D01* +X254697526Y-98194035D01* +X254722038Y-98274841D01* +X254761844Y-98349312D01* +X254815413Y-98414587D01* +X254880688Y-98468156D01* +X254955159Y-98507962D01* +X255035965Y-98532474D01* +X255120000Y-98540751D01* +X255420000Y-98540751D01* +X255504035Y-98532474D01* +X255584841Y-98507962D01* +X255659312Y-98468156D01* +X255724587Y-98414587D01* +X255778156Y-98349312D01* +X255817962Y-98274841D01* +X255842474Y-98194035D01* +X255850751Y-98110000D01* +X255850751Y-96710000D01* +X255842474Y-96625965D01* +X255817962Y-96545159D01* +X255778156Y-96470688D01* +X255724587Y-96405413D01* +X255678511Y-96367600D01* +X256131489Y-96367600D01* +X256085413Y-96405413D01* +X256031844Y-96470688D01* +X255992038Y-96545159D01* +X255967526Y-96625965D01* +X255959249Y-96710000D01* +X255959249Y-98110000D01* +X255967526Y-98194035D01* +X255992038Y-98274841D01* +X256031844Y-98349312D01* +X256085413Y-98414587D01* +X256150688Y-98468156D01* +X256225159Y-98507962D01* +X256305965Y-98532474D01* +X256390000Y-98540751D01* +X256690000Y-98540751D01* +X256774035Y-98532474D01* +X256854841Y-98507962D01* +X256929312Y-98468156D01* +X256994587Y-98414587D01* +X257048156Y-98349312D01* +X257087962Y-98274841D01* +X257112474Y-98194035D01* +X257120751Y-98110000D01* +X257120751Y-96710000D01* +X257229249Y-96710000D01* +X257229249Y-98110000D01* +X257237526Y-98194035D01* +X257262038Y-98274841D01* +X257301844Y-98349312D01* +X257355413Y-98414587D01* +X257420688Y-98468156D01* +X257495159Y-98507962D01* +X257575965Y-98532474D01* +X257660000Y-98540751D01* +X257960000Y-98540751D01* +X258044035Y-98532474D01* +X258124841Y-98507962D01* +X258199312Y-98468156D01* +X258264587Y-98414587D01* +X258318156Y-98349312D01* +X258357962Y-98274841D01* +X258382474Y-98194035D01* +X258390751Y-98110000D01* +X258390751Y-96710000D01* +X258382474Y-96625965D01* +X258357962Y-96545159D01* +X258318156Y-96470688D01* +X258264587Y-96405413D01* +X258199312Y-96351844D01* +X258124841Y-96312038D01* +X258044035Y-96287526D01* +X257960000Y-96279249D01* +X257660000Y-96279249D01* +X257575965Y-96287526D01* +X257495159Y-96312038D01* +X257420688Y-96351844D01* +X257355413Y-96405413D01* +X257301844Y-96470688D01* +X257262038Y-96545159D01* +X257237526Y-96625965D01* +X257229249Y-96710000D01* +X257120751Y-96710000D01* +X257112474Y-96625965D01* +X257087962Y-96545159D01* +X257048156Y-96470688D01* +X256994587Y-96405413D01* +X256929312Y-96351844D01* +X256895600Y-96333824D01* +X256895600Y-96283452D01* +X256897319Y-96265999D01* +X256895600Y-96248546D01* +X256895600Y-96248537D01* +X256890454Y-96196290D01* +X256870121Y-96129260D01* +X256837101Y-96067484D01* +X256833933Y-96063624D01* +X256803795Y-96026900D01* +X256803785Y-96026890D01* +X256792662Y-96013337D01* +X256779109Y-96002214D01* +X256549798Y-95772905D01* +X256538663Y-95759337D01* +X256484516Y-95714899D01* +X256422740Y-95681879D01* +X256355710Y-95661546D01* +X256303463Y-95656400D01* +X256303455Y-95656400D01* +X256286000Y-95654681D01* +X256268545Y-95656400D01* +X255541452Y-95656400D01* +X255523999Y-95654681D01* +X255506546Y-95656400D01* +X255506537Y-95656400D01* +X255454290Y-95661546D01* +X255387260Y-95681879D01* +X255325484Y-95714899D01* +X255325482Y-95714900D01* +X255325483Y-95714900D01* +X255284900Y-95748205D01* +X255284895Y-95748210D01* +X255271337Y-95759337D01* +X255260210Y-95772896D01* +X255030900Y-96002207D01* +X255017338Y-96013337D01* +X255006207Y-96026900D01* +X255006205Y-96026902D01* +X255000023Y-96034435D01* +X254972900Y-96067484D01* +X254965296Y-96081710D01* +X254939880Y-96129259D01* +X254919546Y-96196290D01* +X254912681Y-96266000D01* +X254914401Y-96283465D01* +X254914401Y-96333824D01* +X254880688Y-96351844D01* +X254815413Y-96405413D01* +X254761844Y-96470688D01* +X254722038Y-96545159D01* +X254697526Y-96625965D01* +X254689249Y-96710000D01* +X254580751Y-96710000D01* +X254572474Y-96625965D01* +X254547962Y-96545159D01* +X254508156Y-96470688D01* +X254454587Y-96405413D01* +X254389312Y-96351844D01* +X254355600Y-96333824D01* +X254355600Y-96283452D01* +X254357319Y-96265999D01* +X254355600Y-96248546D01* +X254355600Y-96248537D01* +X254350454Y-96196290D01* +X254330121Y-96129260D01* +X254297101Y-96067484D01* +X254293933Y-96063624D01* +X254263795Y-96026900D01* +X254263785Y-96026890D01* +X254252662Y-96013337D01* +X254239109Y-96002214D01* +X254009798Y-95772905D01* +X253998663Y-95759337D01* +X253944516Y-95714899D01* +X253882740Y-95681879D01* +X253815710Y-95661546D01* +X253763463Y-95656400D01* +X253763455Y-95656400D01* +X253746000Y-95654681D01* +X253728545Y-95656400D01* +X253001452Y-95656400D01* +X252983999Y-95654681D01* +X252966546Y-95656400D01* +X252966537Y-95656400D01* +X252914290Y-95661546D01* +X252847260Y-95681879D01* +X252785484Y-95714899D01* +X252785482Y-95714900D01* +X252785483Y-95714900D01* +X252744900Y-95748205D01* +X252744895Y-95748210D01* +X252731337Y-95759337D01* +X252720210Y-95772896D01* +X252490900Y-96002207D01* +X252477338Y-96013337D01* +X252466207Y-96026900D01* +X252466205Y-96026902D01* +X252460023Y-96034435D01* +X252432900Y-96067484D01* +X252425296Y-96081710D01* +X252399880Y-96129259D01* +X252379546Y-96196290D01* +X252372681Y-96266000D01* +X252374401Y-96283465D01* +X252374401Y-96333824D01* +X252340688Y-96351844D01* +X252275413Y-96405413D01* +X252221844Y-96470688D01* +X252182038Y-96545159D01* +X252157526Y-96625965D01* +X252149249Y-96710000D01* +X252040464Y-96710000D01* +X252040752Y-96560000D01* +X252035357Y-96505228D01* +X252019381Y-96452561D01* +X251993437Y-96404023D01* +X251958522Y-96361478D01* +X251915977Y-96326563D01* +X251867439Y-96300619D01* +X251814772Y-96284643D01* +X251760000Y-96279248D01* +X251603650Y-96280600D01* +X251533800Y-96350450D01* +X251386200Y-96350450D01* +X251316350Y-96280600D01* +X251160000Y-96279248D01* +X251105228Y-96284643D01* +X251052561Y-96300619D01* +X251004023Y-96326563D01* +X250961478Y-96361478D01* +X250926563Y-96404023D01* +X250900619Y-96452561D01* +X250884643Y-96505228D01* +X250879248Y-96560000D01* +X250742464Y-96560000D01* +X250737962Y-96545159D01* +X250698156Y-96470688D01* +X250644587Y-96405413D01* +X250579312Y-96351844D01* +X250545600Y-96333824D01* +X250545600Y-96283452D01* +X250547319Y-96265999D01* +X250545600Y-96248546D01* +X250545600Y-96248537D01* +X250540454Y-96196290D01* +X250520121Y-96129260D01* +X250487101Y-96067484D01* +X250483933Y-96063624D01* +X250453795Y-96026900D01* +X250453785Y-96026890D01* +X250442662Y-96013337D01* +X250429109Y-96002214D01* +X250199798Y-95772905D01* +X250188663Y-95759337D01* +X250134516Y-95714899D01* +X250072740Y-95681879D01* +X250005710Y-95661546D01* +X249953463Y-95656400D01* +X249953455Y-95656400D01* +X249936000Y-95654681D01* +X249918545Y-95656400D01* +X249191452Y-95656400D01* +X249173999Y-95654681D01* +X249156546Y-95656400D01* +X249156537Y-95656400D01* +X249104290Y-95661546D01* +X249037260Y-95681879D01* +X248975484Y-95714899D01* +X248975482Y-95714900D01* +X248975483Y-95714900D01* +X248934900Y-95748205D01* +X248934895Y-95748210D01* +X248921337Y-95759337D01* +X248910210Y-95772896D01* +X248680900Y-96002207D01* +X248667338Y-96013337D01* +X248656207Y-96026900D01* +X248656205Y-96026902D01* +X248650023Y-96034435D01* +X248622900Y-96067484D01* +X248615296Y-96081710D01* +X248589880Y-96129259D01* +X248569546Y-96196290D01* +X248562681Y-96266000D01* +X248564401Y-96283465D01* +X248564401Y-96333824D01* +X248530688Y-96351844D01* +X248465413Y-96405413D01* +X248411844Y-96470688D01* +X248372038Y-96545159D01* +X248347526Y-96625965D01* +X248339249Y-96710000D01* +X248230751Y-96710000D01* +X248222474Y-96625965D01* +X248197962Y-96545159D01* +X248158156Y-96470688D01* +X248104587Y-96405413D01* +X248039312Y-96351844D01* +X247964841Y-96312038D01* +X247884035Y-96287526D01* +X247800000Y-96279249D01* +X247500000Y-96279249D01* +X247415965Y-96287526D01* +X247335159Y-96312038D01* +X247260688Y-96351844D01* +X247195413Y-96405413D01* +X247141844Y-96470688D01* +X247102038Y-96545159D01* +X247077526Y-96625965D01* +X247069249Y-96710000D01* +X246960751Y-96710000D01* +X246952474Y-96625965D01* +X246927962Y-96545159D01* +X246888156Y-96470688D01* +X246834587Y-96405413D01* +X246769312Y-96351844D01* +X246694841Y-96312038D01* +X246614035Y-96287526D01* +X246530000Y-96279249D01* +X246230000Y-96279249D01* +X246145965Y-96287526D01* +X246065159Y-96312038D01* +X245990688Y-96351844D01* +X245925413Y-96405413D01* +X245871844Y-96470688D01* +X245832038Y-96545159D01* +X245807526Y-96625965D01* +X245799249Y-96710000D01* +X245690751Y-96710000D01* +X245682474Y-96625965D01* +X245657962Y-96545159D01* +X245618156Y-96470688D01* +X245564587Y-96405413D01* +X245499312Y-96351844D01* +X245424841Y-96312038D01* +X245344035Y-96287526D01* +X245260000Y-96279249D01* +X244960000Y-96279249D01* +X244875965Y-96287526D01* +X244795159Y-96312038D01* +X244720688Y-96351844D01* +X244655413Y-96405413D01* +X244601844Y-96470688D01* +X244562038Y-96545159D01* +X244537526Y-96625965D01* +X244529249Y-96710000D01* +X244529249Y-98110000D01* +X244537526Y-98194035D01* +X244562038Y-98274841D01* +X244601844Y-98349312D01* +X244655413Y-98414587D01* +X244720688Y-98468156D01* +X244754401Y-98486176D01* +X244754400Y-101452706D01* +X236707707Y-109499400D01* +X234408713Y-109499400D01* +X234422474Y-109454035D01* +X234430751Y-109370000D01* +X234430751Y-109070000D01* +X234422474Y-108985965D01* +X234408713Y-108940600D01* +X236583545Y-108940600D01* +X236601000Y-108942319D01* +X236618455Y-108940600D01* +X236618463Y-108940600D01* +X236670710Y-108935454D01* +X236737740Y-108915121D01* +X236799516Y-108882101D01* +X236853663Y-108837663D01* +X236864799Y-108824094D01* +X244079100Y-101609794D01* +X244092663Y-101598663D01* +X244137101Y-101544516D01* +X244170121Y-101482740D01* +X244182192Y-101442946D01* +X244190454Y-101415711D01* +X244192746Y-101392439D01* +X244195600Y-101363463D01* +X244195600Y-101363456D01* +X244197319Y-101346000D01* +X244195600Y-101328545D01* +X244195600Y-98486176D01* +X244229312Y-98468156D01* +X244294587Y-98414587D01* +X244348156Y-98349312D01* +X244387962Y-98274841D01* +X244412474Y-98194035D01* +X244420751Y-98110000D01* +X244420751Y-96710000D01* +X244412474Y-96625965D01* +X244387962Y-96545159D01* +X244348156Y-96470688D01* +X244294587Y-96405413D01* +X244229312Y-96351844D01* +X244154841Y-96312038D01* +X244074035Y-96287526D01* +X243990000Y-96279249D01* +X243690000Y-96279249D01* +X243605965Y-96287526D01* +X243525159Y-96312038D01* +X243450688Y-96351844D01* +X243385413Y-96405413D01* +X243331844Y-96470688D01* +X243292038Y-96545159D01* +X243267526Y-96625965D01* +X243259249Y-96710000D01* +X243259249Y-98110000D01* +X243267526Y-98194035D01* +X243292038Y-98274841D01* +X243331844Y-98349312D01* +X243385413Y-98414587D01* +X243450688Y-98468156D01* +X243484400Y-98486176D01* +X243484401Y-101198705D01* +X236453707Y-108229400D01* +X234408713Y-108229400D01* +X234422474Y-108184035D01* +X234430751Y-108100000D01* +X234430751Y-107800000D01* +X234422474Y-107715965D01* +X234397962Y-107635159D01* +X234358156Y-107560688D01* +X234304587Y-107495413D01* +X234239312Y-107441844D01* +X234164841Y-107402038D01* +X234084035Y-107377526D01* +X234000000Y-107369249D01* +X232600000Y-107369249D01* +X232515965Y-107377526D01* +X232435159Y-107402038D01* +X232360688Y-107441844D01* +X232295413Y-107495413D01* +X232241844Y-107560688D01* +X232223824Y-107594400D01* +X224556294Y-107594400D01* +X224037799Y-107075906D01* +X224026663Y-107062337D01* +X223994084Y-107035600D01* +X232223824Y-107035600D01* +X232241844Y-107069312D01* +X232295413Y-107134587D01* +X232360688Y-107188156D01* +X232435159Y-107227962D01* +X232515965Y-107252474D01* +X232600000Y-107260751D01* +X234000000Y-107260751D01* +X234084035Y-107252474D01* +X234164841Y-107227962D01* +X234239312Y-107188156D01* +X234304587Y-107134587D01* +X234358156Y-107069312D01* +X234397962Y-106994841D01* +X234422474Y-106914035D01* +X234430751Y-106830000D01* +X234430751Y-106530000D01* +X234422474Y-106445965D01* +X234397962Y-106365159D01* +X234358156Y-106290688D01* +X234304587Y-106225413D01* +X234239312Y-106171844D01* +X234164841Y-106132038D01* +X234084035Y-106107526D01* +X234000000Y-106099249D01* +X232600000Y-106099249D01* +X232515965Y-106107526D01* +X232435159Y-106132038D01* +X232360688Y-106171844D01* +X232295413Y-106225413D01* +X232241844Y-106290688D01* +X232223824Y-106324400D01* +X223785574Y-106324400D01* +X223784400Y-106188650D01* +X223714550Y-106118800D01* +X221578800Y-106118800D01* +X221578800Y-106138800D01* +X221431200Y-106138800D01* +X221431200Y-106118800D01* +X219295450Y-106118800D01* +X219225600Y-106188650D01* +X219224426Y-106324400D01* +X217952294Y-106324400D01* +X217433799Y-105805906D01* +X217422663Y-105792337D01* +X217368516Y-105747899D01* +X217363093Y-105745000D01* +X219224248Y-105745000D01* +X219225600Y-105901350D01* +X219295450Y-105971200D01* +X221431200Y-105971200D01* +X221431200Y-105535450D01* +X221578800Y-105535450D01* +X221578800Y-105971200D01* +X223714550Y-105971200D01* +X223784400Y-105901350D01* +X223785752Y-105745000D01* +X223780357Y-105690228D01* +X223764381Y-105637561D01* +X223738437Y-105589023D01* +X223703522Y-105546478D01* +X223660977Y-105511563D01* +X223612439Y-105485619D01* +X223559772Y-105469643D01* +X223505000Y-105464248D01* +X221648650Y-105465600D01* +X221578800Y-105535450D01* +X221431200Y-105535450D01* +X221361350Y-105465600D01* +X219505000Y-105464248D01* +X219450228Y-105469643D01* +X219397561Y-105485619D01* +X219349023Y-105511563D01* +X219306478Y-105546478D01* +X219271563Y-105589023D01* +X219245619Y-105637561D01* +X219229643Y-105690228D01* +X219224248Y-105745000D01* +X217363093Y-105745000D01* +X217306740Y-105714879D01* +X217239710Y-105694546D01* +X217187463Y-105689400D01* +X217187455Y-105689400D01* +X217170000Y-105687681D01* +X217152545Y-105689400D01* +X216331176Y-105689400D01* +X216313156Y-105655688D01* +X216259587Y-105590413D01* +X216194312Y-105536844D01* +X216119841Y-105497038D01* +X216039035Y-105472526D01* +X215955000Y-105464249D01* +X212255000Y-105464249D01* +X212170965Y-105472526D01* +X212090159Y-105497038D01* +X212015688Y-105536844D01* +X211950413Y-105590413D01* +X211896844Y-105655688D01* +X211857038Y-105730159D01* +X211832526Y-105810965D01* +X211824249Y-105895000D01* +X211824249Y-106195000D01* +X211832526Y-106279035D01* +X211857038Y-106359841D01* +X211896844Y-106434312D01* +X211950413Y-106499587D01* +X212015688Y-106553156D01* +X212090159Y-106592962D01* +X212170965Y-106617474D01* +X212255000Y-106625751D01* +X215955000Y-106625751D01* +X216039035Y-106617474D01* +X216119841Y-106592962D01* +X216194312Y-106553156D01* +X216259587Y-106499587D01* +X216313156Y-106434312D01* +X216331176Y-106400600D01* +X217022707Y-106400600D01* +X217541206Y-106919100D01* +X217552337Y-106932663D01* +X217606484Y-106977101D01* +X217668260Y-107010121D01* +X217735290Y-107030454D01* +X217787537Y-107035600D01* +X217787545Y-107035600D01* +X217805000Y-107037319D01* +X217822455Y-107035600D01* +X219246287Y-107035600D01* +X219232526Y-107080965D01* +X219224249Y-107165000D01* +X219224249Y-107465000D01* +X219232526Y-107549035D01* +X219246287Y-107594400D01* +X217952294Y-107594400D01* +X217433799Y-107075906D01* +X217422663Y-107062337D01* +X217368516Y-107017899D01* +X217306740Y-106984879D01* +X217239710Y-106964546D01* +X217187463Y-106959400D01* +X217187455Y-106959400D01* +X217170000Y-106957681D01* +X217152545Y-106959400D01* +X216331176Y-106959400D01* +X216313156Y-106925688D01* +X216259587Y-106860413D01* +X216194312Y-106806844D01* +X216119841Y-106767038D01* +X216039035Y-106742526D01* +X215955000Y-106734249D01* +X212255000Y-106734249D01* +X212170965Y-106742526D01* +X212090159Y-106767038D01* +X212015688Y-106806844D01* +X211950413Y-106860413D01* +X211896844Y-106925688D01* +X211857038Y-107000159D01* +X211832526Y-107080965D01* +X211824249Y-107165000D01* +X211824249Y-107465000D01* +X211832526Y-107549035D01* +X211857038Y-107629841D01* +X211896844Y-107704312D01* +X211950413Y-107769587D01* +X212015688Y-107823156D01* +X212090159Y-107862962D01* +X212170965Y-107887474D01* +X212255000Y-107895751D01* +X215955000Y-107895751D01* +X216039035Y-107887474D01* +X216119841Y-107862962D01* +X216194312Y-107823156D01* +X216259587Y-107769587D01* +X216313156Y-107704312D01* +X216331176Y-107670600D01* +X217022707Y-107670600D01* +X217541204Y-108189098D01* +X217552337Y-108202663D01* +X217606484Y-108247101D01* +X217668260Y-108280121D01* +X217735290Y-108300454D01* +X217787537Y-108305600D01* +X217787545Y-108305600D01* +X217805000Y-108307319D01* +X217822455Y-108305600D01* +X219246287Y-108305600D01* +X219232526Y-108350965D01* +X219224249Y-108435000D01* +X219224249Y-108735000D01* +X219232526Y-108819035D01* +X219246287Y-108864400D01* +X217952294Y-108864400D01* +X217433799Y-108345906D01* +X217422663Y-108332337D01* +X217368516Y-108287899D01* +X217306740Y-108254879D01* +X217239710Y-108234546D01* +X217187463Y-108229400D01* +X217187455Y-108229400D01* +X217170000Y-108227681D01* +X217152545Y-108229400D01* +X216331176Y-108229400D01* +X216313156Y-108195688D01* +X216259587Y-108130413D01* +X216194312Y-108076844D01* +X216119841Y-108037038D01* +X216039035Y-108012526D01* +X215955000Y-108004249D01* +X212255000Y-108004249D01* +X212170965Y-108012526D01* +X212090159Y-108037038D01* +X212015688Y-108076844D01* +X211950413Y-108130413D01* +X211896844Y-108195688D01* +X211857038Y-108270159D01* +X211832526Y-108350965D01* +X211824249Y-108435000D01* +X211824249Y-108735000D01* +X211832526Y-108819035D01* +X211846066Y-108863672D01* +X211836000Y-108862681D01* +X211818545Y-108864400D01* +X211818537Y-108864400D01* +X211766290Y-108869546D01* +X211699260Y-108889879D01* +X211637484Y-108922899D01* +X211583337Y-108967337D01* +X211572206Y-108980900D01* +X207685235Y-112867872D01* +X207671670Y-112879005D01* +X207627232Y-112933152D01* +X207594212Y-112994928D01* +X207573879Y-113061958D01* +X207568733Y-113114205D01* +X207568733Y-113114212D01* +X207567014Y-113131667D01* +X207568733Y-113149122D01* +X207568734Y-120911867D01* +X207567014Y-120929333D01* +X207573879Y-120999043D01* +X207594213Y-121066074D01* +X207609159Y-121094035D01* +X207627233Y-121127849D01* +X207671671Y-121181996D01* +X207685234Y-121193127D01* +X216906204Y-130414098D01* +X216917337Y-130427663D01* +X216971484Y-130472101D01* +X217033260Y-130505121D01* +X217100290Y-130525454D01* +X217152537Y-130530600D01* +X217152545Y-130530600D01* +X217170000Y-130532319D01* +X217187455Y-130530600D01* +X220197707Y-130530600D01* +X220624400Y-130957294D01* +X220624400Y-131331249D01* +X220599000Y-131331249D01* +X220469899Y-131343964D01* +X220345759Y-131381622D01* +X220231351Y-131442774D01* +X220131071Y-131525071D01* +X220048774Y-131625351D01* +X219987622Y-131739759D01* +X219949964Y-131863899D01* +X219937249Y-131993000D01* +X219937249Y-132003800D01* +X219482751Y-132003800D01* +X219482751Y-131993000D01* +X219470036Y-131863899D01* +X219432378Y-131739759D01* +X219371226Y-131625351D01* +X219288929Y-131525071D01* +X219188649Y-131442774D01* +X219074241Y-131381622D01* +X218950101Y-131343964D01* +X218821000Y-131331249D01* +X218059000Y-131331249D01* +X217929899Y-131343964D01* +X217805759Y-131381622D01* +X217691351Y-131442774D01* +X217591071Y-131525071D01* +X217508774Y-131625351D01* +X217447622Y-131739759D01* +X217409964Y-131863899D01* +X217397249Y-131993000D01* +X217397249Y-132003800D01* +X216942751Y-132003800D01* +X216942751Y-131993000D01* +X216930036Y-131863899D01* +X216892378Y-131739759D01* +X216831226Y-131625351D01* +X216748929Y-131525071D01* +X216648649Y-131442774D01* +X216534241Y-131381622D01* +X216410101Y-131343964D01* +X216281000Y-131331249D01* +X215519000Y-131331249D01* +X215389899Y-131343964D01* +X215265759Y-131381622D01* +X215151351Y-131442774D01* +X215051071Y-131525071D01* +X214968774Y-131625351D01* +X214907622Y-131739759D01* +X214869964Y-131863899D01* +X214857249Y-131993000D01* +X214857249Y-132003800D01* +X214402751Y-132003800D01* +X214402751Y-131993000D01* +X214390036Y-131863899D01* +X214352378Y-131739759D01* +X214291226Y-131625351D01* +X214208929Y-131525071D01* +X214108649Y-131442774D01* +X213994241Y-131381622D01* +X213870101Y-131343964D01* +X213741000Y-131331249D01* +X213715600Y-131331249D01* +X213715600Y-130827455D01* +X213717319Y-130810000D01* +X213715600Y-130792544D01* +X213715600Y-130792537D01* +X213710454Y-130740290D01* +X213690121Y-130673260D01* +X213657101Y-130611484D01* +X213639438Y-130589962D01* +X213623795Y-130570901D01* +X213623790Y-130570896D01* +X213612662Y-130557337D01* +X213599104Y-130546210D01* +X211810600Y-128757707D01* +X211810600Y-127196934D01* +X212145600Y-127196934D01* +X212145600Y-127311066D01* +X212167866Y-127423005D01* +X212211543Y-127528449D01* +X212274951Y-127623346D01* +X212355654Y-127704049D01* +X212369401Y-127713234D01* +X212369400Y-128633544D01* +X212367681Y-128651000D01* +X212369400Y-128668455D01* +X212369400Y-128668462D01* +X212374546Y-128720709D01* +X212394879Y-128787739D01* +X212427899Y-128849515D01* +X212472337Y-128903662D01* +X212485901Y-128914794D01* +X212783825Y-129212719D01* +X212780600Y-129228934D01* +X212780600Y-129343066D01* +X212802866Y-129455005D01* +X212846543Y-129560449D01* +X212909951Y-129655346D01* +X212990654Y-129736049D01* +X213085551Y-129799457D01* +X213190995Y-129843134D01* +X213302934Y-129865400D01* +X213417066Y-129865400D01* +X213529005Y-129843134D01* +X213634449Y-129799457D01* +X213729346Y-129736049D01* +X213810049Y-129655346D01* +X213873457Y-129560449D01* +X213917134Y-129455005D01* +X213939400Y-129343066D01* +X213939400Y-129228934D01* +X213917134Y-129116995D01* +X213873457Y-129011551D01* +X213810049Y-128916654D01* +X213729346Y-128835951D01* +X213634449Y-128772543D01* +X213529005Y-128728866D01* +X213417066Y-128706600D01* +X213302934Y-128706600D01* +X213286719Y-128709825D01* +X213080600Y-128503707D01* +X213080600Y-128369303D01* +X213100543Y-128417449D01* +X213163951Y-128512346D01* +X213244654Y-128593049D01* +X213339551Y-128656457D01* +X213444995Y-128700134D01* +X213556934Y-128722400D01* +X213671066Y-128722400D01* +X213687281Y-128719175D01* +X215383647Y-130415542D01* +X215342866Y-130513995D01* +X215320600Y-130625934D01* +X215320600Y-130740066D01* +X215342866Y-130852005D01* +X215386543Y-130957449D01* +X215449951Y-131052346D01* +X215530654Y-131133049D01* +X215625551Y-131196457D01* +X215730995Y-131240134D01* +X215842934Y-131262400D01* +X215957066Y-131262400D01* +X216069005Y-131240134D01* +X216174449Y-131196457D01* +X216269346Y-131133049D01* +X216350049Y-131052346D01* +X216413457Y-130957449D01* +X216457134Y-130852005D01* +X216479400Y-130740066D01* +X216479400Y-130625934D01* +X216457134Y-130513995D01* +X216413457Y-130408551D01* +X216350049Y-130313654D01* +X216269346Y-130232951D01* +X216174449Y-130169543D01* +X216121509Y-130147614D01* +X214190175Y-128216281D01* +X214193400Y-128200066D01* +X214193400Y-128085934D01* +X214171134Y-127973995D01* +X214127457Y-127868551D01* +X214064049Y-127773654D01* +X213983346Y-127692951D01* +X213888449Y-127629543D01* +X213783005Y-127585866D01* +X213671066Y-127563600D01* +X213556934Y-127563600D01* +X213444995Y-127585866D01* +X213339551Y-127629543D01* +X213244654Y-127692951D01* +X213163951Y-127773654D01* +X213100543Y-127868551D01* +X213080600Y-127916697D01* +X213080600Y-127713234D01* +X213094346Y-127704049D01* +X213175049Y-127623346D01* +X213238457Y-127528449D01* +X213282134Y-127423005D01* +X213304400Y-127311066D01* +X213304400Y-127196934D01* +X213282134Y-127084995D01* +X213238457Y-126979551D01* +X213175049Y-126884654D01* +X213094346Y-126803951D01* +X212999449Y-126740543D01* +X212894005Y-126696866D01* +X212782066Y-126674600D01* +X212667934Y-126674600D01* +X212555995Y-126696866D01* +X212450551Y-126740543D01* +X212355654Y-126803951D01* +X212274951Y-126884654D01* +X212211543Y-126979551D01* +X212167866Y-127084995D01* +X212145600Y-127196934D01* +X211810600Y-127196934D01* +X211810600Y-126944400D01* +X211893066Y-126944400D01* +X212005005Y-126922134D01* +X212110449Y-126878457D01* +X212205346Y-126815049D01* +X212286049Y-126734346D01* +X212349457Y-126639449D01* +X212393134Y-126534005D01* +X212415400Y-126422066D01* +X212415400Y-126307934D01* +X212393134Y-126195995D01* +X212349457Y-126090551D01* +X212286049Y-125995654D01* +X212205346Y-125914951D01* +X212110449Y-125851543D01* +X212005005Y-125807866D01* +X211893066Y-125785600D01* +X211778934Y-125785600D01* +X211666995Y-125807866D01* +X211561551Y-125851543D01* +X211466654Y-125914951D01* +X211385951Y-125995654D01* +X211322543Y-126090551D01* +X211302600Y-126138697D01* +X211302600Y-125935234D01* +X211316346Y-125926049D01* +X211397049Y-125845346D01* +X211460457Y-125750449D01* +X211504134Y-125645005D01* +X211526400Y-125533066D01* +X211526400Y-125418934D01* +X211504134Y-125306995D01* +X211460457Y-125201551D01* +X211397049Y-125106654D01* +X211316346Y-125025951D01* +X211221449Y-124962543D01* +X211116005Y-124918866D01* +X211004066Y-124896600D01* +X210889934Y-124896600D01* +X210777995Y-124918866D01* +X210672551Y-124962543D01* +X210577654Y-125025951D01* +X210496951Y-125106654D01* +X210433543Y-125201551D01* +X210389866Y-125306995D01* +X210367600Y-125418934D01* +X210367600Y-125533066D01* +X210389866Y-125645005D01* +X210433543Y-125750449D01* +X210496951Y-125845346D01* +X210577654Y-125926049D01* +X210591401Y-125935234D01* +X210591401Y-126090705D01* +X209945901Y-126736206D01* +X209932337Y-126747338D01* +X209887899Y-126801485D01* +X209854879Y-126863261D01* +X209848970Y-126882741D01* +X209836491Y-126923880D01* +X209834546Y-126930291D01* +X209829400Y-126982538D01* +X209829400Y-126982545D01* +X209827681Y-127000000D01* +X209829400Y-127017456D01* +X209829401Y-128757705D01* +X208040902Y-130546205D01* +X208027337Y-130557338D01* +X207982899Y-130611485D01* +X207949879Y-130673261D01* +X207938400Y-130711103D01* +X207929547Y-130740289D01* +X207929546Y-130740291D01* +X207924400Y-130792538D01* +X207924400Y-130792545D01* +X207922681Y-130810000D01* +X207924400Y-130827456D01* +X207924400Y-131331249D01* +X207899000Y-131331249D01* +X207769899Y-131343964D01* +X207645759Y-131381622D01* +X207531351Y-131442774D01* +X207431071Y-131525071D01* +X207348774Y-131625351D01* +X207287622Y-131739759D01* +X207249964Y-131863899D01* +X207237249Y-131993000D01* +X207237249Y-132003800D01* +X206782751Y-132003800D01* +X206782751Y-131993000D01* +X206770036Y-131863899D01* +X206732378Y-131739759D01* +X206671226Y-131625351D01* +X206588929Y-131525071D01* +X206488649Y-131442774D01* +X206374241Y-131381622D01* +X206250101Y-131343964D01* +X206121000Y-131331249D01* +X205359000Y-131331249D01* +X205229899Y-131343964D01* +X205105759Y-131381622D01* +X204991351Y-131442774D01* +X204891071Y-131525071D01* +X204808774Y-131625351D01* +X204747622Y-131739759D01* +X204709964Y-131863899D01* +X204697249Y-131993000D01* +X204697249Y-132003800D01* +X204242751Y-132003800D01* +X204242751Y-131993000D01* +X204230036Y-131863899D01* +X204192378Y-131739759D01* +X204131226Y-131625351D01* +X204048929Y-131525071D01* +X203948649Y-131442774D01* +X203834241Y-131381622D01* +X203710101Y-131343964D01* +X203581000Y-131331249D01* +X202819000Y-131331249D01* +X202689899Y-131343964D01* +X202565759Y-131381622D01* +X202451351Y-131442774D01* +X202351071Y-131525071D01* +X202268774Y-131625351D01* +X202207622Y-131739759D01* +X202169964Y-131863899D01* +X202157249Y-131993000D01* +X202157249Y-132003800D01* +X201702751Y-132003800D01* +X201702751Y-131993000D01* +X201690036Y-131863899D01* +X201652378Y-131739759D01* +X201591226Y-131625351D01* +X201508929Y-131525071D01* +X201408649Y-131442774D01* +X201294241Y-131381622D01* +X201170101Y-131343964D01* +X201041000Y-131331249D01* +X201015600Y-131331249D01* +X201015600Y-129687293D01* +X201276276Y-129426617D01* +X202048800Y-129426617D01* +X202048800Y-129653383D01* +X202093040Y-129875793D01* +X202179820Y-130085298D01* +X202305805Y-130273847D01* +X202466153Y-130434195D01* +X202654702Y-130560180D01* +X202864207Y-130646960D01* +X203086617Y-130691200D01* +X203313383Y-130691200D01* +X203535793Y-130646960D01* +X203745298Y-130560180D01* +X203933847Y-130434195D01* +X204094195Y-130273847D01* +X204220180Y-130085298D01* +X204306960Y-129875793D01* +X204351200Y-129653383D01* +X204351200Y-129426617D01* +X204306960Y-129204207D01* +X204220180Y-128994702D01* +X204122113Y-128847934D01* +X204525600Y-128847934D01* +X204525600Y-128962066D01* +X204547866Y-129074005D01* +X204591543Y-129179449D01* +X204654951Y-129274346D01* +X204735654Y-129355049D01* +X204830551Y-129418457D01* +X204935995Y-129462134D01* +X205047934Y-129484400D01* +X205162066Y-129484400D01* +X205274005Y-129462134D01* +X205379449Y-129418457D01* +X205474346Y-129355049D01* +X205555049Y-129274346D01* +X205618457Y-129179449D01* +X205662134Y-129074005D01* +X205684400Y-128962066D01* +X205684400Y-128847934D01* +X205681175Y-128831719D01* +X208554295Y-125958600D01* +X209532545Y-125958600D01* +X209550000Y-125960319D01* +X209567455Y-125958600D01* +X209567463Y-125958600D01* +X209619710Y-125953454D01* +X209686740Y-125933121D01* +X209748516Y-125900101D01* +X209802663Y-125855663D01* +X209813798Y-125842095D01* +X210297105Y-125358790D01* +X210310663Y-125347663D01* +X210321790Y-125334105D01* +X210321795Y-125334100D01* +X210355100Y-125293517D01* +X210355947Y-125291934D01* +X210388121Y-125231740D01* +X210408454Y-125164710D01* +X210413600Y-125112463D01* +X210413600Y-125112454D01* +X210415319Y-125095001D01* +X210413600Y-125077548D01* +X210413600Y-125046234D01* +X210427346Y-125037049D01* +X210508049Y-124956346D01* +X210571457Y-124861449D01* +X210615134Y-124756005D01* +X210637400Y-124644066D01* +X210637400Y-124529934D01* +X210615134Y-124417995D01* +X210571457Y-124312551D01* +X210508049Y-124217654D01* +X210427346Y-124136951D01* +X210332449Y-124073543D01* +X210227005Y-124029866D01* +X210115066Y-124007600D01* +X210000934Y-124007600D01* +X209888995Y-124029866D01* +X209783551Y-124073543D01* +X209688654Y-124136951D01* +X209607951Y-124217654D01* +X209544543Y-124312551D01* +X209500866Y-124417995D01* +X209478600Y-124529934D01* +X209478600Y-124644066D01* +X209500866Y-124756005D01* +X209544543Y-124861449D01* +X209607951Y-124956346D01* +X209650855Y-124999250D01* +X209402707Y-125247400D01* +X208424454Y-125247400D01* +X208406999Y-125245681D01* +X208389544Y-125247400D01* +X208389537Y-125247400D01* +X208344187Y-125251867D01* +X208337289Y-125252546D01* +X208328168Y-125255313D01* +X208270260Y-125272879D01* +X208208484Y-125305899D01* +X208208482Y-125305900D01* +X208208483Y-125305900D01* +X208167900Y-125339205D01* +X208167895Y-125339210D01* +X208154337Y-125350337D01* +X208143210Y-125363895D01* +X205178281Y-128328825D01* +X205162066Y-128325600D01* +X205047934Y-128325600D01* +X204935995Y-128347866D01* +X204830551Y-128391543D01* +X204735654Y-128454951D01* +X204654951Y-128535654D01* +X204591543Y-128630551D01* +X204547866Y-128735995D01* +X204525600Y-128847934D01* +X204122113Y-128847934D01* +X204094195Y-128806153D01* +X203933847Y-128645805D01* +X203745298Y-128519820D01* +X203535793Y-128433040D01* +X203313383Y-128388800D01* +X203086617Y-128388800D01* +X202864207Y-128433040D01* +X202654702Y-128519820D01* +X202466153Y-128645805D01* +X202305805Y-128806153D01* +X202179820Y-128994702D01* +X202093040Y-129204207D01* +X202048800Y-129426617D01* +X201276276Y-129426617D01* +X206014294Y-124688600D01* +X208516545Y-124688600D01* +X208534000Y-124690319D01* +X208551455Y-124688600D01* +X208551463Y-124688600D01* +X208603710Y-124683454D01* +X208670740Y-124663121D01* +X208732516Y-124630101D01* +X208786663Y-124585663D01* +X208797799Y-124572094D01* +X209095719Y-124274175D01* +X209111934Y-124277400D01* +X209226066Y-124277400D01* +X209338005Y-124255134D01* +X209443449Y-124211457D01* +X209538346Y-124148049D01* +X209619049Y-124067346D01* +X209682457Y-123972449D01* +X209726134Y-123867005D01* +X209748400Y-123755066D01* +X209748400Y-123640934D01* +X209726134Y-123528995D01* +X209682457Y-123423551D01* +X209619049Y-123328654D01* +X209538346Y-123247951D01* +X209443449Y-123184543D01* +X209338005Y-123140866D01* +X209226066Y-123118600D01* +X209111934Y-123118600D01* +X208999995Y-123140866D01* +X208894551Y-123184543D01* +X208799654Y-123247951D01* +X208718951Y-123328654D01* +X208655543Y-123423551D01* +X208611866Y-123528995D01* +X208589600Y-123640934D01* +X208589600Y-123755066D01* +X208592825Y-123771281D01* +X208386707Y-123977400D01* +X205884455Y-123977400D01* +X205866999Y-123975681D01* +X205849544Y-123977400D01* +X205849537Y-123977400D01* +X205804187Y-123981867D01* +X205797289Y-123982546D01* +X205768102Y-123991400D01* +X205730260Y-124002879D01* +X205668484Y-124035899D01* +X205614337Y-124080337D01* +X205603206Y-124093900D01* +X200420902Y-129276205D01* +X200407337Y-129287338D01* +X200362899Y-129341485D01* +X200329879Y-129403261D01* +X200323970Y-129422741D01* +X200309547Y-129470289D01* +X200309546Y-129470291D01* +X200304400Y-129522538D01* +X200304400Y-129522545D01* +X200302681Y-129540000D01* +X200304400Y-129557455D01* +X200304400Y-131331249D01* +X200279000Y-131331249D01* +X200149899Y-131343964D01* +X200025759Y-131381622D01* +X199911351Y-131442774D01* +X199811071Y-131525071D01* +X199728774Y-131625351D01* +X199667622Y-131739759D01* +X199629964Y-131863899D01* +X199617249Y-131993000D01* +X199617249Y-132003800D01* +X198728400Y-132003800D01* +X198728400Y-114111000D01* +X202726248Y-114111000D01* +X202731643Y-114165772D01* +X202747619Y-114218439D01* +X202773563Y-114266977D01* +X202808478Y-114309522D01* +X202851023Y-114344437D01* +X202899561Y-114370381D01* +X202952228Y-114386357D01* +X203007000Y-114391752D01* +X203388350Y-114390400D01* +X203458200Y-114320550D01* +X203458200Y-113484800D01* +X203605800Y-113484800D01* +X203605800Y-114320550D01* +X203675650Y-114390400D01* +X204057000Y-114391752D01* +X204111772Y-114386357D01* +X204164439Y-114370381D01* +X204212977Y-114344437D01* +X204255522Y-114309522D01* +X204290437Y-114266977D01* +X204316381Y-114218439D01* +X204332357Y-114165772D01* +X204337752Y-114111000D01* +X204336400Y-113554650D01* +X204266550Y-113484800D01* +X203605800Y-113484800D01* +X203458200Y-113484800D01* +X202797450Y-113484800D01* +X202727600Y-113554650D01* +X202726248Y-114111000D01* +X198728400Y-114111000D01* +X198728400Y-112711000D01* +X202726248Y-112711000D01* +X202727600Y-113267350D01* +X202797450Y-113337200D01* +X203458200Y-113337200D01* +X203458200Y-112501450D01* +X203605800Y-112501450D01* +X203605800Y-113337200D01* +X204266550Y-113337200D01* +X204336400Y-113267350D01* +X204337752Y-112711000D01* +X204332357Y-112656228D01* +X204316381Y-112603561D01* +X204290437Y-112555023D01* +X204255522Y-112512478D01* +X204212977Y-112477563D01* +X204164439Y-112451619D01* +X204111772Y-112435643D01* +X204057000Y-112430248D01* +X203675650Y-112431600D01* +X203605800Y-112501450D01* +X203458200Y-112501450D01* +X203388350Y-112431600D01* +X203007000Y-112430248D01* +X202952228Y-112435643D01* +X202899561Y-112451619D01* +X202851023Y-112477563D01* +X202808478Y-112512478D01* +X202773563Y-112555023D01* +X202747619Y-112603561D01* +X202731643Y-112656228D01* +X202726248Y-112711000D01* +X198728400Y-112711000D01* +X198728400Y-89298337D01* +X198741217Y-89167617D01* +X200016800Y-89167617D01* +X200016800Y-89394383D01* +X200061040Y-89616793D01* +X200147820Y-89826298D01* +X200273805Y-90014847D01* +X200434153Y-90175195D01* +X200622702Y-90301180D01* +X200832207Y-90387960D01* +X201054617Y-90432200D01* +X201281383Y-90432200D01* +X201503793Y-90387960D01* +X201713298Y-90301180D01* +X201901847Y-90175195D01* +X202062195Y-90014847D01* +X202188180Y-89826298D01* +X202274960Y-89616793D01* +X202319200Y-89394383D01* +X202319200Y-89167617D01* +X202274960Y-88945207D01* +X202188180Y-88735702D01* +X202062195Y-88547153D01* +X201901847Y-88386805D01* +X201713298Y-88260820D01* +X201503793Y-88174040D01* +X201281383Y-88129800D01* +X201054617Y-88129800D01* +X200832207Y-88174040D01* +X200622702Y-88260820D01* +X200434153Y-88386805D01* +X200273805Y-88547153D01* +X200147820Y-88735702D01* +X200061040Y-88945207D01* +X200016800Y-89167617D01* +X198741217Y-89167617D01* +X198771692Y-88856810D01* +X198894882Y-88448783D01* +X199094982Y-88072447D01* +X199364369Y-87742148D01* +X199692780Y-87470463D01* +X200067703Y-87267744D01* +X200474861Y-87141706D01* +X200915436Y-87095400D01* +X274048663Y-87095400D01* +X274490190Y-87138692D01* +X274490190Y-87138692D01* +G37* +X274490190Y-87138692D02* +X274898217Y-87261882D01* +X275274553Y-87461982D01* +X275604852Y-87731369D01* +X275876537Y-88059780D01* +X276079256Y-88434703D01* +X276205294Y-88841861D01* +X276251600Y-89282436D01* +X276251601Y-132003800D01* +X275362751Y-132003800D01* +X275362751Y-131993000D01* +X275361400Y-131979283D01* +X275361400Y-120852848D01* +X275353930Y-120777000D01* +X275361400Y-120701152D01* +X275361400Y-94285141D01* +X275366437Y-94233999D01* +X275361400Y-94182858D01* +X275361400Y-94182848D01* +X275346331Y-94029850D01* +X275286783Y-93833546D01* +X275190081Y-93652630D01* +X275092550Y-93533788D01* +X275092547Y-93533785D01* +X275059943Y-93494057D01* +X275020215Y-93461453D01* +X270726379Y-89167617D01* +X272914800Y-89167617D01* +X272914800Y-89394383D01* +X272959040Y-89616793D01* +X273045820Y-89826298D01* +X273171805Y-90014847D01* +X273332153Y-90175195D01* +X273520702Y-90301180D01* +X273730207Y-90387960D01* +X273952617Y-90432200D01* +X274179383Y-90432200D01* +X274401793Y-90387960D01* +X274611298Y-90301180D01* +X274799847Y-90175195D01* +X274960195Y-90014847D01* +X275086180Y-89826298D01* +X275172960Y-89616793D01* +X275217200Y-89394383D01* +X275217200Y-89167617D01* +X275172960Y-88945207D01* +X275086180Y-88735702D01* +X274960195Y-88547153D01* +X274799847Y-88386805D01* +X274611298Y-88260820D01* +X274401793Y-88174040D01* +X274179383Y-88129800D01* +X273952617Y-88129800D01* +X273730207Y-88174040D01* +X273520702Y-88260820D01* +X273332153Y-88386805D01* +X273171805Y-88547153D01* +X273045820Y-88735702D01* +X272959040Y-88945207D01* +X272914800Y-89167617D01* +X270726379Y-89167617D01* +X269631552Y-88072791D01* +X269598943Y-88033057D01* +X269440370Y-87902919D01* +X269259454Y-87806217D01* +X269063150Y-87746669D01* +X268910152Y-87731600D01* +X268910141Y-87731600D01* +X268859000Y-87726563D01* +X268807859Y-87731600D01* +X210744141Y-87731600D01* +X210692999Y-87726563D01* +X210641858Y-87731600D01* +X210641848Y-87731600D01* +X210488850Y-87746669D01* +X210292546Y-87806217D01* +X210292544Y-87806218D01* +X210111630Y-87902919D01* +X209992788Y-88000450D01* +X209992785Y-88000453D01* +X209953057Y-88033057D01* +X209920453Y-88072785D01* +X204531791Y-93461448D01* +X204492057Y-93494057D01* +X204361919Y-93652630D01* +X204265217Y-93833547D01* +X204205669Y-94029851D01* +X204190600Y-94182849D01* +X204190600Y-94182859D01* +X204185563Y-94234000D01* +X204190600Y-94285141D01* +X204190601Y-111657426D01* +X204190600Y-111657431D01* +X204190600Y-111862569D01* +X204200633Y-111913009D01* +X204205670Y-111964150D01* +X204220587Y-112013324D01* +X204230620Y-112063765D01* +X204250301Y-112111279D01* +X204265218Y-112160454D01* +X204289442Y-112205773D01* +X204309123Y-112253288D01* +X204337696Y-112296051D01* +X204361920Y-112341370D01* +X204394520Y-112381093D01* +X204423092Y-112423854D01* +X204459458Y-112460220D01* +X204492058Y-112499943D01* +X204531781Y-112532543D01* +X204568146Y-112568908D01* +X204571600Y-112571216D01* +X204571600Y-112606135D01* +X204517803Y-112671686D01* +X204467602Y-112765607D01* +X204436687Y-112867517D01* +X204426249Y-112973500D01* +X204426249Y-113848500D01* +X204436687Y-113954483D01* +X204467602Y-114056393D01* +X204517803Y-114150314D01* +X204571601Y-114215866D01* +X204571601Y-115791551D01* +X204568405Y-115824000D01* +X204581156Y-115953460D01* +X204618918Y-116077946D01* +X204646411Y-116129381D01* +X204680242Y-116192674D01* +X204762768Y-116293233D01* +X204787968Y-116313914D01* +X206301171Y-117827118D01* +X206355276Y-117908092D01* +X206449908Y-118002724D01* +X206561184Y-118077076D01* +X206684826Y-118128291D01* +X206816085Y-118154400D01* +X206949915Y-118154400D01* +X207081174Y-118128291D01* +X207204816Y-118077076D01* +X207316092Y-118002724D01* +X207410724Y-117908092D01* +X207485076Y-117796816D01* +X207536291Y-117673174D01* +X207562400Y-117541915D01* +X207562400Y-117408085D01* +X207536291Y-117276826D01* +X207485076Y-117153184D01* +X207410724Y-117041908D01* +X207316092Y-116947276D01* +X207235118Y-116893171D01* +X205892400Y-115550454D01* +X205892400Y-114215865D01* +X205946197Y-114150314D01* +X205996398Y-114056393D01* +X206027313Y-113954483D01* +X206037751Y-113848500D01* +X206037751Y-112973500D01* +X206027313Y-112867517D01* +X205996398Y-112765607D01* +X205946197Y-112671686D01* +X205892400Y-112606135D01* +X205892400Y-112571216D01* +X205895854Y-112568908D01* +X205932215Y-112532547D01* +X205971943Y-112499943D01* +X206004547Y-112460215D01* +X206040908Y-112423854D01* +X206069476Y-112381099D01* +X206102081Y-112341370D01* +X206126309Y-112296043D01* +X206154877Y-112253288D01* +X206174555Y-112205782D01* +X206198783Y-112160454D01* +X206213703Y-112111270D01* +X206233380Y-112063765D01* +X206243411Y-112013334D01* +X206258331Y-111964150D01* +X206263369Y-111912999D01* +X206273400Y-111862569D01* +X206273400Y-94665361D01* +X211124362Y-89814400D01* +X213461601Y-89814400D01* +X213461600Y-95080135D01* +X213407803Y-95145686D01* +X213357602Y-95239607D01* +X213326687Y-95341517D01* +X213316249Y-95447500D01* +X213316249Y-96322500D01* +X213326687Y-96428483D01* +X213357602Y-96530393D01* +X213407803Y-96624314D01* +X213475364Y-96706636D01* +X213557686Y-96774197D01* +X213571601Y-96781634D01* +X213571600Y-97844249D01* +X212255000Y-97844249D01* +X212170965Y-97852526D01* +X212090159Y-97877038D01* +X212015688Y-97916844D01* +X211950413Y-97970413D01* +X211896844Y-98035688D01* +X211857038Y-98110159D01* +X211832526Y-98190965D01* +X211824249Y-98275000D01* +X211824249Y-98575000D01* +X211832526Y-98659035D01* +X211857038Y-98739841D01* +X211896844Y-98814312D01* +X211950413Y-98879587D01* +X212015688Y-98933156D01* +X212090159Y-98972962D01* +X212170965Y-98997474D01* +X212255000Y-99005751D01* +X215955000Y-99005751D01* +X216039035Y-98997474D01* +X216119841Y-98972962D01* +X216194312Y-98933156D01* +X216259587Y-98879587D01* +X216313156Y-98814312D01* +X216352962Y-98739841D01* +X216377474Y-98659035D01* +X216385751Y-98575000D01* +X216385751Y-98275000D01* +X216377474Y-98190965D01* +X216357464Y-98125000D01* +X219224248Y-98125000D01* +X219225600Y-98281350D01* +X219295450Y-98351200D01* +X221431200Y-98351200D01* +X221431200Y-97915450D01* +X221578800Y-97915450D01* +X221578800Y-98351200D01* +X223714550Y-98351200D01* +X223784400Y-98281350D01* +X223784584Y-98260000D01* +X234369248Y-98260000D01* +X234374643Y-98314772D01* +X234390619Y-98367439D01* +X234416563Y-98415977D01* +X234451478Y-98458522D01* +X234494023Y-98493437D01* +X234542561Y-98519381D01* +X234595228Y-98535357D01* +X234650000Y-98540752D01* +X234806350Y-98539400D01* +X234876200Y-98469550D01* +X234876200Y-97483800D01* +X235023800Y-97483800D01* +X235023800Y-98469550D01* +X235093650Y-98539400D01* +X235250000Y-98540752D01* +X235304772Y-98535357D01* +X235357439Y-98519381D01* +X235405977Y-98493437D01* +X235448522Y-98458522D01* +X235483437Y-98415977D01* +X235509381Y-98367439D01* +X235525357Y-98314772D01* +X235530752Y-98260000D01* +X235529400Y-97553650D01* +X235459550Y-97483800D01* +X235023800Y-97483800D01* +X234876200Y-97483800D01* +X234440450Y-97483800D01* +X234370600Y-97553650D01* +X234369248Y-98260000D01* +X223784584Y-98260000D01* +X223785752Y-98125000D01* +X223780357Y-98070228D01* +X223764381Y-98017561D01* +X223738437Y-97969023D01* +X223703522Y-97926478D01* +X223660977Y-97891563D01* +X223612439Y-97865619D01* +X223559772Y-97849643D01* +X223505000Y-97844248D01* +X221648650Y-97845600D01* +X221578800Y-97915450D01* +X221431200Y-97915450D01* +X221361350Y-97845600D01* +X219505000Y-97844248D01* +X219450228Y-97849643D01* +X219397561Y-97865619D01* +X219349023Y-97891563D01* +X219306478Y-97926478D01* +X219271563Y-97969023D01* +X219245619Y-98017561D01* +X219229643Y-98070228D01* +X219224248Y-98125000D01* +X216357464Y-98125000D01* +X216352962Y-98110159D01* +X216313156Y-98035688D01* +X216259587Y-97970413D01* +X216194312Y-97916844D01* +X216119841Y-97877038D01* +X216039035Y-97852526D01* +X215955000Y-97844249D01* +X214638400Y-97844249D01* +X214638400Y-96799807D01* +X214686314Y-96774197D01* +X214768636Y-96706636D01* +X214836197Y-96624314D01* +X214857210Y-96585000D01* +X215016248Y-96585000D01* +X215021643Y-96639772D01* +X215037619Y-96692439D01* +X215063563Y-96740977D01* +X215098478Y-96783522D01* +X215141023Y-96818437D01* +X215189561Y-96844381D01* +X215242228Y-96860357D01* +X215297000Y-96865752D01* +X215678350Y-96864400D01* +X215748200Y-96794550D01* +X215748200Y-95958800D01* +X215895800Y-95958800D01* +X215895800Y-96794550D01* +X215965650Y-96864400D01* +X216347000Y-96865752D01* +X216401772Y-96860357D01* +X216454439Y-96844381D01* +X216502977Y-96818437D01* +X216545522Y-96783522D01* +X216580437Y-96740977D01* +X216606381Y-96692439D01* +X216622357Y-96639772D01* +X216627752Y-96585000D01* +X216627692Y-96560000D01* +X234369248Y-96560000D01* +X234370600Y-97266350D01* +X234440450Y-97336200D01* +X234876200Y-97336200D01* +X234876200Y-96350450D01* +X235023800Y-96350450D01* +X235023800Y-97336200D01* +X235459550Y-97336200D01* +X235529400Y-97266350D01* +X235530752Y-96560000D01* +X235525357Y-96505228D01* +X235509381Y-96452561D01* +X235483437Y-96404023D01* +X235448522Y-96361478D01* +X235405977Y-96326563D01* +X235357439Y-96300619D01* +X235304772Y-96284643D01* +X235250000Y-96279248D01* +X235093650Y-96280600D01* +X235023800Y-96350450D01* +X234876200Y-96350450D01* +X234806350Y-96280600D01* +X234650000Y-96279248D01* +X234595228Y-96284643D01* +X234542561Y-96300619D01* +X234494023Y-96326563D01* +X234451478Y-96361478D01* +X234416563Y-96404023D01* +X234390619Y-96452561D01* +X234374643Y-96505228D01* +X234369248Y-96560000D01* +X216627692Y-96560000D01* +X216626400Y-96028650D01* +X216556550Y-95958800D01* +X215895800Y-95958800D01* +X215748200Y-95958800D01* +X215087450Y-95958800D01* +X215017600Y-96028650D01* +X215016248Y-96585000D01* +X214857210Y-96585000D01* +X214886398Y-96530393D01* +X214917313Y-96428483D01* +X214927751Y-96322500D01* +X214927751Y-95447500D01* +X214917313Y-95341517D01* +X214886398Y-95239607D01* +X214857211Y-95185000D01* +X215016248Y-95185000D01* +X215017600Y-95741350D01* +X215087450Y-95811200D01* +X215748200Y-95811200D01* +X215748200Y-94975450D01* +X215895800Y-94975450D01* +X215895800Y-95811200D01* +X216556550Y-95811200D01* +X216626400Y-95741350D01* +X216627752Y-95185000D01* +X216622357Y-95130228D01* +X216606381Y-95077561D01* +X216580437Y-95029023D01* +X216545522Y-94986478D01* +X216502977Y-94951563D01* +X216454439Y-94925619D01* +X216401772Y-94909643D01* +X216347000Y-94904248D01* +X215965650Y-94905600D01* +X215895800Y-94975450D01* +X215748200Y-94975450D01* +X215678350Y-94905600D01* +X215297000Y-94904248D01* +X215242228Y-94909643D01* +X215189561Y-94925619D01* +X215141023Y-94951563D01* +X215098478Y-94986478D01* +X215063563Y-95029023D01* +X215037619Y-95077561D01* +X215021643Y-95130228D01* +X215016248Y-95185000D01* +X214857211Y-95185000D01* +X214836197Y-95145686D01* +X214782400Y-95080135D01* +X214782400Y-92140000D01* +X240064248Y-92140000D01* +X240069643Y-92194772D01* +X240085619Y-92247439D01* +X240111563Y-92295977D01* +X240146478Y-92338522D01* +X240189023Y-92373437D01* +X240237561Y-92399381D01* +X240290228Y-92415357D01* +X240345000Y-92420752D01* +X240726350Y-92419400D01* +X240796200Y-92349550D01* +X240796200Y-91513800D01* +X240943800Y-91513800D01* +X240943800Y-92349550D01* +X241013650Y-92419400D01* +X241395000Y-92420752D01* +X241449772Y-92415357D01* +X241502439Y-92399381D01* +X241550977Y-92373437D01* +X241593522Y-92338522D01* +X241628437Y-92295977D01* +X241654381Y-92247439D01* +X241670357Y-92194772D01* +X241675752Y-92140000D01* +X241674400Y-91583650D01* +X241604550Y-91513800D01* +X240943800Y-91513800D01* +X240796200Y-91513800D01* +X240135450Y-91513800D01* +X240065600Y-91583650D01* +X240064248Y-92140000D01* +X214782400Y-92140000D01* +X214782400Y-90740000D01* +X240064248Y-90740000D01* +X240065600Y-91296350D01* +X240135450Y-91366200D01* +X240796200Y-91366200D01* +X240796200Y-90530450D01* +X240943800Y-90530450D01* +X240943800Y-91366200D01* +X241604550Y-91366200D01* +X241674400Y-91296350D01* +X241675752Y-90740000D01* +X241670357Y-90685228D01* +X241654381Y-90632561D01* +X241628437Y-90584023D01* +X241593522Y-90541478D01* +X241550977Y-90506563D01* +X241502439Y-90480619D01* +X241449772Y-90464643D01* +X241395000Y-90459248D01* +X241013650Y-90460600D01* +X240943800Y-90530450D01* +X240796200Y-90530450D01* +X240726350Y-90460600D01* +X240345000Y-90459248D01* +X240290228Y-90464643D01* +X240237561Y-90480619D01* +X240189023Y-90506563D01* +X240146478Y-90541478D01* +X240111563Y-90584023D01* +X240085619Y-90632561D01* +X240069643Y-90685228D01* +X240064248Y-90740000D01* +X214782400Y-90740000D01* +X214782400Y-89814400D01* +X241909600Y-89814400D01* +X241909601Y-90635134D01* +X241855803Y-90700686D01* +X241805602Y-90794607D01* +X241774687Y-90896517D01* +X241764249Y-91002500D01* +X241764249Y-91877500D01* +X241774687Y-91983483D01* +X241805602Y-92085393D01* +X241855803Y-92179314D01* +X241909600Y-92244865D01* +X241909601Y-96171439D01* +X241919157Y-96268461D01* +X241956919Y-96392947D01* +X242018242Y-96507674D01* +X242032674Y-96525260D01* +X242022038Y-96545159D01* +X241997526Y-96625965D01* +X241989249Y-96710000D01* +X241989249Y-98110000D01* +X241997526Y-98194035D01* +X242022038Y-98274841D01* +X242032027Y-98293529D01* +X242018242Y-98310326D01* +X241956919Y-98425053D01* +X241919157Y-98549539D01* +X241909601Y-98646561D01* +X241909600Y-100310453D01* +X237470454Y-104749600D01* +X236748293Y-104749600D01* +X241539104Y-99958790D01* +X241552662Y-99947663D01* +X241563790Y-99934104D01* +X241563795Y-99934099D01* +X241579438Y-99915038D01* +X241597101Y-99893516D01* +X241630121Y-99831740D01* +X241650454Y-99764710D01* +X241655600Y-99712463D01* +X241655600Y-99712456D01* +X241657319Y-99695000D01* +X241655600Y-99677545D01* +X241655600Y-98486176D01* +X241689312Y-98468156D01* +X241754587Y-98414587D01* +X241808156Y-98349312D01* +X241847962Y-98274841D01* +X241872474Y-98194035D01* +X241880751Y-98110000D01* +X241880751Y-96710000D01* +X241872474Y-96625965D01* +X241847962Y-96545159D01* +X241808156Y-96470688D01* +X241754587Y-96405413D01* +X241689312Y-96351844D01* +X241614841Y-96312038D01* +X241534035Y-96287526D01* +X241450000Y-96279249D01* +X241150000Y-96279249D01* +X241065965Y-96287526D01* +X240985159Y-96312038D01* +X240910688Y-96351844D01* +X240845413Y-96405413D01* +X240791844Y-96470688D01* +X240752038Y-96545159D01* +X240727526Y-96625965D01* +X240719249Y-96710000D01* +X240719249Y-98110000D01* +X240727526Y-98194035D01* +X240752038Y-98274841D01* +X240791844Y-98349312D01* +X240845413Y-98414587D01* +X240910688Y-98468156D01* +X240944401Y-98486176D01* +X240944400Y-99547706D01* +X236072707Y-104419400D01* +X234408713Y-104419400D01* +X234422474Y-104374035D01* +X234430751Y-104290000D01* +X234430751Y-103990000D01* +X234422474Y-103905965D01* +X234408713Y-103860600D01* +X235948545Y-103860600D01* +X235966000Y-103862319D01* +X235983455Y-103860600D01* +X235983463Y-103860600D01* +X236035710Y-103855454D01* +X236102740Y-103835121D01* +X236164516Y-103802101D01* +X236218663Y-103757663D01* +X236229799Y-103744094D01* +X240269104Y-99704790D01* +X240282662Y-99693663D01* +X240293790Y-99680104D01* +X240293795Y-99680099D01* +X240309438Y-99661038D01* +X240327101Y-99639516D01* +X240360121Y-99577740D01* +X240380454Y-99510710D01* +X240385600Y-99458463D01* +X240385600Y-99458456D01* +X240387319Y-99441000D01* +X240385600Y-99423545D01* +X240385600Y-98486176D01* +X240419312Y-98468156D01* +X240484587Y-98414587D01* +X240538156Y-98349312D01* +X240577962Y-98274841D01* +X240602474Y-98194035D01* +X240610751Y-98110000D01* +X240610751Y-96710000D01* +X240602474Y-96625965D01* +X240577962Y-96545159D01* +X240538156Y-96470688D01* +X240484587Y-96405413D01* +X240419312Y-96351844D01* +X240344841Y-96312038D01* +X240264035Y-96287526D01* +X240180000Y-96279249D01* +X239880000Y-96279249D01* +X239795965Y-96287526D01* +X239715159Y-96312038D01* +X239640688Y-96351844D01* +X239575413Y-96405413D01* +X239521844Y-96470688D01* +X239482038Y-96545159D01* +X239457526Y-96625965D01* +X239449249Y-96710000D01* +X239449249Y-98110000D01* +X239457526Y-98194035D01* +X239482038Y-98274841D01* +X239521844Y-98349312D01* +X239575413Y-98414587D01* +X239640688Y-98468156D01* +X239674400Y-98486176D01* +X239674400Y-99293706D01* +X235818707Y-103149400D01* +X234408713Y-103149400D01* +X234422474Y-103104035D01* +X234430751Y-103020000D01* +X234430751Y-102720000D01* +X234422474Y-102635965D01* +X234408713Y-102590600D01* +X235694545Y-102590600D01* +X235712000Y-102592319D01* +X235729455Y-102590600D01* +X235729463Y-102590600D01* +X235781710Y-102585454D01* +X235848740Y-102565121D01* +X235910516Y-102532101D01* +X235964663Y-102487663D01* +X235975799Y-102474094D01* +X238999100Y-99450794D01* +X239012663Y-99439663D01* +X239057101Y-99385516D01* +X239090121Y-99323740D01* +X239109906Y-99258516D01* +X239110454Y-99256711D01* +X239112059Y-99240413D01* +X239115600Y-99204463D01* +X239115600Y-99204456D01* +X239117319Y-99187000D01* +X239115600Y-99169545D01* +X239115600Y-98486176D01* +X239149312Y-98468156D01* +X239214587Y-98414587D01* +X239268156Y-98349312D01* +X239307962Y-98274841D01* +X239332474Y-98194035D01* +X239340751Y-98110000D01* +X239340751Y-96710000D01* +X239332474Y-96625965D01* +X239307962Y-96545159D01* +X239268156Y-96470688D01* +X239214587Y-96405413D01* +X239149312Y-96351844D01* +X239074841Y-96312038D01* +X238994035Y-96287526D01* +X238910000Y-96279249D01* +X238610000Y-96279249D01* +X238525965Y-96287526D01* +X238445159Y-96312038D01* +X238370688Y-96351844D01* +X238305413Y-96405413D01* +X238251844Y-96470688D01* +X238212038Y-96545159D01* +X238187526Y-96625965D01* +X238179249Y-96710000D01* +X238179249Y-98110000D01* +X238187526Y-98194035D01* +X238212038Y-98274841D01* +X238251844Y-98349312D01* +X238305413Y-98414587D01* +X238370688Y-98468156D01* +X238404401Y-98486176D01* +X238404401Y-99039705D01* +X235564707Y-101879400D01* +X234408713Y-101879400D01* +X234422474Y-101834035D01* +X234430751Y-101750000D01* +X234430751Y-101450000D01* +X234422474Y-101365965D01* +X234408713Y-101320600D01* +X235440545Y-101320600D01* +X235458000Y-101322319D01* +X235475455Y-101320600D01* +X235475463Y-101320600D01* +X235527710Y-101315454D01* +X235594740Y-101295121D01* +X235656516Y-101262101D01* +X235710663Y-101217663D01* +X235721799Y-101204094D01* +X237729104Y-99196790D01* +X237742662Y-99185663D01* +X237753790Y-99172104D01* +X237753795Y-99172099D01* +X237776937Y-99143900D01* +X237787101Y-99131516D01* +X237820121Y-99069740D01* +X237839532Y-99005751D01* +X237840454Y-99002711D01* +X237843008Y-98976775D01* +X237845600Y-98950463D01* +X237845600Y-98950456D01* +X237847319Y-98933000D01* +X237845600Y-98915545D01* +X237845600Y-98486176D01* +X237879312Y-98468156D01* +X237944587Y-98414587D01* +X237998156Y-98349312D01* +X238037962Y-98274841D01* +X238062474Y-98194035D01* +X238070751Y-98110000D01* +X238070751Y-96710000D01* +X238062474Y-96625965D01* +X238037962Y-96545159D01* +X237998156Y-96470688D01* +X237944587Y-96405413D01* +X237879312Y-96351844D01* +X237804841Y-96312038D01* +X237724035Y-96287526D01* +X237640000Y-96279249D01* +X237340000Y-96279249D01* +X237255965Y-96287526D01* +X237175159Y-96312038D01* +X237100688Y-96351844D01* +X237035413Y-96405413D01* +X236981844Y-96470688D01* +X236942038Y-96545159D01* +X236917526Y-96625965D01* +X236909249Y-96710000D01* +X236909249Y-98110000D01* +X236917526Y-98194035D01* +X236942038Y-98274841D01* +X236981844Y-98349312D01* +X237035413Y-98414587D01* +X237100688Y-98468156D01* +X237134400Y-98486176D01* +X237134400Y-98785706D01* +X235310707Y-100609400D01* +X234408713Y-100609400D01* +X234422474Y-100564035D01* +X234430751Y-100480000D01* +X234430751Y-100180000D01* +X234422474Y-100095965D01* +X234408713Y-100050600D01* +X235186545Y-100050600D01* +X235204000Y-100052319D01* +X235221455Y-100050600D01* +X235221463Y-100050600D01* +X235273710Y-100045454D01* +X235340740Y-100025121D01* +X235402516Y-99992101D01* +X235456663Y-99947663D01* +X235467799Y-99934094D01* +X236459104Y-98942790D01* +X236472662Y-98931663D01* +X236483790Y-98918104D01* +X236483795Y-98918099D01* +X236511689Y-98884110D01* +X236517101Y-98877516D01* +X236550121Y-98815740D01* +X236570454Y-98748710D01* +X236575600Y-98696463D01* +X236575600Y-98696456D01* +X236577319Y-98679000D01* +X236575600Y-98661545D01* +X236575600Y-98486176D01* +X236609312Y-98468156D01* +X236674587Y-98414587D01* +X236728156Y-98349312D01* +X236767962Y-98274841D01* +X236792474Y-98194035D01* +X236800751Y-98110000D01* +X236800751Y-96710000D01* +X236792474Y-96625965D01* +X236767962Y-96545159D01* +X236728156Y-96470688D01* +X236674587Y-96405413D01* +X236609312Y-96351844D01* +X236534841Y-96312038D01* +X236454035Y-96287526D01* +X236370000Y-96279249D01* +X236070000Y-96279249D01* +X235985965Y-96287526D01* +X235905159Y-96312038D01* +X235830688Y-96351844D01* +X235765413Y-96405413D01* +X235711844Y-96470688D01* +X235672038Y-96545159D01* +X235647526Y-96625965D01* +X235639249Y-96710000D01* +X235639249Y-98110000D01* +X235647526Y-98194035D01* +X235672038Y-98274841D01* +X235711844Y-98349312D01* +X235765413Y-98414587D01* +X235830688Y-98468156D01* +X235864400Y-98486176D01* +X235864400Y-98531706D01* +X235056707Y-99339400D01* +X234408713Y-99339400D01* +X234422474Y-99294035D01* +X234430751Y-99210000D01* +X234430751Y-98910000D01* +X234422474Y-98825965D01* +X234397962Y-98745159D01* +X234358156Y-98670688D01* +X234304587Y-98605413D01* +X234239312Y-98551844D01* +X234164841Y-98512038D01* +X234084035Y-98487526D01* +X234000000Y-98479249D01* +X232600000Y-98479249D01* +X232515965Y-98487526D01* +X232435159Y-98512038D01* +X232360688Y-98551844D01* +X232295413Y-98605413D01* +X232241844Y-98670688D01* +X232223824Y-98704400D01* +X232173452Y-98704400D01* +X232155999Y-98702681D01* +X232138546Y-98704400D01* +X232138537Y-98704400D01* +X232086290Y-98709546D01* +X232019260Y-98729879D01* +X231957484Y-98762899D01* +X231957482Y-98762900D01* +X231957483Y-98762900D01* +X231916900Y-98796205D01* +X231916895Y-98796210D01* +X231903337Y-98807337D01* +X231892210Y-98820895D01* +X231348327Y-99364780D01* +X224581675Y-99364780D01* +X224037798Y-98820905D01* +X224026663Y-98807337D01* +X223972516Y-98762899D01* +X223910740Y-98729879D01* +X223843710Y-98709546D01* +X223791463Y-98704400D01* +X223791455Y-98704400D01* +X223785569Y-98703820D01* +X223784400Y-98568650D01* +X223714550Y-98498800D01* +X221578800Y-98498800D01* +X221578800Y-98518800D01* +X221431200Y-98518800D01* +X221431200Y-98498800D01* +X219295450Y-98498800D01* +X219225600Y-98568650D01* +X219224426Y-98704400D01* +X217822455Y-98704400D01* +X217805000Y-98702681D01* +X217787545Y-98704400D01* +X217787537Y-98704400D01* +X217735290Y-98709546D01* +X217668260Y-98729879D01* +X217633032Y-98748709D01* +X217606484Y-98762899D01* +X217585925Y-98779772D01* +X217552337Y-98807337D01* +X217541206Y-98820900D01* +X217022707Y-99339400D01* +X216331176Y-99339400D01* +X216313156Y-99305688D01* +X216259587Y-99240413D01* +X216194312Y-99186844D01* +X216119841Y-99147038D01* +X216039035Y-99122526D01* +X215955000Y-99114249D01* +X212255000Y-99114249D01* +X212170965Y-99122526D01* +X212090159Y-99147038D01* +X212015688Y-99186844D01* +X211950413Y-99240413D01* +X211896844Y-99305688D01* +X211857038Y-99380159D01* +X211832526Y-99460965D01* +X211824249Y-99545000D01* +X211824249Y-99845000D01* +X211832526Y-99929035D01* +X211857038Y-100009841D01* +X211896844Y-100084312D01* +X211950413Y-100149587D01* +X212015688Y-100203156D01* +X212090159Y-100242962D01* +X212170965Y-100267474D01* +X212255000Y-100275751D01* +X215955000Y-100275751D01* +X216039035Y-100267474D01* +X216119841Y-100242962D01* +X216194312Y-100203156D01* +X216259587Y-100149587D01* +X216313156Y-100084312D01* +X216331176Y-100050600D01* +X217152545Y-100050600D01* +X217170000Y-100052319D01* +X217187455Y-100050600D01* +X217187463Y-100050600D01* +X217239710Y-100045454D01* +X217306740Y-100025121D01* +X217368516Y-99992101D01* +X217422663Y-99947663D01* +X217433799Y-99934094D01* +X217952294Y-99415600D01* +X219246287Y-99415600D01* +X219232526Y-99460965D01* +X219224249Y-99545000D01* +X219224249Y-99845000D01* +X219232526Y-99929035D01* +X219246287Y-99974400D01* +X217822455Y-99974400D01* +X217805000Y-99972681D01* +X217787545Y-99974400D01* +X217787537Y-99974400D01* +X217735290Y-99979546D01* +X217668260Y-99999879D01* +X217606484Y-100032899D01* +X217552337Y-100077337D01* +X217541206Y-100090900D01* +X217022707Y-100609400D01* +X216331176Y-100609400D01* +X216313156Y-100575688D01* +X216259587Y-100510413D01* +X216194312Y-100456844D01* +X216119841Y-100417038D01* +X216039035Y-100392526D01* +X215955000Y-100384249D01* +X212255000Y-100384249D01* +X212170965Y-100392526D01* +X212090159Y-100417038D01* +X212015688Y-100456844D01* +X211950413Y-100510413D01* +X211896844Y-100575688D01* +X211857038Y-100650159D01* +X211832526Y-100730965D01* +X211824249Y-100815000D01* +X211824249Y-101115000D01* +X211832526Y-101199035D01* +X211857038Y-101279841D01* +X211896844Y-101354312D01* +X211950413Y-101419587D01* +X212015688Y-101473156D01* +X212090159Y-101512962D01* +X212170965Y-101537474D01* +X212255000Y-101545751D01* +X215955000Y-101545751D01* +X216039035Y-101537474D01* +X216119841Y-101512962D01* +X216194312Y-101473156D01* +X216259587Y-101419587D01* +X216313156Y-101354312D01* +X216331176Y-101320600D01* +X217152545Y-101320600D01* +X217170000Y-101322319D01* +X217187455Y-101320600D01* +X217187463Y-101320600D01* +X217239710Y-101315454D01* +X217306740Y-101295121D01* +X217368516Y-101262101D01* +X217422663Y-101217663D01* +X217433799Y-101204094D01* +X217952294Y-100685600D01* +X219246287Y-100685600D01* +X219232526Y-100730965D01* +X219224249Y-100815000D01* +X219224249Y-101115000D01* +X219232526Y-101199035D01* +X219246287Y-101244400D01* +X217822455Y-101244400D01* +X217805000Y-101242681D01* +X217787545Y-101244400D01* +X217787537Y-101244400D01* +X217735290Y-101249546D01* +X217668260Y-101269879D01* +X217606484Y-101302899D01* +X217552337Y-101347337D01* +X217541206Y-101360900D01* +X217022707Y-101879400D01* +X216331176Y-101879400D01* +X216313156Y-101845688D01* +X216259587Y-101780413D01* +X216194312Y-101726844D01* +X216119841Y-101687038D01* +X216039035Y-101662526D01* +X215955000Y-101654249D01* +X212255000Y-101654249D01* +X212170965Y-101662526D01* +X212090159Y-101687038D01* +X212015688Y-101726844D01* +X211950413Y-101780413D01* +X211896844Y-101845688D01* +X211857038Y-101920159D01* +X211832526Y-102000965D01* +X211824249Y-102085000D01* +X211824249Y-102385000D01* +X211832526Y-102469035D01* +X211857038Y-102549841D01* +X211896844Y-102624312D01* +X211950413Y-102689587D01* +X212015688Y-102743156D01* +X212090159Y-102782962D01* +X212170965Y-102807474D01* +X212255000Y-102815751D01* +X215955000Y-102815751D01* +X216039035Y-102807474D01* +X216119841Y-102782962D01* +X216194312Y-102743156D01* +X216259587Y-102689587D01* +X216313156Y-102624312D01* +X216331176Y-102590600D01* +X217152545Y-102590600D01* +X217170000Y-102592319D01* +X217187455Y-102590600D01* +X217187463Y-102590600D01* +X217239710Y-102585454D01* +X217306740Y-102565121D01* +X217368516Y-102532101D01* +X217422663Y-102487663D01* +X217433799Y-102474094D01* +X217952294Y-101955600D01* +X219246287Y-101955600D01* +X219232526Y-102000965D01* +X219224249Y-102085000D01* +X219224249Y-102385000D01* +X219232526Y-102469035D01* +X219246287Y-102514400D01* +X217822455Y-102514400D01* +X217805000Y-102512681D01* +X217787545Y-102514400D01* +X217787537Y-102514400D01* +X217735290Y-102519546D01* +X217668260Y-102539879D01* +X217606484Y-102572899D01* +X217552337Y-102617337D01* +X217541206Y-102630900D01* +X217022707Y-103149400D01* +X216331176Y-103149400D01* +X216313156Y-103115688D01* +X216259587Y-103050413D01* +X216194312Y-102996844D01* +X216119841Y-102957038D01* +X216039035Y-102932526D01* +X215955000Y-102924249D01* +X212255000Y-102924249D01* +X212170965Y-102932526D01* +X212090159Y-102957038D01* +X212015688Y-102996844D01* +X211950413Y-103050413D01* +X211896844Y-103115688D01* +X211857038Y-103190159D01* +X211832526Y-103270965D01* +X211824249Y-103355000D01* +X211824249Y-103655000D01* +X211832526Y-103739035D01* +X211857038Y-103819841D01* +X211896844Y-103894312D01* +X211950413Y-103959587D01* +X212015688Y-104013156D01* +X212090159Y-104052962D01* +X212170965Y-104077474D01* +X212255000Y-104085751D01* +X215955000Y-104085751D01* +X216039035Y-104077474D01* +X216119841Y-104052962D01* +X216194312Y-104013156D01* +X216259587Y-103959587D01* +X216313156Y-103894312D01* +X216331176Y-103860600D01* +X217152545Y-103860600D01* +X217170000Y-103862319D01* +X217187455Y-103860600D01* +X217187463Y-103860600D01* +X217239710Y-103855454D01* +X217306740Y-103835121D01* +X217368516Y-103802101D01* +X217422663Y-103757663D01* +X217433799Y-103744094D01* +X217952294Y-103225600D01* +X219246287Y-103225600D01* +X219232526Y-103270965D01* +X219224249Y-103355000D01* +X219224249Y-103655000D01* +X219232526Y-103739035D01* +X219246287Y-103784400D01* +X217822455Y-103784400D01* +X217805000Y-103782681D01* +X217787545Y-103784400D01* +X217787537Y-103784400D01* +X217735290Y-103789546D01* +X217668260Y-103809879D01* +X217606484Y-103842899D01* +X217552337Y-103887337D01* +X217541206Y-103900900D01* +X217022707Y-104419400D01* +X216331176Y-104419400D01* +X216313156Y-104385688D01* +X216259587Y-104320413D01* +X216194312Y-104266844D01* +X216119841Y-104227038D01* +X216039035Y-104202526D01* +X215955000Y-104194249D01* +X212255000Y-104194249D01* +X212170965Y-104202526D01* +X212090159Y-104227038D01* +X212015688Y-104266844D01* +X211950413Y-104320413D01* +X211896844Y-104385688D01* +X211857038Y-104460159D01* +X211832526Y-104540965D01* +X211824249Y-104625000D01* +X211824249Y-104925000D01* +X211832526Y-105009035D01* +X211857038Y-105089841D01* +X211896844Y-105164312D01* +X211950413Y-105229587D01* +X212015688Y-105283156D01* +X212090159Y-105322962D01* +X212170965Y-105347474D01* +X212255000Y-105355751D01* +X215955000Y-105355751D01* +X216039035Y-105347474D01* +X216119841Y-105322962D01* +X216194312Y-105283156D01* +X216259587Y-105229587D01* +X216313156Y-105164312D01* +X216331176Y-105130600D01* +X217152545Y-105130600D01* +X217170000Y-105132319D01* +X217187455Y-105130600D01* +X217187463Y-105130600D01* +X217239710Y-105125454D01* +X217306740Y-105105121D01* +X217368516Y-105072101D01* +X217422663Y-105027663D01* +X217433799Y-105014094D01* +X217952294Y-104495600D01* +X219246287Y-104495600D01* +X219232526Y-104540965D01* +X219224249Y-104625000D01* +X219224249Y-104925000D01* +X219232526Y-105009035D01* +X219257038Y-105089841D01* +X219296844Y-105164312D01* +X219350413Y-105229587D01* +X219415688Y-105283156D01* +X219490159Y-105322962D01* +X219570965Y-105347474D01* +X219655000Y-105355751D01* +X223355000Y-105355751D01* +X223439035Y-105347474D01* +X223519841Y-105322962D01* +X223594312Y-105283156D01* +X223659587Y-105229587D01* +X223713156Y-105164312D01* +X223731176Y-105130600D01* +X232191287Y-105130600D01* +X232177526Y-105175965D01* +X232169249Y-105260000D01* +X232169249Y-105560000D01* +X232177526Y-105644035D01* +X232202038Y-105724841D01* +X232241844Y-105799312D01* +X232295413Y-105864587D01* +X232360688Y-105918156D01* +X232435159Y-105957962D01* +X232515965Y-105982474D01* +X232600000Y-105990751D01* +X234000000Y-105990751D01* +X234084035Y-105982474D01* +X234164841Y-105957962D01* +X234183528Y-105947973D01* +X234200326Y-105961759D01* +X234315053Y-106023082D01* +X234439539Y-106060844D01* +X234536561Y-106070400D01* +X237711561Y-106070400D01* +X237744000Y-106073595D01* +X237776439Y-106070400D01* +X237873461Y-106060844D01* +X237997947Y-106023082D01* +X238112674Y-105961759D01* +X238213233Y-105879233D01* +X238233918Y-105854028D01* +X243014034Y-101073913D01* +X243039233Y-101053233D01* +X243121759Y-100952674D01* +X243183082Y-100837947D01* +X243220844Y-100713461D01* +X243230400Y-100616439D01* +X243230400Y-100616438D01* +X243233595Y-100584000D01* +X243230400Y-100551561D01* +X243230400Y-98646561D01* +X243220844Y-98549539D01* +X243183082Y-98425053D01* +X243121759Y-98310326D01* +X243107973Y-98293528D01* +X243117962Y-98274841D01* +X243142474Y-98194035D01* +X243150751Y-98110000D01* +X243150751Y-96710000D01* +X243142474Y-96625965D01* +X243117962Y-96545159D01* +X243107326Y-96525261D01* +X243121759Y-96507674D01* +X243183082Y-96392947D01* +X243220844Y-96268461D01* +X243230400Y-96171439D01* +X243230400Y-92244865D01* +X243284197Y-92179314D01* +X243334398Y-92085393D01* +X243365313Y-91983483D01* +X243375751Y-91877500D01* +X243375751Y-91002500D01* +X243365313Y-90896517D01* +X243334398Y-90794607D01* +X243284197Y-90700686D01* +X243230400Y-90635135D01* +X243230400Y-89814400D01* +X258419601Y-89814400D01* +X258419600Y-90682656D01* +X258404803Y-90700686D01* +X258354602Y-90794607D01* +X258323687Y-90896517D01* +X258313249Y-91002500D01* +X258313249Y-91877500D01* +X258323687Y-91983483D01* +X258354602Y-92085393D01* +X258404803Y-92179314D01* +X258419600Y-92197344D01* +X258419601Y-96171439D01* +X258429157Y-96268461D01* +X258466919Y-96392947D01* +X258528242Y-96507674D01* +X258542674Y-96525260D01* +X258532038Y-96545159D01* +X258507526Y-96625965D01* +X258499249Y-96710000D01* +X258499249Y-98110000D01* +X258507526Y-98194035D01* +X258532038Y-98274841D01* +X258571844Y-98349312D01* +X258625413Y-98414587D01* +X258690688Y-98468156D01* +X258765159Y-98507962D01* +X258845965Y-98532474D01* +X258930000Y-98540751D01* +X259230000Y-98540751D01* +X259314035Y-98532474D01* +X259394841Y-98507962D01* +X259469312Y-98468156D01* +X259534587Y-98414587D01* +X259588156Y-98349312D01* +X259627962Y-98274841D01* +X259652474Y-98194035D01* +X259660751Y-98110000D01* +X259660751Y-96710000D01* +X259769249Y-96710000D01* +X259769249Y-98110000D01* +X259777526Y-98194035D01* +X259802038Y-98274841D01* +X259841844Y-98349312D01* +X259895413Y-98414587D01* +X259960688Y-98468156D01* +X260035159Y-98507962D01* +X260115965Y-98532474D01* +X260200000Y-98540751D01* +X260500000Y-98540751D01* +X260584035Y-98532474D01* +X260664841Y-98507962D01* +X260739312Y-98468156D01* +X260804587Y-98414587D01* +X260858156Y-98349312D01* +X260897962Y-98274841D01* +X260922474Y-98194035D01* +X260930751Y-98110000D01* +X260930751Y-96710000D01* +X260922474Y-96625965D01* +X260897962Y-96545159D01* +X260858156Y-96470688D01* +X260804587Y-96405413D01* +X260739312Y-96351844D01* +X260705600Y-96333824D01* +X260705600Y-96032293D01* +X261000295Y-95737600D01* +X267012945Y-95737600D01* +X267026795Y-95783259D01* +X267072377Y-95868536D01* +X267133719Y-95943281D01* +X267208464Y-96004623D01* +X267293741Y-96050205D01* +X267386272Y-96078273D01* +X267482500Y-96087751D01* +X268457500Y-96087751D01* +X268553728Y-96078273D01* +X268646259Y-96050205D01* +X268731536Y-96004623D01* +X268806281Y-95943281D01* +X268867623Y-95868536D01* +X268913205Y-95783259D01* +X268941273Y-95690728D01* +X268950751Y-95594500D01* +X268950751Y-95169500D01* +X268941273Y-95073272D01* +X268913205Y-94980741D01* +X268867623Y-94895464D01* +X268806281Y-94820719D01* +X268731536Y-94759377D01* +X268646259Y-94713795D01* +X268553728Y-94685727D01* +X268457500Y-94676249D01* +X267482500Y-94676249D01* +X267386272Y-94685727D01* +X267293741Y-94713795D01* +X267208464Y-94759377D01* +X267133719Y-94820719D01* +X267072377Y-94895464D01* +X267026795Y-94980741D01* +X267012945Y-95026400D01* +X260870452Y-95026400D01* +X260852999Y-95024681D01* +X260835546Y-95026400D01* +X260835537Y-95026400D01* +X260783290Y-95031546D01* +X260716260Y-95051879D01* +X260654484Y-95084899D01* +X260654482Y-95084900D01* +X260654483Y-95084900D01* +X260613900Y-95118205D01* +X260613895Y-95118210D01* +X260600337Y-95129337D01* +X260589210Y-95142895D01* +X260110901Y-95621206D01* +X260097337Y-95632338D01* +X260052899Y-95686485D01* +X260019879Y-95748261D01* +X259999546Y-95815291D01* +X259994400Y-95867538D01* +X259994400Y-95867545D01* +X259992681Y-95885000D01* +X259994400Y-95902456D01* +X259994400Y-96333824D01* +X259960688Y-96351844D01* +X259895413Y-96405413D01* +X259841844Y-96470688D01* +X259802038Y-96545159D01* +X259777526Y-96625965D01* +X259769249Y-96710000D01* +X259660751Y-96710000D01* +X259652474Y-96625965D01* +X259627962Y-96545159D01* +X259617326Y-96525261D01* +X259631759Y-96507674D01* +X259693082Y-96392947D01* +X259730844Y-96268461D01* +X259740400Y-96171439D01* +X259740400Y-92282347D01* +X259765636Y-92261636D01* +X259833197Y-92179314D01* +X259854210Y-92140000D01* +X260013248Y-92140000D01* +X260018643Y-92194772D01* +X260034619Y-92247439D01* +X260060563Y-92295977D01* +X260095478Y-92338522D01* +X260138023Y-92373437D01* +X260186561Y-92399381D01* +X260239228Y-92415357D01* +X260294000Y-92420752D01* +X260675350Y-92419400D01* +X260745200Y-92349550D01* +X260745200Y-91513800D01* +X260892800Y-91513800D01* +X260892800Y-92349550D01* +X260962650Y-92419400D01* +X261344000Y-92420752D01* +X261398772Y-92415357D01* +X261451439Y-92399381D01* +X261499977Y-92373437D01* +X261542522Y-92338522D01* +X261577437Y-92295977D01* +X261603381Y-92247439D01* +X261619357Y-92194772D01* +X261624752Y-92140000D01* +X261623400Y-91583650D01* +X261553550Y-91513800D01* +X260892800Y-91513800D01* +X260745200Y-91513800D01* +X260084450Y-91513800D01* +X260014600Y-91583650D01* +X260013248Y-92140000D01* +X259854210Y-92140000D01* +X259883398Y-92085393D01* +X259914313Y-91983483D01* +X259924751Y-91877500D01* +X259924751Y-91002500D01* +X259914313Y-90896517D01* +X259883398Y-90794607D01* +X259854211Y-90740000D01* +X260013248Y-90740000D01* +X260014600Y-91296350D01* +X260084450Y-91366200D01* +X260745200Y-91366200D01* +X260745200Y-90530450D01* +X260892800Y-90530450D01* +X260892800Y-91366200D01* +X261553550Y-91366200D01* +X261623400Y-91296350D01* +X261624752Y-90740000D01* +X261619357Y-90685228D01* +X261603381Y-90632561D01* +X261577437Y-90584023D01* +X261542522Y-90541478D01* +X261499977Y-90506563D01* +X261451439Y-90480619D01* +X261398772Y-90464643D01* +X261344000Y-90459248D01* +X260962650Y-90460600D01* +X260892800Y-90530450D01* +X260745200Y-90530450D01* +X260675350Y-90460600D01* +X260294000Y-90459248D01* +X260239228Y-90464643D01* +X260186561Y-90480619D01* +X260138023Y-90506563D01* +X260095478Y-90541478D01* +X260060563Y-90584023D01* +X260034619Y-90632561D01* +X260018643Y-90685228D01* +X260013248Y-90740000D01* +X259854211Y-90740000D01* +X259833197Y-90700686D01* +X259765636Y-90618364D01* +X259740400Y-90597653D01* +X259740400Y-89814400D01* +X268427639Y-89814400D01* +X273278601Y-94665363D01* +X273278600Y-108559600D01* +X268774865Y-108559600D01* +X268709314Y-108505803D01* +X268615393Y-108455602D01* +X268513483Y-108424687D01* +X268407500Y-108414249D01* +X267532500Y-108414249D01* +X267426517Y-108424687D01* +X267324607Y-108455602D01* +X267230686Y-108505803D01* +X267165135Y-108559600D01* +X263238561Y-108559600D01* +X263141539Y-108569156D01* +X263017053Y-108606918D01* +X262902326Y-108668241D01* +X262884739Y-108682674D01* +X262864841Y-108672038D01* +X262784035Y-108647526D01* +X262700000Y-108639249D01* +X261300000Y-108639249D01* +X261215965Y-108647526D01* +X261135159Y-108672038D01* +X261060688Y-108711844D01* +X260995413Y-108765413D01* +X260941844Y-108830688D01* +X260902038Y-108905159D01* +X260877526Y-108985965D01* +X260869249Y-109070000D01* +X260869249Y-109370000D01* +X260877526Y-109454035D01* +X260902038Y-109534841D01* +X260941844Y-109609312D01* +X260995413Y-109674587D01* +X261060688Y-109728156D01* +X261135159Y-109767962D01* +X261215965Y-109792474D01* +X261300000Y-109800751D01* +X262700000Y-109800751D01* +X262784035Y-109792474D01* +X262864841Y-109767962D01* +X262884739Y-109757326D01* +X262902326Y-109771759D01* +X263017053Y-109833082D01* +X263141539Y-109870844D01* +X263238561Y-109880400D01* +X267165135Y-109880400D01* +X267230686Y-109934197D01* +X267324607Y-109984398D01* +X267426517Y-110015313D01* +X267532500Y-110025751D01* +X268407500Y-110025751D01* +X268513483Y-110015313D01* +X268615393Y-109984398D01* +X268709314Y-109934197D01* +X268774865Y-109880400D01* +X273278601Y-109880400D01* +X273278600Y-119989600D01* +X268774865Y-119989600D01* +X268709314Y-119935803D01* +X268615393Y-119885602D01* +X268513483Y-119854687D01* +X268407500Y-119844249D01* +X267532500Y-119844249D01* +X267426517Y-119854687D01* +X267324607Y-119885602D01* +X267230686Y-119935803D01* +X267165135Y-119989600D01* +X263238561Y-119989600D01* +X263141539Y-119999156D01* +X263017053Y-120036918D01* +X262902326Y-120098241D01* +X262884739Y-120112674D01* +X262864841Y-120102038D01* +X262784035Y-120077526D01* +X262700000Y-120069249D01* +X261300000Y-120069249D01* +X261215965Y-120077526D01* +X261135159Y-120102038D01* +X261116472Y-120112027D01* +X261099674Y-120098241D01* +X260984947Y-120036918D01* +X260860461Y-119999156D01* +X260763439Y-119989600D01* +X250857439Y-119989600D01* +X250825000Y-119986405D01* +X250792561Y-119989600D01* +X250222439Y-119989600D01* +X250190000Y-119986405D01* +X250157561Y-119989600D01* +X249587439Y-119989600D01* +X249555000Y-119986405D01* +X249522561Y-119989600D01* +X238411439Y-119989600D01* +X238379000Y-119986405D01* +X238346561Y-119989600D01* +X238249539Y-119999156D01* +X238125053Y-120036918D01* +X238010326Y-120098241D01* +X237909767Y-120180767D01* +X237889086Y-120205967D01* +X234206089Y-123888965D01* +X234180350Y-123920328D01* +X234164841Y-123912038D01* +X234084035Y-123887526D01* +X234000000Y-123879249D01* +X232600000Y-123879249D01* +X232515965Y-123887526D01* +X232435159Y-123912038D01* +X232415261Y-123922674D01* +X232397674Y-123908241D01* +X232282947Y-123846918D01* +X232158461Y-123809156D01* +X232061439Y-123799600D01* +X228134865Y-123799600D01* +X228069314Y-123745803D01* +X227975393Y-123695602D01* +X227873483Y-123664687D01* +X227767500Y-123654249D01* +X226892500Y-123654249D01* +X226786517Y-123664687D01* +X226684607Y-123695602D01* +X226590686Y-123745803D01* +X226508364Y-123813364D01* +X226440803Y-123895686D01* +X226390602Y-123989607D01* +X226359687Y-124091517D01* +X226349249Y-124197500D01* +X226349249Y-124722500D01* +X226359687Y-124828483D01* +X226390602Y-124930393D01* +X226440803Y-125024314D01* +X226508364Y-125106636D01* +X226590686Y-125174197D01* +X226684607Y-125224398D01* +X226786517Y-125255313D01* +X226892500Y-125265751D01* +X227767500Y-125265751D01* +X227873483Y-125255313D01* +X227975393Y-125224398D01* +X228069314Y-125174197D01* +X228134865Y-125120400D01* +X232061439Y-125120400D01* +X232158461Y-125110844D01* +X232282947Y-125073082D01* +X232397674Y-125011759D01* +X232415261Y-124997326D01* +X232435159Y-125007962D01* +X232515965Y-125032474D01* +X232600000Y-125040751D01* +X234000000Y-125040751D01* +X234084035Y-125032474D01* +X234164841Y-125007962D01* +X234192084Y-124993400D01* +X234542813Y-124993400D01* +X234569000Y-124995979D01* +X234595187Y-124993400D01* +X234595195Y-124993400D01* +X234629378Y-124990033D01* +X234688503Y-124995857D01* +X234635159Y-125012038D01* +X234560688Y-125051844D01* +X234495413Y-125105413D01* +X234441844Y-125170688D01* +X234402038Y-125245159D01* +X234377526Y-125325965D01* +X234369249Y-125410000D01* +X234369249Y-126810000D01* +X234377526Y-126894035D01* +X234402038Y-126974841D01* +X234441844Y-127049312D01* +X234495413Y-127114587D01* +X234560688Y-127168156D01* +X234594400Y-127186176D01* +X234594400Y-127617544D01* +X234592681Y-127635000D01* +X234594400Y-127652455D01* +X234594400Y-127652462D01* +X234598145Y-127690484D01* +X234599546Y-127704710D01* +X234601491Y-127711121D01* +X234619879Y-127771739D01* +X234652899Y-127833515D01* +X234697337Y-127887662D01* +X234710901Y-127898794D01* +X235864401Y-129052296D01* +X235864401Y-131331249D01* +X235839000Y-131331249D01* +X235709899Y-131343964D01* +X235585759Y-131381622D01* +X235471351Y-131442774D01* +X235371071Y-131525071D01* +X235288774Y-131625351D01* +X235227622Y-131739759D01* +X235189964Y-131863899D01* +X235177249Y-131993000D01* +X235177249Y-132003800D01* +X234722751Y-132003800D01* +X234722751Y-131993000D01* +X234710036Y-131863899D01* +X234672378Y-131739759D01* +X234611226Y-131625351D01* +X234528929Y-131525071D01* +X234428649Y-131442774D01* +X234314241Y-131381622D01* +X234190101Y-131343964D01* +X234061000Y-131331249D01* +X234035600Y-131331249D01* +X234035600Y-129763494D01* +X234373825Y-130101719D01* +X234370600Y-130117934D01* +X234370600Y-130232066D01* +X234392866Y-130344005D01* +X234436543Y-130449449D01* +X234499951Y-130544346D01* +X234580654Y-130625049D01* +X234675551Y-130688457D01* +X234780995Y-130732134D01* +X234892934Y-130754400D01* +X235007066Y-130754400D01* +X235119005Y-130732134D01* +X235224449Y-130688457D01* +X235319346Y-130625049D01* +X235400049Y-130544346D01* +X235463457Y-130449449D01* +X235507134Y-130344005D01* +X235529400Y-130232066D01* +X235529400Y-130117934D01* +X235507134Y-130005995D01* +X235463457Y-129900551D01* +X235400049Y-129805654D01* +X235319346Y-129724951D01* +X235224449Y-129661543D01* +X235119005Y-129617866D01* +X235007066Y-129595600D01* +X234892934Y-129595600D01* +X234876719Y-129598825D01* +X232546799Y-127268906D01* +X232535663Y-127255337D01* +X232481516Y-127210899D01* +X232419740Y-127177879D01* +X232352710Y-127157546D01* +X232300463Y-127152400D01* +X232300455Y-127152400D01* +X232283000Y-127150681D01* +X232265545Y-127152400D01* +X218206294Y-127152400D01* +X215837828Y-124783934D01* +X216336600Y-124783934D01* +X216336600Y-124898066D01* +X216358866Y-125010005D01* +X216402543Y-125115449D01* +X216465951Y-125210346D01* +X216546654Y-125291049D01* +X216641551Y-125354457D01* +X216746995Y-125398134D01* +X216858934Y-125420400D01* +X216973066Y-125420400D01* +X216989281Y-125417175D01* +X217419325Y-125847219D01* +X217416100Y-125863434D01* +X217416100Y-125977566D01* +X217438366Y-126089505D01* +X217482043Y-126194949D01* +X217545451Y-126289846D01* +X217626154Y-126370549D01* +X217721051Y-126433957D01* +X217826495Y-126477634D01* +X217938434Y-126499900D01* +X218052566Y-126499900D01* +X218164505Y-126477634D01* +X218269949Y-126433957D01* +X218364846Y-126370549D01* +X218427461Y-126307934D01* +X220400600Y-126307934D01* +X220400600Y-126422066D01* +X220422866Y-126534005D01* +X220466543Y-126639449D01* +X220529951Y-126734346D01* +X220610654Y-126815049D01* +X220705551Y-126878457D01* +X220810995Y-126922134D01* +X220922934Y-126944400D01* +X221037066Y-126944400D01* +X221149005Y-126922134D01* +X221254449Y-126878457D01* +X221349346Y-126815049D01* +X221430049Y-126734346D01* +X221493457Y-126639449D01* +X221537134Y-126534005D01* +X221559400Y-126422066D01* +X221559400Y-126307934D01* +X221556175Y-126291719D01* +X221922719Y-125925175D01* +X221938934Y-125928400D01* +X222053066Y-125928400D01* +X222165005Y-125906134D01* +X222270449Y-125862457D01* +X222365346Y-125799049D01* +X222446049Y-125718346D01* +X222509457Y-125623449D01* +X222553134Y-125518005D01* +X222575400Y-125406066D01* +X222575400Y-125291934D01* +X222553134Y-125179995D01* +X222509457Y-125074551D01* +X222446049Y-124979654D01* +X222365346Y-124898951D01* +X222270449Y-124835543D01* +X222165005Y-124791866D01* +X222053066Y-124769600D01* +X221938934Y-124769600D01* +X221826995Y-124791866D01* +X221721551Y-124835543D01* +X221626654Y-124898951D01* +X221545951Y-124979654D01* +X221482543Y-125074551D01* +X221438866Y-125179995D01* +X221416600Y-125291934D01* +X221416600Y-125406066D01* +X221419825Y-125422281D01* +X221053281Y-125788825D01* +X221037066Y-125785600D01* +X220922934Y-125785600D01* +X220810995Y-125807866D01* +X220705551Y-125851543D01* +X220610654Y-125914951D01* +X220529951Y-125995654D01* +X220466543Y-126090551D01* +X220422866Y-126195995D01* +X220400600Y-126307934D01* +X218427461Y-126307934D01* +X218445549Y-126289846D01* +X218508957Y-126194949D01* +X218552634Y-126089505D01* +X218574900Y-125977566D01* +X218574900Y-125863434D01* +X218552634Y-125751495D01* +X218508957Y-125646051D01* +X218445549Y-125551154D01* +X218364846Y-125470451D01* +X218269949Y-125407043D01* +X218164505Y-125363366D01* +X218052566Y-125341100D01* +X217938434Y-125341100D01* +X217922219Y-125344325D01* +X217492175Y-124914281D01* +X217495400Y-124898066D01* +X217495400Y-124783934D01* +X217473134Y-124671995D01* +X217429457Y-124566551D01* +X217366049Y-124471654D01* +X217285346Y-124390951D01* +X217190449Y-124327543D01* +X217085005Y-124283866D01* +X216973066Y-124261600D01* +X216858934Y-124261600D01* +X216746995Y-124283866D01* +X216641551Y-124327543D01* +X216546654Y-124390951D01* +X216465951Y-124471654D01* +X216402543Y-124566551D01* +X216358866Y-124671995D01* +X216336600Y-124783934D01* +X215837828Y-124783934D01* +X214948828Y-123894934D01* +X215447600Y-123894934D01* +X215447600Y-124009066D01* +X215469866Y-124121005D01* +X215513543Y-124226449D01* +X215576951Y-124321346D01* +X215657654Y-124402049D01* +X215752551Y-124465457D01* +X215857995Y-124509134D01* +X215969934Y-124531400D01* +X216084066Y-124531400D01* +X216196005Y-124509134D01* +X216301449Y-124465457D01* +X216396346Y-124402049D01* +X216477049Y-124321346D01* +X216540457Y-124226449D01* +X216559449Y-124180600D01* +X221409766Y-124180600D01* +X221418951Y-124194346D01* +X221499654Y-124275049D01* +X221594551Y-124338457D01* +X221699995Y-124382134D01* +X221811934Y-124404400D01* +X221926066Y-124404400D01* +X221942281Y-124401175D01* +X224145210Y-126604105D01* +X224156337Y-126617663D01* +X224169895Y-126628790D01* +X224169900Y-126628795D01* +X224195186Y-126649546D01* +X224210484Y-126662101D01* +X224272260Y-126695121D01* +X224324287Y-126710903D01* +X224339951Y-126734346D01* +X224420654Y-126815049D01* +X224515551Y-126878457D01* +X224620995Y-126922134D01* +X224732934Y-126944400D01* +X224847066Y-126944400D01* +X224959005Y-126922134D01* +X225064449Y-126878457D01* +X225159346Y-126815049D01* +X225240049Y-126734346D01* +X225303457Y-126639449D01* +X225347134Y-126534005D01* +X225369400Y-126422066D01* +X225369400Y-126307934D01* +X225347134Y-126195995D01* +X225303457Y-126090551D01* +X225240049Y-125995654D01* +X225159346Y-125914951D01* +X225064449Y-125851543D01* +X224959005Y-125807866D01* +X224847066Y-125785600D01* +X224732934Y-125785600D01* +X224620995Y-125807866D01* +X224515551Y-125851543D01* +X224445347Y-125898452D01* +X222445175Y-123898281D01* +X222445840Y-123894934D01* +X222813600Y-123894934D01* +X222813600Y-124009066D01* +X222835866Y-124121005D01* +X222879543Y-124226449D01* +X222942951Y-124321346D01* +X223023654Y-124402049D01* +X223118551Y-124465457D01* +X223223995Y-124509134D01* +X223335934Y-124531400D01* +X223450066Y-124531400D01* +X223562005Y-124509134D01* +X223667449Y-124465457D01* +X223762346Y-124402049D01* +X223843049Y-124321346D01* +X223906457Y-124226449D01* +X223950134Y-124121005D01* +X223972400Y-124009066D01* +X223972400Y-124002493D01* +X224689893Y-123285000D01* +X226349248Y-123285000D01* +X226354643Y-123339772D01* +X226370619Y-123392439D01* +X226396563Y-123440977D01* +X226431478Y-123483522D01* +X226474023Y-123518437D01* +X226522561Y-123544381D01* +X226575228Y-123560357D01* +X226630000Y-123565752D01* +X227186350Y-123564400D01* +X227256200Y-123494550D01* +X227256200Y-122833800D01* +X227403800Y-122833800D01* +X227403800Y-123494550D01* +X227473650Y-123564400D01* +X228030000Y-123565752D01* +X228084772Y-123560357D01* +X228137439Y-123544381D01* +X228185977Y-123518437D01* +X228228522Y-123483522D01* +X228263437Y-123440977D01* +X228289381Y-123392439D01* +X228305357Y-123339772D01* +X228310752Y-123285000D01* +X228309400Y-122903650D01* +X228239550Y-122833800D01* +X227403800Y-122833800D01* +X227256200Y-122833800D01* +X226420450Y-122833800D01* +X226350600Y-122903650D01* +X226349248Y-123285000D01* +X224689893Y-123285000D01* +X225739894Y-122235000D01* +X226349248Y-122235000D01* +X226350600Y-122616350D01* +X226420450Y-122686200D01* +X227256200Y-122686200D01* +X227256200Y-122025450D01* +X227403800Y-122025450D01* +X227403800Y-122686200D01* +X228239550Y-122686200D01* +X228309400Y-122616350D01* +X228310752Y-122235000D01* +X228305357Y-122180228D01* +X228289381Y-122127561D01* +X228263437Y-122079023D01* +X228228522Y-122036478D01* +X228185977Y-122001563D01* +X228137439Y-121975619D01* +X228084772Y-121959643D01* +X228030000Y-121954248D01* +X227473650Y-121955600D01* +X227403800Y-122025450D01* +X227256200Y-122025450D01* +X227186350Y-121955600D01* +X226630000Y-121954248D01* +X226575228Y-121959643D01* +X226522561Y-121975619D01* +X226474023Y-122001563D01* +X226431478Y-122036478D01* +X226396563Y-122079023D01* +X226370619Y-122127561D01* +X226354643Y-122180228D01* +X226349248Y-122235000D01* +X225739894Y-122235000D01* +X225859720Y-122115175D01* +X225875934Y-122118400D01* +X225990066Y-122118400D01* +X226102005Y-122096134D01* +X226207449Y-122052457D01* +X226302346Y-121989049D01* +X226383049Y-121908346D01* +X226446457Y-121813449D01* +X226490134Y-121708005D01* +X226512400Y-121596066D01* +X226512400Y-121481934D01* +X226490134Y-121369995D01* +X226446457Y-121264551D01* +X226383049Y-121169654D01* +X226302346Y-121088951D01* +X226207449Y-121025543D01* +X226102005Y-120981866D01* +X225990066Y-120959600D01* +X225875934Y-120959600D01* +X225763995Y-120981866D01* +X225658551Y-121025543D01* +X225563654Y-121088951D01* +X225482951Y-121169654D01* +X225419543Y-121264551D01* +X225375866Y-121369995D01* +X225353600Y-121481934D01* +X225353600Y-121596066D01* +X225356825Y-121612280D01* +X223570657Y-123398450D01* +X223562005Y-123394866D01* +X223450066Y-123372600D01* +X223335934Y-123372600D01* +X223223995Y-123394866D01* +X223118551Y-123438543D01* +X223023654Y-123501951D01* +X222942951Y-123582654D01* +X222879543Y-123677551D01* +X222835866Y-123782995D01* +X222813600Y-123894934D01* +X222445840Y-123894934D01* +X222448400Y-123882066D01* +X222448400Y-123767934D01* +X222445175Y-123751719D01* +X225445295Y-120751600D01* +X228521766Y-120751600D01* +X228530951Y-120765346D01* +X228611654Y-120846049D01* +X228706551Y-120909457D01* +X228811995Y-120953134D01* +X228923934Y-120975400D01* +X229038066Y-120975400D01* +X229150005Y-120953134D01* +X229255449Y-120909457D01* +X229350346Y-120846049D01* +X229431049Y-120765346D01* +X229494457Y-120670449D01* +X229538134Y-120565005D01* +X229560400Y-120453066D01* +X229560400Y-120338934D01* +X229538134Y-120226995D01* +X229494457Y-120121551D01* +X229431049Y-120026654D01* +X229350346Y-119945951D01* +X229255449Y-119882543D01* +X229150005Y-119838866D01* +X229038066Y-119816600D01* +X228923934Y-119816600D01* +X228811995Y-119838866D01* +X228706551Y-119882543D01* +X228611654Y-119945951D01* +X228530951Y-120026654D01* +X228521766Y-120040400D01* +X225315452Y-120040400D01* +X225297999Y-120038681D01* +X225280546Y-120040400D01* +X225280537Y-120040400D01* +X225228290Y-120045546D01* +X225161260Y-120065879D01* +X225099484Y-120098899D01* +X225099482Y-120098900D01* +X225099483Y-120098900D01* +X225058900Y-120132205D01* +X225058895Y-120132210D01* +X225045337Y-120143337D01* +X225034210Y-120156895D01* +X221942281Y-123248825D01* +X221926066Y-123245600D01* +X221811934Y-123245600D01* +X221699995Y-123267866D01* +X221594551Y-123311543D01* +X221499654Y-123374951D01* +X221418951Y-123455654D01* +X221409766Y-123469400D01* +X216425452Y-123469400D01* +X216407999Y-123467681D01* +X216390546Y-123469400D01* +X216390537Y-123469400D01* +X216353142Y-123473083D01* +X216301449Y-123438543D01* +X216196005Y-123394866D01* +X216084066Y-123372600D01* +X215969934Y-123372600D01* +X215857995Y-123394866D01* +X215752551Y-123438543D01* +X215657654Y-123501951D01* +X215576951Y-123582654D01* +X215513543Y-123677551D01* +X215469866Y-123782995D01* +X215447600Y-123894934D01* +X214948828Y-123894934D01* +X214059828Y-123005934D01* +X214558600Y-123005934D01* +X214558600Y-123120066D01* +X214580866Y-123232005D01* +X214624543Y-123337449D01* +X214687951Y-123432346D01* +X214768654Y-123513049D01* +X214863551Y-123576457D01* +X214968995Y-123620134D01* +X215080934Y-123642400D01* +X215195066Y-123642400D01* +X215307005Y-123620134D01* +X215412449Y-123576457D01* +X215507346Y-123513049D01* +X215588049Y-123432346D01* +X215597234Y-123418600D01* +X215628545Y-123418600D01* +X215646000Y-123420319D01* +X215663455Y-123418600D01* +X215663463Y-123418600D01* +X215715710Y-123413454D01* +X215782740Y-123393121D01* +X215844516Y-123360101D01* +X215898663Y-123315663D01* +X215909799Y-123302094D01* +X216586959Y-122624934D01* +X218114600Y-122624934D01* +X218114600Y-122739066D01* +X218136866Y-122851005D01* +X218180543Y-122956449D01* +X218243951Y-123051346D01* +X218324654Y-123132049D01* +X218419551Y-123195457D01* +X218524995Y-123239134D01* +X218636934Y-123261400D01* +X218751066Y-123261400D01* +X218863005Y-123239134D01* +X218968449Y-123195457D01* +X219063346Y-123132049D01* +X219144049Y-123051346D01* +X219207457Y-122956449D01* +X219251134Y-122851005D01* +X219273400Y-122739066D01* +X219273400Y-122624934D01* +X219251134Y-122512995D01* +X219207457Y-122407551D01* +X219144049Y-122312654D01* +X219063346Y-122231951D01* +X219049600Y-122222766D01* +X219049600Y-121940293D01* +X221000295Y-119989600D01* +X227947545Y-119989600D01* +X227965000Y-119991319D01* +X227982455Y-119989600D01* +X227982463Y-119989600D01* +X228034710Y-119984454D01* +X228101740Y-119964121D01* +X228124502Y-119951954D01* +X228161934Y-119959400D01* +X228276066Y-119959400D01* +X228388005Y-119937134D01* +X228493449Y-119893457D01* +X228588346Y-119830049D01* +X228669049Y-119749346D01* +X228732457Y-119654449D01* +X228776134Y-119549005D01* +X228798400Y-119437066D01* +X228798400Y-119322934D01* +X228776134Y-119210995D01* +X228732457Y-119105551D01* +X228669049Y-119010654D01* +X228588346Y-118929951D01* +X228493449Y-118866543D01* +X228388005Y-118822866D01* +X228276066Y-118800600D01* +X228161934Y-118800600D01* +X228049995Y-118822866D01* +X227944551Y-118866543D01* +X227849654Y-118929951D01* +X227768951Y-119010654D01* +X227705543Y-119105551D01* +X227661866Y-119210995D01* +X227648458Y-119278400D01* +X220870455Y-119278400D01* +X220852999Y-119276681D01* +X220835544Y-119278400D01* +X220835537Y-119278400D01* +X220790187Y-119282867D01* +X220783289Y-119283546D01* +X220754102Y-119292400D01* +X220716260Y-119303879D01* +X220654484Y-119336899D01* +X220654482Y-119336900D01* +X220654483Y-119336900D01* +X220613900Y-119370205D01* +X220613895Y-119370210D01* +X220600337Y-119381337D01* +X220589210Y-119394895D01* +X218454901Y-121529206D01* +X218441338Y-121540337D01* +X218396900Y-121594484D01* +X218391194Y-121605159D01* +X218363880Y-121656259D01* +X218343546Y-121723290D01* +X218336681Y-121793000D01* +X218338401Y-121810465D01* +X218338401Y-122222766D01* +X218324654Y-122231951D01* +X218243951Y-122312654D01* +X218180543Y-122407551D01* +X218136866Y-122512995D01* +X218114600Y-122624934D01* +X216586959Y-122624934D01* +X219984294Y-119227600D01* +X226931545Y-119227600D01* +X226949000Y-119229319D01* +X226966455Y-119227600D01* +X226966463Y-119227600D01* +X227018710Y-119222454D01* +X227085740Y-119202121D01* +X227147516Y-119169101D01* +X227201663Y-119124663D01* +X227212798Y-119111095D01* +X227256719Y-119067175D01* +X227272934Y-119070400D01* +X227387066Y-119070400D01* +X227499005Y-119048134D01* +X227604449Y-119004457D01* +X227699346Y-118941049D01* +X227780049Y-118860346D01* +X227843457Y-118765449D01* +X227887134Y-118660005D01* +X227909400Y-118548066D01* +X227909400Y-118433934D01* +X227887134Y-118321995D01* +X227843457Y-118216551D01* +X227780049Y-118121654D01* +X227699346Y-118040951D01* +X227604449Y-117977543D01* +X227499005Y-117933866D01* +X227387066Y-117911600D01* +X227272934Y-117911600D01* +X227160995Y-117933866D01* +X227055551Y-117977543D01* +X226960654Y-118040951D01* +X226879951Y-118121654D01* +X226816543Y-118216551D01* +X226772866Y-118321995D01* +X226750600Y-118433934D01* +X226750600Y-118516400D01* +X219854455Y-118516400D01* +X219836999Y-118514681D01* +X219819544Y-118516400D01* +X219819537Y-118516400D01* +X219774187Y-118520867D01* +X219767289Y-118521546D01* +X219738102Y-118530400D01* +X219700260Y-118541879D01* +X219638484Y-118574899D01* +X219584337Y-118619337D01* +X219573206Y-118632900D01* +X215550251Y-122655856D01* +X215507346Y-122612951D01* +X215412449Y-122549543D01* +X215307005Y-122505866D01* +X215195066Y-122483600D01* +X215080934Y-122483600D01* +X214968995Y-122505866D01* +X214863551Y-122549543D01* +X214768654Y-122612951D01* +X214687951Y-122693654D01* +X214624543Y-122788551D01* +X214580866Y-122893995D01* +X214558600Y-123005934D01* +X214059828Y-123005934D01* +X213170828Y-122116934D01* +X213669600Y-122116934D01* +X213669600Y-122231066D01* +X213691866Y-122343005D01* +X213735543Y-122448449D01* +X213798951Y-122543346D01* +X213879654Y-122624049D01* +X213974551Y-122687457D01* +X214079995Y-122731134D01* +X214191934Y-122753400D01* +X214306066Y-122753400D01* +X214418005Y-122731134D01* +X214523449Y-122687457D01* +X214618346Y-122624049D01* +X214699049Y-122543346D01* +X214762457Y-122448449D01* +X214806134Y-122343005D01* +X214828400Y-122231066D01* +X214828400Y-122116934D01* +X214825175Y-122100719D01* +X215539295Y-121386600D01* +X216644545Y-121386600D01* +X216662000Y-121388319D01* +X216679455Y-121386600D01* +X216679463Y-121386600D01* +X216731710Y-121381454D01* +X216798740Y-121361121D01* +X216860516Y-121328101D01* +X216914663Y-121283663D01* +X216925799Y-121270094D01* +X219730294Y-118465600D01* +X225915545Y-118465600D01* +X225933000Y-118467319D01* +X225950455Y-118465600D01* +X225950463Y-118465600D01* +X226002710Y-118460454D01* +X226069740Y-118440121D01* +X226131516Y-118407101D01* +X226185663Y-118362663D01* +X226196798Y-118349095D01* +X226367719Y-118178175D01* +X226383934Y-118181400D01* +X226498066Y-118181400D01* +X226610005Y-118159134D01* +X226715449Y-118115457D01* +X226810346Y-118052049D01* +X226891049Y-117971346D01* +X226954457Y-117876449D01* +X226998134Y-117771005D01* +X227020400Y-117659066D01* +X227020400Y-117544934D01* +X226998134Y-117432995D01* +X226954457Y-117327551D01* +X226891049Y-117232654D01* +X226810346Y-117151951D01* +X226715449Y-117088543D01* +X226610005Y-117044866D01* +X226498066Y-117022600D01* +X226383934Y-117022600D01* +X226271995Y-117044866D01* +X226166551Y-117088543D01* +X226071654Y-117151951D01* +X225990951Y-117232654D01* +X225927543Y-117327551D01* +X225883866Y-117432995D01* +X225861600Y-117544934D01* +X225861600Y-117659066D01* +X225864825Y-117675281D01* +X225785707Y-117754400D01* +X219600455Y-117754400D01* +X219583000Y-117752681D01* +X219565545Y-117754400D01* +X219565537Y-117754400D01* +X219513290Y-117759546D01* +X219446260Y-117779879D01* +X219384484Y-117812899D01* +X219330337Y-117857337D01* +X219319206Y-117870900D01* +X216514707Y-120675400D01* +X215409455Y-120675400D01* +X215391999Y-120673681D01* +X215374544Y-120675400D01* +X215374537Y-120675400D01* +X215329187Y-120679867D01* +X215322289Y-120680546D01* +X215293102Y-120689400D01* +X215255260Y-120700879D01* +X215193484Y-120733899D01* +X215193482Y-120733900D01* +X215193483Y-120733900D01* +X215152900Y-120767205D01* +X215152895Y-120767210D01* +X215139337Y-120778337D01* +X215128210Y-120791895D01* +X214322281Y-121597825D01* +X214306066Y-121594600D01* +X214191934Y-121594600D01* +X214079995Y-121616866D01* +X213974551Y-121660543D01* +X213879654Y-121723951D01* +X213798951Y-121804654D01* +X213735543Y-121899551D01* +X213691866Y-122004995D01* +X213669600Y-122116934D01* +X213170828Y-122116934D01* +X212281828Y-121227934D01* +X212780600Y-121227934D01* +X212780600Y-121342066D01* +X212802866Y-121454005D01* +X212846543Y-121559449D01* +X212909951Y-121654346D01* +X212990654Y-121735049D01* +X213085551Y-121798457D01* +X213190995Y-121842134D01* +X213302934Y-121864400D01* +X213417066Y-121864400D01* +X213529005Y-121842134D01* +X213634449Y-121798457D01* +X213729346Y-121735049D01* +X213810049Y-121654346D01* +X213873457Y-121559449D01* +X213917134Y-121454005D01* +X213939400Y-121342066D01* +X213939400Y-121227934D01* +X213936175Y-121211719D01* +X214523294Y-120624600D01* +X216390545Y-120624600D01* +X216408000Y-120626319D01* +X216425455Y-120624600D01* +X216425463Y-120624600D01* +X216477710Y-120619454D01* +X216544740Y-120599121D01* +X216606516Y-120566101D01* +X216660663Y-120521663D01* +X216671799Y-120508094D01* +X219476294Y-117703600D01* +X224899545Y-117703600D01* +X224917000Y-117705319D01* +X224934455Y-117703600D01* +X224934463Y-117703600D01* +X224986710Y-117698454D01* +X225053740Y-117678121D01* +X225115516Y-117645101D01* +X225169663Y-117600663D01* +X225180799Y-117587094D01* +X225478719Y-117289175D01* +X225494934Y-117292400D01* +X225609066Y-117292400D01* +X225721005Y-117270134D01* +X225826449Y-117226457D01* +X225921346Y-117163049D01* +X226002049Y-117082346D01* +X226065457Y-116987449D01* +X226109134Y-116882005D01* +X226131400Y-116770066D01* +X226131400Y-116655934D01* +X226109134Y-116543995D01* +X226065457Y-116438551D01* +X226002049Y-116343654D01* +X225921346Y-116262951D01* +X225826449Y-116199543D01* +X225721005Y-116155866D01* +X225609066Y-116133600D01* +X225494934Y-116133600D01* +X225382995Y-116155866D01* +X225277551Y-116199543D01* +X225182654Y-116262951D01* +X225101951Y-116343654D01* +X225038543Y-116438551D01* +X224994866Y-116543995D01* +X224972600Y-116655934D01* +X224972600Y-116770066D01* +X224975825Y-116786281D01* +X224769707Y-116992400D01* +X219346455Y-116992400D01* +X219329000Y-116990681D01* +X219311545Y-116992400D01* +X219311537Y-116992400D01* +X219259290Y-116997546D01* +X219192260Y-117017879D01* +X219130484Y-117050899D01* +X219076337Y-117095337D01* +X219065206Y-117108900D01* +X216260707Y-119913400D01* +X214393455Y-119913400D01* +X214376000Y-119911681D01* +X214358544Y-119913400D01* +X214358537Y-119913400D01* +X214312566Y-119917928D01* +X214306289Y-119918546D01* +X214279027Y-119926816D01* +X214239260Y-119938879D01* +X214177484Y-119971899D01* +X214123337Y-120016337D01* +X214112206Y-120029900D01* +X213433281Y-120708825D01* +X213417066Y-120705600D01* +X213302934Y-120705600D01* +X213190995Y-120727866D01* +X213085551Y-120771543D01* +X212990654Y-120834951D01* +X212909951Y-120915654D01* +X212846543Y-121010551D01* +X212802866Y-121115995D01* +X212780600Y-121227934D01* +X212281828Y-121227934D01* +X211392828Y-120338934D01* +X211891600Y-120338934D01* +X211891600Y-120453066D01* +X211913866Y-120565005D01* +X211957543Y-120670449D01* +X212020951Y-120765346D01* +X212101654Y-120846049D01* +X212196551Y-120909457D01* +X212301995Y-120953134D01* +X212413934Y-120975400D01* +X212528066Y-120975400D01* +X212640005Y-120953134D01* +X212745449Y-120909457D01* +X212840346Y-120846049D01* +X212921049Y-120765346D01* +X212984457Y-120670449D01* +X213028134Y-120565005D01* +X213050400Y-120453066D01* +X213050400Y-120338934D01* +X213047175Y-120322719D01* +X213507294Y-119862600D01* +X216073045Y-119862600D01* +X216090500Y-119864319D01* +X216107955Y-119862600D01* +X216107963Y-119862600D01* +X216160210Y-119857454D01* +X216227240Y-119837121D01* +X216289016Y-119804101D01* +X216343163Y-119759663D01* +X216354299Y-119746094D01* +X219158794Y-116941600D01* +X223629545Y-116941600D01* +X223647000Y-116943319D01* +X223664455Y-116941600D01* +X223664463Y-116941600D01* +X223716710Y-116936454D01* +X223783740Y-116916121D01* +X223845516Y-116883101D01* +X223899663Y-116838663D01* +X223910799Y-116825094D01* +X224335719Y-116400175D01* +X224351934Y-116403400D01* +X224466066Y-116403400D01* +X224578005Y-116381134D01* +X224683449Y-116337457D01* +X224778346Y-116274049D01* +X224859049Y-116193346D01* +X224922457Y-116098449D01* +X224966134Y-115993005D01* +X224988400Y-115881066D01* +X224988400Y-115766934D01* +X224966134Y-115654995D01* +X224922457Y-115549551D01* +X224859049Y-115454654D01* +X224778346Y-115373951D01* +X224683449Y-115310543D01* +X224578005Y-115266866D01* +X224466066Y-115244600D01* +X224351934Y-115244600D01* +X224239995Y-115266866D01* +X224134551Y-115310543D01* +X224039654Y-115373951D01* +X223958951Y-115454654D01* +X223895543Y-115549551D01* +X223851866Y-115654995D01* +X223829600Y-115766934D01* +X223829600Y-115881066D01* +X223832825Y-115897281D01* +X223499707Y-116230400D01* +X219028955Y-116230400D01* +X219011500Y-116228681D01* +X218994045Y-116230400D01* +X218994037Y-116230400D01* +X218941790Y-116235546D01* +X218874760Y-116255879D01* +X218812984Y-116288899D01* +X218758837Y-116333337D01* +X218747706Y-116346900D01* +X215943207Y-119151400D01* +X213377455Y-119151400D01* +X213360000Y-119149681D01* +X213342544Y-119151400D01* +X213342537Y-119151400D01* +X213296566Y-119155928D01* +X213290289Y-119156546D01* +X213269956Y-119162714D01* +X213223260Y-119176879D01* +X213161484Y-119209899D01* +X213107337Y-119254337D01* +X213096206Y-119267900D01* +X212544281Y-119819825D01* +X212528066Y-119816600D01* +X212413934Y-119816600D01* +X212301995Y-119838866D01* +X212196551Y-119882543D01* +X212101654Y-119945951D01* +X212020951Y-120026654D01* +X211957543Y-120121551D01* +X211913866Y-120226995D01* +X211891600Y-120338934D01* +X211392828Y-120338934D01* +X210413600Y-119359707D01* +X210413600Y-117408085D01* +X210521600Y-117408085D01* +X210521600Y-117541915D01* +X210547709Y-117673174D01* +X210598924Y-117796816D01* +X210673276Y-117908092D01* +X210767908Y-118002724D01* +X210879184Y-118077076D01* +X211002826Y-118128291D01* +X211134085Y-118154400D01* +X211267915Y-118154400D01* +X211363435Y-118135400D01* +X213365623Y-118135400D01* +X213407803Y-118214314D01* +X213475364Y-118296636D01* +X213557686Y-118364197D01* +X213651607Y-118414398D01* +X213753517Y-118445313D01* +X213859500Y-118455751D01* +X214384500Y-118455751D01* +X214490483Y-118445313D01* +X214592393Y-118414398D01* +X214686314Y-118364197D01* +X214768636Y-118296636D01* +X214836197Y-118214314D01* +X214857210Y-118175000D01* +X215016248Y-118175000D01* +X215021643Y-118229772D01* +X215037619Y-118282439D01* +X215063563Y-118330977D01* +X215098478Y-118373522D01* +X215141023Y-118408437D01* +X215189561Y-118434381D01* +X215242228Y-118450357D01* +X215297000Y-118455752D01* +X215678350Y-118454400D01* +X215748200Y-118384550D01* +X215748200Y-117548800D01* +X215895800Y-117548800D01* +X215895800Y-118384550D01* +X215965650Y-118454400D01* +X216347000Y-118455752D01* +X216401772Y-118450357D01* +X216454439Y-118434381D01* +X216502977Y-118408437D01* +X216545522Y-118373522D01* +X216580437Y-118330977D01* +X216606381Y-118282439D01* +X216622357Y-118229772D01* +X216627752Y-118175000D01* +X216626400Y-117618650D01* +X216556550Y-117548800D01* +X215895800Y-117548800D01* +X215748200Y-117548800D01* +X215087450Y-117548800D01* +X215017600Y-117618650D01* +X215016248Y-118175000D01* +X214857210Y-118175000D01* +X214886398Y-118120393D01* +X214917313Y-118018483D01* +X214927751Y-117912500D01* +X214927751Y-117037500D01* +X214917313Y-116931517D01* +X214886398Y-116829607D01* +X214857211Y-116775000D01* +X215016248Y-116775000D01* +X215017600Y-117331350D01* +X215087450Y-117401200D01* +X215748200Y-117401200D01* +X215748200Y-116565450D01* +X215895800Y-116565450D01* +X215895800Y-117401200D01* +X216556550Y-117401200D01* +X216626400Y-117331350D01* +X216627752Y-116775000D01* +X216622357Y-116720228D01* +X216606381Y-116667561D01* +X216580437Y-116619023D01* +X216545522Y-116576478D01* +X216502977Y-116541563D01* +X216454439Y-116515619D01* +X216401772Y-116499643D01* +X216347000Y-116494248D01* +X215965650Y-116495600D01* +X215895800Y-116565450D01* +X215748200Y-116565450D01* +X215678350Y-116495600D01* +X215297000Y-116494248D01* +X215242228Y-116499643D01* +X215189561Y-116515619D01* +X215141023Y-116541563D01* +X215098478Y-116576478D01* +X215063563Y-116619023D01* +X215037619Y-116667561D01* +X215021643Y-116720228D01* +X215016248Y-116775000D01* +X214857211Y-116775000D01* +X214836197Y-116735686D01* +X214768636Y-116653364D01* +X214686314Y-116585803D01* +X214638400Y-116560193D01* +X214638400Y-115515751D01* +X215955000Y-115515751D01* +X216039035Y-115507474D01* +X216119841Y-115482962D01* +X216194312Y-115443156D01* +X216259587Y-115389587D01* +X216313156Y-115324312D01* +X216352962Y-115249841D01* +X216357463Y-115235000D01* +X219224248Y-115235000D01* +X219229643Y-115289772D01* +X219245619Y-115342439D01* +X219271563Y-115390977D01* +X219306478Y-115433522D01* +X219349023Y-115468437D01* +X219397561Y-115494381D01* +X219450228Y-115510357D01* +X219505000Y-115515752D01* +X221361350Y-115514400D01* +X221431200Y-115444550D01* +X221431200Y-115008800D01* +X221578800Y-115008800D01* +X221578800Y-115444550D01* +X221648650Y-115514400D01* +X223505000Y-115515752D01* +X223559772Y-115510357D01* +X223612439Y-115494381D01* +X223660977Y-115468437D01* +X223703522Y-115433522D01* +X223738437Y-115390977D01* +X223764381Y-115342439D01* +X223780357Y-115289772D01* +X223785752Y-115235000D01* +X223784400Y-115078650D01* +X223714550Y-115008800D01* +X221578800Y-115008800D01* +X221431200Y-115008800D01* +X219295450Y-115008800D01* +X219225600Y-115078650D01* +X219224248Y-115235000D01* +X216357463Y-115235000D01* +X216377474Y-115169035D01* +X216385751Y-115085000D01* +X216385751Y-114785000D01* +X216377474Y-114700965D01* +X216352962Y-114620159D01* +X216313156Y-114545688D01* +X216259587Y-114480413D01* +X216194312Y-114426844D01* +X216119841Y-114387038D01* +X216039035Y-114362526D01* +X215955000Y-114354249D01* +X212255000Y-114354249D01* +X212170965Y-114362526D01* +X212090159Y-114387038D01* +X212015688Y-114426844D01* +X211950413Y-114480413D01* +X211896844Y-114545688D01* +X211857038Y-114620159D01* +X211832526Y-114700965D01* +X211824249Y-114785000D01* +X211824249Y-115085000D01* +X211832526Y-115169035D01* +X211857038Y-115249841D01* +X211896844Y-115324312D01* +X211950413Y-115389587D01* +X212015688Y-115443156D01* +X212090159Y-115482962D01* +X212170965Y-115507474D01* +X212255000Y-115515751D01* +X213571600Y-115515751D01* +X213571601Y-116578366D01* +X213557686Y-116585803D01* +X213475364Y-116653364D01* +X213407803Y-116735686D01* +X213365623Y-116814600D01* +X211363435Y-116814600D01* +X211267915Y-116795600D01* +X211134085Y-116795600D01* +X211002826Y-116821709D01* +X210879184Y-116872924D01* +X210767908Y-116947276D01* +X210673276Y-117041908D01* +X210598924Y-117153184D01* +X210547709Y-117276826D01* +X210521600Y-117408085D01* +X210413600Y-117408085D01* +X210413600Y-114701293D01* +X211094294Y-114020600D01* +X211878824Y-114020600D01* +X211896844Y-114054312D01* +X211950413Y-114119587D01* +X212015688Y-114173156D01* +X212090159Y-114212962D01* +X212170965Y-114237474D01* +X212255000Y-114245751D01* +X215955000Y-114245751D01* +X216039035Y-114237474D01* +X216119841Y-114212962D01* +X216194312Y-114173156D01* +X216259587Y-114119587D01* +X216313156Y-114054312D01* +X216331176Y-114020600D01* +X217022707Y-114020600D01* +X217541204Y-114539098D01* +X217552337Y-114552663D01* +X217565900Y-114563794D01* +X217565901Y-114563795D01* +X217591186Y-114584546D01* +X217606484Y-114597101D01* +X217668260Y-114630121D01* +X217735290Y-114650454D01* +X217787537Y-114655600D01* +X217787545Y-114655600D01* +X217805000Y-114657319D01* +X217822455Y-114655600D01* +X219224426Y-114655600D01* +X219225600Y-114791350D01* +X219295450Y-114861200D01* +X221431200Y-114861200D01* +X221431200Y-114841200D01* +X221578800Y-114841200D01* +X221578800Y-114861200D01* +X223714550Y-114861200D01* +X223784400Y-114791350D01* +X223785574Y-114655600D01* +X224415575Y-114655600D01* +X229641400Y-119881427D01* +X229641401Y-122410535D01* +X229639681Y-122428000D01* +X229646546Y-122497710D01* +X229666880Y-122564741D01* +X229683390Y-122595628D01* +X229699900Y-122626516D01* +X229744338Y-122680663D01* +X229757901Y-122691794D01* +X230495204Y-123429098D01* +X230506337Y-123442663D01* +X230560484Y-123487101D01* +X230622260Y-123520121D01* +X230689290Y-123540454D01* +X230741537Y-123545600D01* +X230741545Y-123545600D01* +X230759000Y-123547319D01* +X230776455Y-123545600D01* +X232223824Y-123545600D01* +X232241844Y-123579312D01* +X232295413Y-123644587D01* +X232360688Y-123698156D01* +X232435159Y-123737962D01* +X232515965Y-123762474D01* +X232600000Y-123770751D01* +X234000000Y-123770751D01* +X234084035Y-123762474D01* +X234164841Y-123737962D01* +X234239312Y-123698156D01* +X234304587Y-123644587D01* +X234358156Y-123579312D01* +X234397962Y-123504841D01* +X234422474Y-123424035D01* +X234430751Y-123340000D01* +X234430751Y-123040000D01* +X234422474Y-122955965D01* +X234397962Y-122875159D01* +X234358156Y-122800688D01* +X234304587Y-122735413D01* +X234239312Y-122681844D01* +X234164841Y-122642038D01* +X234084035Y-122617526D01* +X234000000Y-122609249D01* +X232600000Y-122609249D01* +X232515965Y-122617526D01* +X232435159Y-122642038D01* +X232360688Y-122681844D01* +X232295413Y-122735413D01* +X232241844Y-122800688D01* +X232223824Y-122834400D01* +X230906294Y-122834400D01* +X230352600Y-122280707D01* +X230352600Y-121508493D01* +X231003206Y-122159100D01* +X231014337Y-122172663D01* +X231068484Y-122217101D01* +X231130260Y-122250121D01* +X231176956Y-122264286D01* +X231197289Y-122270454D01* +X231203566Y-122271072D01* +X231249537Y-122275600D01* +X231249544Y-122275600D01* +X231267000Y-122277319D01* +X231284455Y-122275600D01* +X232223824Y-122275600D01* +X232241844Y-122309312D01* +X232295413Y-122374587D01* +X232360688Y-122428156D01* +X232435159Y-122467962D01* +X232515965Y-122492474D01* +X232600000Y-122500751D01* +X234000000Y-122500751D01* +X234084035Y-122492474D01* +X234164841Y-122467962D01* +X234239312Y-122428156D01* +X234304587Y-122374587D01* +X234358156Y-122309312D01* +X234397962Y-122234841D01* +X234422474Y-122154035D01* +X234430751Y-122070000D01* +X234430751Y-121770000D01* +X234422474Y-121685965D01* +X234397962Y-121605159D01* +X234358156Y-121530688D01* +X234304587Y-121465413D01* +X234239312Y-121411844D01* +X234164841Y-121372038D01* +X234084035Y-121347526D01* +X234000000Y-121339249D01* +X232600000Y-121339249D01* +X232515965Y-121347526D01* +X232435159Y-121372038D01* +X232360688Y-121411844D01* +X232295413Y-121465413D01* +X232241844Y-121530688D01* +X232223824Y-121564400D01* +X231414294Y-121564400D01* +X230733600Y-120883707D01* +X230733600Y-120111495D01* +X231511210Y-120889105D01* +X231522337Y-120902663D01* +X231535895Y-120913790D01* +X231535900Y-120913795D01* +X231570078Y-120941844D01* +X231576484Y-120947101D01* +X231638260Y-120980121D01* +X231705290Y-121000454D01* +X231757537Y-121005600D01* +X231757546Y-121005600D01* +X231774999Y-121007319D01* +X231792452Y-121005600D01* +X232223824Y-121005600D01* +X232241844Y-121039312D01* +X232295413Y-121104587D01* +X232360688Y-121158156D01* +X232435159Y-121197962D01* +X232515965Y-121222474D01* +X232600000Y-121230751D01* +X234000000Y-121230751D01* +X234084035Y-121222474D01* +X234164841Y-121197962D01* +X234239312Y-121158156D01* +X234304587Y-121104587D01* +X234358156Y-121039312D01* +X234397962Y-120964841D01* +X234422474Y-120884035D01* +X234430751Y-120800000D01* +X234430751Y-120500000D01* +X234422474Y-120415965D01* +X234397962Y-120335159D01* +X234358156Y-120260688D01* +X234304587Y-120195413D01* +X234239312Y-120141844D01* +X234164841Y-120102038D01* +X234084035Y-120077526D01* +X234000000Y-120069249D01* +X232600000Y-120069249D01* +X232515965Y-120077526D01* +X232435159Y-120102038D01* +X232360688Y-120141844D01* +X232295413Y-120195413D01* +X232241844Y-120260688D01* +X232223824Y-120294400D01* +X231922295Y-120294400D01* +X231363495Y-119735600D01* +X232223824Y-119735600D01* +X232241844Y-119769312D01* +X232295413Y-119834587D01* +X232360688Y-119888156D01* +X232435159Y-119927962D01* +X232515965Y-119952474D01* +X232600000Y-119960751D01* +X234000000Y-119960751D01* +X234084035Y-119952474D01* +X234164841Y-119927962D01* +X234239312Y-119888156D01* +X234304587Y-119834587D01* +X234358156Y-119769312D01* +X234397962Y-119694841D01* +X234422474Y-119614035D01* +X234430751Y-119530000D01* +X234430751Y-119230000D01* +X260869249Y-119230000D01* +X260869249Y-119530000D01* +X260877526Y-119614035D01* +X260902038Y-119694841D01* +X260941844Y-119769312D01* +X260995413Y-119834587D01* +X261060688Y-119888156D01* +X261135159Y-119927962D01* +X261215965Y-119952474D01* +X261300000Y-119960751D01* +X262700000Y-119960751D01* +X262784035Y-119952474D01* +X262864841Y-119927962D01* +X262939312Y-119888156D01* +X262961440Y-119869996D01* +X262996551Y-119893457D01* +X263101995Y-119937134D01* +X263213934Y-119959400D01* +X263328066Y-119959400D01* +X263440005Y-119937134D01* +X263545449Y-119893457D01* +X263640346Y-119830049D01* +X263721049Y-119749346D01* +X263784457Y-119654449D01* +X263828134Y-119549005D01* +X263842854Y-119475000D01* +X266989248Y-119475000D01* +X266994643Y-119529772D01* +X267010619Y-119582439D01* +X267036563Y-119630977D01* +X267071478Y-119673522D01* +X267114023Y-119708437D01* +X267162561Y-119734381D01* +X267215228Y-119750357D01* +X267270000Y-119755752D01* +X267826350Y-119754400D01* +X267896200Y-119684550D01* +X267896200Y-119023800D01* +X268043800Y-119023800D01* +X268043800Y-119684550D01* +X268113650Y-119754400D01* +X268670000Y-119755752D01* +X268724772Y-119750357D01* +X268777439Y-119734381D01* +X268825977Y-119708437D01* +X268868522Y-119673522D01* +X268903437Y-119630977D01* +X268929381Y-119582439D01* +X268945357Y-119529772D01* +X268950752Y-119475000D01* +X268949400Y-119093650D01* +X268879550Y-119023800D01* +X268043800Y-119023800D01* +X267896200Y-119023800D01* +X267060450Y-119023800D01* +X266990600Y-119093650D01* +X266989248Y-119475000D01* +X263842854Y-119475000D01* +X263850400Y-119437066D01* +X263850400Y-119322934D01* +X263828134Y-119210995D01* +X263784457Y-119105551D01* +X263721049Y-119010654D01* +X263640346Y-118929951D01* +X263545449Y-118866543D01* +X263440005Y-118822866D01* +X263328066Y-118800600D01* +X263213934Y-118800600D01* +X263101995Y-118822866D01* +X262996551Y-118866543D01* +X262961440Y-118890004D01* +X262939312Y-118871844D01* +X262864841Y-118832038D01* +X262784035Y-118807526D01* +X262700000Y-118799249D01* +X261300000Y-118799249D01* +X261215965Y-118807526D01* +X261135159Y-118832038D01* +X261060688Y-118871844D01* +X260995413Y-118925413D01* +X260941844Y-118990688D01* +X260902038Y-119065159D01* +X260877526Y-119145965D01* +X260869249Y-119230000D01* +X234430751Y-119230000D01* +X234422474Y-119145965D01* +X234397962Y-119065159D01* +X234358156Y-118990688D01* +X234304587Y-118925413D01* +X234239312Y-118871844D01* +X234164841Y-118832038D01* +X234084035Y-118807526D01* +X234000000Y-118799249D01* +X232600000Y-118799249D01* +X232515965Y-118807526D01* +X232435159Y-118832038D01* +X232360688Y-118871844D01* +X232295413Y-118925413D01* +X232241844Y-118990688D01* +X232223824Y-119024400D01* +X231087030Y-119024400D01* +X230528230Y-118465600D01* +X232223824Y-118465600D01* +X232241844Y-118499312D01* +X232295413Y-118564587D01* +X232360688Y-118618156D01* +X232435159Y-118657962D01* +X232515965Y-118682474D01* +X232600000Y-118690751D01* +X234000000Y-118690751D01* +X234084035Y-118682474D01* +X234164841Y-118657962D01* +X234239312Y-118618156D01* +X234304587Y-118564587D01* +X234358156Y-118499312D01* +X234397962Y-118424841D01* +X234422474Y-118344035D01* +X234430751Y-118260000D01* +X234430751Y-117960000D01* +X234422474Y-117875965D01* +X234397962Y-117795159D01* +X234358156Y-117720688D01* +X234304587Y-117655413D01* +X234239312Y-117601844D01* +X234164841Y-117562038D01* +X234084035Y-117537526D01* +X234000000Y-117529249D01* +X232600000Y-117529249D01* +X232515965Y-117537526D01* +X232435159Y-117562038D01* +X232360688Y-117601844D01* +X232295413Y-117655413D01* +X232241844Y-117720688D01* +X232223824Y-117754400D01* +X230248097Y-117754400D01* +X229633697Y-117140000D01* +X232169248Y-117140000D01* +X232174643Y-117194772D01* +X232190619Y-117247439D01* +X232216563Y-117295977D01* +X232251478Y-117338522D01* +X232294023Y-117373437D01* +X232342561Y-117399381D01* +X232395228Y-117415357D01* +X232450000Y-117420752D01* +X233156350Y-117419400D01* +X233226200Y-117349550D01* +X233226200Y-116913800D01* +X233373800Y-116913800D01* +X233373800Y-117349550D01* +X233443650Y-117419400D01* +X234150000Y-117420752D01* +X234178609Y-117417934D01* +X235132600Y-117417934D01* +X235132600Y-117532066D01* +X235154866Y-117644005D01* +X235198543Y-117749449D01* +X235261951Y-117844346D01* +X235342654Y-117925049D01* +X235356113Y-117934042D01* +X235356400Y-117936955D01* +X235356400Y-117936963D01* +X235357998Y-117953181D01* +X235361546Y-117989210D01* +X235381880Y-118056241D01* +X235393017Y-118077076D01* +X235414900Y-118118016D01* +X235459338Y-118172163D01* +X235472901Y-118183294D01* +X236273710Y-118984105D01* +X236284837Y-118997663D01* +X236298395Y-119008790D01* +X236298400Y-119008795D01* +X236323686Y-119029546D01* +X236338984Y-119042101D01* +X236400760Y-119075121D01* +X236467790Y-119095454D01* +X236520037Y-119100600D01* +X236520046Y-119100600D01* +X236537499Y-119102319D01* +X236554952Y-119100600D01* +X238996545Y-119100600D01* +X239014000Y-119102319D01* +X239031455Y-119100600D01* +X239031463Y-119100600D01* +X239083710Y-119095454D01* +X239150740Y-119075121D01* +X239212516Y-119042101D01* +X239266663Y-118997663D01* +X239277799Y-118984094D01* +X240301893Y-117960000D01* +X260869249Y-117960000D01* +X260869249Y-118260000D01* +X260877526Y-118344035D01* +X260902038Y-118424841D01* +X260941844Y-118499312D01* +X260995413Y-118564587D01* +X261060688Y-118618156D01* +X261135159Y-118657962D01* +X261215965Y-118682474D01* +X261300000Y-118690751D01* +X262700000Y-118690751D01* +X262784035Y-118682474D01* +X262864841Y-118657962D01* +X262939312Y-118618156D01* +X262961440Y-118599996D01* +X262996551Y-118623457D01* +X263101995Y-118667134D01* +X263213934Y-118689400D01* +X263328066Y-118689400D01* +X263440005Y-118667134D01* +X263545449Y-118623457D01* +X263640346Y-118560049D01* +X263721049Y-118479346D01* +X263757361Y-118425000D01* +X266989248Y-118425000D01* +X266990600Y-118806350D01* +X267060450Y-118876200D01* +X267896200Y-118876200D01* +X267896200Y-118215450D01* +X268043800Y-118215450D01* +X268043800Y-118876200D01* +X268879550Y-118876200D01* +X268949400Y-118806350D01* +X268950752Y-118425000D01* +X268945357Y-118370228D01* +X268929381Y-118317561D01* +X268903437Y-118269023D01* +X268868522Y-118226478D01* +X268825977Y-118191563D01* +X268777439Y-118165619D01* +X268724772Y-118149643D01* +X268670000Y-118144248D01* +X268113650Y-118145600D01* +X268043800Y-118215450D01* +X267896200Y-118215450D01* +X267826350Y-118145600D01* +X267270000Y-118144248D01* +X267215228Y-118149643D01* +X267162561Y-118165619D01* +X267114023Y-118191563D01* +X267071478Y-118226478D01* +X267036563Y-118269023D01* +X267010619Y-118317561D01* +X266994643Y-118370228D01* +X266989248Y-118425000D01* +X263757361Y-118425000D01* +X263784457Y-118384449D01* +X263828134Y-118279005D01* +X263850400Y-118167066D01* +X263850400Y-118052934D01* +X263828134Y-117940995D01* +X263784457Y-117835551D01* +X263721049Y-117740654D01* +X263640346Y-117659951D01* +X263545449Y-117596543D01* +X263440005Y-117552866D01* +X263328066Y-117530600D01* +X263213934Y-117530600D01* +X263101995Y-117552866D01* +X262996551Y-117596543D01* +X262961440Y-117620004D01* +X262939312Y-117601844D01* +X262864841Y-117562038D01* +X262784035Y-117537526D01* +X262700000Y-117529249D01* +X261300000Y-117529249D01* +X261215965Y-117537526D01* +X261135159Y-117562038D01* +X261060688Y-117601844D01* +X260995413Y-117655413D01* +X260941844Y-117720688D01* +X260902038Y-117795159D01* +X260877526Y-117875965D01* +X260869249Y-117960000D01* +X240301893Y-117960000D01* +X241571893Y-116690000D01* +X260869249Y-116690000D01* +X260869249Y-116990000D01* +X260877526Y-117074035D01* +X260902038Y-117154841D01* +X260941844Y-117229312D01* +X260995413Y-117294587D01* +X261060688Y-117348156D01* +X261135159Y-117387962D01* +X261215965Y-117412474D01* +X261300000Y-117420751D01* +X262700000Y-117420751D01* +X262784035Y-117412474D01* +X262864841Y-117387962D01* +X262939312Y-117348156D01* +X262961440Y-117329996D01* +X262996551Y-117353457D01* +X263101995Y-117397134D01* +X263213934Y-117419400D01* +X263328066Y-117419400D01* +X263440005Y-117397134D01* +X263545449Y-117353457D01* +X263640346Y-117290049D01* +X263721049Y-117209346D01* +X263784457Y-117114449D01* +X263828134Y-117009005D01* +X263850400Y-116897066D01* +X263850400Y-116782934D01* +X263828134Y-116670995D01* +X263784457Y-116565551D01* +X263721049Y-116470654D01* +X263640346Y-116389951D01* +X263545449Y-116326543D01* +X263440005Y-116282866D01* +X263328066Y-116260600D01* +X263213934Y-116260600D01* +X263101995Y-116282866D01* +X262996551Y-116326543D01* +X262961440Y-116350004D01* +X262939312Y-116331844D01* +X262864841Y-116292038D01* +X262784035Y-116267526D01* +X262700000Y-116259249D01* +X261300000Y-116259249D01* +X261215965Y-116267526D01* +X261135159Y-116292038D01* +X261060688Y-116331844D01* +X260995413Y-116385413D01* +X260941844Y-116450688D01* +X260902038Y-116525159D01* +X260877526Y-116605965D01* +X260869249Y-116690000D01* +X241571893Y-116690000D01* +X242391893Y-115870000D01* +X260869248Y-115870000D01* +X260874643Y-115924772D01* +X260890619Y-115977439D01* +X260916563Y-116025977D01* +X260951478Y-116068522D01* +X260994023Y-116103437D01* +X261042561Y-116129381D01* +X261095228Y-116145357D01* +X261150000Y-116150752D01* +X261856350Y-116149400D01* +X261926200Y-116079550D01* +X261926200Y-115643800D01* +X262073800Y-115643800D01* +X262073800Y-116079550D01* +X262143650Y-116149400D01* +X262850000Y-116150752D01* +X262904772Y-116145357D01* +X262957439Y-116129381D01* +X263005977Y-116103437D01* +X263048522Y-116068522D01* +X263083437Y-116025977D01* +X263109381Y-115977439D01* +X263125357Y-115924772D01* +X263130752Y-115870000D01* +X263129400Y-115713650D01* +X263059550Y-115643800D01* +X262073800Y-115643800D01* +X261926200Y-115643800D01* +X260940450Y-115643800D01* +X260870600Y-115713650D01* +X260869248Y-115870000D01* +X242391893Y-115870000D01* +X242991893Y-115270000D01* +X260869248Y-115270000D01* +X260870600Y-115426350D01* +X260940450Y-115496200D01* +X261926200Y-115496200D01* +X261926200Y-115060450D01* +X262073800Y-115060450D01* +X262073800Y-115496200D01* +X263059550Y-115496200D01* +X263129400Y-115426350D01* +X263130752Y-115270000D01* +X263125357Y-115215228D01* +X263109381Y-115162561D01* +X263083437Y-115114023D01* +X263048522Y-115071478D01* +X263005977Y-115036563D01* +X262957439Y-115010619D01* +X262904772Y-114994643D01* +X262850000Y-114989248D01* +X262143650Y-114990600D01* +X262073800Y-115060450D01* +X261926200Y-115060450D01* +X261856350Y-114990600D01* +X261150000Y-114989248D01* +X261095228Y-114994643D01* +X261042561Y-115010619D01* +X260994023Y-115036563D01* +X260951478Y-115071478D01* +X260916563Y-115114023D01* +X260890619Y-115162561D01* +X260874643Y-115215228D01* +X260869248Y-115270000D01* +X242991893Y-115270000D01* +X245381893Y-112880000D01* +X260869249Y-112880000D01* +X260869249Y-113180000D01* +X260877526Y-113264035D01* +X260902038Y-113344841D01* +X260941844Y-113419312D01* +X260995413Y-113484587D01* +X261060688Y-113538156D01* +X261135159Y-113577962D01* +X261215965Y-113602474D01* +X261300000Y-113610751D01* +X261644400Y-113610751D01* +X261644401Y-113719249D01* +X261300000Y-113719249D01* +X261215965Y-113727526D01* +X261135159Y-113752038D01* +X261060688Y-113791844D01* +X260995413Y-113845413D01* +X260941844Y-113910688D01* +X260902038Y-113985159D01* +X260877526Y-114065965D01* +X260869249Y-114150000D01* +X260869249Y-114450000D01* +X260877526Y-114534035D01* +X260902038Y-114614841D01* +X260941844Y-114689312D01* +X260995413Y-114754587D01* +X261060688Y-114808156D01* +X261135159Y-114847962D01* +X261215965Y-114872474D01* +X261300000Y-114880751D01* +X262700000Y-114880751D01* +X262784035Y-114872474D01* +X262864841Y-114847962D01* +X262939312Y-114808156D01* +X262961440Y-114789996D01* +X262996551Y-114813457D01* +X263101995Y-114857134D01* +X263213934Y-114879400D01* +X263328066Y-114879400D01* +X263440005Y-114857134D01* +X263545449Y-114813457D01* +X263640346Y-114750049D01* +X263721049Y-114669346D01* +X263784457Y-114574449D01* +X263828134Y-114469005D01* +X263850400Y-114357066D01* +X263850400Y-114242934D01* +X263828134Y-114130995D01* +X263784457Y-114025551D01* +X263721049Y-113930654D01* +X263640346Y-113849951D01* +X263545449Y-113786543D01* +X263440005Y-113742866D01* +X263328066Y-113720600D01* +X263213934Y-113720600D01* +X263101995Y-113742866D01* +X262996551Y-113786543D01* +X262961440Y-113810004D01* +X262939312Y-113791844D01* +X262864841Y-113752038D01* +X262784035Y-113727526D01* +X262700000Y-113719249D01* +X262355600Y-113719249D01* +X262355600Y-113610751D01* +X262700000Y-113610751D01* +X262784035Y-113602474D01* +X262864841Y-113577962D01* +X262939312Y-113538156D01* +X263004587Y-113484587D01* +X263058156Y-113419312D01* +X263097962Y-113344841D01* +X263122474Y-113264035D01* +X263130751Y-113180000D01* +X263130751Y-112880000D01* +X263122474Y-112795965D01* +X263097962Y-112715159D01* +X263058156Y-112640688D01* +X263004587Y-112575413D01* +X262939312Y-112521844D01* +X262864841Y-112482038D01* +X262784035Y-112457526D01* +X262700000Y-112449249D01* +X261300000Y-112449249D01* +X261215965Y-112457526D01* +X261135159Y-112482038D01* +X261060688Y-112521844D01* +X260995413Y-112575413D01* +X260941844Y-112640688D01* +X260902038Y-112715159D01* +X260877526Y-112795965D01* +X260869249Y-112880000D01* +X245381893Y-112880000D01* +X246146294Y-112115600D01* +X260923824Y-112115600D01* +X260941844Y-112149312D01* +X260995413Y-112214587D01* +X261060688Y-112268156D01* +X261135159Y-112307962D01* +X261215965Y-112332474D01* +X261300000Y-112340751D01* +X262700000Y-112340751D01* +X262784035Y-112332474D01* +X262864841Y-112307962D01* +X262939312Y-112268156D01* +X263004587Y-112214587D01* +X263058156Y-112149312D01* +X263097962Y-112074841D01* +X263122474Y-111994035D01* +X263130751Y-111910000D01* +X263130751Y-111610000D01* +X263122474Y-111525965D01* +X263097962Y-111445159D01* +X263058156Y-111370688D01* +X263004587Y-111305413D01* +X262939312Y-111251844D01* +X262864841Y-111212038D01* +X262784035Y-111187526D01* +X262700000Y-111179249D01* +X262355600Y-111179249D01* +X262355600Y-111070751D01* +X262700000Y-111070751D01* +X262784035Y-111062474D01* +X262864841Y-111037962D01* +X262939312Y-110998156D01* +X263004587Y-110944587D01* +X263058156Y-110879312D01* +X263097962Y-110804841D01* +X263122474Y-110724035D01* +X263130751Y-110640000D01* +X263130751Y-110340000D01* +X263122474Y-110255965D01* +X263097962Y-110175159D01* +X263058156Y-110100688D01* +X263004587Y-110035413D01* +X262939312Y-109981844D01* +X262864841Y-109942038D01* +X262784035Y-109917526D01* +X262700000Y-109909249D01* +X261300000Y-109909249D01* +X261215965Y-109917526D01* +X261135159Y-109942038D01* +X261060688Y-109981844D01* +X260995413Y-110035413D01* +X260941844Y-110100688D01* +X260902038Y-110175159D01* +X260877526Y-110255965D01* +X260869249Y-110340000D01* +X260869249Y-110640000D01* +X260877526Y-110724035D01* +X260902038Y-110804841D01* +X260941844Y-110879312D01* +X260995413Y-110944587D01* +X261060688Y-110998156D01* +X261135159Y-111037962D01* +X261215965Y-111062474D01* +X261300000Y-111070751D01* +X261644401Y-111070751D01* +X261644400Y-111179249D01* +X261300000Y-111179249D01* +X261215965Y-111187526D01* +X261135159Y-111212038D01* +X261060688Y-111251844D01* +X260995413Y-111305413D01* +X260941844Y-111370688D01* +X260923824Y-111404400D01* +X246016455Y-111404400D01* +X245999000Y-111402681D01* +X245981545Y-111404400D01* +X245981537Y-111404400D01* +X245929290Y-111409546D01* +X245862260Y-111429879D01* +X245801745Y-111462225D01* +X245800484Y-111462899D01* +X245769245Y-111488537D01* +X245746337Y-111507337D01* +X245735206Y-111520900D01* +X238866707Y-118389400D01* +X236684795Y-118389400D01* +X236150894Y-117855501D01* +X236162049Y-117844346D01* +X236225457Y-117749449D01* +X236269134Y-117644005D01* +X236291400Y-117532066D01* +X236291400Y-117417934D01* +X236402600Y-117417934D01* +X236402600Y-117532066D01* +X236424866Y-117644005D01* +X236468543Y-117749449D01* +X236531951Y-117844346D01* +X236612654Y-117925049D01* +X236707551Y-117988457D01* +X236812995Y-118032134D01* +X236924934Y-118054400D01* +X237039066Y-118054400D01* +X237151005Y-118032134D01* +X237256449Y-117988457D01* +X237351346Y-117925049D01* +X237432049Y-117844346D01* +X237441234Y-117830600D01* +X238488545Y-117830600D01* +X238506000Y-117832319D01* +X238523455Y-117830600D01* +X238523463Y-117830600D01* +X238575710Y-117825454D01* +X238642740Y-117805121D01* +X238704516Y-117772101D01* +X238758663Y-117727663D01* +X238769799Y-117714094D01* +X248178295Y-108305600D01* +X260923824Y-108305600D01* +X260941844Y-108339312D01* +X260995413Y-108404587D01* +X261060688Y-108458156D01* +X261135159Y-108497962D01* +X261215965Y-108522474D01* +X261300000Y-108530751D01* +X262700000Y-108530751D01* +X262784035Y-108522474D01* +X262864841Y-108497962D01* +X262939312Y-108458156D01* +X263004587Y-108404587D01* +X263058156Y-108339312D01* +X263097962Y-108264841D01* +X263122474Y-108184035D01* +X263130751Y-108100000D01* +X263130751Y-108045000D01* +X266989248Y-108045000D01* +X266994643Y-108099772D01* +X267010619Y-108152439D01* +X267036563Y-108200977D01* +X267071478Y-108243522D01* +X267114023Y-108278437D01* +X267162561Y-108304381D01* +X267215228Y-108320357D01* +X267270000Y-108325752D01* +X267826350Y-108324400D01* +X267896200Y-108254550D01* +X267896200Y-107593800D01* +X268043800Y-107593800D01* +X268043800Y-108254550D01* +X268113650Y-108324400D01* +X268670000Y-108325752D01* +X268724772Y-108320357D01* +X268777439Y-108304381D01* +X268825977Y-108278437D01* +X268868522Y-108243522D01* +X268903437Y-108200977D01* +X268929381Y-108152439D01* +X268945357Y-108099772D01* +X268950752Y-108045000D01* +X268949400Y-107663650D01* +X268879550Y-107593800D01* +X268043800Y-107593800D01* +X267896200Y-107593800D01* +X267060450Y-107593800D01* +X266990600Y-107663650D01* +X266989248Y-108045000D01* +X263130751Y-108045000D01* +X263130751Y-107800000D01* +X263122474Y-107715965D01* +X263097962Y-107635159D01* +X263058156Y-107560688D01* +X263004587Y-107495413D01* +X262939312Y-107441844D01* +X262864841Y-107402038D01* +X262784035Y-107377526D01* +X262700000Y-107369249D01* +X261300000Y-107369249D01* +X261215965Y-107377526D01* +X261135159Y-107402038D01* +X261060688Y-107441844D01* +X260995413Y-107495413D01* +X260941844Y-107560688D01* +X260923824Y-107594400D01* +X248048454Y-107594400D01* +X248030999Y-107592681D01* +X248013544Y-107594400D01* +X248013537Y-107594400D01* +X247968187Y-107598867D01* +X247961289Y-107599546D01* +X247932102Y-107608400D01* +X247894260Y-107619879D01* +X247832484Y-107652899D01* +X247832482Y-107652900D01* +X247832483Y-107652900D01* +X247791900Y-107686205D01* +X247791895Y-107686210D01* +X247778337Y-107697337D01* +X247767210Y-107710895D01* +X238358707Y-117119400D01* +X237441234Y-117119400D01* +X237432049Y-117105654D01* +X237351346Y-117024951D01* +X237256449Y-116961543D01* +X237151005Y-116917866D01* +X237039066Y-116895600D01* +X236924934Y-116895600D01* +X236812995Y-116917866D01* +X236707551Y-116961543D01* +X236612654Y-117024951D01* +X236531951Y-117105654D01* +X236468543Y-117200551D01* +X236424866Y-117305995D01* +X236402600Y-117417934D01* +X236291400Y-117417934D01* +X236269134Y-117305995D01* +X236225457Y-117200551D01* +X236162049Y-117105654D01* +X236081346Y-117024951D01* +X235986449Y-116961543D01* +X235881005Y-116917866D01* +X235769066Y-116895600D01* +X235654934Y-116895600D01* +X235542995Y-116917866D01* +X235437551Y-116961543D01* +X235342654Y-117024951D01* +X235261951Y-117105654D01* +X235198543Y-117200551D01* +X235154866Y-117305995D01* +X235132600Y-117417934D01* +X234178609Y-117417934D01* +X234204772Y-117415357D01* +X234257439Y-117399381D01* +X234305977Y-117373437D01* +X234348522Y-117338522D01* +X234383437Y-117295977D01* +X234409381Y-117247439D01* +X234425357Y-117194772D01* +X234430752Y-117140000D01* +X234429400Y-116983650D01* +X234359550Y-116913800D01* +X233373800Y-116913800D01* +X233226200Y-116913800D01* +X232240450Y-116913800D01* +X232170600Y-116983650D01* +X232169248Y-117140000D01* +X229633697Y-117140000D01* +X229033697Y-116540000D01* +X232169248Y-116540000D01* +X232170600Y-116696350D01* +X232240450Y-116766200D01* +X233226200Y-116766200D01* +X233226200Y-116330450D01* +X233373800Y-116330450D01* +X233373800Y-116766200D01* +X234359550Y-116766200D01* +X234429400Y-116696350D01* +X234430752Y-116540000D01* +X234425357Y-116485228D01* +X234409381Y-116432561D01* +X234383437Y-116384023D01* +X234348522Y-116341478D01* +X234305977Y-116306563D01* +X234257439Y-116280619D01* +X234204772Y-116264643D01* +X234150000Y-116259248D01* +X233443650Y-116260600D01* +X233373800Y-116330450D01* +X233226200Y-116330450D01* +X233156350Y-116260600D01* +X232450000Y-116259248D01* +X232395228Y-116264643D01* +X232342561Y-116280619D01* +X232294023Y-116306563D01* +X232251478Y-116341478D01* +X232216563Y-116384023D01* +X232190619Y-116432561D01* +X232174643Y-116485228D01* +X232169248Y-116540000D01* +X229033697Y-116540000D01* +X228419296Y-115925600D01* +X232223824Y-115925600D01* +X232241844Y-115959312D01* +X232295413Y-116024587D01* +X232360688Y-116078156D01* +X232435159Y-116117962D01* +X232515965Y-116142474D01* +X232600000Y-116150751D01* +X234000000Y-116150751D01* +X234028600Y-116147934D01* +X235132600Y-116147934D01* +X235132600Y-116262066D01* +X235154866Y-116374005D01* +X235198543Y-116479449D01* +X235261951Y-116574346D01* +X235342654Y-116655049D01* +X235437551Y-116718457D01* +X235542995Y-116762134D01* +X235654934Y-116784400D01* +X235769066Y-116784400D01* +X235881005Y-116762134D01* +X235986449Y-116718457D01* +X236081346Y-116655049D01* +X236162049Y-116574346D01* +X236171234Y-116560600D01* +X236837545Y-116560600D01* +X236855000Y-116562319D01* +X236872455Y-116560600D01* +X236872463Y-116560600D01* +X236924710Y-116555454D01* +X236991740Y-116535121D01* +X237053516Y-116502101D01* +X237107663Y-116457663D01* +X237118799Y-116444094D01* +X237414959Y-116147934D01* +X237672600Y-116147934D01* +X237672600Y-116262066D01* +X237694866Y-116374005D01* +X237738543Y-116479449D01* +X237801951Y-116574346D01* +X237882654Y-116655049D01* +X237977551Y-116718457D01* +X238082995Y-116762134D01* +X238194934Y-116784400D01* +X238309066Y-116784400D01* +X238421005Y-116762134D01* +X238526449Y-116718457D01* +X238621346Y-116655049D01* +X238702049Y-116574346D01* +X238765457Y-116479449D01* +X238809134Y-116374005D01* +X238831400Y-116262066D01* +X238831400Y-116147934D01* +X238809134Y-116035995D01* +X238805550Y-116027343D01* +X247797295Y-107035600D01* +X260923824Y-107035600D01* +X260941844Y-107069312D01* +X260995413Y-107134587D01* +X261060688Y-107188156D01* +X261135159Y-107227962D01* +X261215965Y-107252474D01* +X261300000Y-107260751D01* +X262700000Y-107260751D01* +X262784035Y-107252474D01* +X262864841Y-107227962D01* +X262939312Y-107188156D01* +X263004587Y-107134587D01* +X263058156Y-107069312D01* +X263097877Y-106995000D01* +X266989248Y-106995000D01* +X266990600Y-107376350D01* +X267060450Y-107446200D01* +X267896200Y-107446200D01* +X267896200Y-106785450D01* +X268043800Y-106785450D01* +X268043800Y-107446200D01* +X268879550Y-107446200D01* +X268949400Y-107376350D01* +X268950752Y-106995000D01* +X268945357Y-106940228D01* +X268929381Y-106887561D01* +X268903437Y-106839023D01* +X268868522Y-106796478D01* +X268825977Y-106761563D01* +X268777439Y-106735619D01* +X268724772Y-106719643D01* +X268670000Y-106714248D01* +X268113650Y-106715600D01* +X268043800Y-106785450D01* +X267896200Y-106785450D01* +X267826350Y-106715600D01* +X267270000Y-106714248D01* +X267215228Y-106719643D01* +X267162561Y-106735619D01* +X267114023Y-106761563D01* +X267071478Y-106796478D01* +X267036563Y-106839023D01* +X267010619Y-106887561D01* +X266994643Y-106940228D01* +X266989248Y-106995000D01* +X263097877Y-106995000D01* +X263097962Y-106994841D01* +X263122474Y-106914035D01* +X263130751Y-106830000D01* +X263130751Y-106530000D01* +X263122474Y-106445965D01* +X263097962Y-106365159D01* +X263058156Y-106290688D01* +X263004587Y-106225413D01* +X262939312Y-106171844D01* +X262864841Y-106132038D01* +X262784035Y-106107526D01* +X262700000Y-106099249D01* +X261300000Y-106099249D01* +X261215965Y-106107526D01* +X261135159Y-106132038D01* +X261060688Y-106171844D01* +X260995413Y-106225413D01* +X260941844Y-106290688D01* +X260923824Y-106324400D01* +X247667455Y-106324400D01* +X247649999Y-106322681D01* +X247632544Y-106324400D01* +X247632537Y-106324400D01* +X247587187Y-106328867D01* +X247580289Y-106329546D01* +X247551102Y-106338400D01* +X247513260Y-106349879D01* +X247451484Y-106382899D01* +X247451482Y-106382900D01* +X247451483Y-106382900D01* +X247410900Y-106416205D01* +X247410895Y-106416210D01* +X247397337Y-106427337D01* +X247386210Y-106440895D01* +X238201507Y-115625600D01* +X238194934Y-115625600D01* +X238082995Y-115647866D01* +X237977551Y-115691543D01* +X237882654Y-115754951D01* +X237801951Y-115835654D01* +X237738543Y-115930551D01* +X237694866Y-116035995D01* +X237672600Y-116147934D01* +X237414959Y-116147934D01* +X247797295Y-105765600D01* +X260923824Y-105765600D01* +X260941844Y-105799312D01* +X260995413Y-105864587D01* +X261060688Y-105918156D01* +X261135159Y-105957962D01* +X261215965Y-105982474D01* +X261300000Y-105990751D01* +X262700000Y-105990751D01* +X262784035Y-105982474D01* +X262864841Y-105957962D01* +X262939312Y-105918156D01* +X263004587Y-105864587D01* +X263058156Y-105799312D01* +X263097962Y-105724841D01* +X263122474Y-105644035D01* +X263130751Y-105560000D01* +X263130751Y-105260000D01* +X263122474Y-105175965D01* +X263097962Y-105095159D01* +X263058156Y-105020688D01* +X263004587Y-104955413D01* +X262939312Y-104901844D01* +X262864841Y-104862038D01* +X262784035Y-104837526D01* +X262700000Y-104829249D01* +X261300000Y-104829249D01* +X261215965Y-104837526D01* +X261135159Y-104862038D01* +X261060688Y-104901844D01* +X260995413Y-104955413D01* +X260941844Y-105020688D01* +X260923824Y-105054400D01* +X247667452Y-105054400D01* +X247649999Y-105052681D01* +X247632546Y-105054400D01* +X247632537Y-105054400D01* +X247580290Y-105059546D01* +X247513260Y-105079879D01* +X247451484Y-105112899D01* +X247451482Y-105112900D01* +X247451483Y-105112900D01* +X247410900Y-105146205D01* +X247410895Y-105146210D01* +X247397337Y-105157337D01* +X247386210Y-105170895D01* +X236707707Y-115849400D01* +X236171234Y-115849400D01* +X236162049Y-115835654D01* +X236081346Y-115754951D01* +X235986449Y-115691543D01* +X235881005Y-115647866D01* +X235769066Y-115625600D01* +X235654934Y-115625600D01* +X235542995Y-115647866D01* +X235437551Y-115691543D01* +X235342654Y-115754951D01* +X235261951Y-115835654D01* +X235198543Y-115930551D01* +X235154866Y-116035995D01* +X235132600Y-116147934D01* +X234028600Y-116147934D01* +X234084035Y-116142474D01* +X234164841Y-116117962D01* +X234239312Y-116078156D01* +X234304587Y-116024587D01* +X234358156Y-115959312D01* +X234397962Y-115884841D01* +X234422474Y-115804035D01* +X234430751Y-115720000D01* +X234430751Y-115420000D01* +X234422474Y-115335965D01* +X234397962Y-115255159D01* +X234358156Y-115180688D01* +X234304587Y-115115413D01* +X234239312Y-115061844D01* +X234164841Y-115022038D01* +X234084035Y-114997526D01* +X234000000Y-114989249D01* +X232600000Y-114989249D01* +X232515965Y-114997526D01* +X232435159Y-115022038D01* +X232360688Y-115061844D01* +X232295413Y-115115413D01* +X232241844Y-115180688D01* +X232223824Y-115214400D01* +X228139163Y-115214400D01* +X227580363Y-114655600D01* +X232223824Y-114655600D01* +X232241844Y-114689312D01* +X232295413Y-114754587D01* +X232360688Y-114808156D01* +X232435159Y-114847962D01* +X232515965Y-114872474D01* +X232600000Y-114880751D01* +X234000000Y-114880751D01* +X234028600Y-114877934D01* +X235132600Y-114877934D01* +X235132600Y-114992066D01* +X235154866Y-115104005D01* +X235198543Y-115209449D01* +X235261951Y-115304346D01* +X235342654Y-115385049D01* +X235437551Y-115448457D01* +X235542995Y-115492134D01* +X235654934Y-115514400D01* +X235769066Y-115514400D01* +X235881005Y-115492134D01* +X235986449Y-115448457D01* +X236081346Y-115385049D01* +X236162049Y-115304346D01* +X236171234Y-115290600D01* +X236837545Y-115290600D01* +X236855000Y-115292319D01* +X236872455Y-115290600D01* +X236872463Y-115290600D01* +X236924710Y-115285454D01* +X236991740Y-115265121D01* +X237053516Y-115232101D01* +X237107663Y-115187663D01* +X237118799Y-115174094D01* +X247852893Y-104440000D01* +X260869248Y-104440000D01* +X260874643Y-104494772D01* +X260890619Y-104547439D01* +X260916563Y-104595977D01* +X260951478Y-104638522D01* +X260994023Y-104673437D01* +X261042561Y-104699381D01* +X261095228Y-104715357D01* +X261150000Y-104720752D01* +X261856350Y-104719400D01* +X261926200Y-104649550D01* +X261926200Y-104213800D01* +X262073800Y-104213800D01* +X262073800Y-104649550D01* +X262143650Y-104719400D01* +X262850000Y-104720752D01* +X262904772Y-104715357D01* +X262957439Y-104699381D01* +X263005977Y-104673437D01* +X263048522Y-104638522D01* +X263083437Y-104595977D01* +X263109381Y-104547439D01* +X263125357Y-104494772D01* +X263130752Y-104440000D01* +X263129400Y-104283650D01* +X263059550Y-104213800D01* +X262073800Y-104213800D01* +X261926200Y-104213800D01* +X260940450Y-104213800D01* +X260870600Y-104283650D01* +X260869248Y-104440000D01* +X247852893Y-104440000D01* +X248452893Y-103840000D01* +X260869248Y-103840000D01* +X260870600Y-103996350D01* +X260940450Y-104066200D01* +X261926200Y-104066200D01* +X261926200Y-103630450D01* +X262073800Y-103630450D01* +X262073800Y-104066200D01* +X263059550Y-104066200D01* +X263129400Y-103996350D01* +X263130752Y-103840000D01* +X263125357Y-103785228D01* +X263109381Y-103732561D01* +X263083437Y-103684023D01* +X263048522Y-103641478D01* +X263005977Y-103606563D01* +X262957439Y-103580619D01* +X262904772Y-103564643D01* +X262850000Y-103559248D01* +X262143650Y-103560600D01* +X262073800Y-103630450D01* +X261926200Y-103630450D01* +X261856350Y-103560600D01* +X261150000Y-103559248D01* +X261095228Y-103564643D01* +X261042561Y-103580619D01* +X260994023Y-103606563D01* +X260951478Y-103641478D01* +X260916563Y-103684023D01* +X260890619Y-103732561D01* +X260874643Y-103785228D01* +X260869248Y-103840000D01* +X248452893Y-103840000D01* +X249067294Y-103225600D01* +X260923824Y-103225600D01* +X260941844Y-103259312D01* +X260995413Y-103324587D01* +X261060688Y-103378156D01* +X261135159Y-103417962D01* +X261215965Y-103442474D01* +X261300000Y-103450751D01* +X262700000Y-103450751D01* +X262784035Y-103442474D01* +X262864841Y-103417962D01* +X262939312Y-103378156D01* +X263004587Y-103324587D01* +X263058156Y-103259312D01* +X263097962Y-103184841D01* +X263122474Y-103104035D01* +X263130751Y-103020000D01* +X263130751Y-102720000D01* +X263122474Y-102635965D01* +X263097962Y-102555159D01* +X263058156Y-102480688D01* +X263004587Y-102415413D01* +X262939312Y-102361844D01* +X262864841Y-102322038D01* +X262784035Y-102297526D01* +X262700000Y-102289249D01* +X261300000Y-102289249D01* +X261215965Y-102297526D01* +X261135159Y-102322038D01* +X261060688Y-102361844D01* +X260995413Y-102415413D01* +X260941844Y-102480688D01* +X260923824Y-102514400D01* +X248937455Y-102514400D01* +X248920000Y-102512681D01* +X248902545Y-102514400D01* +X248902537Y-102514400D01* +X248850290Y-102519546D01* +X248783260Y-102539879D01* +X248721484Y-102572899D01* +X248667337Y-102617337D01* +X248656206Y-102630900D01* +X236707707Y-114579400D01* +X236171234Y-114579400D01* +X236162049Y-114565654D01* +X236081346Y-114484951D01* +X235986449Y-114421543D01* +X235881005Y-114377866D01* +X235769066Y-114355600D01* +X235654934Y-114355600D01* +X235542995Y-114377866D01* +X235437551Y-114421543D01* +X235342654Y-114484951D01* +X235261951Y-114565654D01* +X235198543Y-114660551D01* +X235154866Y-114765995D01* +X235132600Y-114877934D01* +X234028600Y-114877934D01* +X234084035Y-114872474D01* +X234164841Y-114847962D01* +X234239312Y-114808156D01* +X234304587Y-114754587D01* +X234358156Y-114689312D01* +X234397962Y-114614841D01* +X234422474Y-114534035D01* +X234430751Y-114450000D01* +X234430751Y-114150000D01* +X234422474Y-114065965D01* +X234397962Y-113985159D01* +X234358156Y-113910688D01* +X234304587Y-113845413D01* +X234239312Y-113791844D01* +X234164841Y-113752038D01* +X234084035Y-113727526D01* +X234000000Y-113719249D01* +X232600000Y-113719249D01* +X232515965Y-113727526D01* +X232435159Y-113752038D01* +X232360688Y-113791844D01* +X232295413Y-113845413D01* +X232241844Y-113910688D01* +X232223824Y-113944400D01* +X227300229Y-113944400D01* +X226741428Y-113385600D01* +X232223824Y-113385600D01* +X232241844Y-113419312D01* +X232295413Y-113484587D01* +X232360688Y-113538156D01* +X232435159Y-113577962D01* +X232515965Y-113602474D01* +X232600000Y-113610751D01* +X234000000Y-113610751D01* +X234028600Y-113607934D01* +X235132600Y-113607934D01* +X235132600Y-113722066D01* +X235154866Y-113834005D01* +X235198543Y-113939449D01* +X235261951Y-114034346D01* +X235342654Y-114115049D01* +X235437551Y-114178457D01* +X235542995Y-114222134D01* +X235654934Y-114244400D01* +X235769066Y-114244400D01* +X235881005Y-114222134D01* +X235986449Y-114178457D01* +X236081346Y-114115049D01* +X236162049Y-114034346D01* +X236171234Y-114020600D01* +X236837545Y-114020600D01* +X236855000Y-114022319D01* +X236872455Y-114020600D01* +X236872463Y-114020600D01* +X236924710Y-114015454D01* +X236991740Y-113995121D01* +X237053516Y-113962101D01* +X237107663Y-113917663D01* +X237118799Y-113904094D01* +X249067294Y-101955600D01* +X260923824Y-101955600D01* +X260941844Y-101989312D01* +X260995413Y-102054587D01* +X261060688Y-102108156D01* +X261135159Y-102147962D01* +X261215965Y-102172474D01* +X261300000Y-102180751D01* +X262700000Y-102180751D01* +X262784035Y-102172474D01* +X262864841Y-102147962D01* +X262939312Y-102108156D01* +X263004587Y-102054587D01* +X263058156Y-101989312D01* +X263097962Y-101914841D01* +X263122474Y-101834035D01* +X263130751Y-101750000D01* +X263130751Y-101450000D01* +X263122474Y-101365965D01* +X263097962Y-101285159D01* +X263097878Y-101285000D01* +X266989248Y-101285000D01* +X266994643Y-101339772D01* +X267010619Y-101392439D01* +X267036563Y-101440977D01* +X267071478Y-101483522D01* +X267114023Y-101518437D01* +X267162561Y-101544381D01* +X267215228Y-101560357D01* +X267270000Y-101565752D01* +X267826350Y-101564400D01* +X267896200Y-101494550D01* +X267896200Y-100833800D01* +X268043800Y-100833800D01* +X268043800Y-101494550D01* +X268113650Y-101564400D01* +X268670000Y-101565752D01* +X268724772Y-101560357D01* +X268777439Y-101544381D01* +X268825977Y-101518437D01* +X268868522Y-101483522D01* +X268903437Y-101440977D01* +X268929381Y-101392439D01* +X268945357Y-101339772D01* +X268950752Y-101285000D01* +X268949400Y-100903650D01* +X268879550Y-100833800D01* +X268043800Y-100833800D01* +X267896200Y-100833800D01* +X267060450Y-100833800D01* +X266990600Y-100903650D01* +X266989248Y-101285000D01* +X263097878Y-101285000D01* +X263058156Y-101210688D01* +X263004587Y-101145413D01* +X262939312Y-101091844D01* +X262864841Y-101052038D01* +X262784035Y-101027526D01* +X262700000Y-101019249D01* +X261300000Y-101019249D01* +X261215965Y-101027526D01* +X261135159Y-101052038D01* +X261060688Y-101091844D01* +X260995413Y-101145413D01* +X260941844Y-101210688D01* +X260923824Y-101244400D01* +X248937455Y-101244400D01* +X248920000Y-101242681D01* +X248902545Y-101244400D01* +X248902537Y-101244400D01* +X248850290Y-101249546D01* +X248783260Y-101269879D01* +X248721484Y-101302899D01* +X248667337Y-101347337D01* +X248656206Y-101360900D01* +X236707707Y-113309400D01* +X236171234Y-113309400D01* +X236162049Y-113295654D01* +X236081346Y-113214951D01* +X235986449Y-113151543D01* +X235881005Y-113107866D01* +X235769066Y-113085600D01* +X235654934Y-113085600D01* +X235542995Y-113107866D01* +X235437551Y-113151543D01* +X235342654Y-113214951D01* +X235261951Y-113295654D01* +X235198543Y-113390551D01* +X235154866Y-113495995D01* +X235132600Y-113607934D01* +X234028600Y-113607934D01* +X234084035Y-113602474D01* +X234164841Y-113577962D01* +X234239312Y-113538156D01* +X234304587Y-113484587D01* +X234358156Y-113419312D01* +X234397962Y-113344841D01* +X234422474Y-113264035D01* +X234430751Y-113180000D01* +X234430751Y-112880000D01* +X234422474Y-112795965D01* +X234397962Y-112715159D01* +X234358156Y-112640688D01* +X234304587Y-112575413D01* +X234239312Y-112521844D01* +X234164841Y-112482038D01* +X234084035Y-112457526D01* +X234000000Y-112449249D01* +X232600000Y-112449249D01* +X232515965Y-112457526D01* +X232435159Y-112482038D01* +X232360688Y-112521844D01* +X232295413Y-112575413D01* +X232241844Y-112640688D01* +X232223824Y-112674400D01* +X226461294Y-112674400D01* +X226209806Y-112422912D01* +X226222435Y-112420400D01* +X226525135Y-112420400D01* +X226590686Y-112474197D01* +X226684607Y-112524398D01* +X226786517Y-112555313D01* +X226892500Y-112565751D01* +X227767500Y-112565751D01* +X227873483Y-112555313D01* +X227975393Y-112524398D01* +X228069314Y-112474197D01* +X228134865Y-112420400D01* +X232061439Y-112420400D01* +X232158461Y-112410844D01* +X232282947Y-112373082D01* +X232397674Y-112311759D01* +X232415261Y-112297326D01* +X232435159Y-112307962D01* +X232515965Y-112332474D01* +X232600000Y-112340751D01* +X234000000Y-112340751D01* +X234028600Y-112337934D01* +X235132600Y-112337934D01* +X235132600Y-112452066D01* +X235154866Y-112564005D01* +X235198543Y-112669449D01* +X235261951Y-112764346D01* +X235342654Y-112845049D01* +X235437551Y-112908457D01* +X235542995Y-112952134D01* +X235654934Y-112974400D01* +X235769066Y-112974400D01* +X235881005Y-112952134D01* +X235986449Y-112908457D01* +X236081346Y-112845049D01* +X236162049Y-112764346D01* +X236171234Y-112750600D01* +X236774045Y-112750600D01* +X236791500Y-112752319D01* +X236808955Y-112750600D01* +X236808963Y-112750600D01* +X236861210Y-112745454D01* +X236928240Y-112725121D01* +X236990016Y-112692101D01* +X237044163Y-112647663D01* +X237055299Y-112634094D01* +X249003794Y-100685600D01* +X260923824Y-100685600D01* +X260941844Y-100719312D01* +X260995413Y-100784587D01* +X261060688Y-100838156D01* +X261135159Y-100877962D01* +X261215965Y-100902474D01* +X261300000Y-100910751D01* +X262700000Y-100910751D01* +X262784035Y-100902474D01* +X262864841Y-100877962D01* +X262939312Y-100838156D01* +X263004587Y-100784587D01* +X263058156Y-100719312D01* +X263097962Y-100644841D01* +X263122474Y-100564035D01* +X263130751Y-100480000D01* +X263130751Y-100235000D01* +X266989248Y-100235000D01* +X266990600Y-100616350D01* +X267060450Y-100686200D01* +X267896200Y-100686200D01* +X267896200Y-100025450D01* +X268043800Y-100025450D01* +X268043800Y-100686200D01* +X268879550Y-100686200D01* +X268949400Y-100616350D01* +X268950752Y-100235000D01* +X268945357Y-100180228D01* +X268929381Y-100127561D01* +X268903437Y-100079023D01* +X268868522Y-100036478D01* +X268825977Y-100001563D01* +X268777439Y-99975619D01* +X268724772Y-99959643D01* +X268670000Y-99954248D01* +X268113650Y-99955600D01* +X268043800Y-100025450D01* +X267896200Y-100025450D01* +X267826350Y-99955600D01* +X267270000Y-99954248D01* +X267215228Y-99959643D01* +X267162561Y-99975619D01* +X267114023Y-100001563D01* +X267071478Y-100036478D01* +X267036563Y-100079023D01* +X267010619Y-100127561D01* +X266994643Y-100180228D01* +X266989248Y-100235000D01* +X263130751Y-100235000D01* +X263130751Y-100180000D01* +X263122474Y-100095965D01* +X263097962Y-100015159D01* +X263058156Y-99940688D01* +X263004587Y-99875413D01* +X262939312Y-99821844D01* +X262864841Y-99782038D01* +X262784035Y-99757526D01* +X262700000Y-99749249D01* +X261300000Y-99749249D01* +X261215965Y-99757526D01* +X261135159Y-99782038D01* +X261060688Y-99821844D01* +X260995413Y-99875413D01* +X260941844Y-99940688D01* +X260923824Y-99974400D01* +X248873955Y-99974400D01* +X248856500Y-99972681D01* +X248839045Y-99974400D01* +X248839037Y-99974400D01* +X248786790Y-99979546D01* +X248719760Y-99999879D01* +X248657984Y-100032899D01* +X248603837Y-100077337D01* +X248592706Y-100090900D01* +X236644207Y-112039400D01* +X236171234Y-112039400D01* +X236162049Y-112025654D01* +X236081346Y-111944951D01* +X235986449Y-111881543D01* +X235881005Y-111837866D01* +X235769066Y-111815600D01* +X235654934Y-111815600D01* +X235542995Y-111837866D01* +X235437551Y-111881543D01* +X235342654Y-111944951D01* +X235261951Y-112025654D01* +X235198543Y-112120551D01* +X235154866Y-112225995D01* +X235132600Y-112337934D01* +X234028600Y-112337934D01* +X234084035Y-112332474D01* +X234164841Y-112307962D01* +X234239312Y-112268156D01* +X234304587Y-112214587D01* +X234358156Y-112149312D01* +X234397962Y-112074841D01* +X234422474Y-111994035D01* +X234430751Y-111910000D01* +X234430751Y-111610000D01* +X234422474Y-111525965D01* +X234397962Y-111445159D01* +X234358156Y-111370688D01* +X234304587Y-111305413D01* +X234239312Y-111251844D01* +X234164841Y-111212038D01* +X234084035Y-111187526D01* +X234000000Y-111179249D01* +X232600000Y-111179249D01* +X232515965Y-111187526D01* +X232435159Y-111212038D01* +X232415261Y-111222674D01* +X232397674Y-111208241D01* +X232282947Y-111146918D01* +X232158461Y-111109156D01* +X232061439Y-111099600D01* +X228134865Y-111099600D01* +X228069314Y-111045803D01* +X227975393Y-110995602D01* +X227873483Y-110964687D01* +X227767500Y-110954249D01* +X226892500Y-110954249D01* +X226786517Y-110964687D01* +X226684607Y-110995602D01* +X226590686Y-111045803D01* +X226525135Y-111099600D01* +X226222435Y-111099600D01* +X226126915Y-111080600D01* +X225993085Y-111080600D01* +X225861826Y-111106709D01* +X225738184Y-111157924D01* +X225643250Y-111221356D01* +X225006894Y-110585000D01* +X226349248Y-110585000D01* +X226354643Y-110639772D01* +X226370619Y-110692439D01* +X226396563Y-110740977D01* +X226431478Y-110783522D01* +X226474023Y-110818437D01* +X226522561Y-110844381D01* +X226575228Y-110860357D01* +X226630000Y-110865752D01* +X227186350Y-110864400D01* +X227256200Y-110794550D01* +X227256200Y-110133800D01* +X227403800Y-110133800D01* +X227403800Y-110794550D01* +X227473650Y-110864400D01* +X228030000Y-110865752D01* +X228084772Y-110860357D01* +X228137439Y-110844381D01* +X228185977Y-110818437D01* +X228220628Y-110790000D01* +X232169248Y-110790000D01* +X232174643Y-110844772D01* +X232190619Y-110897439D01* +X232216563Y-110945977D01* +X232251478Y-110988522D01* +X232294023Y-111023437D01* +X232342561Y-111049381D01* +X232395228Y-111065357D01* +X232450000Y-111070752D01* +X233156350Y-111069400D01* +X233226200Y-110999550D01* +X233226200Y-110563800D01* +X233373800Y-110563800D01* +X233373800Y-110999550D01* +X233443650Y-111069400D01* +X234150000Y-111070752D01* +X234204772Y-111065357D01* +X234257439Y-111049381D01* +X234305977Y-111023437D01* +X234348522Y-110988522D01* +X234383437Y-110945977D01* +X234409381Y-110897439D01* +X234425357Y-110844772D01* +X234430752Y-110790000D01* +X234429400Y-110633650D01* +X234359550Y-110563800D01* +X233373800Y-110563800D01* +X233226200Y-110563800D01* +X232240450Y-110563800D01* +X232170600Y-110633650D01* +X232169248Y-110790000D01* +X228220628Y-110790000D01* +X228228522Y-110783522D01* +X228263437Y-110740977D01* +X228289381Y-110692439D01* +X228305357Y-110639772D01* +X228310752Y-110585000D01* +X228309400Y-110203650D01* +X228239550Y-110133800D01* +X227403800Y-110133800D01* +X227256200Y-110133800D01* +X226420450Y-110133800D01* +X226350600Y-110203650D01* +X226349248Y-110585000D01* +X225006894Y-110585000D01* +X224037799Y-109615906D01* +X224026663Y-109602337D01* +X223972516Y-109557899D01* +X223934352Y-109537500D01* +X223939029Y-109535000D01* +X226349248Y-109535000D01* +X226350600Y-109916350D01* +X226420450Y-109986200D01* +X227256200Y-109986200D01* +X227256200Y-109325450D01* +X227403800Y-109325450D01* +X227403800Y-109986200D01* +X228239550Y-109986200D01* +X228309400Y-109916350D01* +X228310752Y-109535000D01* +X228305357Y-109480228D01* +X228289381Y-109427561D01* +X228263437Y-109379023D01* +X228228522Y-109336478D01* +X228185977Y-109301563D01* +X228137439Y-109275619D01* +X228084772Y-109259643D01* +X228030000Y-109254248D01* +X227473650Y-109255600D01* +X227403800Y-109325450D01* +X227256200Y-109325450D01* +X227186350Y-109255600D01* +X226630000Y-109254248D01* +X226575228Y-109259643D01* +X226522561Y-109275619D01* +X226474023Y-109301563D01* +X226431478Y-109336478D01* +X226396563Y-109379023D01* +X226370619Y-109427561D01* +X226354643Y-109480228D01* +X226349248Y-109535000D01* +X223939029Y-109535000D01* +X223972516Y-109517101D01* +X224026663Y-109472663D01* +X224037798Y-109459095D01* +X224251484Y-109245410D01* +X231043517Y-109245410D01* +X231892204Y-110094098D01* +X231903337Y-110107663D01* +X231957484Y-110152101D01* +X232019260Y-110185121D01* +X232086290Y-110205454D01* +X232138537Y-110210600D01* +X232138545Y-110210600D01* +X232156000Y-110212319D01* +X232169430Y-110210996D01* +X232170600Y-110346350D01* +X232240450Y-110416200D01* +X233226200Y-110416200D01* +X233226200Y-110396200D01* +X233373800Y-110396200D01* +X233373800Y-110416200D01* +X234359550Y-110416200D01* +X234429400Y-110346350D01* +X234430574Y-110210600D01* +X236837545Y-110210600D01* +X236855000Y-110212319D01* +X236872455Y-110210600D01* +X236872463Y-110210600D01* +X236924710Y-110205454D01* +X236991740Y-110185121D01* +X237053516Y-110152101D01* +X237107663Y-110107663D01* +X237118799Y-110094094D01* +X245349104Y-101863790D01* +X245362662Y-101852663D01* +X245373790Y-101839104D01* +X245373795Y-101839099D01* +X245389438Y-101820038D01* +X245407101Y-101798516D01* +X245440121Y-101736740D01* +X245460454Y-101669710D01* +X245465600Y-101617463D01* +X245465600Y-101617456D01* +X245467319Y-101600000D01* +X245465600Y-101582545D01* +X245465600Y-98910000D01* +X260869249Y-98910000D01* +X260869249Y-99210000D01* +X260877526Y-99294035D01* +X260902038Y-99374841D01* +X260941844Y-99449312D01* +X260995413Y-99514587D01* +X261060688Y-99568156D01* +X261135159Y-99607962D01* +X261215965Y-99632474D01* +X261300000Y-99640751D01* +X262700000Y-99640751D01* +X262784035Y-99632474D01* +X262864841Y-99607962D01* +X262939312Y-99568156D01* +X263004587Y-99514587D01* +X263058156Y-99449312D01* +X263076176Y-99415600D01* +X266998418Y-99415600D01* +X266999687Y-99428483D01* +X267030602Y-99530393D01* +X267080803Y-99624314D01* +X267148364Y-99706636D01* +X267230686Y-99774197D01* +X267324607Y-99824398D01* +X267426517Y-99855313D01* +X267532500Y-99865751D01* +X268407500Y-99865751D01* +X268513483Y-99855313D01* +X268615393Y-99824398D01* +X268709314Y-99774197D01* +X268791636Y-99706636D01* +X268859197Y-99624314D01* +X268909398Y-99530393D01* +X268940313Y-99428483D01* +X268950751Y-99322500D01* +X268950751Y-98797500D01* +X268940313Y-98691517D01* +X268909398Y-98589607D01* +X268859197Y-98495686D01* +X268791636Y-98413364D01* +X268709314Y-98345803D01* +X268615393Y-98295602D01* +X268513483Y-98264687D01* +X268407500Y-98254249D01* +X268325600Y-98254249D01* +X268325600Y-97987751D01* +X268457500Y-97987751D01* +X268553728Y-97978273D01* +X268646259Y-97950205D01* +X268731536Y-97904623D01* +X268806281Y-97843281D01* +X268867623Y-97768536D01* +X268913205Y-97683259D01* +X268941273Y-97590728D01* +X268950751Y-97494500D01* +X268950751Y-97069500D01* +X268941273Y-96973272D01* +X268913205Y-96880741D01* +X268867623Y-96795464D01* +X268806281Y-96720719D01* +X268731536Y-96659377D01* +X268646259Y-96613795D01* +X268553728Y-96585727D01* +X268457500Y-96576249D01* +X267482500Y-96576249D01* +X267386272Y-96585727D01* +X267293741Y-96613795D01* +X267208464Y-96659377D01* +X267133719Y-96720719D01* +X267072377Y-96795464D01* +X267026795Y-96880741D01* +X266998727Y-96973272D01* +X266989249Y-97069500D01* +X266989249Y-97494500D01* +X266998727Y-97590728D01* +X267026795Y-97683259D01* +X267072377Y-97768536D01* +X267133719Y-97843281D01* +X267208464Y-97904623D01* +X267293741Y-97950205D01* +X267386272Y-97978273D01* +X267482500Y-97987751D01* +X267614401Y-97987751D01* +X267614400Y-98254249D01* +X267532500Y-98254249D01* +X267426517Y-98264687D01* +X267324607Y-98295602D01* +X267230686Y-98345803D01* +X267148364Y-98413364D01* +X267080803Y-98495686D01* +X267030602Y-98589607D01* +X266999687Y-98691517D01* +X266998418Y-98704400D01* +X263076176Y-98704400D01* +X263058156Y-98670688D01* +X263004587Y-98605413D01* +X262939312Y-98551844D01* +X262864841Y-98512038D01* +X262784035Y-98487526D01* +X262700000Y-98479249D01* +X261300000Y-98479249D01* +X261215965Y-98487526D01* +X261135159Y-98512038D01* +X261060688Y-98551844D01* +X260995413Y-98605413D01* +X260941844Y-98670688D01* +X260902038Y-98745159D01* +X260877526Y-98825965D01* +X260869249Y-98910000D01* +X245465600Y-98910000D01* +X245465600Y-98486176D01* +X245499312Y-98468156D01* +X245564587Y-98414587D01* +X245618156Y-98349312D01* +X245657962Y-98274841D01* +X245682474Y-98194035D01* +X245690751Y-98110000D01* +X245690751Y-96710000D01* +X245799249Y-96710000D01* +X245799249Y-98110000D01* +X245807526Y-98194035D01* +X245832038Y-98274841D01* +X245871844Y-98349312D01* +X245925413Y-98414587D01* +X245990688Y-98468156D01* +X246065159Y-98507962D01* +X246145965Y-98532474D01* +X246230000Y-98540751D01* +X246530000Y-98540751D01* +X246614035Y-98532474D01* +X246694841Y-98507962D01* +X246769312Y-98468156D01* +X246834587Y-98414587D01* +X246888156Y-98349312D01* +X246927962Y-98274841D01* +X246952474Y-98194035D01* +X246960751Y-98110000D01* +X246960751Y-96710000D01* +X247069249Y-96710000D01* +X247069249Y-98110000D01* +X247077526Y-98194035D01* +X247102038Y-98274841D01* +X247141844Y-98349312D01* +X247195413Y-98414587D01* +X247260688Y-98468156D01* +X247335159Y-98507962D01* +X247415965Y-98532474D01* +X247500000Y-98540751D01* +X247800000Y-98540751D01* +X247884035Y-98532474D01* +X247964841Y-98507962D01* +X248039312Y-98468156D01* +X248104587Y-98414587D01* +X248158156Y-98349312D01* +X248197962Y-98274841D01* +X248222474Y-98194035D01* +X248230751Y-98110000D01* +X248230751Y-96710000D01* +X248339249Y-96710000D01* +X248339249Y-98110000D01* +X248347526Y-98194035D01* +X248372038Y-98274841D01* +X248411844Y-98349312D01* +X248465413Y-98414587D01* +X248530688Y-98468156D01* +X248605159Y-98507962D01* +X248685965Y-98532474D01* +X248770000Y-98540751D01* +X249070000Y-98540751D01* +X249154035Y-98532474D01* +X249234841Y-98507962D01* +X249309312Y-98468156D01* +X249374587Y-98414587D01* +X249428156Y-98349312D01* +X249467962Y-98274841D01* +X249492474Y-98194035D01* +X249500751Y-98110000D01* +X249500751Y-96710000D01* +X249492474Y-96625965D01* +X249467962Y-96545159D01* +X249428156Y-96470688D01* +X249374587Y-96405413D01* +X249328511Y-96367600D01* +X249781489Y-96367600D01* +X249735413Y-96405413D01* +X249681844Y-96470688D01* +X249642038Y-96545159D01* +X249617526Y-96625965D01* +X249609249Y-96710000D01* +X249609249Y-98110000D01* +X249617526Y-98194035D01* +X249642038Y-98274841D01* +X249681844Y-98349312D01* +X249735413Y-98414587D01* +X249800688Y-98468156D01* +X249875159Y-98507962D01* +X249955965Y-98532474D01* +X250040000Y-98540751D01* +X250340000Y-98540751D01* +X250424035Y-98532474D01* +X250504841Y-98507962D01* +X250579312Y-98468156D01* +X250644587Y-98414587D01* +X250698156Y-98349312D01* +X250737962Y-98274841D01* +X250742463Y-98260000D01* +X250879248Y-98260000D01* +X250884643Y-98314772D01* +X250900619Y-98367439D01* +X250926563Y-98415977D01* +X250961478Y-98458522D01* +X251004023Y-98493437D01* +X251052561Y-98519381D01* +X251105228Y-98535357D01* +X251160000Y-98540752D01* +X251316350Y-98539400D01* +X251386200Y-98469550D01* +X251386200Y-97483800D01* +X251533800Y-97483800D01* +X251533800Y-98469550D01* +X251603650Y-98539400D01* +X251760000Y-98540752D01* +X251814772Y-98535357D01* +X251867439Y-98519381D01* +X251915977Y-98493437D01* +X251958522Y-98458522D01* +X251993437Y-98415977D01* +X252019381Y-98367439D01* +X252035357Y-98314772D01* +X252040752Y-98260000D01* +X252039400Y-97553650D01* +X251969550Y-97483800D01* +X251533800Y-97483800D01* +X251386200Y-97483800D01* +X250950450Y-97483800D01* +X250880600Y-97553650D01* +X250879248Y-98260000D01* +X250742463Y-98260000D01* +X250762474Y-98194035D01* +X250770751Y-98110000D01* +X250770751Y-96710000D01* +X250762474Y-96625965D01* +X250742464Y-96560000D01* +X250879248Y-96560000D01* +X250880600Y-97266350D01* +X250950450Y-97336200D01* +X251386200Y-97336200D01* +X251386200Y-96350450D01* +X251533800Y-96350450D01* +X251533800Y-97336200D01* +X251969550Y-97336200D01* +X252039400Y-97266350D01* +X252040464Y-96710000D01* +X252149249Y-96710000D01* +X252149249Y-98110000D01* +X252157526Y-98194035D01* +X252182038Y-98274841D01* +X252221844Y-98349312D01* +X252275413Y-98414587D01* +X252340688Y-98468156D01* +X252415159Y-98507962D01* +X252495965Y-98532474D01* +X252580000Y-98540751D01* +X252880000Y-98540751D01* +X252964035Y-98532474D01* +X253044841Y-98507962D01* +X253119312Y-98468156D01* +X253184587Y-98414587D01* +X253238156Y-98349312D01* +X253277962Y-98274841D01* +X253302474Y-98194035D01* +X253310751Y-98110000D01* +X253310751Y-96710000D01* +X253302474Y-96625965D01* +X253277962Y-96545159D01* +X253238156Y-96470688D01* +X253184587Y-96405413D01* +X253138511Y-96367600D01* +X253591489Y-96367600D01* +X253545413Y-96405413D01* +X253491844Y-96470688D01* +X253452038Y-96545159D01* +X253427526Y-96625965D01* +X253419249Y-96710000D01* +X253419249Y-98110000D01* +X253427526Y-98194035D01* +X253452038Y-98274841D01* +X253491844Y-98349312D01* +X253545413Y-98414587D01* +X253610688Y-98468156D01* +X253685159Y-98507962D01* +X253765965Y-98532474D01* +X253850000Y-98540751D01* +X254150000Y-98540751D01* +X254234035Y-98532474D01* +X254314841Y-98507962D01* +X254389312Y-98468156D01* +X254454587Y-98414587D01* +X254508156Y-98349312D01* +X254547962Y-98274841D01* +X254572474Y-98194035D01* +X254580751Y-98110000D01* +X254580751Y-96710000D01* +X254689249Y-96710000D01* +X254689249Y-98110000D01* +X254697526Y-98194035D01* +X254722038Y-98274841D01* +X254761844Y-98349312D01* +X254815413Y-98414587D01* +X254880688Y-98468156D01* +X254955159Y-98507962D01* +X255035965Y-98532474D01* +X255120000Y-98540751D01* +X255420000Y-98540751D01* +X255504035Y-98532474D01* +X255584841Y-98507962D01* +X255659312Y-98468156D01* +X255724587Y-98414587D01* +X255778156Y-98349312D01* +X255817962Y-98274841D01* +X255842474Y-98194035D01* +X255850751Y-98110000D01* +X255850751Y-96710000D01* +X255842474Y-96625965D01* +X255817962Y-96545159D01* +X255778156Y-96470688D01* +X255724587Y-96405413D01* +X255678511Y-96367600D01* +X256131489Y-96367600D01* +X256085413Y-96405413D01* +X256031844Y-96470688D01* +X255992038Y-96545159D01* +X255967526Y-96625965D01* +X255959249Y-96710000D01* +X255959249Y-98110000D01* +X255967526Y-98194035D01* +X255992038Y-98274841D01* +X256031844Y-98349312D01* +X256085413Y-98414587D01* +X256150688Y-98468156D01* +X256225159Y-98507962D01* +X256305965Y-98532474D01* +X256390000Y-98540751D01* +X256690000Y-98540751D01* +X256774035Y-98532474D01* +X256854841Y-98507962D01* +X256929312Y-98468156D01* +X256994587Y-98414587D01* +X257048156Y-98349312D01* +X257087962Y-98274841D01* +X257112474Y-98194035D01* +X257120751Y-98110000D01* +X257120751Y-96710000D01* +X257229249Y-96710000D01* +X257229249Y-98110000D01* +X257237526Y-98194035D01* +X257262038Y-98274841D01* +X257301844Y-98349312D01* +X257355413Y-98414587D01* +X257420688Y-98468156D01* +X257495159Y-98507962D01* +X257575965Y-98532474D01* +X257660000Y-98540751D01* +X257960000Y-98540751D01* +X258044035Y-98532474D01* +X258124841Y-98507962D01* +X258199312Y-98468156D01* +X258264587Y-98414587D01* +X258318156Y-98349312D01* +X258357962Y-98274841D01* +X258382474Y-98194035D01* +X258390751Y-98110000D01* +X258390751Y-96710000D01* +X258382474Y-96625965D01* +X258357962Y-96545159D01* +X258318156Y-96470688D01* +X258264587Y-96405413D01* +X258199312Y-96351844D01* +X258124841Y-96312038D01* +X258044035Y-96287526D01* +X257960000Y-96279249D01* +X257660000Y-96279249D01* +X257575965Y-96287526D01* +X257495159Y-96312038D01* +X257420688Y-96351844D01* +X257355413Y-96405413D01* +X257301844Y-96470688D01* +X257262038Y-96545159D01* +X257237526Y-96625965D01* +X257229249Y-96710000D01* +X257120751Y-96710000D01* +X257112474Y-96625965D01* +X257087962Y-96545159D01* +X257048156Y-96470688D01* +X256994587Y-96405413D01* +X256929312Y-96351844D01* +X256895600Y-96333824D01* +X256895600Y-96283452D01* +X256897319Y-96265999D01* +X256895600Y-96248546D01* +X256895600Y-96248537D01* +X256890454Y-96196290D01* +X256870121Y-96129260D01* +X256837101Y-96067484D01* +X256833933Y-96063624D01* +X256803795Y-96026900D01* +X256803785Y-96026890D01* +X256792662Y-96013337D01* +X256779109Y-96002214D01* +X256549798Y-95772905D01* +X256538663Y-95759337D01* +X256484516Y-95714899D01* +X256422740Y-95681879D01* +X256355710Y-95661546D01* +X256303463Y-95656400D01* +X256303455Y-95656400D01* +X256286000Y-95654681D01* +X256268545Y-95656400D01* +X255541452Y-95656400D01* +X255523999Y-95654681D01* +X255506546Y-95656400D01* +X255506537Y-95656400D01* +X255454290Y-95661546D01* +X255387260Y-95681879D01* +X255325484Y-95714899D01* +X255325482Y-95714900D01* +X255325483Y-95714900D01* +X255284900Y-95748205D01* +X255284895Y-95748210D01* +X255271337Y-95759337D01* +X255260210Y-95772896D01* +X255030900Y-96002207D01* +X255017338Y-96013337D01* +X255006207Y-96026900D01* +X255006205Y-96026902D01* +X255000023Y-96034435D01* +X254972900Y-96067484D01* +X254965296Y-96081710D01* +X254939880Y-96129259D01* +X254919546Y-96196290D01* +X254912681Y-96266000D01* +X254914401Y-96283465D01* +X254914401Y-96333824D01* +X254880688Y-96351844D01* +X254815413Y-96405413D01* +X254761844Y-96470688D01* +X254722038Y-96545159D01* +X254697526Y-96625965D01* +X254689249Y-96710000D01* +X254580751Y-96710000D01* +X254572474Y-96625965D01* +X254547962Y-96545159D01* +X254508156Y-96470688D01* +X254454587Y-96405413D01* +X254389312Y-96351844D01* +X254355600Y-96333824D01* +X254355600Y-96283452D01* +X254357319Y-96265999D01* +X254355600Y-96248546D01* +X254355600Y-96248537D01* +X254350454Y-96196290D01* +X254330121Y-96129260D01* +X254297101Y-96067484D01* +X254293933Y-96063624D01* +X254263795Y-96026900D01* +X254263785Y-96026890D01* +X254252662Y-96013337D01* +X254239109Y-96002214D01* +X254009798Y-95772905D01* +X253998663Y-95759337D01* +X253944516Y-95714899D01* +X253882740Y-95681879D01* +X253815710Y-95661546D01* +X253763463Y-95656400D01* +X253763455Y-95656400D01* +X253746000Y-95654681D01* +X253728545Y-95656400D01* +X253001452Y-95656400D01* +X252983999Y-95654681D01* +X252966546Y-95656400D01* +X252966537Y-95656400D01* +X252914290Y-95661546D01* +X252847260Y-95681879D01* +X252785484Y-95714899D01* +X252785482Y-95714900D01* +X252785483Y-95714900D01* +X252744900Y-95748205D01* +X252744895Y-95748210D01* +X252731337Y-95759337D01* +X252720210Y-95772896D01* +X252490900Y-96002207D01* +X252477338Y-96013337D01* +X252466207Y-96026900D01* +X252466205Y-96026902D01* +X252460023Y-96034435D01* +X252432900Y-96067484D01* +X252425296Y-96081710D01* +X252399880Y-96129259D01* +X252379546Y-96196290D01* +X252372681Y-96266000D01* +X252374401Y-96283465D01* +X252374401Y-96333824D01* +X252340688Y-96351844D01* +X252275413Y-96405413D01* +X252221844Y-96470688D01* +X252182038Y-96545159D01* +X252157526Y-96625965D01* +X252149249Y-96710000D01* +X252040464Y-96710000D01* +X252040752Y-96560000D01* +X252035357Y-96505228D01* +X252019381Y-96452561D01* +X251993437Y-96404023D01* +X251958522Y-96361478D01* +X251915977Y-96326563D01* +X251867439Y-96300619D01* +X251814772Y-96284643D01* +X251760000Y-96279248D01* +X251603650Y-96280600D01* +X251533800Y-96350450D01* +X251386200Y-96350450D01* +X251316350Y-96280600D01* +X251160000Y-96279248D01* +X251105228Y-96284643D01* +X251052561Y-96300619D01* +X251004023Y-96326563D01* +X250961478Y-96361478D01* +X250926563Y-96404023D01* +X250900619Y-96452561D01* +X250884643Y-96505228D01* +X250879248Y-96560000D01* +X250742464Y-96560000D01* +X250737962Y-96545159D01* +X250698156Y-96470688D01* +X250644587Y-96405413D01* +X250579312Y-96351844D01* +X250545600Y-96333824D01* +X250545600Y-96283452D01* +X250547319Y-96265999D01* +X250545600Y-96248546D01* +X250545600Y-96248537D01* +X250540454Y-96196290D01* +X250520121Y-96129260D01* +X250487101Y-96067484D01* +X250483933Y-96063624D01* +X250453795Y-96026900D01* +X250453785Y-96026890D01* +X250442662Y-96013337D01* +X250429109Y-96002214D01* +X250199798Y-95772905D01* +X250188663Y-95759337D01* +X250134516Y-95714899D01* +X250072740Y-95681879D01* +X250005710Y-95661546D01* +X249953463Y-95656400D01* +X249953455Y-95656400D01* +X249936000Y-95654681D01* +X249918545Y-95656400D01* +X249191452Y-95656400D01* +X249173999Y-95654681D01* +X249156546Y-95656400D01* +X249156537Y-95656400D01* +X249104290Y-95661546D01* +X249037260Y-95681879D01* +X248975484Y-95714899D01* +X248975482Y-95714900D01* +X248975483Y-95714900D01* +X248934900Y-95748205D01* +X248934895Y-95748210D01* +X248921337Y-95759337D01* +X248910210Y-95772896D01* +X248680900Y-96002207D01* +X248667338Y-96013337D01* +X248656207Y-96026900D01* +X248656205Y-96026902D01* +X248650023Y-96034435D01* +X248622900Y-96067484D01* +X248615296Y-96081710D01* +X248589880Y-96129259D01* +X248569546Y-96196290D01* +X248562681Y-96266000D01* +X248564401Y-96283465D01* +X248564401Y-96333824D01* +X248530688Y-96351844D01* +X248465413Y-96405413D01* +X248411844Y-96470688D01* +X248372038Y-96545159D01* +X248347526Y-96625965D01* +X248339249Y-96710000D01* +X248230751Y-96710000D01* +X248222474Y-96625965D01* +X248197962Y-96545159D01* +X248158156Y-96470688D01* +X248104587Y-96405413D01* +X248039312Y-96351844D01* +X247964841Y-96312038D01* +X247884035Y-96287526D01* +X247800000Y-96279249D01* +X247500000Y-96279249D01* +X247415965Y-96287526D01* +X247335159Y-96312038D01* +X247260688Y-96351844D01* +X247195413Y-96405413D01* +X247141844Y-96470688D01* +X247102038Y-96545159D01* +X247077526Y-96625965D01* +X247069249Y-96710000D01* +X246960751Y-96710000D01* +X246952474Y-96625965D01* +X246927962Y-96545159D01* +X246888156Y-96470688D01* +X246834587Y-96405413D01* +X246769312Y-96351844D01* +X246694841Y-96312038D01* +X246614035Y-96287526D01* +X246530000Y-96279249D01* +X246230000Y-96279249D01* +X246145965Y-96287526D01* +X246065159Y-96312038D01* +X245990688Y-96351844D01* +X245925413Y-96405413D01* +X245871844Y-96470688D01* +X245832038Y-96545159D01* +X245807526Y-96625965D01* +X245799249Y-96710000D01* +X245690751Y-96710000D01* +X245682474Y-96625965D01* +X245657962Y-96545159D01* +X245618156Y-96470688D01* +X245564587Y-96405413D01* +X245499312Y-96351844D01* +X245424841Y-96312038D01* +X245344035Y-96287526D01* +X245260000Y-96279249D01* +X244960000Y-96279249D01* +X244875965Y-96287526D01* +X244795159Y-96312038D01* +X244720688Y-96351844D01* +X244655413Y-96405413D01* +X244601844Y-96470688D01* +X244562038Y-96545159D01* +X244537526Y-96625965D01* +X244529249Y-96710000D01* +X244529249Y-98110000D01* +X244537526Y-98194035D01* +X244562038Y-98274841D01* +X244601844Y-98349312D01* +X244655413Y-98414587D01* +X244720688Y-98468156D01* +X244754401Y-98486176D01* +X244754400Y-101452706D01* +X236707707Y-109499400D01* +X234408713Y-109499400D01* +X234422474Y-109454035D01* +X234430751Y-109370000D01* +X234430751Y-109070000D01* +X234422474Y-108985965D01* +X234408713Y-108940600D01* +X236583545Y-108940600D01* +X236601000Y-108942319D01* +X236618455Y-108940600D01* +X236618463Y-108940600D01* +X236670710Y-108935454D01* +X236737740Y-108915121D01* +X236799516Y-108882101D01* +X236853663Y-108837663D01* +X236864799Y-108824094D01* +X244079100Y-101609794D01* +X244092663Y-101598663D01* +X244137101Y-101544516D01* +X244170121Y-101482740D01* +X244182192Y-101442946D01* +X244190454Y-101415711D01* +X244192746Y-101392439D01* +X244195600Y-101363463D01* +X244195600Y-101363456D01* +X244197319Y-101346000D01* +X244195600Y-101328545D01* +X244195600Y-98486176D01* +X244229312Y-98468156D01* +X244294587Y-98414587D01* +X244348156Y-98349312D01* +X244387962Y-98274841D01* +X244412474Y-98194035D01* +X244420751Y-98110000D01* +X244420751Y-96710000D01* +X244412474Y-96625965D01* +X244387962Y-96545159D01* +X244348156Y-96470688D01* +X244294587Y-96405413D01* +X244229312Y-96351844D01* +X244154841Y-96312038D01* +X244074035Y-96287526D01* +X243990000Y-96279249D01* +X243690000Y-96279249D01* +X243605965Y-96287526D01* +X243525159Y-96312038D01* +X243450688Y-96351844D01* +X243385413Y-96405413D01* +X243331844Y-96470688D01* +X243292038Y-96545159D01* +X243267526Y-96625965D01* +X243259249Y-96710000D01* +X243259249Y-98110000D01* +X243267526Y-98194035D01* +X243292038Y-98274841D01* +X243331844Y-98349312D01* +X243385413Y-98414587D01* +X243450688Y-98468156D01* +X243484400Y-98486176D01* +X243484401Y-101198705D01* +X236453707Y-108229400D01* +X234408713Y-108229400D01* +X234422474Y-108184035D01* +X234430751Y-108100000D01* +X234430751Y-107800000D01* +X234422474Y-107715965D01* +X234397962Y-107635159D01* +X234358156Y-107560688D01* +X234304587Y-107495413D01* +X234239312Y-107441844D01* +X234164841Y-107402038D01* +X234084035Y-107377526D01* +X234000000Y-107369249D01* +X232600000Y-107369249D01* +X232515965Y-107377526D01* +X232435159Y-107402038D01* +X232360688Y-107441844D01* +X232295413Y-107495413D01* +X232241844Y-107560688D01* +X232223824Y-107594400D01* +X224556294Y-107594400D01* +X224037799Y-107075906D01* +X224026663Y-107062337D01* +X223994084Y-107035600D01* +X232223824Y-107035600D01* +X232241844Y-107069312D01* +X232295413Y-107134587D01* +X232360688Y-107188156D01* +X232435159Y-107227962D01* +X232515965Y-107252474D01* +X232600000Y-107260751D01* +X234000000Y-107260751D01* +X234084035Y-107252474D01* +X234164841Y-107227962D01* +X234239312Y-107188156D01* +X234304587Y-107134587D01* +X234358156Y-107069312D01* +X234397962Y-106994841D01* +X234422474Y-106914035D01* +X234430751Y-106830000D01* +X234430751Y-106530000D01* +X234422474Y-106445965D01* +X234397962Y-106365159D01* +X234358156Y-106290688D01* +X234304587Y-106225413D01* +X234239312Y-106171844D01* +X234164841Y-106132038D01* +X234084035Y-106107526D01* +X234000000Y-106099249D01* +X232600000Y-106099249D01* +X232515965Y-106107526D01* +X232435159Y-106132038D01* +X232360688Y-106171844D01* +X232295413Y-106225413D01* +X232241844Y-106290688D01* +X232223824Y-106324400D01* +X223785574Y-106324400D01* +X223784400Y-106188650D01* +X223714550Y-106118800D01* +X221578800Y-106118800D01* +X221578800Y-106138800D01* +X221431200Y-106138800D01* +X221431200Y-106118800D01* +X219295450Y-106118800D01* +X219225600Y-106188650D01* +X219224426Y-106324400D01* +X217952294Y-106324400D01* +X217433799Y-105805906D01* +X217422663Y-105792337D01* +X217368516Y-105747899D01* +X217363093Y-105745000D01* +X219224248Y-105745000D01* +X219225600Y-105901350D01* +X219295450Y-105971200D01* +X221431200Y-105971200D01* +X221431200Y-105535450D01* +X221578800Y-105535450D01* +X221578800Y-105971200D01* +X223714550Y-105971200D01* +X223784400Y-105901350D01* +X223785752Y-105745000D01* +X223780357Y-105690228D01* +X223764381Y-105637561D01* +X223738437Y-105589023D01* +X223703522Y-105546478D01* +X223660977Y-105511563D01* +X223612439Y-105485619D01* +X223559772Y-105469643D01* +X223505000Y-105464248D01* +X221648650Y-105465600D01* +X221578800Y-105535450D01* +X221431200Y-105535450D01* +X221361350Y-105465600D01* +X219505000Y-105464248D01* +X219450228Y-105469643D01* +X219397561Y-105485619D01* +X219349023Y-105511563D01* +X219306478Y-105546478D01* +X219271563Y-105589023D01* +X219245619Y-105637561D01* +X219229643Y-105690228D01* +X219224248Y-105745000D01* +X217363093Y-105745000D01* +X217306740Y-105714879D01* +X217239710Y-105694546D01* +X217187463Y-105689400D01* +X217187455Y-105689400D01* +X217170000Y-105687681D01* +X217152545Y-105689400D01* +X216331176Y-105689400D01* +X216313156Y-105655688D01* +X216259587Y-105590413D01* +X216194312Y-105536844D01* +X216119841Y-105497038D01* +X216039035Y-105472526D01* +X215955000Y-105464249D01* +X212255000Y-105464249D01* +X212170965Y-105472526D01* +X212090159Y-105497038D01* +X212015688Y-105536844D01* +X211950413Y-105590413D01* +X211896844Y-105655688D01* +X211857038Y-105730159D01* +X211832526Y-105810965D01* +X211824249Y-105895000D01* +X211824249Y-106195000D01* +X211832526Y-106279035D01* +X211857038Y-106359841D01* +X211896844Y-106434312D01* +X211950413Y-106499587D01* +X212015688Y-106553156D01* +X212090159Y-106592962D01* +X212170965Y-106617474D01* +X212255000Y-106625751D01* +X215955000Y-106625751D01* +X216039035Y-106617474D01* +X216119841Y-106592962D01* +X216194312Y-106553156D01* +X216259587Y-106499587D01* +X216313156Y-106434312D01* +X216331176Y-106400600D01* +X217022707Y-106400600D01* +X217541206Y-106919100D01* +X217552337Y-106932663D01* +X217606484Y-106977101D01* +X217668260Y-107010121D01* +X217735290Y-107030454D01* +X217787537Y-107035600D01* +X217787545Y-107035600D01* +X217805000Y-107037319D01* +X217822455Y-107035600D01* +X219246287Y-107035600D01* +X219232526Y-107080965D01* +X219224249Y-107165000D01* +X219224249Y-107465000D01* +X219232526Y-107549035D01* +X219246287Y-107594400D01* +X217952294Y-107594400D01* +X217433799Y-107075906D01* +X217422663Y-107062337D01* +X217368516Y-107017899D01* +X217306740Y-106984879D01* +X217239710Y-106964546D01* +X217187463Y-106959400D01* +X217187455Y-106959400D01* +X217170000Y-106957681D01* +X217152545Y-106959400D01* +X216331176Y-106959400D01* +X216313156Y-106925688D01* +X216259587Y-106860413D01* +X216194312Y-106806844D01* +X216119841Y-106767038D01* +X216039035Y-106742526D01* +X215955000Y-106734249D01* +X212255000Y-106734249D01* +X212170965Y-106742526D01* +X212090159Y-106767038D01* +X212015688Y-106806844D01* +X211950413Y-106860413D01* +X211896844Y-106925688D01* +X211857038Y-107000159D01* +X211832526Y-107080965D01* +X211824249Y-107165000D01* +X211824249Y-107465000D01* +X211832526Y-107549035D01* +X211857038Y-107629841D01* +X211896844Y-107704312D01* +X211950413Y-107769587D01* +X212015688Y-107823156D01* +X212090159Y-107862962D01* +X212170965Y-107887474D01* +X212255000Y-107895751D01* +X215955000Y-107895751D01* +X216039035Y-107887474D01* +X216119841Y-107862962D01* +X216194312Y-107823156D01* +X216259587Y-107769587D01* +X216313156Y-107704312D01* +X216331176Y-107670600D01* +X217022707Y-107670600D01* +X217541204Y-108189098D01* +X217552337Y-108202663D01* +X217606484Y-108247101D01* +X217668260Y-108280121D01* +X217735290Y-108300454D01* +X217787537Y-108305600D01* +X217787545Y-108305600D01* +X217805000Y-108307319D01* +X217822455Y-108305600D01* +X219246287Y-108305600D01* +X219232526Y-108350965D01* +X219224249Y-108435000D01* +X219224249Y-108735000D01* +X219232526Y-108819035D01* +X219246287Y-108864400D01* +X217952294Y-108864400D01* +X217433799Y-108345906D01* +X217422663Y-108332337D01* +X217368516Y-108287899D01* +X217306740Y-108254879D01* +X217239710Y-108234546D01* +X217187463Y-108229400D01* +X217187455Y-108229400D01* +X217170000Y-108227681D01* +X217152545Y-108229400D01* +X216331176Y-108229400D01* +X216313156Y-108195688D01* +X216259587Y-108130413D01* +X216194312Y-108076844D01* +X216119841Y-108037038D01* +X216039035Y-108012526D01* +X215955000Y-108004249D01* +X212255000Y-108004249D01* +X212170965Y-108012526D01* +X212090159Y-108037038D01* +X212015688Y-108076844D01* +X211950413Y-108130413D01* +X211896844Y-108195688D01* +X211857038Y-108270159D01* +X211832526Y-108350965D01* +X211824249Y-108435000D01* +X211824249Y-108735000D01* +X211832526Y-108819035D01* +X211846066Y-108863672D01* +X211836000Y-108862681D01* +X211818545Y-108864400D01* +X211818537Y-108864400D01* +X211766290Y-108869546D01* +X211699260Y-108889879D01* +X211637484Y-108922899D01* +X211583337Y-108967337D01* +X211572206Y-108980900D01* +X207685235Y-112867872D01* +X207671670Y-112879005D01* +X207627232Y-112933152D01* +X207594212Y-112994928D01* +X207573879Y-113061958D01* +X207568733Y-113114205D01* +X207568733Y-113114212D01* +X207567014Y-113131667D01* +X207568733Y-113149122D01* +X207568734Y-120911867D01* +X207567014Y-120929333D01* +X207573879Y-120999043D01* +X207594213Y-121066074D01* +X207609159Y-121094035D01* +X207627233Y-121127849D01* +X207671671Y-121181996D01* +X207685234Y-121193127D01* +X216906204Y-130414098D01* +X216917337Y-130427663D01* +X216971484Y-130472101D01* +X217033260Y-130505121D01* +X217100290Y-130525454D01* +X217152537Y-130530600D01* +X217152545Y-130530600D01* +X217170000Y-130532319D01* +X217187455Y-130530600D01* +X220197707Y-130530600D01* +X220624400Y-130957294D01* +X220624400Y-131331249D01* +X220599000Y-131331249D01* +X220469899Y-131343964D01* +X220345759Y-131381622D01* +X220231351Y-131442774D01* +X220131071Y-131525071D01* +X220048774Y-131625351D01* +X219987622Y-131739759D01* +X219949964Y-131863899D01* +X219937249Y-131993000D01* +X219937249Y-132003800D01* +X219482751Y-132003800D01* +X219482751Y-131993000D01* +X219470036Y-131863899D01* +X219432378Y-131739759D01* +X219371226Y-131625351D01* +X219288929Y-131525071D01* +X219188649Y-131442774D01* +X219074241Y-131381622D01* +X218950101Y-131343964D01* +X218821000Y-131331249D01* +X218059000Y-131331249D01* +X217929899Y-131343964D01* +X217805759Y-131381622D01* +X217691351Y-131442774D01* +X217591071Y-131525071D01* +X217508774Y-131625351D01* +X217447622Y-131739759D01* +X217409964Y-131863899D01* +X217397249Y-131993000D01* +X217397249Y-132003800D01* +X216942751Y-132003800D01* +X216942751Y-131993000D01* +X216930036Y-131863899D01* +X216892378Y-131739759D01* +X216831226Y-131625351D01* +X216748929Y-131525071D01* +X216648649Y-131442774D01* +X216534241Y-131381622D01* +X216410101Y-131343964D01* +X216281000Y-131331249D01* +X215519000Y-131331249D01* +X215389899Y-131343964D01* +X215265759Y-131381622D01* +X215151351Y-131442774D01* +X215051071Y-131525071D01* +X214968774Y-131625351D01* +X214907622Y-131739759D01* +X214869964Y-131863899D01* +X214857249Y-131993000D01* +X214857249Y-132003800D01* +X214402751Y-132003800D01* +X214402751Y-131993000D01* +X214390036Y-131863899D01* +X214352378Y-131739759D01* +X214291226Y-131625351D01* +X214208929Y-131525071D01* +X214108649Y-131442774D01* +X213994241Y-131381622D01* +X213870101Y-131343964D01* +X213741000Y-131331249D01* +X213715600Y-131331249D01* +X213715600Y-130827455D01* +X213717319Y-130810000D01* +X213715600Y-130792544D01* +X213715600Y-130792537D01* +X213710454Y-130740290D01* +X213690121Y-130673260D01* +X213657101Y-130611484D01* +X213639438Y-130589962D01* +X213623795Y-130570901D01* +X213623790Y-130570896D01* +X213612662Y-130557337D01* +X213599104Y-130546210D01* +X211810600Y-128757707D01* +X211810600Y-127196934D01* +X212145600Y-127196934D01* +X212145600Y-127311066D01* +X212167866Y-127423005D01* +X212211543Y-127528449D01* +X212274951Y-127623346D01* +X212355654Y-127704049D01* +X212369401Y-127713234D01* +X212369400Y-128633544D01* +X212367681Y-128651000D01* +X212369400Y-128668455D01* +X212369400Y-128668462D01* +X212374546Y-128720709D01* +X212394879Y-128787739D01* +X212427899Y-128849515D01* +X212472337Y-128903662D01* +X212485901Y-128914794D01* +X212783825Y-129212719D01* +X212780600Y-129228934D01* +X212780600Y-129343066D01* +X212802866Y-129455005D01* +X212846543Y-129560449D01* +X212909951Y-129655346D01* +X212990654Y-129736049D01* +X213085551Y-129799457D01* +X213190995Y-129843134D01* +X213302934Y-129865400D01* +X213417066Y-129865400D01* +X213529005Y-129843134D01* +X213634449Y-129799457D01* +X213729346Y-129736049D01* +X213810049Y-129655346D01* +X213873457Y-129560449D01* +X213917134Y-129455005D01* +X213939400Y-129343066D01* +X213939400Y-129228934D01* +X213917134Y-129116995D01* +X213873457Y-129011551D01* +X213810049Y-128916654D01* +X213729346Y-128835951D01* +X213634449Y-128772543D01* +X213529005Y-128728866D01* +X213417066Y-128706600D01* +X213302934Y-128706600D01* +X213286719Y-128709825D01* +X213080600Y-128503707D01* +X213080600Y-128369303D01* +X213100543Y-128417449D01* +X213163951Y-128512346D01* +X213244654Y-128593049D01* +X213339551Y-128656457D01* +X213444995Y-128700134D01* +X213556934Y-128722400D01* +X213671066Y-128722400D01* +X213687281Y-128719175D01* +X215383647Y-130415542D01* +X215342866Y-130513995D01* +X215320600Y-130625934D01* +X215320600Y-130740066D01* +X215342866Y-130852005D01* +X215386543Y-130957449D01* +X215449951Y-131052346D01* +X215530654Y-131133049D01* +X215625551Y-131196457D01* +X215730995Y-131240134D01* +X215842934Y-131262400D01* +X215957066Y-131262400D01* +X216069005Y-131240134D01* +X216174449Y-131196457D01* +X216269346Y-131133049D01* +X216350049Y-131052346D01* +X216413457Y-130957449D01* +X216457134Y-130852005D01* +X216479400Y-130740066D01* +X216479400Y-130625934D01* +X216457134Y-130513995D01* +X216413457Y-130408551D01* +X216350049Y-130313654D01* +X216269346Y-130232951D01* +X216174449Y-130169543D01* +X216121509Y-130147614D01* +X214190175Y-128216281D01* +X214193400Y-128200066D01* +X214193400Y-128085934D01* +X214171134Y-127973995D01* +X214127457Y-127868551D01* +X214064049Y-127773654D01* +X213983346Y-127692951D01* +X213888449Y-127629543D01* +X213783005Y-127585866D01* +X213671066Y-127563600D01* +X213556934Y-127563600D01* +X213444995Y-127585866D01* +X213339551Y-127629543D01* +X213244654Y-127692951D01* +X213163951Y-127773654D01* +X213100543Y-127868551D01* +X213080600Y-127916697D01* +X213080600Y-127713234D01* +X213094346Y-127704049D01* +X213175049Y-127623346D01* +X213238457Y-127528449D01* +X213282134Y-127423005D01* +X213304400Y-127311066D01* +X213304400Y-127196934D01* +X213282134Y-127084995D01* +X213238457Y-126979551D01* +X213175049Y-126884654D01* +X213094346Y-126803951D01* +X212999449Y-126740543D01* +X212894005Y-126696866D01* +X212782066Y-126674600D01* +X212667934Y-126674600D01* +X212555995Y-126696866D01* +X212450551Y-126740543D01* +X212355654Y-126803951D01* +X212274951Y-126884654D01* +X212211543Y-126979551D01* +X212167866Y-127084995D01* +X212145600Y-127196934D01* +X211810600Y-127196934D01* +X211810600Y-126944400D01* +X211893066Y-126944400D01* +X212005005Y-126922134D01* +X212110449Y-126878457D01* +X212205346Y-126815049D01* +X212286049Y-126734346D01* +X212349457Y-126639449D01* +X212393134Y-126534005D01* +X212415400Y-126422066D01* +X212415400Y-126307934D01* +X212393134Y-126195995D01* +X212349457Y-126090551D01* +X212286049Y-125995654D01* +X212205346Y-125914951D01* +X212110449Y-125851543D01* +X212005005Y-125807866D01* +X211893066Y-125785600D01* +X211778934Y-125785600D01* +X211666995Y-125807866D01* +X211561551Y-125851543D01* +X211466654Y-125914951D01* +X211385951Y-125995654D01* +X211322543Y-126090551D01* +X211302600Y-126138697D01* +X211302600Y-125935234D01* +X211316346Y-125926049D01* +X211397049Y-125845346D01* +X211460457Y-125750449D01* +X211504134Y-125645005D01* +X211526400Y-125533066D01* +X211526400Y-125418934D01* +X211504134Y-125306995D01* +X211460457Y-125201551D01* +X211397049Y-125106654D01* +X211316346Y-125025951D01* +X211221449Y-124962543D01* +X211116005Y-124918866D01* +X211004066Y-124896600D01* +X210889934Y-124896600D01* +X210777995Y-124918866D01* +X210672551Y-124962543D01* +X210577654Y-125025951D01* +X210496951Y-125106654D01* +X210433543Y-125201551D01* +X210389866Y-125306995D01* +X210367600Y-125418934D01* +X210367600Y-125533066D01* +X210389866Y-125645005D01* +X210433543Y-125750449D01* +X210496951Y-125845346D01* +X210577654Y-125926049D01* +X210591401Y-125935234D01* +X210591401Y-126090705D01* +X209945901Y-126736206D01* +X209932337Y-126747338D01* +X209887899Y-126801485D01* +X209854879Y-126863261D01* +X209848970Y-126882741D01* +X209836491Y-126923880D01* +X209834546Y-126930291D01* +X209829400Y-126982538D01* +X209829400Y-126982545D01* +X209827681Y-127000000D01* +X209829400Y-127017456D01* +X209829401Y-128757705D01* +X208040902Y-130546205D01* +X208027337Y-130557338D01* +X207982899Y-130611485D01* +X207949879Y-130673261D01* +X207938400Y-130711103D01* +X207929547Y-130740289D01* +X207929546Y-130740291D01* +X207924400Y-130792538D01* +X207924400Y-130792545D01* +X207922681Y-130810000D01* +X207924400Y-130827456D01* +X207924400Y-131331249D01* +X207899000Y-131331249D01* +X207769899Y-131343964D01* +X207645759Y-131381622D01* +X207531351Y-131442774D01* +X207431071Y-131525071D01* +X207348774Y-131625351D01* +X207287622Y-131739759D01* +X207249964Y-131863899D01* +X207237249Y-131993000D01* +X207237249Y-132003800D01* +X206782751Y-132003800D01* +X206782751Y-131993000D01* +X206770036Y-131863899D01* +X206732378Y-131739759D01* +X206671226Y-131625351D01* +X206588929Y-131525071D01* +X206488649Y-131442774D01* +X206374241Y-131381622D01* +X206250101Y-131343964D01* +X206121000Y-131331249D01* +X205359000Y-131331249D01* +X205229899Y-131343964D01* +X205105759Y-131381622D01* +X204991351Y-131442774D01* +X204891071Y-131525071D01* +X204808774Y-131625351D01* +X204747622Y-131739759D01* +X204709964Y-131863899D01* +X204697249Y-131993000D01* +X204697249Y-132003800D01* +X204242751Y-132003800D01* +X204242751Y-131993000D01* +X204230036Y-131863899D01* +X204192378Y-131739759D01* +X204131226Y-131625351D01* +X204048929Y-131525071D01* +X203948649Y-131442774D01* +X203834241Y-131381622D01* +X203710101Y-131343964D01* +X203581000Y-131331249D01* +X202819000Y-131331249D01* +X202689899Y-131343964D01* +X202565759Y-131381622D01* +X202451351Y-131442774D01* +X202351071Y-131525071D01* +X202268774Y-131625351D01* +X202207622Y-131739759D01* +X202169964Y-131863899D01* +X202157249Y-131993000D01* +X202157249Y-132003800D01* +X201702751Y-132003800D01* +X201702751Y-131993000D01* +X201690036Y-131863899D01* +X201652378Y-131739759D01* +X201591226Y-131625351D01* +X201508929Y-131525071D01* +X201408649Y-131442774D01* +X201294241Y-131381622D01* +X201170101Y-131343964D01* +X201041000Y-131331249D01* +X201015600Y-131331249D01* +X201015600Y-129687293D01* +X201276276Y-129426617D01* +X202048800Y-129426617D01* +X202048800Y-129653383D01* +X202093040Y-129875793D01* +X202179820Y-130085298D01* +X202305805Y-130273847D01* +X202466153Y-130434195D01* +X202654702Y-130560180D01* +X202864207Y-130646960D01* +X203086617Y-130691200D01* +X203313383Y-130691200D01* +X203535793Y-130646960D01* +X203745298Y-130560180D01* +X203933847Y-130434195D01* +X204094195Y-130273847D01* +X204220180Y-130085298D01* +X204306960Y-129875793D01* +X204351200Y-129653383D01* +X204351200Y-129426617D01* +X204306960Y-129204207D01* +X204220180Y-128994702D01* +X204122113Y-128847934D01* +X204525600Y-128847934D01* +X204525600Y-128962066D01* +X204547866Y-129074005D01* +X204591543Y-129179449D01* +X204654951Y-129274346D01* +X204735654Y-129355049D01* +X204830551Y-129418457D01* +X204935995Y-129462134D01* +X205047934Y-129484400D01* +X205162066Y-129484400D01* +X205274005Y-129462134D01* +X205379449Y-129418457D01* +X205474346Y-129355049D01* +X205555049Y-129274346D01* +X205618457Y-129179449D01* +X205662134Y-129074005D01* +X205684400Y-128962066D01* +X205684400Y-128847934D01* +X205681175Y-128831719D01* +X208554295Y-125958600D01* +X209532545Y-125958600D01* +X209550000Y-125960319D01* +X209567455Y-125958600D01* +X209567463Y-125958600D01* +X209619710Y-125953454D01* +X209686740Y-125933121D01* +X209748516Y-125900101D01* +X209802663Y-125855663D01* +X209813798Y-125842095D01* +X210297105Y-125358790D01* +X210310663Y-125347663D01* +X210321790Y-125334105D01* +X210321795Y-125334100D01* +X210355100Y-125293517D01* +X210355947Y-125291934D01* +X210388121Y-125231740D01* +X210408454Y-125164710D01* +X210413600Y-125112463D01* +X210413600Y-125112454D01* +X210415319Y-125095001D01* +X210413600Y-125077548D01* +X210413600Y-125046234D01* +X210427346Y-125037049D01* +X210508049Y-124956346D01* +X210571457Y-124861449D01* +X210615134Y-124756005D01* +X210637400Y-124644066D01* +X210637400Y-124529934D01* +X210615134Y-124417995D01* +X210571457Y-124312551D01* +X210508049Y-124217654D01* +X210427346Y-124136951D01* +X210332449Y-124073543D01* +X210227005Y-124029866D01* +X210115066Y-124007600D01* +X210000934Y-124007600D01* +X209888995Y-124029866D01* +X209783551Y-124073543D01* +X209688654Y-124136951D01* +X209607951Y-124217654D01* +X209544543Y-124312551D01* +X209500866Y-124417995D01* +X209478600Y-124529934D01* +X209478600Y-124644066D01* +X209500866Y-124756005D01* +X209544543Y-124861449D01* +X209607951Y-124956346D01* +X209650855Y-124999250D01* +X209402707Y-125247400D01* +X208424454Y-125247400D01* +X208406999Y-125245681D01* +X208389544Y-125247400D01* +X208389537Y-125247400D01* +X208344187Y-125251867D01* +X208337289Y-125252546D01* +X208328168Y-125255313D01* +X208270260Y-125272879D01* +X208208484Y-125305899D01* +X208208482Y-125305900D01* +X208208483Y-125305900D01* +X208167900Y-125339205D01* +X208167895Y-125339210D01* +X208154337Y-125350337D01* +X208143210Y-125363895D01* +X205178281Y-128328825D01* +X205162066Y-128325600D01* +X205047934Y-128325600D01* +X204935995Y-128347866D01* +X204830551Y-128391543D01* +X204735654Y-128454951D01* +X204654951Y-128535654D01* +X204591543Y-128630551D01* +X204547866Y-128735995D01* +X204525600Y-128847934D01* +X204122113Y-128847934D01* +X204094195Y-128806153D01* +X203933847Y-128645805D01* +X203745298Y-128519820D01* +X203535793Y-128433040D01* +X203313383Y-128388800D01* +X203086617Y-128388800D01* +X202864207Y-128433040D01* +X202654702Y-128519820D01* +X202466153Y-128645805D01* +X202305805Y-128806153D01* +X202179820Y-128994702D01* +X202093040Y-129204207D01* +X202048800Y-129426617D01* +X201276276Y-129426617D01* +X206014294Y-124688600D01* +X208516545Y-124688600D01* +X208534000Y-124690319D01* +X208551455Y-124688600D01* +X208551463Y-124688600D01* +X208603710Y-124683454D01* +X208670740Y-124663121D01* +X208732516Y-124630101D01* +X208786663Y-124585663D01* +X208797799Y-124572094D01* +X209095719Y-124274175D01* +X209111934Y-124277400D01* +X209226066Y-124277400D01* +X209338005Y-124255134D01* +X209443449Y-124211457D01* +X209538346Y-124148049D01* +X209619049Y-124067346D01* +X209682457Y-123972449D01* +X209726134Y-123867005D01* +X209748400Y-123755066D01* +X209748400Y-123640934D01* +X209726134Y-123528995D01* +X209682457Y-123423551D01* +X209619049Y-123328654D01* +X209538346Y-123247951D01* +X209443449Y-123184543D01* +X209338005Y-123140866D01* +X209226066Y-123118600D01* +X209111934Y-123118600D01* +X208999995Y-123140866D01* +X208894551Y-123184543D01* +X208799654Y-123247951D01* +X208718951Y-123328654D01* +X208655543Y-123423551D01* +X208611866Y-123528995D01* +X208589600Y-123640934D01* +X208589600Y-123755066D01* +X208592825Y-123771281D01* +X208386707Y-123977400D01* +X205884455Y-123977400D01* +X205866999Y-123975681D01* +X205849544Y-123977400D01* +X205849537Y-123977400D01* +X205804187Y-123981867D01* +X205797289Y-123982546D01* +X205768102Y-123991400D01* +X205730260Y-124002879D01* +X205668484Y-124035899D01* +X205614337Y-124080337D01* +X205603206Y-124093900D01* +X200420902Y-129276205D01* +X200407337Y-129287338D01* +X200362899Y-129341485D01* +X200329879Y-129403261D01* +X200323970Y-129422741D01* +X200309547Y-129470289D01* +X200309546Y-129470291D01* +X200304400Y-129522538D01* +X200304400Y-129522545D01* +X200302681Y-129540000D01* +X200304400Y-129557455D01* +X200304400Y-131331249D01* +X200279000Y-131331249D01* +X200149899Y-131343964D01* +X200025759Y-131381622D01* +X199911351Y-131442774D01* +X199811071Y-131525071D01* +X199728774Y-131625351D01* +X199667622Y-131739759D01* +X199629964Y-131863899D01* +X199617249Y-131993000D01* +X199617249Y-132003800D01* +X198728400Y-132003800D01* +X198728400Y-114111000D01* +X202726248Y-114111000D01* +X202731643Y-114165772D01* +X202747619Y-114218439D01* +X202773563Y-114266977D01* +X202808478Y-114309522D01* +X202851023Y-114344437D01* +X202899561Y-114370381D01* +X202952228Y-114386357D01* +X203007000Y-114391752D01* +X203388350Y-114390400D01* +X203458200Y-114320550D01* +X203458200Y-113484800D01* +X203605800Y-113484800D01* +X203605800Y-114320550D01* +X203675650Y-114390400D01* +X204057000Y-114391752D01* +X204111772Y-114386357D01* +X204164439Y-114370381D01* +X204212977Y-114344437D01* +X204255522Y-114309522D01* +X204290437Y-114266977D01* +X204316381Y-114218439D01* +X204332357Y-114165772D01* +X204337752Y-114111000D01* +X204336400Y-113554650D01* +X204266550Y-113484800D01* +X203605800Y-113484800D01* +X203458200Y-113484800D01* +X202797450Y-113484800D01* +X202727600Y-113554650D01* +X202726248Y-114111000D01* +X198728400Y-114111000D01* +X198728400Y-112711000D01* +X202726248Y-112711000D01* +X202727600Y-113267350D01* +X202797450Y-113337200D01* +X203458200Y-113337200D01* +X203458200Y-112501450D01* +X203605800Y-112501450D01* +X203605800Y-113337200D01* +X204266550Y-113337200D01* +X204336400Y-113267350D01* +X204337752Y-112711000D01* +X204332357Y-112656228D01* +X204316381Y-112603561D01* +X204290437Y-112555023D01* +X204255522Y-112512478D01* +X204212977Y-112477563D01* +X204164439Y-112451619D01* +X204111772Y-112435643D01* +X204057000Y-112430248D01* +X203675650Y-112431600D01* +X203605800Y-112501450D01* +X203458200Y-112501450D01* +X203388350Y-112431600D01* +X203007000Y-112430248D01* +X202952228Y-112435643D01* +X202899561Y-112451619D01* +X202851023Y-112477563D01* +X202808478Y-112512478D01* +X202773563Y-112555023D01* +X202747619Y-112603561D01* +X202731643Y-112656228D01* +X202726248Y-112711000D01* +X198728400Y-112711000D01* +X198728400Y-89298337D01* +X198741217Y-89167617D01* +X200016800Y-89167617D01* +X200016800Y-89394383D01* +X200061040Y-89616793D01* +X200147820Y-89826298D01* +X200273805Y-90014847D01* +X200434153Y-90175195D01* +X200622702Y-90301180D01* +X200832207Y-90387960D01* +X201054617Y-90432200D01* +X201281383Y-90432200D01* +X201503793Y-90387960D01* +X201713298Y-90301180D01* +X201901847Y-90175195D01* +X202062195Y-90014847D01* +X202188180Y-89826298D01* +X202274960Y-89616793D01* +X202319200Y-89394383D01* +X202319200Y-89167617D01* +X202274960Y-88945207D01* +X202188180Y-88735702D01* +X202062195Y-88547153D01* +X201901847Y-88386805D01* +X201713298Y-88260820D01* +X201503793Y-88174040D01* +X201281383Y-88129800D01* +X201054617Y-88129800D01* +X200832207Y-88174040D01* +X200622702Y-88260820D01* +X200434153Y-88386805D01* +X200273805Y-88547153D01* +X200147820Y-88735702D01* +X200061040Y-88945207D01* +X200016800Y-89167617D01* +X198741217Y-89167617D01* +X198771692Y-88856810D01* +X198894882Y-88448783D01* +X199094982Y-88072447D01* +X199364369Y-87742148D01* +X199692780Y-87470463D01* +X200067703Y-87267744D01* +X200474861Y-87141706D01* +X200915436Y-87095400D01* +X274048663Y-87095400D01* +X274490190Y-87138692D01* +G36* +X230784400Y-129687295D02* +G01* +X230784400Y-131331249D01* +X230759000Y-131331249D01* +X230629899Y-131343964D01* +X230505759Y-131381622D01* +X230391351Y-131442774D01* +X230291071Y-131525071D01* +X230208774Y-131625351D01* +X230147622Y-131739759D01* +X230109964Y-131863899D01* +X230097249Y-131993000D01* +X230097249Y-132003800D01* +X229642751Y-132003800D01* +X229642751Y-131993000D01* +X229630036Y-131863899D01* +X229592378Y-131739759D01* +X229531226Y-131625351D01* +X229448929Y-131525071D01* +X229348649Y-131442774D01* +X229234241Y-131381622D01* +X229110101Y-131343964D01* +X228981000Y-131331249D01* +X228219000Y-131331249D01* +X228089899Y-131343964D01* +X227965759Y-131381622D01* +X227851351Y-131442774D01* +X227751071Y-131525071D01* +X227668774Y-131625351D01* +X227607622Y-131739759D01* +X227569964Y-131863899D01* +X227557249Y-131993000D01* +X227557249Y-132003800D01* +X227102751Y-132003800D01* +X227102751Y-131993000D01* +X227090036Y-131863899D01* +X227052378Y-131739759D01* +X226991226Y-131625351D01* +X226908929Y-131525071D01* +X226808649Y-131442774D01* +X226694241Y-131381622D01* +X226570101Y-131343964D01* +X226441000Y-131331249D01* +X225679000Y-131331249D01* +X225549899Y-131343964D01* +X225425759Y-131381622D01* +X225311351Y-131442774D01* +X225211071Y-131525071D01* +X225128774Y-131625351D01* +X225067622Y-131739759D01* +X225029964Y-131863899D01* +X225017249Y-131993000D01* +X225017249Y-132003800D01* +X224562751Y-132003800D01* +X224562751Y-131993000D01* +X224550036Y-131863899D01* +X224512378Y-131739759D01* +X224451226Y-131625351D01* +X224368929Y-131525071D01* +X224268649Y-131442774D01* +X224154241Y-131381622D01* +X224030101Y-131343964D01* +X223901000Y-131331249D01* +X223875600Y-131331249D01* +X223875600Y-130192455D01* +X223877319Y-130175000D01* +X223875600Y-130157545D01* +X223875600Y-130157537D01* +X223870454Y-130105290D01* +X223850121Y-130038260D01* +X223817101Y-129976484D01* +X223817100Y-129976483D01* +X223783795Y-129935901D01* +X223783790Y-129935896D01* +X223772662Y-129922337D01* +X223759104Y-129911210D01* +X223235493Y-129387600D01* +X226039707Y-129387600D01* +X226753825Y-130101720D01* +X226750600Y-130117934D01* +X226750600Y-130232066D01* +X226772866Y-130344005D01* +X226816543Y-130449449D01* +X226879951Y-130544346D01* +X226960654Y-130625049D01* +X227055551Y-130688457D01* +X227160995Y-130732134D01* +X227272934Y-130754400D01* +X227387066Y-130754400D01* +X227499005Y-130732134D01* +X227604449Y-130688457D01* +X227699346Y-130625049D01* +X227780049Y-130544346D01* +X227843457Y-130449449D01* +X227887134Y-130344005D01* +X227909400Y-130232066D01* +X227909400Y-130117934D01* +X227887134Y-130005995D01* +X227843457Y-129900551D01* +X227780049Y-129805654D01* +X227699346Y-129724951D01* +X227604449Y-129661543D01* +X227499005Y-129617866D01* +X227387066Y-129595600D01* +X227272934Y-129595600D01* +X227256720Y-129598825D01* +X226664493Y-129006600D01* +X228198707Y-129006600D01* +X229293825Y-130101720D01* +X229290600Y-130117934D01* +X229290600Y-130232066D01* +X229312866Y-130344005D01* +X229356543Y-130449449D01* +X229419951Y-130544346D01* +X229500654Y-130625049D01* +X229595551Y-130688457D01* +X229700995Y-130732134D01* +X229812934Y-130754400D01* +X229927066Y-130754400D01* +X230039005Y-130732134D01* +X230144449Y-130688457D01* +X230239346Y-130625049D01* +X230320049Y-130544346D01* +X230383457Y-130449449D01* +X230427134Y-130344005D01* +X230449400Y-130232066D01* +X230449400Y-130117934D01* +X230427134Y-130005995D01* +X230383457Y-129900551D01* +X230320049Y-129805654D01* +X230239346Y-129724951D01* +X230144449Y-129661543D01* +X230039005Y-129617866D01* +X229927066Y-129595600D01* +X229812934Y-129595600D01* +X229796720Y-129598825D01* +X228823493Y-128625600D01* +X229722707Y-128625600D01* +X230784400Y-129687295D01* +X230784400Y-129687295D01* +G37* +X230784400Y-129687295D02* +X230784400Y-131331249D01* +X230759000Y-131331249D01* +X230629899Y-131343964D01* +X230505759Y-131381622D01* +X230391351Y-131442774D01* +X230291071Y-131525071D01* +X230208774Y-131625351D01* +X230147622Y-131739759D01* +X230109964Y-131863899D01* +X230097249Y-131993000D01* +X230097249Y-132003800D01* +X229642751Y-132003800D01* +X229642751Y-131993000D01* +X229630036Y-131863899D01* +X229592378Y-131739759D01* +X229531226Y-131625351D01* +X229448929Y-131525071D01* +X229348649Y-131442774D01* +X229234241Y-131381622D01* +X229110101Y-131343964D01* +X228981000Y-131331249D01* +X228219000Y-131331249D01* +X228089899Y-131343964D01* +X227965759Y-131381622D01* +X227851351Y-131442774D01* +X227751071Y-131525071D01* +X227668774Y-131625351D01* +X227607622Y-131739759D01* +X227569964Y-131863899D01* +X227557249Y-131993000D01* +X227557249Y-132003800D01* +X227102751Y-132003800D01* +X227102751Y-131993000D01* +X227090036Y-131863899D01* +X227052378Y-131739759D01* +X226991226Y-131625351D01* +X226908929Y-131525071D01* +X226808649Y-131442774D01* +X226694241Y-131381622D01* +X226570101Y-131343964D01* +X226441000Y-131331249D01* +X225679000Y-131331249D01* +X225549899Y-131343964D01* +X225425759Y-131381622D01* +X225311351Y-131442774D01* +X225211071Y-131525071D01* +X225128774Y-131625351D01* +X225067622Y-131739759D01* +X225029964Y-131863899D01* +X225017249Y-131993000D01* +X225017249Y-132003800D01* +X224562751Y-132003800D01* +X224562751Y-131993000D01* +X224550036Y-131863899D01* +X224512378Y-131739759D01* +X224451226Y-131625351D01* +X224368929Y-131525071D01* +X224268649Y-131442774D01* +X224154241Y-131381622D01* +X224030101Y-131343964D01* +X223901000Y-131331249D01* +X223875600Y-131331249D01* +X223875600Y-130192455D01* +X223877319Y-130175000D01* +X223875600Y-130157545D01* +X223875600Y-130157537D01* +X223870454Y-130105290D01* +X223850121Y-130038260D01* +X223817101Y-129976484D01* +X223817100Y-129976483D01* +X223783795Y-129935901D01* +X223783790Y-129935896D01* +X223772662Y-129922337D01* +X223759104Y-129911210D01* +X223235493Y-129387600D01* +X226039707Y-129387600D01* +X226753825Y-130101720D01* +X226750600Y-130117934D01* +X226750600Y-130232066D01* +X226772866Y-130344005D01* +X226816543Y-130449449D01* +X226879951Y-130544346D01* +X226960654Y-130625049D01* +X227055551Y-130688457D01* +X227160995Y-130732134D01* +X227272934Y-130754400D01* +X227387066Y-130754400D01* +X227499005Y-130732134D01* +X227604449Y-130688457D01* +X227699346Y-130625049D01* +X227780049Y-130544346D01* +X227843457Y-130449449D01* +X227887134Y-130344005D01* +X227909400Y-130232066D01* +X227909400Y-130117934D01* +X227887134Y-130005995D01* +X227843457Y-129900551D01* +X227780049Y-129805654D01* +X227699346Y-129724951D01* +X227604449Y-129661543D01* +X227499005Y-129617866D01* +X227387066Y-129595600D01* +X227272934Y-129595600D01* +X227256720Y-129598825D01* +X226664493Y-129006600D01* +X228198707Y-129006600D01* +X229293825Y-130101720D01* +X229290600Y-130117934D01* +X229290600Y-130232066D01* +X229312866Y-130344005D01* +X229356543Y-130449449D01* +X229419951Y-130544346D01* +X229500654Y-130625049D01* +X229595551Y-130688457D01* +X229700995Y-130732134D01* +X229812934Y-130754400D01* +X229927066Y-130754400D01* +X230039005Y-130732134D01* +X230144449Y-130688457D01* +X230239346Y-130625049D01* +X230320049Y-130544346D01* +X230383457Y-130449449D01* +X230427134Y-130344005D01* +X230449400Y-130232066D01* +X230449400Y-130117934D01* +X230427134Y-130005995D01* +X230383457Y-129900551D01* +X230320049Y-129805654D01* +X230239346Y-129724951D01* +X230144449Y-129661543D01* +X230039005Y-129617866D01* +X229927066Y-129595600D01* +X229812934Y-129595600D01* +X229796720Y-129598825D01* +X228823493Y-128625600D01* +X229722707Y-128625600D01* +X230784400Y-129687295D01* +G36* +X223164400Y-130322294D02* +G01* +X223164400Y-131331249D01* +X223139000Y-131331249D01* +X223009899Y-131343964D01* +X222885759Y-131381622D01* +X222771351Y-131442774D01* +X222671071Y-131525071D01* +X222588774Y-131625351D01* +X222527622Y-131739759D01* +X222489964Y-131863899D01* +X222477249Y-131993000D01* +X222477249Y-132003800D01* +X222022751Y-132003800D01* +X222022751Y-131993000D01* +X222010036Y-131863899D01* +X221972378Y-131739759D01* +X221911226Y-131625351D01* +X221828929Y-131525071D01* +X221728649Y-131442774D01* +X221614241Y-131381622D01* +X221490101Y-131343964D01* +X221361000Y-131331249D01* +X221335600Y-131331249D01* +X221335600Y-130827455D01* +X221337319Y-130810000D01* +X221335600Y-130792545D01* +X221335600Y-130792537D01* +X221330454Y-130740290D01* +X221310121Y-130673260D01* +X221277101Y-130611484D01* +X221277100Y-130611483D01* +X221243795Y-130570901D01* +X221243790Y-130570896D01* +X221232662Y-130557337D01* +X221219104Y-130546210D01* +X220822493Y-130149600D01* +X221670600Y-130149600D01* +X221670600Y-130232066D01* +X221692866Y-130344005D01* +X221736543Y-130449449D01* +X221799951Y-130544346D01* +X221880654Y-130625049D01* +X221975551Y-130688457D01* +X222080995Y-130732134D01* +X222192934Y-130754400D01* +X222307066Y-130754400D01* +X222419005Y-130732134D01* +X222524449Y-130688457D01* +X222619346Y-130625049D01* +X222700049Y-130544346D01* +X222763457Y-130449449D01* +X222807134Y-130344005D01* +X222829400Y-130232066D01* +X222829400Y-130117934D01* +X222807134Y-130005995D01* +X222778165Y-129936058D01* +X223164400Y-130322294D01* +X223164400Y-130322294D01* +G37* +X223164400Y-130322294D02* +X223164400Y-131331249D01* +X223139000Y-131331249D01* +X223009899Y-131343964D01* +X222885759Y-131381622D01* +X222771351Y-131442774D01* +X222671071Y-131525071D01* +X222588774Y-131625351D01* +X222527622Y-131739759D01* +X222489964Y-131863899D01* +X222477249Y-131993000D01* +X222477249Y-132003800D01* +X222022751Y-132003800D01* +X222022751Y-131993000D01* +X222010036Y-131863899D01* +X221972378Y-131739759D01* +X221911226Y-131625351D01* +X221828929Y-131525071D01* +X221728649Y-131442774D01* +X221614241Y-131381622D01* +X221490101Y-131343964D01* +X221361000Y-131331249D01* +X221335600Y-131331249D01* +X221335600Y-130827455D01* +X221337319Y-130810000D01* +X221335600Y-130792545D01* +X221335600Y-130792537D01* +X221330454Y-130740290D01* +X221310121Y-130673260D01* +X221277101Y-130611484D01* +X221277100Y-130611483D01* +X221243795Y-130570901D01* +X221243790Y-130570896D01* +X221232662Y-130557337D01* +X221219104Y-130546210D01* +X220822493Y-130149600D01* +X221670600Y-130149600D01* +X221670600Y-130232066D01* +X221692866Y-130344005D01* +X221736543Y-130449449D01* +X221799951Y-130544346D01* +X221880654Y-130625049D01* +X221975551Y-130688457D01* +X222080995Y-130732134D01* +X222192934Y-130754400D01* +X222307066Y-130754400D01* +X222419005Y-130732134D01* +X222524449Y-130688457D01* +X222619346Y-130625049D01* +X222700049Y-130544346D01* +X222763457Y-130449449D01* +X222807134Y-130344005D01* +X222829400Y-130232066D01* +X222829400Y-130117934D01* +X222807134Y-130005995D01* +X222778165Y-129936058D01* +X223164400Y-130322294D01* +G36* +X211256600Y-126422066D02* +G01* +X211259825Y-126438281D01* +X211215901Y-126482206D01* +X211202337Y-126493338D01* +X211157899Y-126547485D01* +X211124879Y-126609261D01* +X211104546Y-126676291D01* +X211099400Y-126728538D01* +X211099400Y-126728545D01* +X211097681Y-126746000D01* +X211099400Y-126763456D01* +X211099401Y-128887535D01* +X211097681Y-128905000D01* +X211104546Y-128974710D01* +X211124880Y-129041741D01* +X211133250Y-129057400D01* +X211157900Y-129103516D01* +X211202338Y-129157663D01* +X211215901Y-129168794D01* +X213004400Y-130957294D01* +X213004400Y-131331249D01* +X212979000Y-131331249D01* +X212849899Y-131343964D01* +X212725759Y-131381622D01* +X212611351Y-131442774D01* +X212511071Y-131525071D01* +X212428774Y-131625351D01* +X212367622Y-131739759D01* +X212329964Y-131863899D01* +X212317249Y-131993000D01* +X212317249Y-132003800D01* +X211862751Y-132003800D01* +X211862751Y-131993000D01* +X211850036Y-131863899D01* +X211812378Y-131739759D01* +X211751226Y-131625351D01* +X211668929Y-131525071D01* +X211568649Y-131442774D01* +X211454241Y-131381622D01* +X211330101Y-131343964D01* +X211201000Y-131331249D01* +X210439000Y-131331249D01* +X210309899Y-131343964D01* +X210185759Y-131381622D01* +X210071351Y-131442774D01* +X209971071Y-131525071D01* +X209888774Y-131625351D01* +X209827622Y-131739759D01* +X209789964Y-131863899D01* +X209777249Y-131993000D01* +X209777249Y-132003800D01* +X209322751Y-132003800D01* +X209322751Y-131993000D01* +X209310036Y-131863899D01* +X209272378Y-131739759D01* +X209211226Y-131625351D01* +X209128929Y-131525071D01* +X209028649Y-131442774D01* +X208914241Y-131381622D01* +X208790101Y-131343964D01* +X208661000Y-131331249D01* +X208635600Y-131331249D01* +X208635600Y-130957293D01* +X210424100Y-129168794D01* +X210437663Y-129157663D01* +X210482101Y-129103516D01* +X210515121Y-129041740D01* +X210535454Y-128974710D01* +X210540600Y-128922463D01* +X210540600Y-128922456D01* +X210542319Y-128905000D01* +X210540600Y-128887545D01* +X210540600Y-127147293D01* +X211186100Y-126501794D01* +X211199663Y-126490663D01* +X211244101Y-126436516D01* +X211256600Y-126413132D01* +X211256600Y-126422066D01* +X211256600Y-126422066D01* +G37* +X211256600Y-126422066D02* +X211259825Y-126438281D01* +X211215901Y-126482206D01* +X211202337Y-126493338D01* +X211157899Y-126547485D01* +X211124879Y-126609261D01* +X211104546Y-126676291D01* +X211099400Y-126728538D01* +X211099400Y-126728545D01* +X211097681Y-126746000D01* +X211099400Y-126763456D01* +X211099401Y-128887535D01* +X211097681Y-128905000D01* +X211104546Y-128974710D01* +X211124880Y-129041741D01* +X211133250Y-129057400D01* +X211157900Y-129103516D01* +X211202338Y-129157663D01* +X211215901Y-129168794D01* +X213004400Y-130957294D01* +X213004400Y-131331249D01* +X212979000Y-131331249D01* +X212849899Y-131343964D01* +X212725759Y-131381622D01* +X212611351Y-131442774D01* +X212511071Y-131525071D01* +X212428774Y-131625351D01* +X212367622Y-131739759D01* +X212329964Y-131863899D01* +X212317249Y-131993000D01* +X212317249Y-132003800D01* +X211862751Y-132003800D01* +X211862751Y-131993000D01* +X211850036Y-131863899D01* +X211812378Y-131739759D01* +X211751226Y-131625351D01* +X211668929Y-131525071D01* +X211568649Y-131442774D01* +X211454241Y-131381622D01* +X211330101Y-131343964D01* +X211201000Y-131331249D01* +X210439000Y-131331249D01* +X210309899Y-131343964D01* +X210185759Y-131381622D01* +X210071351Y-131442774D01* +X209971071Y-131525071D01* +X209888774Y-131625351D01* +X209827622Y-131739759D01* +X209789964Y-131863899D01* +X209777249Y-131993000D01* +X209777249Y-132003800D01* +X209322751Y-132003800D01* +X209322751Y-131993000D01* +X209310036Y-131863899D01* +X209272378Y-131739759D01* +X209211226Y-131625351D01* +X209128929Y-131525071D01* +X209028649Y-131442774D01* +X208914241Y-131381622D01* +X208790101Y-131343964D01* +X208661000Y-131331249D01* +X208635600Y-131331249D01* +X208635600Y-130957293D01* +X210424100Y-129168794D01* +X210437663Y-129157663D01* +X210482101Y-129103516D01* +X210515121Y-129041740D01* +X210535454Y-128974710D01* +X210540600Y-128922463D01* +X210540600Y-128922456D01* +X210542319Y-128905000D01* +X210540600Y-128887545D01* +X210540600Y-127147293D01* +X211186100Y-126501794D01* +X211199663Y-126490663D01* +X211244101Y-126436516D01* +X211256600Y-126413132D01* +X211256600Y-126422066D01* +G36* +X233324400Y-129560295D02* +G01* +X233324400Y-131331249D01* +X233299000Y-131331249D01* +X233169899Y-131343964D01* +X233045759Y-131381622D01* +X232931351Y-131442774D01* +X232831071Y-131525071D01* +X232748774Y-131625351D01* +X232687622Y-131739759D01* +X232649964Y-131863899D01* +X232637249Y-131993000D01* +X232637249Y-132003800D01* +X232182751Y-132003800D01* +X232182751Y-131993000D01* +X232170036Y-131863899D01* +X232132378Y-131739759D01* +X232071226Y-131625351D01* +X231988929Y-131525071D01* +X231888649Y-131442774D01* +X231774241Y-131381622D01* +X231650101Y-131343964D01* +X231521000Y-131331249D01* +X231495600Y-131331249D01* +X231495600Y-129557452D01* +X231497319Y-129539999D01* +X231495600Y-129522546D01* +X231495600Y-129522537D01* +X231490454Y-129470290D01* +X231470121Y-129403260D01* +X231465994Y-129395538D01* +X231462669Y-129389319D01* +X231437101Y-129341484D01* +X231433933Y-129337624D01* +X231403795Y-129300900D01* +X231403785Y-129300890D01* +X231392662Y-129287337D01* +X231379110Y-129276215D01* +X230347493Y-128244600D01* +X232008707Y-128244600D01* +X233324400Y-129560295D01* +X233324400Y-129560295D01* +G37* +X233324400Y-129560295D02* +X233324400Y-131331249D01* +X233299000Y-131331249D01* +X233169899Y-131343964D01* +X233045759Y-131381622D01* +X232931351Y-131442774D01* +X232831071Y-131525071D01* +X232748774Y-131625351D01* +X232687622Y-131739759D01* +X232649964Y-131863899D01* +X232637249Y-131993000D01* +X232637249Y-132003800D01* +X232182751Y-132003800D01* +X232182751Y-131993000D01* +X232170036Y-131863899D01* +X232132378Y-131739759D01* +X232071226Y-131625351D01* +X231988929Y-131525071D01* +X231888649Y-131442774D01* +X231774241Y-131381622D01* +X231650101Y-131343964D01* +X231521000Y-131331249D01* +X231495600Y-131331249D01* +X231495600Y-129557452D01* +X231497319Y-129539999D01* +X231495600Y-129522546D01* +X231495600Y-129522537D01* +X231490454Y-129470290D01* +X231470121Y-129403260D01* +X231465994Y-129395538D01* +X231462669Y-129389319D01* +X231437101Y-129341484D01* +X231433933Y-129337624D01* +X231403795Y-129300900D01* +X231403785Y-129300890D01* +X231392662Y-129287337D01* +X231379110Y-129276215D01* +X230347493Y-128244600D01* +X232008707Y-128244600D01* +X233324400Y-129560295D01* +G36* +X262902326Y-121201759D02* +G01* +X263017053Y-121263082D01* +X263141539Y-121300844D01* +X263238561Y-121310400D01* +X267165135Y-121310400D01* +X267230686Y-121364197D01* +X267324607Y-121414398D01* +X267426517Y-121445313D01* +X267532500Y-121455751D01* +X268407500Y-121455751D01* +X268513483Y-121445313D01* +X268615393Y-121414398D01* +X268709314Y-121364197D01* +X268774865Y-121310400D01* +X273278601Y-121310400D01* +X273278600Y-131979280D01* +X273277249Y-131993000D01* +X273277249Y-132003800D01* +X272822751Y-132003800D01* +X272822751Y-131993000D01* +X272810036Y-131863899D01* +X272772378Y-131739759D01* +X272711226Y-131625351D01* +X272628929Y-131525071D01* +X272528649Y-131442774D01* +X272414241Y-131381622D01* +X272290101Y-131343964D01* +X272161000Y-131331249D01* +X271399000Y-131331249D01* +X271269899Y-131343964D01* +X271145759Y-131381622D01* +X271031351Y-131442774D01* +X270931071Y-131525071D01* +X270848774Y-131625351D01* +X270787622Y-131739759D01* +X270749964Y-131863899D01* +X270737249Y-131993000D01* +X270737249Y-132003800D01* +X270282751Y-132003800D01* +X270282751Y-131993000D01* +X270270036Y-131863899D01* +X270232378Y-131739759D01* +X270171226Y-131625351D01* +X270088929Y-131525071D01* +X269988649Y-131442774D01* +X269874241Y-131381622D01* +X269750101Y-131343964D01* +X269621000Y-131331249D01* +X268859000Y-131331249D01* +X268729899Y-131343964D01* +X268605759Y-131381622D01* +X268491351Y-131442774D01* +X268391071Y-131525071D01* +X268308774Y-131625351D01* +X268247622Y-131739759D01* +X268209964Y-131863899D01* +X268197249Y-131993000D01* +X268197249Y-132003800D01* +X267742751Y-132003800D01* +X267742751Y-131993000D01* +X267730036Y-131863899D01* +X267692378Y-131739759D01* +X267631226Y-131625351D01* +X267548929Y-131525071D01* +X267448649Y-131442774D01* +X267334241Y-131381622D01* +X267210101Y-131343964D01* +X267081000Y-131331249D01* +X266319000Y-131331249D01* +X266189899Y-131343964D01* +X266065759Y-131381622D01* +X265951351Y-131442774D01* +X265851071Y-131525071D01* +X265768774Y-131625351D01* +X265707622Y-131739759D01* +X265669964Y-131863899D01* +X265657249Y-131993000D01* +X265657249Y-132003800D01* +X265202751Y-132003800D01* +X265202751Y-131993000D01* +X265190036Y-131863899D01* +X265152378Y-131739759D01* +X265091226Y-131625351D01* +X265008929Y-131525071D01* +X264908649Y-131442774D01* +X264794241Y-131381622D01* +X264670101Y-131343964D01* +X264541000Y-131331249D01* +X264515600Y-131331249D01* +X264515600Y-129426617D01* +X270628800Y-129426617D01* +X270628800Y-129653383D01* +X270673040Y-129875793D01* +X270759820Y-130085298D01* +X270885805Y-130273847D01* +X271046153Y-130434195D01* +X271234702Y-130560180D01* +X271444207Y-130646960D01* +X271666617Y-130691200D01* +X271893383Y-130691200D01* +X272115793Y-130646960D01* +X272325298Y-130560180D01* +X272513847Y-130434195D01* +X272674195Y-130273847D01* +X272800180Y-130085298D01* +X272886960Y-129875793D01* +X272931200Y-129653383D01* +X272931200Y-129426617D01* +X272886960Y-129204207D01* +X272800180Y-128994702D01* +X272674195Y-128806153D01* +X272513847Y-128645805D01* +X272325298Y-128519820D01* +X272115793Y-128433040D01* +X271893383Y-128388800D01* +X271666617Y-128388800D01* +X271444207Y-128433040D01* +X271234702Y-128519820D01* +X271046153Y-128645805D01* +X270885805Y-128806153D01* +X270759820Y-128994702D01* +X270673040Y-129204207D01* +X270628800Y-129426617D01* +X264515600Y-129426617D01* +X264515600Y-123969455D01* +X264517319Y-123952000D01* +X264515600Y-123934545D01* +X264515600Y-123934537D01* +X264510454Y-123882290D01* +X264490121Y-123815260D01* +X264457101Y-123753484D01* +X264412663Y-123699337D01* +X264399100Y-123688206D01* +X263661799Y-122950906D01* +X263650663Y-122937337D01* +X263596516Y-122892899D01* +X263534740Y-122859879D01* +X263467710Y-122839546D01* +X263415463Y-122834400D01* +X263415455Y-122834400D01* +X263398000Y-122832681D01* +X263380545Y-122834400D01* +X263076176Y-122834400D01* +X263058156Y-122800688D01* +X263004587Y-122735413D01* +X262939312Y-122681844D01* +X262864841Y-122642038D01* +X262784035Y-122617526D01* +X262700000Y-122609249D01* +X261300000Y-122609249D01* +X261215965Y-122617526D01* +X261135159Y-122642038D01* +X261060688Y-122681844D01* +X260995413Y-122735413D01* +X260941844Y-122800688D01* +X260902038Y-122875159D01* +X260877526Y-122955965D01* +X260869249Y-123040000D01* +X260869249Y-123340000D01* +X260877526Y-123424035D01* +X260902038Y-123504841D01* +X260941844Y-123579312D01* +X260995413Y-123644587D01* +X261060688Y-123698156D01* +X261135159Y-123737962D01* +X261215965Y-123762474D01* +X261300000Y-123770751D01* +X262700000Y-123770751D01* +X262784035Y-123762474D01* +X262864841Y-123737962D01* +X262939312Y-123698156D01* +X263004587Y-123644587D01* +X263058156Y-123579312D01* +X263076176Y-123545600D01* +X263250707Y-123545600D01* +X263804401Y-124099295D01* +X263804400Y-131331249D01* +X263779000Y-131331249D01* +X263649899Y-131343964D01* +X263525759Y-131381622D01* +X263411351Y-131442774D01* +X263311071Y-131525071D01* +X263228774Y-131625351D01* +X263167622Y-131739759D01* +X263129964Y-131863899D01* +X263117249Y-131993000D01* +X263117249Y-132003800D01* +X262662751Y-132003800D01* +X262662751Y-131993000D01* +X262650036Y-131863899D01* +X262612378Y-131739759D01* +X262551226Y-131625351D01* +X262468929Y-131525071D01* +X262368649Y-131442774D01* +X262254241Y-131381622D01* +X262130101Y-131343964D01* +X262001000Y-131331249D01* +X261239000Y-131331249D01* +X261109899Y-131343964D01* +X260985759Y-131381622D01* +X260871351Y-131442774D01* +X260771071Y-131525071D01* +X260688774Y-131625351D01* +X260627622Y-131739759D01* +X260589964Y-131863899D01* +X260577249Y-131993000D01* +X260577249Y-132003800D01* +X260122751Y-132003800D01* +X260122751Y-131993000D01* +X260110036Y-131863899D01* +X260072378Y-131739759D01* +X260011226Y-131625351D01* +X259928929Y-131525071D01* +X259828649Y-131442774D01* +X259714241Y-131381622D01* +X259590101Y-131343964D01* +X259461000Y-131331249D01* +X258699000Y-131331249D01* +X258569899Y-131343964D01* +X258445759Y-131381622D01* +X258331351Y-131442774D01* +X258231071Y-131525071D01* +X258148774Y-131625351D01* +X258087622Y-131739759D01* +X258049964Y-131863899D01* +X258037249Y-131993000D01* +X258037249Y-132003800D01* +X257582751Y-132003800D01* +X257582751Y-131993000D01* +X257570036Y-131863899D01* +X257532378Y-131739759D01* +X257471226Y-131625351D01* +X257388929Y-131525071D01* +X257288649Y-131442774D01* +X257174241Y-131381622D01* +X257050101Y-131343964D01* +X256921000Y-131331249D01* +X256895600Y-131331249D01* +X256895600Y-130957293D01* +X258592294Y-129260600D01* +X260332545Y-129260600D01* +X260350000Y-129262319D01* +X260367455Y-129260600D01* +X260367463Y-129260600D01* +X260419710Y-129255454D01* +X260486740Y-129235121D01* +X260548516Y-129202101D01* +X260602663Y-129157663D01* +X260613799Y-129144094D01* +X262239105Y-127518790D01* +X262252663Y-127507663D01* +X262263790Y-127494105D01* +X262263795Y-127494100D01* +X262297100Y-127453517D01* +X262297636Y-127452516D01* +X262330121Y-127391740D01* +X262350454Y-127324710D01* +X262355600Y-127272463D01* +X262355600Y-127272454D01* +X262357319Y-127255001D01* +X262355600Y-127237548D01* +X262355600Y-125040751D01* +X262700000Y-125040751D01* +X262784035Y-125032474D01* +X262864841Y-125007962D01* +X262939312Y-124968156D01* +X263004587Y-124914587D01* +X263058156Y-124849312D01* +X263097962Y-124774841D01* +X263122474Y-124694035D01* +X263130751Y-124610000D01* +X263130751Y-124310000D01* +X263122474Y-124225965D01* +X263097962Y-124145159D01* +X263058156Y-124070688D01* +X263004587Y-124005413D01* +X262939312Y-123951844D01* +X262864841Y-123912038D01* +X262784035Y-123887526D01* +X262700000Y-123879249D01* +X261300000Y-123879249D01* +X261215965Y-123887526D01* +X261135159Y-123912038D01* +X261060688Y-123951844D01* +X260995413Y-124005413D01* +X260941844Y-124070688D01* +X260902038Y-124145159D01* +X260877526Y-124225965D01* +X260869249Y-124310000D01* +X260869249Y-124610000D01* +X260877526Y-124694035D01* +X260902038Y-124774841D01* +X260941844Y-124849312D01* +X260995413Y-124914587D01* +X261060688Y-124968156D01* +X261135159Y-125007962D01* +X261215965Y-125032474D01* +X261300000Y-125040751D01* +X261644400Y-125040751D01* +X261644401Y-127107704D01* +X260202707Y-128549400D01* +X258462455Y-128549400D01* +X258445000Y-128547681D01* +X258427544Y-128549400D01* +X258427537Y-128549400D01* +X258381566Y-128553928D01* +X258375289Y-128554546D01* +X258354956Y-128560714D01* +X258308260Y-128574879D01* +X258246484Y-128607899D01* +X258192337Y-128652337D01* +X258181206Y-128665900D01* +X256300901Y-130546206D01* +X256287338Y-130557337D01* +X256242900Y-130611484D01* +X256234331Y-130627515D01* +X256209880Y-130673259D01* +X256189546Y-130740290D01* +X256182681Y-130810000D01* +X256184401Y-130827466D01* +X256184401Y-131331249D01* +X256159000Y-131331249D01* +X256029899Y-131343964D01* +X255905759Y-131381622D01* +X255791351Y-131442774D01* +X255691071Y-131525071D01* +X255608774Y-131625351D01* +X255547622Y-131739759D01* +X255509964Y-131863899D01* +X255497249Y-131993000D01* +X255497249Y-132003800D01* +X255042751Y-132003800D01* +X255042751Y-131993000D01* +X255030036Y-131863899D01* +X254992378Y-131739759D01* +X254931226Y-131625351D01* +X254848929Y-131525071D01* +X254748649Y-131442774D01* +X254634241Y-131381622D01* +X254510101Y-131343964D01* +X254381000Y-131331249D01* +X254355600Y-131331249D01* +X254355600Y-129687293D01* +X255509105Y-128533790D01* +X255522663Y-128522663D01* +X255533790Y-128509105D01* +X255533795Y-128509100D01* +X255567100Y-128468517D01* +X255567102Y-128468515D01* +X255600121Y-128406740D01* +X255620454Y-128339710D01* +X255625600Y-128287463D01* +X255625600Y-128287454D01* +X255627319Y-128270001D01* +X255625600Y-128252548D01* +X255625600Y-127186176D01* +X255659312Y-127168156D01* +X255724587Y-127114587D01* +X255778156Y-127049312D01* +X255817962Y-126974841D01* +X255842474Y-126894035D01* +X255850751Y-126810000D01* +X255850751Y-125410000D01* +X255959249Y-125410000D01* +X255959249Y-126810000D01* +X255967526Y-126894035D01* +X255992038Y-126974841D01* +X256031844Y-127049312D01* +X256085413Y-127114587D01* +X256150688Y-127168156D01* +X256225159Y-127207962D01* +X256305965Y-127232474D01* +X256390000Y-127240751D01* +X256690000Y-127240751D01* +X256774035Y-127232474D01* +X256854841Y-127207962D01* +X256929312Y-127168156D01* +X256994587Y-127114587D01* +X257048156Y-127049312D01* +X257087962Y-126974841D01* +X257092463Y-126960000D01* +X257229248Y-126960000D01* +X257234643Y-127014772D01* +X257250619Y-127067439D01* +X257276563Y-127115977D01* +X257311478Y-127158522D01* +X257354023Y-127193437D01* +X257402561Y-127219381D01* +X257455228Y-127235357D01* +X257510000Y-127240752D01* +X257666350Y-127239400D01* +X257736200Y-127169550D01* +X257736200Y-126183800D01* +X257883800Y-126183800D01* +X257883800Y-127169550D01* +X257953650Y-127239400D01* +X258110000Y-127240752D01* +X258164772Y-127235357D01* +X258217439Y-127219381D01* +X258265977Y-127193437D01* +X258308522Y-127158522D01* +X258343437Y-127115977D01* +X258369381Y-127067439D01* +X258385357Y-127014772D01* +X258390752Y-126960000D01* +X258389400Y-126253650D01* +X258319550Y-126183800D01* +X257883800Y-126183800D01* +X257736200Y-126183800D01* +X257300450Y-126183800D01* +X257230600Y-126253650D01* +X257229248Y-126960000D01* +X257092463Y-126960000D01* +X257112474Y-126894035D01* +X257120751Y-126810000D01* +X257120751Y-125410000D01* +X257112474Y-125325965D01* +X257092464Y-125260000D01* +X257229248Y-125260000D01* +X257230600Y-125966350D01* +X257300450Y-126036200D01* +X257736200Y-126036200D01* +X257736200Y-125050450D01* +X257883800Y-125050450D01* +X257883800Y-126036200D01* +X258319550Y-126036200D01* +X258389400Y-125966350D01* +X258390464Y-125410000D01* +X258499249Y-125410000D01* +X258499249Y-126810000D01* +X258507526Y-126894035D01* +X258532038Y-126974841D01* +X258571844Y-127049312D01* +X258590004Y-127071440D01* +X258566543Y-127106551D01* +X258522866Y-127211995D01* +X258500600Y-127323934D01* +X258500600Y-127438066D01* +X258522866Y-127550005D01* +X258566543Y-127655449D01* +X258629951Y-127750346D01* +X258710654Y-127831049D01* +X258805551Y-127894457D01* +X258910995Y-127938134D01* +X259022934Y-127960400D01* +X259137066Y-127960400D01* +X259249005Y-127938134D01* +X259354449Y-127894457D01* +X259449346Y-127831049D01* +X259530049Y-127750346D01* +X259593457Y-127655449D01* +X259637134Y-127550005D01* +X259659400Y-127438066D01* +X259659400Y-127323934D01* +X259637134Y-127211995D01* +X259593457Y-127106551D01* +X259569996Y-127071440D01* +X259588156Y-127049312D01* +X259627962Y-126974841D01* +X259652474Y-126894035D01* +X259660751Y-126810000D01* +X259660751Y-125410000D01* +X259769249Y-125410000D01* +X259769249Y-126810000D01* +X259777526Y-126894035D01* +X259802038Y-126974841D01* +X259841844Y-127049312D01* +X259860004Y-127071440D01* +X259836543Y-127106551D01* +X259792866Y-127211995D01* +X259770600Y-127323934D01* +X259770600Y-127438066D01* +X259792866Y-127550005D01* +X259836543Y-127655449D01* +X259899951Y-127750346D01* +X259980654Y-127831049D01* +X260075551Y-127894457D01* +X260180995Y-127938134D01* +X260292934Y-127960400D01* +X260407066Y-127960400D01* +X260519005Y-127938134D01* +X260624449Y-127894457D01* +X260719346Y-127831049D01* +X260800049Y-127750346D01* +X260863457Y-127655449D01* +X260907134Y-127550005D01* +X260929400Y-127438066D01* +X260929400Y-127323934D01* +X260907134Y-127211995D01* +X260863457Y-127106551D01* +X260839996Y-127071440D01* +X260858156Y-127049312D01* +X260897962Y-126974841D01* +X260922474Y-126894035D01* +X260930751Y-126810000D01* +X260930751Y-125410000D01* +X260922474Y-125325965D01* +X260897962Y-125245159D01* +X260858156Y-125170688D01* +X260804587Y-125105413D01* +X260739312Y-125051844D01* +X260664841Y-125012038D01* +X260584035Y-124987526D01* +X260500000Y-124979249D01* +X260200000Y-124979249D01* +X260115965Y-124987526D01* +X260035159Y-125012038D01* +X259960688Y-125051844D01* +X259895413Y-125105413D01* +X259841844Y-125170688D01* +X259802038Y-125245159D01* +X259777526Y-125325965D01* +X259769249Y-125410000D01* +X259660751Y-125410000D01* +X259652474Y-125325965D01* +X259627962Y-125245159D01* +X259588156Y-125170688D01* +X259534587Y-125105413D01* +X259469312Y-125051844D01* +X259394841Y-125012038D01* +X259314035Y-124987526D01* +X259230000Y-124979249D01* +X258930000Y-124979249D01* +X258845965Y-124987526D01* +X258765159Y-125012038D01* +X258690688Y-125051844D01* +X258625413Y-125105413D01* +X258571844Y-125170688D01* +X258532038Y-125245159D01* +X258507526Y-125325965D01* +X258499249Y-125410000D01* +X258390464Y-125410000D01* +X258390752Y-125260000D01* +X258385357Y-125205228D01* +X258369381Y-125152561D01* +X258343437Y-125104023D01* +X258308522Y-125061478D01* +X258265977Y-125026563D01* +X258217439Y-125000619D01* +X258164772Y-124984643D01* +X258110000Y-124979248D01* +X257953650Y-124980600D01* +X257883800Y-125050450D01* +X257736200Y-125050450D01* +X257666350Y-124980600D01* +X257510000Y-124979248D01* +X257455228Y-124984643D01* +X257402561Y-125000619D01* +X257354023Y-125026563D01* +X257311478Y-125061478D01* +X257276563Y-125104023D01* +X257250619Y-125152561D01* +X257234643Y-125205228D01* +X257229248Y-125260000D01* +X257092464Y-125260000D01* +X257087962Y-125245159D01* +X257048156Y-125170688D01* +X256994587Y-125105413D01* +X256929312Y-125051844D01* +X256854841Y-125012038D01* +X256774035Y-124987526D01* +X256690000Y-124979249D01* +X256390000Y-124979249D01* +X256305965Y-124987526D01* +X256225159Y-125012038D01* +X256150688Y-125051844D01* +X256085413Y-125105413D01* +X256031844Y-125170688D01* +X255992038Y-125245159D01* +X255967526Y-125325965D01* +X255959249Y-125410000D01* +X255850751Y-125410000D01* +X255842474Y-125325965D01* +X255817962Y-125245159D01* +X255778156Y-125170688D01* +X255724587Y-125105413D01* +X255659312Y-125051844D01* +X255584841Y-125012038D01* +X255504035Y-124987526D01* +X255420000Y-124979249D01* +X255120000Y-124979249D01* +X255035965Y-124987526D01* +X254955159Y-125012038D01* +X254880688Y-125051844D01* +X254815413Y-125105413D01* +X254761844Y-125170688D01* +X254722038Y-125245159D01* +X254697526Y-125325965D01* +X254689249Y-125410000D01* +X254689249Y-126810000D01* +X254697526Y-126894035D01* +X254722038Y-126974841D01* +X254761844Y-127049312D01* +X254815413Y-127114587D01* +X254880688Y-127168156D01* +X254914400Y-127186176D01* +X254914401Y-128122704D01* +X253760902Y-129276205D01* +X253747337Y-129287338D01* +X253702899Y-129341485D01* +X253669879Y-129403261D01* +X253663970Y-129422741D01* +X253649547Y-129470289D01* +X253649546Y-129470291D01* +X253644400Y-129522538D01* +X253644400Y-129522545D01* +X253642681Y-129540000D01* +X253644400Y-129557455D01* +X253644400Y-131331249D01* +X253619000Y-131331249D01* +X253489899Y-131343964D01* +X253365759Y-131381622D01* +X253251351Y-131442774D01* +X253151071Y-131525071D01* +X253068774Y-131625351D01* +X253007622Y-131739759D01* +X252969964Y-131863899D01* +X252957249Y-131993000D01* +X252957249Y-132003800D01* +X252502751Y-132003800D01* +X252502751Y-131993000D01* +X252490036Y-131863899D01* +X252452378Y-131739759D01* +X252391226Y-131625351D01* +X252308929Y-131525071D01* +X252208649Y-131442774D01* +X252094241Y-131381622D01* +X251970101Y-131343964D01* +X251841000Y-131331249D01* +X251815600Y-131331249D01* +X251815600Y-130957293D01* +X254239105Y-128533790D01* +X254252663Y-128522663D01* +X254263790Y-128509105D01* +X254263795Y-128509100D01* +X254297100Y-128468517D01* +X254297102Y-128468515D01* +X254330121Y-128406740D01* +X254350454Y-128339710D01* +X254355600Y-128287463D01* +X254355600Y-128287454D01* +X254357319Y-128270001D01* +X254355600Y-128252548D01* +X254355600Y-127186176D01* +X254389312Y-127168156D01* +X254454587Y-127114587D01* +X254508156Y-127049312D01* +X254547962Y-126974841D01* +X254572474Y-126894035D01* +X254580751Y-126810000D01* +X254580751Y-125410000D01* +X254572474Y-125325965D01* +X254547962Y-125245159D01* +X254508156Y-125170688D01* +X254454587Y-125105413D01* +X254389312Y-125051844D01* +X254314841Y-125012038D01* +X254234035Y-124987526D01* +X254150000Y-124979249D01* +X253850000Y-124979249D01* +X253765965Y-124987526D01* +X253685159Y-125012038D01* +X253610688Y-125051844D01* +X253545413Y-125105413D01* +X253491844Y-125170688D01* +X253452038Y-125245159D01* +X253427526Y-125325965D01* +X253419249Y-125410000D01* +X253419249Y-126810000D01* +X253427526Y-126894035D01* +X253452038Y-126974841D01* +X253491844Y-127049312D01* +X253545413Y-127114587D01* +X253610688Y-127168156D01* +X253644400Y-127186176D01* +X253644401Y-128122704D01* +X251220902Y-130546205D01* +X251207337Y-130557338D01* +X251162899Y-130611485D01* +X251129879Y-130673261D01* +X251118400Y-130711103D01* +X251109547Y-130740289D01* +X251109546Y-130740291D01* +X251104400Y-130792538D01* +X251104400Y-130792545D01* +X251102681Y-130810000D01* +X251104400Y-130827456D01* +X251104400Y-131331249D01* +X251079000Y-131331249D01* +X250949899Y-131343964D01* +X250825759Y-131381622D01* +X250711351Y-131442774D01* +X250611071Y-131525071D01* +X250528774Y-131625351D01* +X250467622Y-131739759D01* +X250429964Y-131863899D01* +X250417249Y-131993000D01* +X250417249Y-132003800D01* +X249962751Y-132003800D01* +X249962751Y-131993000D01* +X249950036Y-131863899D01* +X249912378Y-131739759D01* +X249851226Y-131625351D01* +X249768929Y-131525071D01* +X249668649Y-131442774D01* +X249554241Y-131381622D01* +X249430101Y-131343964D01* +X249301000Y-131331249D01* +X249275600Y-131331249D01* +X249275600Y-127186176D01* +X249309312Y-127168156D01* +X249374587Y-127114587D01* +X249428156Y-127049312D01* +X249467962Y-126974841D01* +X249492474Y-126894035D01* +X249500751Y-126810000D01* +X249500751Y-125410000D01* +X249492474Y-125325965D01* +X249467962Y-125245159D01* +X249428156Y-125170688D01* +X249374587Y-125105413D01* +X249309312Y-125051844D01* +X249234841Y-125012038D01* +X249154035Y-124987526D01* +X249070000Y-124979249D01* +X248770000Y-124979249D01* +X248685965Y-124987526D01* +X248605159Y-125012038D01* +X248530688Y-125051844D01* +X248465413Y-125105413D01* +X248411844Y-125170688D01* +X248372038Y-125245159D01* +X248347526Y-125325965D01* +X248339249Y-125410000D01* +X248339249Y-126810000D01* +X248347526Y-126894035D01* +X248372038Y-126974841D01* +X248411844Y-127049312D01* +X248465413Y-127114587D01* +X248530688Y-127168156D01* +X248564400Y-127186176D01* +X248564401Y-131331249D01* +X248539000Y-131331249D01* +X248409899Y-131343964D01* +X248285759Y-131381622D01* +X248171351Y-131442774D01* +X248071071Y-131525071D01* +X247988774Y-131625351D01* +X247927622Y-131739759D01* +X247889964Y-131863899D01* +X247877249Y-131993000D01* +X247877249Y-132003800D01* +X247422751Y-132003800D01* +X247422751Y-131993000D01* +X247410036Y-131863899D01* +X247372378Y-131739759D01* +X247311226Y-131625351D01* +X247228929Y-131525071D01* +X247128649Y-131442774D01* +X247014241Y-131381622D01* +X246890101Y-131343964D01* +X246761000Y-131331249D01* +X246735600Y-131331249D01* +X246735600Y-130957293D01* +X247889105Y-129803790D01* +X247902663Y-129792663D01* +X247913790Y-129779105D01* +X247913795Y-129779100D01* +X247943322Y-129743121D01* +X247947101Y-129738516D01* +X247980121Y-129676740D01* +X248000454Y-129609710D01* +X248005600Y-129557463D01* +X248005600Y-129557454D01* +X248007319Y-129540001D01* +X248005600Y-129522548D01* +X248005600Y-127186176D01* +X248039312Y-127168156D01* +X248104587Y-127114587D01* +X248158156Y-127049312D01* +X248197962Y-126974841D01* +X248222474Y-126894035D01* +X248230751Y-126810000D01* +X248230751Y-125410000D01* +X248222474Y-125325965D01* +X248197962Y-125245159D01* +X248158156Y-125170688D01* +X248104587Y-125105413D01* +X248039312Y-125051844D01* +X247964841Y-125012038D01* +X247884035Y-124987526D01* +X247800000Y-124979249D01* +X247500000Y-124979249D01* +X247415965Y-124987526D01* +X247335159Y-125012038D01* +X247260688Y-125051844D01* +X247195413Y-125105413D01* +X247141844Y-125170688D01* +X247102038Y-125245159D01* +X247077526Y-125325965D01* +X247069249Y-125410000D01* +X247069249Y-126810000D01* +X247077526Y-126894035D01* +X247102038Y-126974841D01* +X247141844Y-127049312D01* +X247195413Y-127114587D01* +X247260688Y-127168156D01* +X247294400Y-127186176D01* +X247294401Y-129392704D01* +X246140901Y-130546206D01* +X246127337Y-130557338D01* +X246082899Y-130611485D01* +X246049879Y-130673261D01* +X246038400Y-130711103D01* +X246029547Y-130740289D01* +X246029546Y-130740291D01* +X246024400Y-130792538D01* +X246024400Y-130792545D01* +X246022681Y-130810000D01* +X246024400Y-130827456D01* +X246024400Y-131331249D01* +X245999000Y-131331249D01* +X245869899Y-131343964D01* +X245745759Y-131381622D01* +X245631351Y-131442774D01* +X245531071Y-131525071D01* +X245448774Y-131625351D01* +X245387622Y-131739759D01* +X245349964Y-131863899D01* +X245337249Y-131993000D01* +X245337249Y-132003800D01* +X244882751Y-132003800D01* +X244882751Y-131993000D01* +X244870036Y-131863899D01* +X244832378Y-131739759D01* +X244771226Y-131625351D01* +X244688929Y-131525071D01* +X244588649Y-131442774D01* +X244474241Y-131381622D01* +X244350101Y-131343964D01* +X244221000Y-131331249D01* +X244195600Y-131331249D01* +X244195600Y-130827452D01* +X244197319Y-130809999D01* +X244195600Y-130792546D01* +X244195600Y-130792537D01* +X244190454Y-130740290D01* +X244170121Y-130673260D01* +X244137101Y-130611484D01* +X244133933Y-130607624D01* +X244103795Y-130570900D01* +X244103785Y-130570890D01* +X244092662Y-130557337D01* +X244079110Y-130546215D01* +X242925600Y-129392707D01* +X242925600Y-127186176D01* +X242959312Y-127168156D01* +X243024587Y-127114587D01* +X243078156Y-127049312D01* +X243117962Y-126974841D01* +X243142474Y-126894035D01* +X243150751Y-126810000D01* +X243150751Y-125410000D01* +X243259249Y-125410000D01* +X243259249Y-126810000D01* +X243267526Y-126894035D01* +X243292038Y-126974841D01* +X243331844Y-127049312D01* +X243350004Y-127071440D01* +X243326543Y-127106551D01* +X243282866Y-127211995D01* +X243260600Y-127323934D01* +X243260600Y-127438066D01* +X243282866Y-127550005D01* +X243326543Y-127655449D01* +X243389951Y-127750346D01* +X243470654Y-127831049D01* +X243565551Y-127894457D01* +X243670995Y-127938134D01* +X243782934Y-127960400D01* +X243897066Y-127960400D01* +X244009005Y-127938134D01* +X244114449Y-127894457D01* +X244209346Y-127831049D01* +X244290049Y-127750346D01* +X244353457Y-127655449D01* +X244397134Y-127550005D01* +X244419400Y-127438066D01* +X244419400Y-127323934D01* +X244397134Y-127211995D01* +X244353457Y-127106551D01* +X244329996Y-127071440D01* +X244348156Y-127049312D01* +X244387962Y-126974841D01* +X244412474Y-126894035D01* +X244420751Y-126810000D01* +X244420751Y-125410000D01* +X244529249Y-125410000D01* +X244529249Y-126810000D01* +X244537526Y-126894035D01* +X244562038Y-126974841D01* +X244601844Y-127049312D01* +X244655413Y-127114587D01* +X244720688Y-127168156D01* +X244795159Y-127207962D01* +X244875965Y-127232474D01* +X244960000Y-127240751D01* +X245260000Y-127240751D01* +X245344035Y-127232474D01* +X245424841Y-127207962D01* +X245499312Y-127168156D01* +X245564587Y-127114587D01* +X245618156Y-127049312D01* +X245657962Y-126974841D01* +X245682474Y-126894035D01* +X245690751Y-126810000D01* +X245690751Y-125410000D01* +X245799249Y-125410000D01* +X245799249Y-126810000D01* +X245807526Y-126894035D01* +X245832038Y-126974841D01* +X245871844Y-127049312D01* +X245890004Y-127071440D01* +X245866543Y-127106551D01* +X245822866Y-127211995D01* +X245800600Y-127323934D01* +X245800600Y-127438066D01* +X245822866Y-127550005D01* +X245866543Y-127655449D01* +X245929951Y-127750346D01* +X246010654Y-127831049D01* +X246105551Y-127894457D01* +X246210995Y-127938134D01* +X246322934Y-127960400D01* +X246437066Y-127960400D01* +X246549005Y-127938134D01* +X246654449Y-127894457D01* +X246749346Y-127831049D01* +X246830049Y-127750346D01* +X246893457Y-127655449D01* +X246937134Y-127550005D01* +X246959400Y-127438066D01* +X246959400Y-127323934D01* +X246937134Y-127211995D01* +X246893457Y-127106551D01* +X246869996Y-127071440D01* +X246888156Y-127049312D01* +X246927962Y-126974841D01* +X246952474Y-126894035D01* +X246960751Y-126810000D01* +X246960751Y-125410000D01* +X246952474Y-125325965D01* +X246927962Y-125245159D01* +X246888156Y-125170688D01* +X246834587Y-125105413D01* +X246769312Y-125051844D01* +X246694841Y-125012038D01* +X246614035Y-124987526D01* +X246530000Y-124979249D01* +X246230000Y-124979249D01* +X246145965Y-124987526D01* +X246065159Y-125012038D01* +X245990688Y-125051844D01* +X245925413Y-125105413D01* +X245871844Y-125170688D01* +X245832038Y-125245159D01* +X245807526Y-125325965D01* +X245799249Y-125410000D01* +X245690751Y-125410000D01* +X245682474Y-125325965D01* +X245657962Y-125245159D01* +X245618156Y-125170688D01* +X245564587Y-125105413D01* +X245499312Y-125051844D01* +X245424841Y-125012038D01* +X245344035Y-124987526D01* +X245260000Y-124979249D01* +X244960000Y-124979249D01* +X244875965Y-124987526D01* +X244795159Y-125012038D01* +X244720688Y-125051844D01* +X244655413Y-125105413D01* +X244601844Y-125170688D01* +X244562038Y-125245159D01* +X244537526Y-125325965D01* +X244529249Y-125410000D01* +X244420751Y-125410000D01* +X244412474Y-125325965D01* +X244387962Y-125245159D01* +X244348156Y-125170688D01* +X244294587Y-125105413D01* +X244229312Y-125051844D01* +X244154841Y-125012038D01* +X244074035Y-124987526D01* +X243990000Y-124979249D01* +X243690000Y-124979249D01* +X243605965Y-124987526D01* +X243525159Y-125012038D01* +X243450688Y-125051844D01* +X243385413Y-125105413D01* +X243331844Y-125170688D01* +X243292038Y-125245159D01* +X243267526Y-125325965D01* +X243259249Y-125410000D01* +X243150751Y-125410000D01* +X243142474Y-125325965D01* +X243117962Y-125245159D01* +X243078156Y-125170688D01* +X243024587Y-125105413D01* +X242959312Y-125051844D01* +X242884841Y-125012038D01* +X242804035Y-124987526D01* +X242720000Y-124979249D01* +X242420000Y-124979249D01* +X242335965Y-124987526D01* +X242255159Y-125012038D01* +X242180688Y-125051844D01* +X242115413Y-125105413D01* +X242061844Y-125170688D01* +X242022038Y-125245159D01* +X241997526Y-125325965D01* +X241989249Y-125410000D01* +X241989249Y-126810000D01* +X241997526Y-126894035D01* +X242022038Y-126974841D01* +X242061844Y-127049312D01* +X242115413Y-127114587D01* +X242180688Y-127168156D01* +X242214400Y-127186176D01* +X242214401Y-129522535D01* +X242212681Y-129540000D01* +X242219546Y-129609710D01* +X242239880Y-129676741D01* +X242239881Y-129676742D01* +X242272900Y-129738516D01* +X242317338Y-129792663D01* +X242330901Y-129803794D01* +X243484400Y-130957295D01* +X243484400Y-131331249D01* +X243459000Y-131331249D01* +X243329899Y-131343964D01* +X243205759Y-131381622D01* +X243091351Y-131442774D01* +X242991071Y-131525071D01* +X242908774Y-131625351D01* +X242847622Y-131739759D01* +X242809964Y-131863899D01* +X242797249Y-131993000D01* +X242797249Y-132003800D01* +X242342751Y-132003800D01* +X242342751Y-131993000D01* +X242330036Y-131863899D01* +X242292378Y-131739759D01* +X242231226Y-131625351D01* +X242148929Y-131525071D01* +X242048649Y-131442774D01* +X241934241Y-131381622D01* +X241810101Y-131343964D01* +X241681000Y-131331249D01* +X241655600Y-131331249D01* +X241655600Y-129557452D01* +X241657319Y-129539999D01* +X241655600Y-129522546D01* +X241655600Y-129522537D01* +X241650454Y-129470290D01* +X241630121Y-129403260D01* +X241625994Y-129395538D01* +X241622669Y-129389319D01* +X241597101Y-129341484D01* +X241591844Y-129335078D01* +X241563795Y-129300900D01* +X241563790Y-129300895D01* +X241552663Y-129287337D01* +X241539105Y-129276210D01* +X240385600Y-128122707D01* +X240385600Y-127186176D01* +X240419312Y-127168156D01* +X240484587Y-127114587D01* +X240538156Y-127049312D01* +X240577962Y-126974841D01* +X240582463Y-126960000D01* +X240719248Y-126960000D01* +X240724643Y-127014772D01* +X240740619Y-127067439D01* +X240766563Y-127115977D01* +X240801478Y-127158522D01* +X240844023Y-127193437D01* +X240892561Y-127219381D01* +X240945228Y-127235357D01* +X241000000Y-127240752D01* +X241156350Y-127239400D01* +X241226200Y-127169550D01* +X241226200Y-126183800D01* +X241373800Y-126183800D01* +X241373800Y-127169550D01* +X241443650Y-127239400D01* +X241600000Y-127240752D01* +X241654772Y-127235357D01* +X241707439Y-127219381D01* +X241755977Y-127193437D01* +X241798522Y-127158522D01* +X241833437Y-127115977D01* +X241859381Y-127067439D01* +X241875357Y-127014772D01* +X241880752Y-126960000D01* +X241879400Y-126253650D01* +X241809550Y-126183800D01* +X241373800Y-126183800D01* +X241226200Y-126183800D01* +X240790450Y-126183800D01* +X240720600Y-126253650D01* +X240719248Y-126960000D01* +X240582463Y-126960000D01* +X240602474Y-126894035D01* +X240610751Y-126810000D01* +X240610751Y-125410000D01* +X240602474Y-125325965D01* +X240582464Y-125260000D01* +X240719248Y-125260000D01* +X240720600Y-125966350D01* +X240790450Y-126036200D01* +X241226200Y-126036200D01* +X241226200Y-125050450D01* +X241373800Y-125050450D01* +X241373800Y-126036200D01* +X241809550Y-126036200D01* +X241879400Y-125966350D01* +X241880752Y-125260000D01* +X241875357Y-125205228D01* +X241859381Y-125152561D01* +X241833437Y-125104023D01* +X241798522Y-125061478D01* +X241755977Y-125026563D01* +X241707439Y-125000619D01* +X241654772Y-124984643D01* +X241600000Y-124979248D01* +X241443650Y-124980600D01* +X241373800Y-125050450D01* +X241226200Y-125050450D01* +X241156350Y-124980600D01* +X241000000Y-124979248D01* +X240945228Y-124984643D01* +X240892561Y-125000619D01* +X240844023Y-125026563D01* +X240801478Y-125061478D01* +X240766563Y-125104023D01* +X240740619Y-125152561D01* +X240724643Y-125205228D01* +X240719248Y-125260000D01* +X240582464Y-125260000D01* +X240577962Y-125245159D01* +X240538156Y-125170688D01* +X240484587Y-125105413D01* +X240419312Y-125051844D01* +X240344841Y-125012038D01* +X240264035Y-124987526D01* +X240180000Y-124979249D01* +X239880000Y-124979249D01* +X239795965Y-124987526D01* +X239715159Y-125012038D01* +X239640688Y-125051844D01* +X239575413Y-125105413D01* +X239521844Y-125170688D01* +X239482038Y-125245159D01* +X239457526Y-125325965D01* +X239449249Y-125410000D01* +X239449249Y-126810000D01* +X239457526Y-126894035D01* +X239482038Y-126974841D01* +X239521844Y-127049312D01* +X239575413Y-127114587D01* +X239640688Y-127168156D01* +X239674400Y-127186176D01* +X239674401Y-128252535D01* +X239672681Y-128270000D01* +X239679546Y-128339710D01* +X239699880Y-128406741D01* +X239699881Y-128406742D01* +X239732900Y-128468516D01* +X239777338Y-128522663D01* +X239790901Y-128533794D01* +X240944401Y-129687296D01* +X240944401Y-131331249D01* +X240919000Y-131331249D01* +X240789899Y-131343964D01* +X240665759Y-131381622D01* +X240551351Y-131442774D01* +X240451071Y-131525071D01* +X240368774Y-131625351D01* +X240307622Y-131739759D01* +X240269964Y-131863899D01* +X240257249Y-131993000D01* +X240257249Y-132003800D01* +X239802751Y-132003800D01* +X239802751Y-131993000D01* +X239790036Y-131863899D01* +X239752378Y-131739759D01* +X239691226Y-131625351D01* +X239608929Y-131525071D01* +X239508649Y-131442774D01* +X239394241Y-131381622D01* +X239270101Y-131343964D01* +X239141000Y-131331249D01* +X239115600Y-131331249D01* +X239115600Y-130192452D01* +X239117319Y-130174999D01* +X239115600Y-130157546D01* +X239115600Y-130157537D01* +X239110454Y-130105290D01* +X239090121Y-130038260D01* +X239057101Y-129976484D01* +X239053933Y-129972624D01* +X239023795Y-129935900D01* +X239023785Y-129935890D01* +X239012662Y-129922337D01* +X238999110Y-129911215D01* +X236575600Y-127487707D01* +X236575600Y-127186176D01* +X236609312Y-127168156D01* +X236674587Y-127114587D01* +X236728156Y-127049312D01* +X236767962Y-126974841D01* +X236792474Y-126894035D01* +X236800751Y-126810000D01* +X236800751Y-125410000D01* +X236909249Y-125410000D01* +X236909249Y-126810000D01* +X236917526Y-126894035D01* +X236942038Y-126974841D01* +X236981844Y-127049312D01* +X237000004Y-127071440D01* +X236976543Y-127106551D01* +X236932866Y-127211995D01* +X236910600Y-127323934D01* +X236910600Y-127438066D01* +X236932866Y-127550005D01* +X236976543Y-127655449D01* +X237039951Y-127750346D01* +X237120654Y-127831049D01* +X237215551Y-127894457D01* +X237320995Y-127938134D01* +X237432934Y-127960400D01* +X237547066Y-127960400D01* +X237659005Y-127938134D01* +X237764449Y-127894457D01* +X237859346Y-127831049D01* +X237940049Y-127750346D01* +X238003457Y-127655449D01* +X238047134Y-127550005D01* +X238069400Y-127438066D01* +X238069400Y-127323934D01* +X238047134Y-127211995D01* +X238003457Y-127106551D01* +X237979996Y-127071440D01* +X237998156Y-127049312D01* +X238037962Y-126974841D01* +X238062474Y-126894035D01* +X238070751Y-126810000D01* +X238070751Y-125410000D01* +X238179249Y-125410000D01* +X238179249Y-126810000D01* +X238187526Y-126894035D01* +X238212038Y-126974841D01* +X238251844Y-127049312D01* +X238270004Y-127071440D01* +X238246543Y-127106551D01* +X238202866Y-127211995D01* +X238180600Y-127323934D01* +X238180600Y-127438066D01* +X238202866Y-127550005D01* +X238246543Y-127655449D01* +X238309951Y-127750346D01* +X238390654Y-127831049D01* +X238485551Y-127894457D01* +X238590995Y-127938134D01* +X238702934Y-127960400D01* +X238817066Y-127960400D01* +X238929005Y-127938134D01* +X239034449Y-127894457D01* +X239129346Y-127831049D01* +X239210049Y-127750346D01* +X239273457Y-127655449D01* +X239317134Y-127550005D01* +X239339400Y-127438066D01* +X239339400Y-127323934D01* +X239317134Y-127211995D01* +X239273457Y-127106551D01* +X239249996Y-127071440D01* +X239268156Y-127049312D01* +X239307962Y-126974841D01* +X239332474Y-126894035D01* +X239340751Y-126810000D01* +X239340751Y-125410000D01* +X239332474Y-125325965D01* +X239307962Y-125245159D01* +X239268156Y-125170688D01* +X239214587Y-125105413D01* +X239149312Y-125051844D01* +X239074841Y-125012038D01* +X238994035Y-124987526D01* +X238910000Y-124979249D01* +X238610000Y-124979249D01* +X238525965Y-124987526D01* +X238445159Y-125012038D01* +X238370688Y-125051844D01* +X238305413Y-125105413D01* +X238251844Y-125170688D01* +X238212038Y-125245159D01* +X238187526Y-125325965D01* +X238179249Y-125410000D01* +X238070751Y-125410000D01* +X238062474Y-125325965D01* +X238037962Y-125245159D01* +X237998156Y-125170688D01* +X237944587Y-125105413D01* +X237879312Y-125051844D01* +X237804841Y-125012038D01* +X237724035Y-124987526D01* +X237640000Y-124979249D01* +X237340000Y-124979249D01* +X237255965Y-124987526D01* +X237175159Y-125012038D01* +X237100688Y-125051844D01* +X237035413Y-125105413D01* +X236981844Y-125170688D01* +X236942038Y-125245159D01* +X236917526Y-125325965D01* +X236909249Y-125410000D01* +X236800751Y-125410000D01* +X236792474Y-125325965D01* +X236767962Y-125245159D01* +X236728156Y-125170688D01* +X236674587Y-125105413D01* +X236609312Y-125051844D01* +X236534841Y-125012038D01* +X236454035Y-124987526D01* +X236370000Y-124979249D01* +X236070000Y-124979249D01* +X235985965Y-124987526D01* +X235905159Y-125012038D01* +X235830688Y-125051844D01* +X235765413Y-125105413D01* +X235711844Y-125170688D01* +X235672038Y-125245159D01* +X235647526Y-125325965D01* +X235639249Y-125410000D01* +X235639249Y-126810000D01* +X235647526Y-126894035D01* +X235672038Y-126974841D01* +X235711844Y-127049312D01* +X235765413Y-127114587D01* +X235830688Y-127168156D01* +X235864401Y-127186176D01* +X235864401Y-127617535D01* +X235862681Y-127635000D01* +X235869546Y-127704710D01* +X235889880Y-127771741D01* +X235901001Y-127792546D01* +X235922900Y-127833516D01* +X235967338Y-127887663D01* +X235980901Y-127898794D01* +X238404400Y-130322295D01* +X238404400Y-131331249D01* +X238379000Y-131331249D01* +X238249899Y-131343964D01* +X238125759Y-131381622D01* +X238011351Y-131442774D01* +X237911071Y-131525071D01* +X237828774Y-131625351D01* +X237767622Y-131739759D01* +X237729964Y-131863899D01* +X237717249Y-131993000D01* +X237717249Y-132003800D01* +X237262751Y-132003800D01* +X237262751Y-131993000D01* +X237250036Y-131863899D01* +X237212378Y-131739759D01* +X237151226Y-131625351D01* +X237068929Y-131525071D01* +X236968649Y-131442774D01* +X236854241Y-131381622D01* +X236730101Y-131343964D01* +X236601000Y-131331249D01* +X236575600Y-131331249D01* +X236575600Y-128922452D01* +X236577319Y-128904999D01* +X236575600Y-128887546D01* +X236575600Y-128887537D01* +X236570454Y-128835290D01* +X236550121Y-128768260D01* +X236517101Y-128706484D01* +X236496635Y-128681546D01* +X236483795Y-128665900D01* +X236483790Y-128665895D01* +X236472663Y-128652337D01* +X236459105Y-128641210D01* +X235305600Y-127487707D01* +X235305600Y-127186176D01* +X235339312Y-127168156D01* +X235404587Y-127114587D01* +X235458156Y-127049312D01* +X235497962Y-126974841D01* +X235522474Y-126894035D01* +X235530751Y-126810000D01* +X235530751Y-125410000D01* +X235522474Y-125325965D01* +X235497962Y-125245159D01* +X235458156Y-125170688D01* +X235404587Y-125105413D01* +X235339312Y-125051844D01* +X235264841Y-125012038D01* +X235184035Y-124987526D01* +X235100000Y-124979249D01* +X234840608Y-124979249D01* +X234949946Y-124946082D01* +X235064673Y-124884758D01* +X235140035Y-124822911D01* +X238652547Y-121310400D01* +X249281454Y-121310400D01* +X249529600Y-121558547D01* +X249529601Y-124873439D01* +X249539157Y-124970461D01* +X249576919Y-125094947D01* +X249638242Y-125209674D01* +X249652027Y-125226471D01* +X249642038Y-125245159D01* +X249617526Y-125325965D01* +X249609249Y-125410000D01* +X249609249Y-126810000D01* +X249617526Y-126894035D01* +X249642038Y-126974841D01* +X249681844Y-127049312D01* +X249735413Y-127114587D01* +X249800688Y-127168156D01* +X249875159Y-127207962D01* +X249955965Y-127232474D01* +X250040000Y-127240751D01* +X250340000Y-127240751D01* +X250424035Y-127232474D01* +X250504841Y-127207962D01* +X250579312Y-127168156D01* +X250644587Y-127114587D01* +X250698156Y-127049312D01* +X250737962Y-126974841D01* +X250762474Y-126894035D01* +X250770751Y-126810000D01* +X250770751Y-125410000D01* +X250879249Y-125410000D01* +X250879249Y-126810000D01* +X250887526Y-126894035D01* +X250912038Y-126974841D01* +X250951844Y-127049312D01* +X250970004Y-127071440D01* +X250946543Y-127106551D01* +X250902866Y-127211995D01* +X250880600Y-127323934D01* +X250880600Y-127438066D01* +X250902866Y-127550005D01* +X250946543Y-127655449D01* +X251009951Y-127750346D01* +X251090654Y-127831049D01* +X251185551Y-127894457D01* +X251290995Y-127938134D01* +X251402934Y-127960400D01* +X251517066Y-127960400D01* +X251629005Y-127938134D01* +X251734449Y-127894457D01* +X251829346Y-127831049D01* +X251910049Y-127750346D01* +X251973457Y-127655449D01* +X252017134Y-127550005D01* +X252039400Y-127438066D01* +X252039400Y-127323934D01* +X252017134Y-127211995D01* +X251973457Y-127106551D01* +X251949996Y-127071440D01* +X251968156Y-127049312D01* +X252007962Y-126974841D01* +X252032474Y-126894035D01* +X252040751Y-126810000D01* +X252040751Y-125410000D01* +X252149249Y-125410000D01* +X252149249Y-126810000D01* +X252157526Y-126894035D01* +X252182038Y-126974841D01* +X252221844Y-127049312D01* +X252240004Y-127071440D01* +X252216543Y-127106551D01* +X252172866Y-127211995D01* +X252150600Y-127323934D01* +X252150600Y-127438066D01* +X252172866Y-127550005D01* +X252216543Y-127655449D01* +X252279951Y-127750346D01* +X252360654Y-127831049D01* +X252455551Y-127894457D01* +X252560995Y-127938134D01* +X252672934Y-127960400D01* +X252787066Y-127960400D01* +X252899005Y-127938134D01* +X253004449Y-127894457D01* +X253099346Y-127831049D01* +X253180049Y-127750346D01* +X253243457Y-127655449D01* +X253287134Y-127550005D01* +X253309400Y-127438066D01* +X253309400Y-127323934D01* +X253287134Y-127211995D01* +X253243457Y-127106551D01* +X253219996Y-127071440D01* +X253238156Y-127049312D01* +X253277962Y-126974841D01* +X253302474Y-126894035D01* +X253310751Y-126810000D01* +X253310751Y-125410000D01* +X253302474Y-125325965D01* +X253277962Y-125245159D01* +X253238156Y-125170688D01* +X253184587Y-125105413D01* +X253119312Y-125051844D01* +X253044841Y-125012038D01* +X252964035Y-124987526D01* +X252880000Y-124979249D01* +X252580000Y-124979249D01* +X252495965Y-124987526D01* +X252415159Y-125012038D01* +X252340688Y-125051844D01* +X252275413Y-125105413D01* +X252221844Y-125170688D01* +X252182038Y-125245159D01* +X252157526Y-125325965D01* +X252149249Y-125410000D01* +X252040751Y-125410000D01* +X252032474Y-125325965D01* +X252007962Y-125245159D01* +X251968156Y-125170688D01* +X251914587Y-125105413D01* +X251849312Y-125051844D01* +X251774841Y-125012038D01* +X251694035Y-124987526D01* +X251610000Y-124979249D01* +X251310000Y-124979249D01* +X251225965Y-124987526D01* +X251145159Y-125012038D01* +X251070688Y-125051844D01* +X251005413Y-125105413D01* +X250951844Y-125170688D01* +X250912038Y-125245159D01* +X250887526Y-125325965D01* +X250879249Y-125410000D01* +X250770751Y-125410000D01* +X250762474Y-125325965D01* +X250737962Y-125245159D01* +X250727973Y-125226472D01* +X250741759Y-125209674D01* +X250803082Y-125094947D01* +X250840844Y-124970461D01* +X250850400Y-124873439D01* +X250850400Y-121770000D01* +X260869249Y-121770000D01* +X260869249Y-122070000D01* +X260877526Y-122154035D01* +X260902038Y-122234841D01* +X260941844Y-122309312D01* +X260995413Y-122374587D01* +X261060688Y-122428156D01* +X261135159Y-122467962D01* +X261215965Y-122492474D01* +X261300000Y-122500751D01* +X262700000Y-122500751D01* +X262784035Y-122492474D01* +X262864841Y-122467962D01* +X262939312Y-122428156D01* +X262961440Y-122409996D01* +X262996551Y-122433457D01* +X263101995Y-122477134D01* +X263213934Y-122499400D01* +X263328066Y-122499400D01* +X263440005Y-122477134D01* +X263545449Y-122433457D01* +X263640346Y-122370049D01* +X263721049Y-122289346D01* +X263784457Y-122194449D01* +X263828134Y-122089005D01* +X263850400Y-121977066D01* +X263850400Y-121862934D01* +X263828134Y-121750995D01* +X263784457Y-121645551D01* +X263721049Y-121550654D01* +X263640346Y-121469951D01* +X263545449Y-121406543D01* +X263440005Y-121362866D01* +X263328066Y-121340600D01* +X263213934Y-121340600D01* +X263101995Y-121362866D01* +X262996551Y-121406543D01* +X262961440Y-121430004D01* +X262939312Y-121411844D01* +X262864841Y-121372038D01* +X262784035Y-121347526D01* +X262700000Y-121339249D01* +X261300000Y-121339249D01* +X261215965Y-121347526D01* +X261135159Y-121372038D01* +X261060688Y-121411844D01* +X260995413Y-121465413D01* +X260941844Y-121530688D01* +X260902038Y-121605159D01* +X260877526Y-121685965D01* +X260869249Y-121770000D01* +X250850400Y-121770000D01* +X250850400Y-121558546D01* +X251098547Y-121310400D01* +X260763439Y-121310400D01* +X260860461Y-121300844D01* +X260984947Y-121263082D01* +X261099674Y-121201759D01* +X261116472Y-121187973D01* +X261135159Y-121197962D01* +X261215965Y-121222474D01* +X261300000Y-121230751D01* +X262700000Y-121230751D01* +X262784035Y-121222474D01* +X262864841Y-121197962D01* +X262884739Y-121187326D01* +X262902326Y-121201759D01* +X262902326Y-121201759D01* +G37* +X262902326Y-121201759D02* +X263017053Y-121263082D01* +X263141539Y-121300844D01* +X263238561Y-121310400D01* +X267165135Y-121310400D01* +X267230686Y-121364197D01* +X267324607Y-121414398D01* +X267426517Y-121445313D01* +X267532500Y-121455751D01* +X268407500Y-121455751D01* +X268513483Y-121445313D01* +X268615393Y-121414398D01* +X268709314Y-121364197D01* +X268774865Y-121310400D01* +X273278601Y-121310400D01* +X273278600Y-131979280D01* +X273277249Y-131993000D01* +X273277249Y-132003800D01* +X272822751Y-132003800D01* +X272822751Y-131993000D01* +X272810036Y-131863899D01* +X272772378Y-131739759D01* +X272711226Y-131625351D01* +X272628929Y-131525071D01* +X272528649Y-131442774D01* +X272414241Y-131381622D01* +X272290101Y-131343964D01* +X272161000Y-131331249D01* +X271399000Y-131331249D01* +X271269899Y-131343964D01* +X271145759Y-131381622D01* +X271031351Y-131442774D01* +X270931071Y-131525071D01* +X270848774Y-131625351D01* +X270787622Y-131739759D01* +X270749964Y-131863899D01* +X270737249Y-131993000D01* +X270737249Y-132003800D01* +X270282751Y-132003800D01* +X270282751Y-131993000D01* +X270270036Y-131863899D01* +X270232378Y-131739759D01* +X270171226Y-131625351D01* +X270088929Y-131525071D01* +X269988649Y-131442774D01* +X269874241Y-131381622D01* +X269750101Y-131343964D01* +X269621000Y-131331249D01* +X268859000Y-131331249D01* +X268729899Y-131343964D01* +X268605759Y-131381622D01* +X268491351Y-131442774D01* +X268391071Y-131525071D01* +X268308774Y-131625351D01* +X268247622Y-131739759D01* +X268209964Y-131863899D01* +X268197249Y-131993000D01* +X268197249Y-132003800D01* +X267742751Y-132003800D01* +X267742751Y-131993000D01* +X267730036Y-131863899D01* +X267692378Y-131739759D01* +X267631226Y-131625351D01* +X267548929Y-131525071D01* +X267448649Y-131442774D01* +X267334241Y-131381622D01* +X267210101Y-131343964D01* +X267081000Y-131331249D01* +X266319000Y-131331249D01* +X266189899Y-131343964D01* +X266065759Y-131381622D01* +X265951351Y-131442774D01* +X265851071Y-131525071D01* +X265768774Y-131625351D01* +X265707622Y-131739759D01* +X265669964Y-131863899D01* +X265657249Y-131993000D01* +X265657249Y-132003800D01* +X265202751Y-132003800D01* +X265202751Y-131993000D01* +X265190036Y-131863899D01* +X265152378Y-131739759D01* +X265091226Y-131625351D01* +X265008929Y-131525071D01* +X264908649Y-131442774D01* +X264794241Y-131381622D01* +X264670101Y-131343964D01* +X264541000Y-131331249D01* +X264515600Y-131331249D01* +X264515600Y-129426617D01* +X270628800Y-129426617D01* +X270628800Y-129653383D01* +X270673040Y-129875793D01* +X270759820Y-130085298D01* +X270885805Y-130273847D01* +X271046153Y-130434195D01* +X271234702Y-130560180D01* +X271444207Y-130646960D01* +X271666617Y-130691200D01* +X271893383Y-130691200D01* +X272115793Y-130646960D01* +X272325298Y-130560180D01* +X272513847Y-130434195D01* +X272674195Y-130273847D01* +X272800180Y-130085298D01* +X272886960Y-129875793D01* +X272931200Y-129653383D01* +X272931200Y-129426617D01* +X272886960Y-129204207D01* +X272800180Y-128994702D01* +X272674195Y-128806153D01* +X272513847Y-128645805D01* +X272325298Y-128519820D01* +X272115793Y-128433040D01* +X271893383Y-128388800D01* +X271666617Y-128388800D01* +X271444207Y-128433040D01* +X271234702Y-128519820D01* +X271046153Y-128645805D01* +X270885805Y-128806153D01* +X270759820Y-128994702D01* +X270673040Y-129204207D01* +X270628800Y-129426617D01* +X264515600Y-129426617D01* +X264515600Y-123969455D01* +X264517319Y-123952000D01* +X264515600Y-123934545D01* +X264515600Y-123934537D01* +X264510454Y-123882290D01* +X264490121Y-123815260D01* +X264457101Y-123753484D01* +X264412663Y-123699337D01* +X264399100Y-123688206D01* +X263661799Y-122950906D01* +X263650663Y-122937337D01* +X263596516Y-122892899D01* +X263534740Y-122859879D01* +X263467710Y-122839546D01* +X263415463Y-122834400D01* +X263415455Y-122834400D01* +X263398000Y-122832681D01* +X263380545Y-122834400D01* +X263076176Y-122834400D01* +X263058156Y-122800688D01* +X263004587Y-122735413D01* +X262939312Y-122681844D01* +X262864841Y-122642038D01* +X262784035Y-122617526D01* +X262700000Y-122609249D01* +X261300000Y-122609249D01* +X261215965Y-122617526D01* +X261135159Y-122642038D01* +X261060688Y-122681844D01* +X260995413Y-122735413D01* +X260941844Y-122800688D01* +X260902038Y-122875159D01* +X260877526Y-122955965D01* +X260869249Y-123040000D01* +X260869249Y-123340000D01* +X260877526Y-123424035D01* +X260902038Y-123504841D01* +X260941844Y-123579312D01* +X260995413Y-123644587D01* +X261060688Y-123698156D01* +X261135159Y-123737962D01* +X261215965Y-123762474D01* +X261300000Y-123770751D01* +X262700000Y-123770751D01* +X262784035Y-123762474D01* +X262864841Y-123737962D01* +X262939312Y-123698156D01* +X263004587Y-123644587D01* +X263058156Y-123579312D01* +X263076176Y-123545600D01* +X263250707Y-123545600D01* +X263804401Y-124099295D01* +X263804400Y-131331249D01* +X263779000Y-131331249D01* +X263649899Y-131343964D01* +X263525759Y-131381622D01* +X263411351Y-131442774D01* +X263311071Y-131525071D01* +X263228774Y-131625351D01* +X263167622Y-131739759D01* +X263129964Y-131863899D01* +X263117249Y-131993000D01* +X263117249Y-132003800D01* +X262662751Y-132003800D01* +X262662751Y-131993000D01* +X262650036Y-131863899D01* +X262612378Y-131739759D01* +X262551226Y-131625351D01* +X262468929Y-131525071D01* +X262368649Y-131442774D01* +X262254241Y-131381622D01* +X262130101Y-131343964D01* +X262001000Y-131331249D01* +X261239000Y-131331249D01* +X261109899Y-131343964D01* +X260985759Y-131381622D01* +X260871351Y-131442774D01* +X260771071Y-131525071D01* +X260688774Y-131625351D01* +X260627622Y-131739759D01* +X260589964Y-131863899D01* +X260577249Y-131993000D01* +X260577249Y-132003800D01* +X260122751Y-132003800D01* +X260122751Y-131993000D01* +X260110036Y-131863899D01* +X260072378Y-131739759D01* +X260011226Y-131625351D01* +X259928929Y-131525071D01* +X259828649Y-131442774D01* +X259714241Y-131381622D01* +X259590101Y-131343964D01* +X259461000Y-131331249D01* +X258699000Y-131331249D01* +X258569899Y-131343964D01* +X258445759Y-131381622D01* +X258331351Y-131442774D01* +X258231071Y-131525071D01* +X258148774Y-131625351D01* +X258087622Y-131739759D01* +X258049964Y-131863899D01* +X258037249Y-131993000D01* +X258037249Y-132003800D01* +X257582751Y-132003800D01* +X257582751Y-131993000D01* +X257570036Y-131863899D01* +X257532378Y-131739759D01* +X257471226Y-131625351D01* +X257388929Y-131525071D01* +X257288649Y-131442774D01* +X257174241Y-131381622D01* +X257050101Y-131343964D01* +X256921000Y-131331249D01* +X256895600Y-131331249D01* +X256895600Y-130957293D01* +X258592294Y-129260600D01* +X260332545Y-129260600D01* +X260350000Y-129262319D01* +X260367455Y-129260600D01* +X260367463Y-129260600D01* +X260419710Y-129255454D01* +X260486740Y-129235121D01* +X260548516Y-129202101D01* +X260602663Y-129157663D01* +X260613799Y-129144094D01* +X262239105Y-127518790D01* +X262252663Y-127507663D01* +X262263790Y-127494105D01* +X262263795Y-127494100D01* +X262297100Y-127453517D01* +X262297636Y-127452516D01* +X262330121Y-127391740D01* +X262350454Y-127324710D01* +X262355600Y-127272463D01* +X262355600Y-127272454D01* +X262357319Y-127255001D01* +X262355600Y-127237548D01* +X262355600Y-125040751D01* +X262700000Y-125040751D01* +X262784035Y-125032474D01* +X262864841Y-125007962D01* +X262939312Y-124968156D01* +X263004587Y-124914587D01* +X263058156Y-124849312D01* +X263097962Y-124774841D01* +X263122474Y-124694035D01* +X263130751Y-124610000D01* +X263130751Y-124310000D01* +X263122474Y-124225965D01* +X263097962Y-124145159D01* +X263058156Y-124070688D01* +X263004587Y-124005413D01* +X262939312Y-123951844D01* +X262864841Y-123912038D01* +X262784035Y-123887526D01* +X262700000Y-123879249D01* +X261300000Y-123879249D01* +X261215965Y-123887526D01* +X261135159Y-123912038D01* +X261060688Y-123951844D01* +X260995413Y-124005413D01* +X260941844Y-124070688D01* +X260902038Y-124145159D01* +X260877526Y-124225965D01* +X260869249Y-124310000D01* +X260869249Y-124610000D01* +X260877526Y-124694035D01* +X260902038Y-124774841D01* +X260941844Y-124849312D01* +X260995413Y-124914587D01* +X261060688Y-124968156D01* +X261135159Y-125007962D01* +X261215965Y-125032474D01* +X261300000Y-125040751D01* +X261644400Y-125040751D01* +X261644401Y-127107704D01* +X260202707Y-128549400D01* +X258462455Y-128549400D01* +X258445000Y-128547681D01* +X258427544Y-128549400D01* +X258427537Y-128549400D01* +X258381566Y-128553928D01* +X258375289Y-128554546D01* +X258354956Y-128560714D01* +X258308260Y-128574879D01* +X258246484Y-128607899D01* +X258192337Y-128652337D01* +X258181206Y-128665900D01* +X256300901Y-130546206D01* +X256287338Y-130557337D01* +X256242900Y-130611484D01* +X256234331Y-130627515D01* +X256209880Y-130673259D01* +X256189546Y-130740290D01* +X256182681Y-130810000D01* +X256184401Y-130827466D01* +X256184401Y-131331249D01* +X256159000Y-131331249D01* +X256029899Y-131343964D01* +X255905759Y-131381622D01* +X255791351Y-131442774D01* +X255691071Y-131525071D01* +X255608774Y-131625351D01* +X255547622Y-131739759D01* +X255509964Y-131863899D01* +X255497249Y-131993000D01* +X255497249Y-132003800D01* +X255042751Y-132003800D01* +X255042751Y-131993000D01* +X255030036Y-131863899D01* +X254992378Y-131739759D01* +X254931226Y-131625351D01* +X254848929Y-131525071D01* +X254748649Y-131442774D01* +X254634241Y-131381622D01* +X254510101Y-131343964D01* +X254381000Y-131331249D01* +X254355600Y-131331249D01* +X254355600Y-129687293D01* +X255509105Y-128533790D01* +X255522663Y-128522663D01* +X255533790Y-128509105D01* +X255533795Y-128509100D01* +X255567100Y-128468517D01* +X255567102Y-128468515D01* +X255600121Y-128406740D01* +X255620454Y-128339710D01* +X255625600Y-128287463D01* +X255625600Y-128287454D01* +X255627319Y-128270001D01* +X255625600Y-128252548D01* +X255625600Y-127186176D01* +X255659312Y-127168156D01* +X255724587Y-127114587D01* +X255778156Y-127049312D01* +X255817962Y-126974841D01* +X255842474Y-126894035D01* +X255850751Y-126810000D01* +X255850751Y-125410000D01* +X255959249Y-125410000D01* +X255959249Y-126810000D01* +X255967526Y-126894035D01* +X255992038Y-126974841D01* +X256031844Y-127049312D01* +X256085413Y-127114587D01* +X256150688Y-127168156D01* +X256225159Y-127207962D01* +X256305965Y-127232474D01* +X256390000Y-127240751D01* +X256690000Y-127240751D01* +X256774035Y-127232474D01* +X256854841Y-127207962D01* +X256929312Y-127168156D01* +X256994587Y-127114587D01* +X257048156Y-127049312D01* +X257087962Y-126974841D01* +X257092463Y-126960000D01* +X257229248Y-126960000D01* +X257234643Y-127014772D01* +X257250619Y-127067439D01* +X257276563Y-127115977D01* +X257311478Y-127158522D01* +X257354023Y-127193437D01* +X257402561Y-127219381D01* +X257455228Y-127235357D01* +X257510000Y-127240752D01* +X257666350Y-127239400D01* +X257736200Y-127169550D01* +X257736200Y-126183800D01* +X257883800Y-126183800D01* +X257883800Y-127169550D01* +X257953650Y-127239400D01* +X258110000Y-127240752D01* +X258164772Y-127235357D01* +X258217439Y-127219381D01* +X258265977Y-127193437D01* +X258308522Y-127158522D01* +X258343437Y-127115977D01* +X258369381Y-127067439D01* +X258385357Y-127014772D01* +X258390752Y-126960000D01* +X258389400Y-126253650D01* +X258319550Y-126183800D01* +X257883800Y-126183800D01* +X257736200Y-126183800D01* +X257300450Y-126183800D01* +X257230600Y-126253650D01* +X257229248Y-126960000D01* +X257092463Y-126960000D01* +X257112474Y-126894035D01* +X257120751Y-126810000D01* +X257120751Y-125410000D01* +X257112474Y-125325965D01* +X257092464Y-125260000D01* +X257229248Y-125260000D01* +X257230600Y-125966350D01* +X257300450Y-126036200D01* +X257736200Y-126036200D01* +X257736200Y-125050450D01* +X257883800Y-125050450D01* +X257883800Y-126036200D01* +X258319550Y-126036200D01* +X258389400Y-125966350D01* +X258390464Y-125410000D01* +X258499249Y-125410000D01* +X258499249Y-126810000D01* +X258507526Y-126894035D01* +X258532038Y-126974841D01* +X258571844Y-127049312D01* +X258590004Y-127071440D01* +X258566543Y-127106551D01* +X258522866Y-127211995D01* +X258500600Y-127323934D01* +X258500600Y-127438066D01* +X258522866Y-127550005D01* +X258566543Y-127655449D01* +X258629951Y-127750346D01* +X258710654Y-127831049D01* +X258805551Y-127894457D01* +X258910995Y-127938134D01* +X259022934Y-127960400D01* +X259137066Y-127960400D01* +X259249005Y-127938134D01* +X259354449Y-127894457D01* +X259449346Y-127831049D01* +X259530049Y-127750346D01* +X259593457Y-127655449D01* +X259637134Y-127550005D01* +X259659400Y-127438066D01* +X259659400Y-127323934D01* +X259637134Y-127211995D01* +X259593457Y-127106551D01* +X259569996Y-127071440D01* +X259588156Y-127049312D01* +X259627962Y-126974841D01* +X259652474Y-126894035D01* +X259660751Y-126810000D01* +X259660751Y-125410000D01* +X259769249Y-125410000D01* +X259769249Y-126810000D01* +X259777526Y-126894035D01* +X259802038Y-126974841D01* +X259841844Y-127049312D01* +X259860004Y-127071440D01* +X259836543Y-127106551D01* +X259792866Y-127211995D01* +X259770600Y-127323934D01* +X259770600Y-127438066D01* +X259792866Y-127550005D01* +X259836543Y-127655449D01* +X259899951Y-127750346D01* +X259980654Y-127831049D01* +X260075551Y-127894457D01* +X260180995Y-127938134D01* +X260292934Y-127960400D01* +X260407066Y-127960400D01* +X260519005Y-127938134D01* +X260624449Y-127894457D01* +X260719346Y-127831049D01* +X260800049Y-127750346D01* +X260863457Y-127655449D01* +X260907134Y-127550005D01* +X260929400Y-127438066D01* +X260929400Y-127323934D01* +X260907134Y-127211995D01* +X260863457Y-127106551D01* +X260839996Y-127071440D01* +X260858156Y-127049312D01* +X260897962Y-126974841D01* +X260922474Y-126894035D01* +X260930751Y-126810000D01* +X260930751Y-125410000D01* +X260922474Y-125325965D01* +X260897962Y-125245159D01* +X260858156Y-125170688D01* +X260804587Y-125105413D01* +X260739312Y-125051844D01* +X260664841Y-125012038D01* +X260584035Y-124987526D01* +X260500000Y-124979249D01* +X260200000Y-124979249D01* +X260115965Y-124987526D01* +X260035159Y-125012038D01* +X259960688Y-125051844D01* +X259895413Y-125105413D01* +X259841844Y-125170688D01* +X259802038Y-125245159D01* +X259777526Y-125325965D01* +X259769249Y-125410000D01* +X259660751Y-125410000D01* +X259652474Y-125325965D01* +X259627962Y-125245159D01* +X259588156Y-125170688D01* +X259534587Y-125105413D01* +X259469312Y-125051844D01* +X259394841Y-125012038D01* +X259314035Y-124987526D01* +X259230000Y-124979249D01* +X258930000Y-124979249D01* +X258845965Y-124987526D01* +X258765159Y-125012038D01* +X258690688Y-125051844D01* +X258625413Y-125105413D01* +X258571844Y-125170688D01* +X258532038Y-125245159D01* +X258507526Y-125325965D01* +X258499249Y-125410000D01* +X258390464Y-125410000D01* +X258390752Y-125260000D01* +X258385357Y-125205228D01* +X258369381Y-125152561D01* +X258343437Y-125104023D01* +X258308522Y-125061478D01* +X258265977Y-125026563D01* +X258217439Y-125000619D01* +X258164772Y-124984643D01* +X258110000Y-124979248D01* +X257953650Y-124980600D01* +X257883800Y-125050450D01* +X257736200Y-125050450D01* +X257666350Y-124980600D01* +X257510000Y-124979248D01* +X257455228Y-124984643D01* +X257402561Y-125000619D01* +X257354023Y-125026563D01* +X257311478Y-125061478D01* +X257276563Y-125104023D01* +X257250619Y-125152561D01* +X257234643Y-125205228D01* +X257229248Y-125260000D01* +X257092464Y-125260000D01* +X257087962Y-125245159D01* +X257048156Y-125170688D01* +X256994587Y-125105413D01* +X256929312Y-125051844D01* +X256854841Y-125012038D01* +X256774035Y-124987526D01* +X256690000Y-124979249D01* +X256390000Y-124979249D01* +X256305965Y-124987526D01* +X256225159Y-125012038D01* +X256150688Y-125051844D01* +X256085413Y-125105413D01* +X256031844Y-125170688D01* +X255992038Y-125245159D01* +X255967526Y-125325965D01* +X255959249Y-125410000D01* +X255850751Y-125410000D01* +X255842474Y-125325965D01* +X255817962Y-125245159D01* +X255778156Y-125170688D01* +X255724587Y-125105413D01* +X255659312Y-125051844D01* +X255584841Y-125012038D01* +X255504035Y-124987526D01* +X255420000Y-124979249D01* +X255120000Y-124979249D01* +X255035965Y-124987526D01* +X254955159Y-125012038D01* +X254880688Y-125051844D01* +X254815413Y-125105413D01* +X254761844Y-125170688D01* +X254722038Y-125245159D01* +X254697526Y-125325965D01* +X254689249Y-125410000D01* +X254689249Y-126810000D01* +X254697526Y-126894035D01* +X254722038Y-126974841D01* +X254761844Y-127049312D01* +X254815413Y-127114587D01* +X254880688Y-127168156D01* +X254914400Y-127186176D01* +X254914401Y-128122704D01* +X253760902Y-129276205D01* +X253747337Y-129287338D01* +X253702899Y-129341485D01* +X253669879Y-129403261D01* +X253663970Y-129422741D01* +X253649547Y-129470289D01* +X253649546Y-129470291D01* +X253644400Y-129522538D01* +X253644400Y-129522545D01* +X253642681Y-129540000D01* +X253644400Y-129557455D01* +X253644400Y-131331249D01* +X253619000Y-131331249D01* +X253489899Y-131343964D01* +X253365759Y-131381622D01* +X253251351Y-131442774D01* +X253151071Y-131525071D01* +X253068774Y-131625351D01* +X253007622Y-131739759D01* +X252969964Y-131863899D01* +X252957249Y-131993000D01* +X252957249Y-132003800D01* +X252502751Y-132003800D01* +X252502751Y-131993000D01* +X252490036Y-131863899D01* +X252452378Y-131739759D01* +X252391226Y-131625351D01* +X252308929Y-131525071D01* +X252208649Y-131442774D01* +X252094241Y-131381622D01* +X251970101Y-131343964D01* +X251841000Y-131331249D01* +X251815600Y-131331249D01* +X251815600Y-130957293D01* +X254239105Y-128533790D01* +X254252663Y-128522663D01* +X254263790Y-128509105D01* +X254263795Y-128509100D01* +X254297100Y-128468517D01* +X254297102Y-128468515D01* +X254330121Y-128406740D01* +X254350454Y-128339710D01* +X254355600Y-128287463D01* +X254355600Y-128287454D01* +X254357319Y-128270001D01* +X254355600Y-128252548D01* +X254355600Y-127186176D01* +X254389312Y-127168156D01* +X254454587Y-127114587D01* +X254508156Y-127049312D01* +X254547962Y-126974841D01* +X254572474Y-126894035D01* +X254580751Y-126810000D01* +X254580751Y-125410000D01* +X254572474Y-125325965D01* +X254547962Y-125245159D01* +X254508156Y-125170688D01* +X254454587Y-125105413D01* +X254389312Y-125051844D01* +X254314841Y-125012038D01* +X254234035Y-124987526D01* +X254150000Y-124979249D01* +X253850000Y-124979249D01* +X253765965Y-124987526D01* +X253685159Y-125012038D01* +X253610688Y-125051844D01* +X253545413Y-125105413D01* +X253491844Y-125170688D01* +X253452038Y-125245159D01* +X253427526Y-125325965D01* +X253419249Y-125410000D01* +X253419249Y-126810000D01* +X253427526Y-126894035D01* +X253452038Y-126974841D01* +X253491844Y-127049312D01* +X253545413Y-127114587D01* +X253610688Y-127168156D01* +X253644400Y-127186176D01* +X253644401Y-128122704D01* +X251220902Y-130546205D01* +X251207337Y-130557338D01* +X251162899Y-130611485D01* +X251129879Y-130673261D01* +X251118400Y-130711103D01* +X251109547Y-130740289D01* +X251109546Y-130740291D01* +X251104400Y-130792538D01* +X251104400Y-130792545D01* +X251102681Y-130810000D01* +X251104400Y-130827456D01* +X251104400Y-131331249D01* +X251079000Y-131331249D01* +X250949899Y-131343964D01* +X250825759Y-131381622D01* +X250711351Y-131442774D01* +X250611071Y-131525071D01* +X250528774Y-131625351D01* +X250467622Y-131739759D01* +X250429964Y-131863899D01* +X250417249Y-131993000D01* +X250417249Y-132003800D01* +X249962751Y-132003800D01* +X249962751Y-131993000D01* +X249950036Y-131863899D01* +X249912378Y-131739759D01* +X249851226Y-131625351D01* +X249768929Y-131525071D01* +X249668649Y-131442774D01* +X249554241Y-131381622D01* +X249430101Y-131343964D01* +X249301000Y-131331249D01* +X249275600Y-131331249D01* +X249275600Y-127186176D01* +X249309312Y-127168156D01* +X249374587Y-127114587D01* +X249428156Y-127049312D01* +X249467962Y-126974841D01* +X249492474Y-126894035D01* +X249500751Y-126810000D01* +X249500751Y-125410000D01* +X249492474Y-125325965D01* +X249467962Y-125245159D01* +X249428156Y-125170688D01* +X249374587Y-125105413D01* +X249309312Y-125051844D01* +X249234841Y-125012038D01* +X249154035Y-124987526D01* +X249070000Y-124979249D01* +X248770000Y-124979249D01* +X248685965Y-124987526D01* +X248605159Y-125012038D01* +X248530688Y-125051844D01* +X248465413Y-125105413D01* +X248411844Y-125170688D01* +X248372038Y-125245159D01* +X248347526Y-125325965D01* +X248339249Y-125410000D01* +X248339249Y-126810000D01* +X248347526Y-126894035D01* +X248372038Y-126974841D01* +X248411844Y-127049312D01* +X248465413Y-127114587D01* +X248530688Y-127168156D01* +X248564400Y-127186176D01* +X248564401Y-131331249D01* +X248539000Y-131331249D01* +X248409899Y-131343964D01* +X248285759Y-131381622D01* +X248171351Y-131442774D01* +X248071071Y-131525071D01* +X247988774Y-131625351D01* +X247927622Y-131739759D01* +X247889964Y-131863899D01* +X247877249Y-131993000D01* +X247877249Y-132003800D01* +X247422751Y-132003800D01* +X247422751Y-131993000D01* +X247410036Y-131863899D01* +X247372378Y-131739759D01* +X247311226Y-131625351D01* +X247228929Y-131525071D01* +X247128649Y-131442774D01* +X247014241Y-131381622D01* +X246890101Y-131343964D01* +X246761000Y-131331249D01* +X246735600Y-131331249D01* +X246735600Y-130957293D01* +X247889105Y-129803790D01* +X247902663Y-129792663D01* +X247913790Y-129779105D01* +X247913795Y-129779100D01* +X247943322Y-129743121D01* +X247947101Y-129738516D01* +X247980121Y-129676740D01* +X248000454Y-129609710D01* +X248005600Y-129557463D01* +X248005600Y-129557454D01* +X248007319Y-129540001D01* +X248005600Y-129522548D01* +X248005600Y-127186176D01* +X248039312Y-127168156D01* +X248104587Y-127114587D01* +X248158156Y-127049312D01* +X248197962Y-126974841D01* +X248222474Y-126894035D01* +X248230751Y-126810000D01* +X248230751Y-125410000D01* +X248222474Y-125325965D01* +X248197962Y-125245159D01* +X248158156Y-125170688D01* +X248104587Y-125105413D01* +X248039312Y-125051844D01* +X247964841Y-125012038D01* +X247884035Y-124987526D01* +X247800000Y-124979249D01* +X247500000Y-124979249D01* +X247415965Y-124987526D01* +X247335159Y-125012038D01* +X247260688Y-125051844D01* +X247195413Y-125105413D01* +X247141844Y-125170688D01* +X247102038Y-125245159D01* +X247077526Y-125325965D01* +X247069249Y-125410000D01* +X247069249Y-126810000D01* +X247077526Y-126894035D01* +X247102038Y-126974841D01* +X247141844Y-127049312D01* +X247195413Y-127114587D01* +X247260688Y-127168156D01* +X247294400Y-127186176D01* +X247294401Y-129392704D01* +X246140901Y-130546206D01* +X246127337Y-130557338D01* +X246082899Y-130611485D01* +X246049879Y-130673261D01* +X246038400Y-130711103D01* +X246029547Y-130740289D01* +X246029546Y-130740291D01* +X246024400Y-130792538D01* +X246024400Y-130792545D01* +X246022681Y-130810000D01* +X246024400Y-130827456D01* +X246024400Y-131331249D01* +X245999000Y-131331249D01* +X245869899Y-131343964D01* +X245745759Y-131381622D01* +X245631351Y-131442774D01* +X245531071Y-131525071D01* +X245448774Y-131625351D01* +X245387622Y-131739759D01* +X245349964Y-131863899D01* +X245337249Y-131993000D01* +X245337249Y-132003800D01* +X244882751Y-132003800D01* +X244882751Y-131993000D01* +X244870036Y-131863899D01* +X244832378Y-131739759D01* +X244771226Y-131625351D01* +X244688929Y-131525071D01* +X244588649Y-131442774D01* +X244474241Y-131381622D01* +X244350101Y-131343964D01* +X244221000Y-131331249D01* +X244195600Y-131331249D01* +X244195600Y-130827452D01* +X244197319Y-130809999D01* +X244195600Y-130792546D01* +X244195600Y-130792537D01* +X244190454Y-130740290D01* +X244170121Y-130673260D01* +X244137101Y-130611484D01* +X244133933Y-130607624D01* +X244103795Y-130570900D01* +X244103785Y-130570890D01* +X244092662Y-130557337D01* +X244079110Y-130546215D01* +X242925600Y-129392707D01* +X242925600Y-127186176D01* +X242959312Y-127168156D01* +X243024587Y-127114587D01* +X243078156Y-127049312D01* +X243117962Y-126974841D01* +X243142474Y-126894035D01* +X243150751Y-126810000D01* +X243150751Y-125410000D01* +X243259249Y-125410000D01* +X243259249Y-126810000D01* +X243267526Y-126894035D01* +X243292038Y-126974841D01* +X243331844Y-127049312D01* +X243350004Y-127071440D01* +X243326543Y-127106551D01* +X243282866Y-127211995D01* +X243260600Y-127323934D01* +X243260600Y-127438066D01* +X243282866Y-127550005D01* +X243326543Y-127655449D01* +X243389951Y-127750346D01* +X243470654Y-127831049D01* +X243565551Y-127894457D01* +X243670995Y-127938134D01* +X243782934Y-127960400D01* +X243897066Y-127960400D01* +X244009005Y-127938134D01* +X244114449Y-127894457D01* +X244209346Y-127831049D01* +X244290049Y-127750346D01* +X244353457Y-127655449D01* +X244397134Y-127550005D01* +X244419400Y-127438066D01* +X244419400Y-127323934D01* +X244397134Y-127211995D01* +X244353457Y-127106551D01* +X244329996Y-127071440D01* +X244348156Y-127049312D01* +X244387962Y-126974841D01* +X244412474Y-126894035D01* +X244420751Y-126810000D01* +X244420751Y-125410000D01* +X244529249Y-125410000D01* +X244529249Y-126810000D01* +X244537526Y-126894035D01* +X244562038Y-126974841D01* +X244601844Y-127049312D01* +X244655413Y-127114587D01* +X244720688Y-127168156D01* +X244795159Y-127207962D01* +X244875965Y-127232474D01* +X244960000Y-127240751D01* +X245260000Y-127240751D01* +X245344035Y-127232474D01* +X245424841Y-127207962D01* +X245499312Y-127168156D01* +X245564587Y-127114587D01* +X245618156Y-127049312D01* +X245657962Y-126974841D01* +X245682474Y-126894035D01* +X245690751Y-126810000D01* +X245690751Y-125410000D01* +X245799249Y-125410000D01* +X245799249Y-126810000D01* +X245807526Y-126894035D01* +X245832038Y-126974841D01* +X245871844Y-127049312D01* +X245890004Y-127071440D01* +X245866543Y-127106551D01* +X245822866Y-127211995D01* +X245800600Y-127323934D01* +X245800600Y-127438066D01* +X245822866Y-127550005D01* +X245866543Y-127655449D01* +X245929951Y-127750346D01* +X246010654Y-127831049D01* +X246105551Y-127894457D01* +X246210995Y-127938134D01* +X246322934Y-127960400D01* +X246437066Y-127960400D01* +X246549005Y-127938134D01* +X246654449Y-127894457D01* +X246749346Y-127831049D01* +X246830049Y-127750346D01* +X246893457Y-127655449D01* +X246937134Y-127550005D01* +X246959400Y-127438066D01* +X246959400Y-127323934D01* +X246937134Y-127211995D01* +X246893457Y-127106551D01* +X246869996Y-127071440D01* +X246888156Y-127049312D01* +X246927962Y-126974841D01* +X246952474Y-126894035D01* +X246960751Y-126810000D01* +X246960751Y-125410000D01* +X246952474Y-125325965D01* +X246927962Y-125245159D01* +X246888156Y-125170688D01* +X246834587Y-125105413D01* +X246769312Y-125051844D01* +X246694841Y-125012038D01* +X246614035Y-124987526D01* +X246530000Y-124979249D01* +X246230000Y-124979249D01* +X246145965Y-124987526D01* +X246065159Y-125012038D01* +X245990688Y-125051844D01* +X245925413Y-125105413D01* +X245871844Y-125170688D01* +X245832038Y-125245159D01* +X245807526Y-125325965D01* +X245799249Y-125410000D01* +X245690751Y-125410000D01* +X245682474Y-125325965D01* +X245657962Y-125245159D01* +X245618156Y-125170688D01* +X245564587Y-125105413D01* +X245499312Y-125051844D01* +X245424841Y-125012038D01* +X245344035Y-124987526D01* +X245260000Y-124979249D01* +X244960000Y-124979249D01* +X244875965Y-124987526D01* +X244795159Y-125012038D01* +X244720688Y-125051844D01* +X244655413Y-125105413D01* +X244601844Y-125170688D01* +X244562038Y-125245159D01* +X244537526Y-125325965D01* +X244529249Y-125410000D01* +X244420751Y-125410000D01* +X244412474Y-125325965D01* +X244387962Y-125245159D01* +X244348156Y-125170688D01* +X244294587Y-125105413D01* +X244229312Y-125051844D01* +X244154841Y-125012038D01* +X244074035Y-124987526D01* +X243990000Y-124979249D01* +X243690000Y-124979249D01* +X243605965Y-124987526D01* +X243525159Y-125012038D01* +X243450688Y-125051844D01* +X243385413Y-125105413D01* +X243331844Y-125170688D01* +X243292038Y-125245159D01* +X243267526Y-125325965D01* +X243259249Y-125410000D01* +X243150751Y-125410000D01* +X243142474Y-125325965D01* +X243117962Y-125245159D01* +X243078156Y-125170688D01* +X243024587Y-125105413D01* +X242959312Y-125051844D01* +X242884841Y-125012038D01* +X242804035Y-124987526D01* +X242720000Y-124979249D01* +X242420000Y-124979249D01* +X242335965Y-124987526D01* +X242255159Y-125012038D01* +X242180688Y-125051844D01* +X242115413Y-125105413D01* +X242061844Y-125170688D01* +X242022038Y-125245159D01* +X241997526Y-125325965D01* +X241989249Y-125410000D01* +X241989249Y-126810000D01* +X241997526Y-126894035D01* +X242022038Y-126974841D01* +X242061844Y-127049312D01* +X242115413Y-127114587D01* +X242180688Y-127168156D01* +X242214400Y-127186176D01* +X242214401Y-129522535D01* +X242212681Y-129540000D01* +X242219546Y-129609710D01* +X242239880Y-129676741D01* +X242239881Y-129676742D01* +X242272900Y-129738516D01* +X242317338Y-129792663D01* +X242330901Y-129803794D01* +X243484400Y-130957295D01* +X243484400Y-131331249D01* +X243459000Y-131331249D01* +X243329899Y-131343964D01* +X243205759Y-131381622D01* +X243091351Y-131442774D01* +X242991071Y-131525071D01* +X242908774Y-131625351D01* +X242847622Y-131739759D01* +X242809964Y-131863899D01* +X242797249Y-131993000D01* +X242797249Y-132003800D01* +X242342751Y-132003800D01* +X242342751Y-131993000D01* +X242330036Y-131863899D01* +X242292378Y-131739759D01* +X242231226Y-131625351D01* +X242148929Y-131525071D01* +X242048649Y-131442774D01* +X241934241Y-131381622D01* +X241810101Y-131343964D01* +X241681000Y-131331249D01* +X241655600Y-131331249D01* +X241655600Y-129557452D01* +X241657319Y-129539999D01* +X241655600Y-129522546D01* +X241655600Y-129522537D01* +X241650454Y-129470290D01* +X241630121Y-129403260D01* +X241625994Y-129395538D01* +X241622669Y-129389319D01* +X241597101Y-129341484D01* +X241591844Y-129335078D01* +X241563795Y-129300900D01* +X241563790Y-129300895D01* +X241552663Y-129287337D01* +X241539105Y-129276210D01* +X240385600Y-128122707D01* +X240385600Y-127186176D01* +X240419312Y-127168156D01* +X240484587Y-127114587D01* +X240538156Y-127049312D01* +X240577962Y-126974841D01* +X240582463Y-126960000D01* +X240719248Y-126960000D01* +X240724643Y-127014772D01* +X240740619Y-127067439D01* +X240766563Y-127115977D01* +X240801478Y-127158522D01* +X240844023Y-127193437D01* +X240892561Y-127219381D01* +X240945228Y-127235357D01* +X241000000Y-127240752D01* +X241156350Y-127239400D01* +X241226200Y-127169550D01* +X241226200Y-126183800D01* +X241373800Y-126183800D01* +X241373800Y-127169550D01* +X241443650Y-127239400D01* +X241600000Y-127240752D01* +X241654772Y-127235357D01* +X241707439Y-127219381D01* +X241755977Y-127193437D01* +X241798522Y-127158522D01* +X241833437Y-127115977D01* +X241859381Y-127067439D01* +X241875357Y-127014772D01* +X241880752Y-126960000D01* +X241879400Y-126253650D01* +X241809550Y-126183800D01* +X241373800Y-126183800D01* +X241226200Y-126183800D01* +X240790450Y-126183800D01* +X240720600Y-126253650D01* +X240719248Y-126960000D01* +X240582463Y-126960000D01* +X240602474Y-126894035D01* +X240610751Y-126810000D01* +X240610751Y-125410000D01* +X240602474Y-125325965D01* +X240582464Y-125260000D01* +X240719248Y-125260000D01* +X240720600Y-125966350D01* +X240790450Y-126036200D01* +X241226200Y-126036200D01* +X241226200Y-125050450D01* +X241373800Y-125050450D01* +X241373800Y-126036200D01* +X241809550Y-126036200D01* +X241879400Y-125966350D01* +X241880752Y-125260000D01* +X241875357Y-125205228D01* +X241859381Y-125152561D01* +X241833437Y-125104023D01* +X241798522Y-125061478D01* +X241755977Y-125026563D01* +X241707439Y-125000619D01* +X241654772Y-124984643D01* +X241600000Y-124979248D01* +X241443650Y-124980600D01* +X241373800Y-125050450D01* +X241226200Y-125050450D01* +X241156350Y-124980600D01* +X241000000Y-124979248D01* +X240945228Y-124984643D01* +X240892561Y-125000619D01* +X240844023Y-125026563D01* +X240801478Y-125061478D01* +X240766563Y-125104023D01* +X240740619Y-125152561D01* +X240724643Y-125205228D01* +X240719248Y-125260000D01* +X240582464Y-125260000D01* +X240577962Y-125245159D01* +X240538156Y-125170688D01* +X240484587Y-125105413D01* +X240419312Y-125051844D01* +X240344841Y-125012038D01* +X240264035Y-124987526D01* +X240180000Y-124979249D01* +X239880000Y-124979249D01* +X239795965Y-124987526D01* +X239715159Y-125012038D01* +X239640688Y-125051844D01* +X239575413Y-125105413D01* +X239521844Y-125170688D01* +X239482038Y-125245159D01* +X239457526Y-125325965D01* +X239449249Y-125410000D01* +X239449249Y-126810000D01* +X239457526Y-126894035D01* +X239482038Y-126974841D01* +X239521844Y-127049312D01* +X239575413Y-127114587D01* +X239640688Y-127168156D01* +X239674400Y-127186176D01* +X239674401Y-128252535D01* +X239672681Y-128270000D01* +X239679546Y-128339710D01* +X239699880Y-128406741D01* +X239699881Y-128406742D01* +X239732900Y-128468516D01* +X239777338Y-128522663D01* +X239790901Y-128533794D01* +X240944401Y-129687296D01* +X240944401Y-131331249D01* +X240919000Y-131331249D01* +X240789899Y-131343964D01* +X240665759Y-131381622D01* +X240551351Y-131442774D01* +X240451071Y-131525071D01* +X240368774Y-131625351D01* +X240307622Y-131739759D01* +X240269964Y-131863899D01* +X240257249Y-131993000D01* +X240257249Y-132003800D01* +X239802751Y-132003800D01* +X239802751Y-131993000D01* +X239790036Y-131863899D01* +X239752378Y-131739759D01* +X239691226Y-131625351D01* +X239608929Y-131525071D01* +X239508649Y-131442774D01* +X239394241Y-131381622D01* +X239270101Y-131343964D01* +X239141000Y-131331249D01* +X239115600Y-131331249D01* +X239115600Y-130192452D01* +X239117319Y-130174999D01* +X239115600Y-130157546D01* +X239115600Y-130157537D01* +X239110454Y-130105290D01* +X239090121Y-130038260D01* +X239057101Y-129976484D01* +X239053933Y-129972624D01* +X239023795Y-129935900D01* +X239023785Y-129935890D01* +X239012662Y-129922337D01* +X238999110Y-129911215D01* +X236575600Y-127487707D01* +X236575600Y-127186176D01* +X236609312Y-127168156D01* +X236674587Y-127114587D01* +X236728156Y-127049312D01* +X236767962Y-126974841D01* +X236792474Y-126894035D01* +X236800751Y-126810000D01* +X236800751Y-125410000D01* +X236909249Y-125410000D01* +X236909249Y-126810000D01* +X236917526Y-126894035D01* +X236942038Y-126974841D01* +X236981844Y-127049312D01* +X237000004Y-127071440D01* +X236976543Y-127106551D01* +X236932866Y-127211995D01* +X236910600Y-127323934D01* +X236910600Y-127438066D01* +X236932866Y-127550005D01* +X236976543Y-127655449D01* +X237039951Y-127750346D01* +X237120654Y-127831049D01* +X237215551Y-127894457D01* +X237320995Y-127938134D01* +X237432934Y-127960400D01* +X237547066Y-127960400D01* +X237659005Y-127938134D01* +X237764449Y-127894457D01* +X237859346Y-127831049D01* +X237940049Y-127750346D01* +X238003457Y-127655449D01* +X238047134Y-127550005D01* +X238069400Y-127438066D01* +X238069400Y-127323934D01* +X238047134Y-127211995D01* +X238003457Y-127106551D01* +X237979996Y-127071440D01* +X237998156Y-127049312D01* +X238037962Y-126974841D01* +X238062474Y-126894035D01* +X238070751Y-126810000D01* +X238070751Y-125410000D01* +X238179249Y-125410000D01* +X238179249Y-126810000D01* +X238187526Y-126894035D01* +X238212038Y-126974841D01* +X238251844Y-127049312D01* +X238270004Y-127071440D01* +X238246543Y-127106551D01* +X238202866Y-127211995D01* +X238180600Y-127323934D01* +X238180600Y-127438066D01* +X238202866Y-127550005D01* +X238246543Y-127655449D01* +X238309951Y-127750346D01* +X238390654Y-127831049D01* +X238485551Y-127894457D01* +X238590995Y-127938134D01* +X238702934Y-127960400D01* +X238817066Y-127960400D01* +X238929005Y-127938134D01* +X239034449Y-127894457D01* +X239129346Y-127831049D01* +X239210049Y-127750346D01* +X239273457Y-127655449D01* +X239317134Y-127550005D01* +X239339400Y-127438066D01* +X239339400Y-127323934D01* +X239317134Y-127211995D01* +X239273457Y-127106551D01* +X239249996Y-127071440D01* +X239268156Y-127049312D01* +X239307962Y-126974841D01* +X239332474Y-126894035D01* +X239340751Y-126810000D01* +X239340751Y-125410000D01* +X239332474Y-125325965D01* +X239307962Y-125245159D01* +X239268156Y-125170688D01* +X239214587Y-125105413D01* +X239149312Y-125051844D01* +X239074841Y-125012038D01* +X238994035Y-124987526D01* +X238910000Y-124979249D01* +X238610000Y-124979249D01* +X238525965Y-124987526D01* +X238445159Y-125012038D01* +X238370688Y-125051844D01* +X238305413Y-125105413D01* +X238251844Y-125170688D01* +X238212038Y-125245159D01* +X238187526Y-125325965D01* +X238179249Y-125410000D01* +X238070751Y-125410000D01* +X238062474Y-125325965D01* +X238037962Y-125245159D01* +X237998156Y-125170688D01* +X237944587Y-125105413D01* +X237879312Y-125051844D01* +X237804841Y-125012038D01* +X237724035Y-124987526D01* +X237640000Y-124979249D01* +X237340000Y-124979249D01* +X237255965Y-124987526D01* +X237175159Y-125012038D01* +X237100688Y-125051844D01* +X237035413Y-125105413D01* +X236981844Y-125170688D01* +X236942038Y-125245159D01* +X236917526Y-125325965D01* +X236909249Y-125410000D01* +X236800751Y-125410000D01* +X236792474Y-125325965D01* +X236767962Y-125245159D01* +X236728156Y-125170688D01* +X236674587Y-125105413D01* +X236609312Y-125051844D01* +X236534841Y-125012038D01* +X236454035Y-124987526D01* +X236370000Y-124979249D01* +X236070000Y-124979249D01* +X235985965Y-124987526D01* +X235905159Y-125012038D01* +X235830688Y-125051844D01* +X235765413Y-125105413D01* +X235711844Y-125170688D01* +X235672038Y-125245159D01* +X235647526Y-125325965D01* +X235639249Y-125410000D01* +X235639249Y-126810000D01* +X235647526Y-126894035D01* +X235672038Y-126974841D01* +X235711844Y-127049312D01* +X235765413Y-127114587D01* +X235830688Y-127168156D01* +X235864401Y-127186176D01* +X235864401Y-127617535D01* +X235862681Y-127635000D01* +X235869546Y-127704710D01* +X235889880Y-127771741D01* +X235901001Y-127792546D01* +X235922900Y-127833516D01* +X235967338Y-127887663D01* +X235980901Y-127898794D01* +X238404400Y-130322295D01* +X238404400Y-131331249D01* +X238379000Y-131331249D01* +X238249899Y-131343964D01* +X238125759Y-131381622D01* +X238011351Y-131442774D01* +X237911071Y-131525071D01* +X237828774Y-131625351D01* +X237767622Y-131739759D01* +X237729964Y-131863899D01* +X237717249Y-131993000D01* +X237717249Y-132003800D01* +X237262751Y-132003800D01* +X237262751Y-131993000D01* +X237250036Y-131863899D01* +X237212378Y-131739759D01* +X237151226Y-131625351D01* +X237068929Y-131525071D01* +X236968649Y-131442774D01* +X236854241Y-131381622D01* +X236730101Y-131343964D01* +X236601000Y-131331249D01* +X236575600Y-131331249D01* +X236575600Y-128922452D01* +X236577319Y-128904999D01* +X236575600Y-128887546D01* +X236575600Y-128887537D01* +X236570454Y-128835290D01* +X236550121Y-128768260D01* +X236517101Y-128706484D01* +X236496635Y-128681546D01* +X236483795Y-128665900D01* +X236483790Y-128665895D01* +X236472663Y-128652337D01* +X236459105Y-128641210D01* +X235305600Y-127487707D01* +X235305600Y-127186176D01* +X235339312Y-127168156D01* +X235404587Y-127114587D01* +X235458156Y-127049312D01* +X235497962Y-126974841D01* +X235522474Y-126894035D01* +X235530751Y-126810000D01* +X235530751Y-125410000D01* +X235522474Y-125325965D01* +X235497962Y-125245159D01* +X235458156Y-125170688D01* +X235404587Y-125105413D01* +X235339312Y-125051844D01* +X235264841Y-125012038D01* +X235184035Y-124987526D01* +X235100000Y-124979249D01* +X234840608Y-124979249D01* +X234949946Y-124946082D01* +X235064673Y-124884758D01* +X235140035Y-124822911D01* +X238652547Y-121310400D01* +X249281454Y-121310400D01* +X249529600Y-121558547D01* +X249529601Y-124873439D01* +X249539157Y-124970461D01* +X249576919Y-125094947D01* +X249638242Y-125209674D01* +X249652027Y-125226471D01* +X249642038Y-125245159D01* +X249617526Y-125325965D01* +X249609249Y-125410000D01* +X249609249Y-126810000D01* +X249617526Y-126894035D01* +X249642038Y-126974841D01* +X249681844Y-127049312D01* +X249735413Y-127114587D01* +X249800688Y-127168156D01* +X249875159Y-127207962D01* +X249955965Y-127232474D01* +X250040000Y-127240751D01* +X250340000Y-127240751D01* +X250424035Y-127232474D01* +X250504841Y-127207962D01* +X250579312Y-127168156D01* +X250644587Y-127114587D01* +X250698156Y-127049312D01* +X250737962Y-126974841D01* +X250762474Y-126894035D01* +X250770751Y-126810000D01* +X250770751Y-125410000D01* +X250879249Y-125410000D01* +X250879249Y-126810000D01* +X250887526Y-126894035D01* +X250912038Y-126974841D01* +X250951844Y-127049312D01* +X250970004Y-127071440D01* +X250946543Y-127106551D01* +X250902866Y-127211995D01* +X250880600Y-127323934D01* +X250880600Y-127438066D01* +X250902866Y-127550005D01* +X250946543Y-127655449D01* +X251009951Y-127750346D01* +X251090654Y-127831049D01* +X251185551Y-127894457D01* +X251290995Y-127938134D01* +X251402934Y-127960400D01* +X251517066Y-127960400D01* +X251629005Y-127938134D01* +X251734449Y-127894457D01* +X251829346Y-127831049D01* +X251910049Y-127750346D01* +X251973457Y-127655449D01* +X252017134Y-127550005D01* +X252039400Y-127438066D01* +X252039400Y-127323934D01* +X252017134Y-127211995D01* +X251973457Y-127106551D01* +X251949996Y-127071440D01* +X251968156Y-127049312D01* +X252007962Y-126974841D01* +X252032474Y-126894035D01* +X252040751Y-126810000D01* +X252040751Y-125410000D01* +X252149249Y-125410000D01* +X252149249Y-126810000D01* +X252157526Y-126894035D01* +X252182038Y-126974841D01* +X252221844Y-127049312D01* +X252240004Y-127071440D01* +X252216543Y-127106551D01* +X252172866Y-127211995D01* +X252150600Y-127323934D01* +X252150600Y-127438066D01* +X252172866Y-127550005D01* +X252216543Y-127655449D01* +X252279951Y-127750346D01* +X252360654Y-127831049D01* +X252455551Y-127894457D01* +X252560995Y-127938134D01* +X252672934Y-127960400D01* +X252787066Y-127960400D01* +X252899005Y-127938134D01* +X253004449Y-127894457D01* +X253099346Y-127831049D01* +X253180049Y-127750346D01* +X253243457Y-127655449D01* +X253287134Y-127550005D01* +X253309400Y-127438066D01* +X253309400Y-127323934D01* +X253287134Y-127211995D01* +X253243457Y-127106551D01* +X253219996Y-127071440D01* +X253238156Y-127049312D01* +X253277962Y-126974841D01* +X253302474Y-126894035D01* +X253310751Y-126810000D01* +X253310751Y-125410000D01* +X253302474Y-125325965D01* +X253277962Y-125245159D01* +X253238156Y-125170688D01* +X253184587Y-125105413D01* +X253119312Y-125051844D01* +X253044841Y-125012038D01* +X252964035Y-124987526D01* +X252880000Y-124979249D01* +X252580000Y-124979249D01* +X252495965Y-124987526D01* +X252415159Y-125012038D01* +X252340688Y-125051844D01* +X252275413Y-125105413D01* +X252221844Y-125170688D01* +X252182038Y-125245159D01* +X252157526Y-125325965D01* +X252149249Y-125410000D01* +X252040751Y-125410000D01* +X252032474Y-125325965D01* +X252007962Y-125245159D01* +X251968156Y-125170688D01* +X251914587Y-125105413D01* +X251849312Y-125051844D01* +X251774841Y-125012038D01* +X251694035Y-124987526D01* +X251610000Y-124979249D01* +X251310000Y-124979249D01* +X251225965Y-124987526D01* +X251145159Y-125012038D01* +X251070688Y-125051844D01* +X251005413Y-125105413D01* +X250951844Y-125170688D01* +X250912038Y-125245159D01* +X250887526Y-125325965D01* +X250879249Y-125410000D01* +X250770751Y-125410000D01* +X250762474Y-125325965D01* +X250737962Y-125245159D01* +X250727973Y-125226472D01* +X250741759Y-125209674D01* +X250803082Y-125094947D01* +X250840844Y-124970461D01* +X250850400Y-124873439D01* +X250850400Y-121770000D01* +X260869249Y-121770000D01* +X260869249Y-122070000D01* +X260877526Y-122154035D01* +X260902038Y-122234841D01* +X260941844Y-122309312D01* +X260995413Y-122374587D01* +X261060688Y-122428156D01* +X261135159Y-122467962D01* +X261215965Y-122492474D01* +X261300000Y-122500751D01* +X262700000Y-122500751D01* +X262784035Y-122492474D01* +X262864841Y-122467962D01* +X262939312Y-122428156D01* +X262961440Y-122409996D01* +X262996551Y-122433457D01* +X263101995Y-122477134D01* +X263213934Y-122499400D01* +X263328066Y-122499400D01* +X263440005Y-122477134D01* +X263545449Y-122433457D01* +X263640346Y-122370049D01* +X263721049Y-122289346D01* +X263784457Y-122194449D01* +X263828134Y-122089005D01* +X263850400Y-121977066D01* +X263850400Y-121862934D01* +X263828134Y-121750995D01* +X263784457Y-121645551D01* +X263721049Y-121550654D01* +X263640346Y-121469951D01* +X263545449Y-121406543D01* +X263440005Y-121362866D01* +X263328066Y-121340600D01* +X263213934Y-121340600D01* +X263101995Y-121362866D01* +X262996551Y-121406543D01* +X262961440Y-121430004D01* +X262939312Y-121411844D01* +X262864841Y-121372038D01* +X262784035Y-121347526D01* +X262700000Y-121339249D01* +X261300000Y-121339249D01* +X261215965Y-121347526D01* +X261135159Y-121372038D01* +X261060688Y-121411844D01* +X260995413Y-121465413D01* +X260941844Y-121530688D01* +X260902038Y-121605159D01* +X260877526Y-121685965D01* +X260869249Y-121770000D01* +X250850400Y-121770000D01* +X250850400Y-121558546D01* +X251098547Y-121310400D01* +X260763439Y-121310400D01* +X260860461Y-121300844D01* +X260984947Y-121263082D01* +X261099674Y-121201759D01* +X261116472Y-121187973D01* +X261135159Y-121197962D01* +X261215965Y-121222474D01* +X261300000Y-121230751D01* +X262700000Y-121230751D01* +X262784035Y-121222474D01* +X262864841Y-121197962D01* +X262884739Y-121187326D01* +X262902326Y-121201759D01* +G36* +X231511205Y-104379099D02* +G01* +X231522337Y-104392663D01* +X231554916Y-104419400D01* +X224248084Y-104419400D01* +X224280663Y-104392663D01* +X224291799Y-104379094D01* +X224810294Y-103860600D01* +X230992707Y-103860600D01* +X231511205Y-104379099D01* +X231511205Y-104379099D01* +G37* +X231511205Y-104379099D02* +X231522337Y-104392663D01* +X231554916Y-104419400D01* +X224248084Y-104419400D01* +X224280663Y-104392663D01* +X224291799Y-104379094D01* +X224810294Y-103860600D01* +X230992707Y-103860600D01* +X231511205Y-104379099D01* +G36* +X231892204Y-103744098D02* +G01* +X231903337Y-103757663D01* +X231935916Y-103784400D01* +X231922294Y-103784400D01* +X231403799Y-103265906D01* +X231392663Y-103252337D01* +X231338516Y-103207899D01* +X231276740Y-103174879D01* +X231209710Y-103154546D01* +X231157463Y-103149400D01* +X231157455Y-103149400D01* +X231140000Y-103147681D01* +X231122545Y-103149400D01* +X224680455Y-103149400D01* +X224663000Y-103147681D01* +X224645545Y-103149400D01* +X224645537Y-103149400D01* +X224631068Y-103150825D01* +X225572244Y-102209650D01* +X230357757Y-102209650D01* +X231892204Y-103744098D01* +X231892204Y-103744098D01* +G37* +X231892204Y-103744098D02* +X231903337Y-103757663D01* +X231935916Y-103784400D01* +X231922294Y-103784400D01* +X231403799Y-103265906D01* +X231392663Y-103252337D01* +X231338516Y-103207899D01* +X231276740Y-103174879D01* +X231209710Y-103154546D01* +X231157463Y-103149400D01* +X231157455Y-103149400D01* +X231140000Y-103147681D01* +X231122545Y-103149400D01* +X224680455Y-103149400D01* +X224663000Y-103147681D01* +X224645545Y-103149400D01* +X224645537Y-103149400D01* +X224631068Y-103150825D01* +X225572244Y-102209650D01* +X230357757Y-102209650D01* +X231892204Y-103744098D01* +M02* diff --git a/gerber/RAM2E-F_Mask.gts b/gerber/RAM2E-F_Mask.gts new file mode 100644 index 0000000..330027c --- /dev/null +++ b/gerber/RAM2E-F_Mask.gts @@ -0,0 +1,7110 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.2-1)-1* +G04 #@! TF.CreationDate,2019-07-30T17:06:57-04:00* +G04 #@! TF.ProjectId,RAM2E,52414d32-452e-46b6-9963-61645f706362,rev?* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Soldermask,Top* +G04 #@! TF.FilePolarity,Negative* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW (5.1.2-1)-1) date 2019-07-30 17:06:57* +%MOMM*% +%LPD*% +G04 APERTURE LIST* +%ADD10C,0.100000*% +G04 APERTURE END LIST* +D10* +G36* +X276860000Y-139446000D02* +G01* +X276352000Y-139954000D01* +X198628000Y-139954000D01* +X198120000Y-139446000D01* +X198120000Y-132080000D01* +X276860000Y-132080000D01* +X276860000Y-139446000D01* +G37* +G36* +X274846355Y-131543544D02* +G01* +X274918967Y-131565570D01* +X274985881Y-131601336D01* +X275044531Y-131649469D01* +X275092664Y-131708119D01* +X275128430Y-131775033D01* +X275150456Y-131847645D01* +X275158200Y-131926267D01* +X275158200Y-138837733D01* +X275150456Y-138916355D01* +X275128430Y-138988967D01* +X275092664Y-139055881D01* +X275044531Y-139114531D01* +X274985881Y-139162664D01* +X274918967Y-139198430D01* +X274846355Y-139220456D01* +X274767733Y-139228200D01* +X273872267Y-139228200D01* +X273793645Y-139220456D01* +X273721033Y-139198430D01* +X273654119Y-139162664D01* +X273595469Y-139114531D01* +X273547336Y-139055881D01* +X273511570Y-138988967D01* +X273489544Y-138916355D01* +X273481800Y-138837733D01* +X273481800Y-131926267D01* +X273489544Y-131847645D01* +X273511570Y-131775033D01* +X273547336Y-131708119D01* +X273595469Y-131649469D01* +X273654119Y-131601336D01* +X273721033Y-131565570D01* +X273793645Y-131543544D01* +X273872267Y-131535800D01* +X274767733Y-131535800D01* +X274846355Y-131543544D01* +X274846355Y-131543544D01* +G37* +G36* +X272306355Y-131543544D02* +G01* +X272378967Y-131565570D01* +X272445881Y-131601336D01* +X272504531Y-131649469D01* +X272552664Y-131708119D01* +X272588430Y-131775033D01* +X272610456Y-131847645D01* +X272618200Y-131926267D01* +X272618200Y-138837733D01* +X272610456Y-138916355D01* +X272588430Y-138988967D01* +X272552664Y-139055881D01* +X272504531Y-139114531D01* +X272445881Y-139162664D01* +X272378967Y-139198430D01* +X272306355Y-139220456D01* +X272227733Y-139228200D01* +X271332267Y-139228200D01* +X271253645Y-139220456D01* +X271181033Y-139198430D01* +X271114119Y-139162664D01* +X271055469Y-139114531D01* +X271007336Y-139055881D01* +X270971570Y-138988967D01* +X270949544Y-138916355D01* +X270941800Y-138837733D01* +X270941800Y-131926267D01* +X270949544Y-131847645D01* +X270971570Y-131775033D01* +X271007336Y-131708119D01* +X271055469Y-131649469D01* +X271114119Y-131601336D01* +X271181033Y-131565570D01* +X271253645Y-131543544D01* +X271332267Y-131535800D01* +X272227733Y-131535800D01* +X272306355Y-131543544D01* +X272306355Y-131543544D01* +G37* +G36* +X269766355Y-131543544D02* +G01* +X269838967Y-131565570D01* +X269905881Y-131601336D01* +X269964531Y-131649469D01* +X270012664Y-131708119D01* +X270048430Y-131775033D01* +X270070456Y-131847645D01* +X270078200Y-131926267D01* +X270078200Y-138837733D01* +X270070456Y-138916355D01* +X270048430Y-138988967D01* +X270012664Y-139055881D01* +X269964531Y-139114531D01* +X269905881Y-139162664D01* +X269838967Y-139198430D01* +X269766355Y-139220456D01* +X269687733Y-139228200D01* +X268792267Y-139228200D01* +X268713645Y-139220456D01* +X268641033Y-139198430D01* +X268574119Y-139162664D01* +X268515469Y-139114531D01* +X268467336Y-139055881D01* +X268431570Y-138988967D01* +X268409544Y-138916355D01* +X268401800Y-138837733D01* +X268401800Y-131926267D01* +X268409544Y-131847645D01* +X268431570Y-131775033D01* +X268467336Y-131708119D01* +X268515469Y-131649469D01* +X268574119Y-131601336D01* +X268641033Y-131565570D01* +X268713645Y-131543544D01* +X268792267Y-131535800D01* +X269687733Y-131535800D01* +X269766355Y-131543544D01* +X269766355Y-131543544D01* +G37* +G36* +X267226355Y-131543544D02* +G01* +X267298967Y-131565570D01* +X267365881Y-131601336D01* +X267424531Y-131649469D01* +X267472664Y-131708119D01* +X267508430Y-131775033D01* +X267530456Y-131847645D01* +X267538200Y-131926267D01* +X267538200Y-138837733D01* +X267530456Y-138916355D01* +X267508430Y-138988967D01* +X267472664Y-139055881D01* +X267424531Y-139114531D01* +X267365881Y-139162664D01* +X267298967Y-139198430D01* +X267226355Y-139220456D01* +X267147733Y-139228200D01* +X266252267Y-139228200D01* +X266173645Y-139220456D01* +X266101033Y-139198430D01* +X266034119Y-139162664D01* +X265975469Y-139114531D01* +X265927336Y-139055881D01* +X265891570Y-138988967D01* +X265869544Y-138916355D01* +X265861800Y-138837733D01* +X265861800Y-131926267D01* +X265869544Y-131847645D01* +X265891570Y-131775033D01* +X265927336Y-131708119D01* +X265975469Y-131649469D01* +X266034119Y-131601336D01* +X266101033Y-131565570D01* +X266173645Y-131543544D01* +X266252267Y-131535800D01* +X267147733Y-131535800D01* +X267226355Y-131543544D01* +X267226355Y-131543544D01* +G37* +G36* +X264686355Y-131543544D02* +G01* +X264758967Y-131565570D01* +X264825881Y-131601336D01* +X264884531Y-131649469D01* +X264932664Y-131708119D01* +X264968430Y-131775033D01* +X264990456Y-131847645D01* +X264998200Y-131926267D01* +X264998200Y-138837733D01* +X264990456Y-138916355D01* +X264968430Y-138988967D01* +X264932664Y-139055881D01* +X264884531Y-139114531D01* +X264825881Y-139162664D01* +X264758967Y-139198430D01* +X264686355Y-139220456D01* +X264607733Y-139228200D01* +X263712267Y-139228200D01* +X263633645Y-139220456D01* +X263561033Y-139198430D01* +X263494119Y-139162664D01* +X263435469Y-139114531D01* +X263387336Y-139055881D01* +X263351570Y-138988967D01* +X263329544Y-138916355D01* +X263321800Y-138837733D01* +X263321800Y-131926267D01* +X263329544Y-131847645D01* +X263351570Y-131775033D01* +X263387336Y-131708119D01* +X263435469Y-131649469D01* +X263494119Y-131601336D01* +X263561033Y-131565570D01* +X263633645Y-131543544D01* +X263712267Y-131535800D01* +X264607733Y-131535800D01* +X264686355Y-131543544D01* +X264686355Y-131543544D01* +G37* +G36* +X262146355Y-131543544D02* +G01* +X262218967Y-131565570D01* +X262285881Y-131601336D01* +X262344531Y-131649469D01* +X262392664Y-131708119D01* +X262428430Y-131775033D01* +X262450456Y-131847645D01* +X262458200Y-131926267D01* +X262458200Y-138837733D01* +X262450456Y-138916355D01* +X262428430Y-138988967D01* +X262392664Y-139055881D01* +X262344531Y-139114531D01* +X262285881Y-139162664D01* +X262218967Y-139198430D01* +X262146355Y-139220456D01* +X262067733Y-139228200D01* +X261172267Y-139228200D01* +X261093645Y-139220456D01* +X261021033Y-139198430D01* +X260954119Y-139162664D01* +X260895469Y-139114531D01* +X260847336Y-139055881D01* +X260811570Y-138988967D01* +X260789544Y-138916355D01* +X260781800Y-138837733D01* +X260781800Y-131926267D01* +X260789544Y-131847645D01* +X260811570Y-131775033D01* +X260847336Y-131708119D01* +X260895469Y-131649469D01* +X260954119Y-131601336D01* +X261021033Y-131565570D01* +X261093645Y-131543544D01* +X261172267Y-131535800D01* +X262067733Y-131535800D01* +X262146355Y-131543544D01* +X262146355Y-131543544D01* +G37* +G36* +X259606355Y-131543544D02* +G01* +X259678967Y-131565570D01* +X259745881Y-131601336D01* +X259804531Y-131649469D01* +X259852664Y-131708119D01* +X259888430Y-131775033D01* +X259910456Y-131847645D01* +X259918200Y-131926267D01* +X259918200Y-138837733D01* +X259910456Y-138916355D01* +X259888430Y-138988967D01* +X259852664Y-139055881D01* +X259804531Y-139114531D01* +X259745881Y-139162664D01* +X259678967Y-139198430D01* +X259606355Y-139220456D01* +X259527733Y-139228200D01* +X258632267Y-139228200D01* +X258553645Y-139220456D01* +X258481033Y-139198430D01* +X258414119Y-139162664D01* +X258355469Y-139114531D01* +X258307336Y-139055881D01* +X258271570Y-138988967D01* +X258249544Y-138916355D01* +X258241800Y-138837733D01* +X258241800Y-131926267D01* +X258249544Y-131847645D01* +X258271570Y-131775033D01* +X258307336Y-131708119D01* +X258355469Y-131649469D01* +X258414119Y-131601336D01* +X258481033Y-131565570D01* +X258553645Y-131543544D01* +X258632267Y-131535800D01* +X259527733Y-131535800D01* +X259606355Y-131543544D01* +X259606355Y-131543544D01* +G37* +G36* +X257066355Y-131543544D02* +G01* +X257138967Y-131565570D01* +X257205881Y-131601336D01* +X257264531Y-131649469D01* +X257312664Y-131708119D01* +X257348430Y-131775033D01* +X257370456Y-131847645D01* +X257378200Y-131926267D01* +X257378200Y-138837733D01* +X257370456Y-138916355D01* +X257348430Y-138988967D01* +X257312664Y-139055881D01* +X257264531Y-139114531D01* +X257205881Y-139162664D01* +X257138967Y-139198430D01* +X257066355Y-139220456D01* +X256987733Y-139228200D01* +X256092267Y-139228200D01* +X256013645Y-139220456D01* +X255941033Y-139198430D01* +X255874119Y-139162664D01* +X255815469Y-139114531D01* +X255767336Y-139055881D01* +X255731570Y-138988967D01* +X255709544Y-138916355D01* +X255701800Y-138837733D01* +X255701800Y-131926267D01* +X255709544Y-131847645D01* +X255731570Y-131775033D01* +X255767336Y-131708119D01* +X255815469Y-131649469D01* +X255874119Y-131601336D01* +X255941033Y-131565570D01* +X256013645Y-131543544D01* +X256092267Y-131535800D01* +X256987733Y-131535800D01* +X257066355Y-131543544D01* +X257066355Y-131543544D01* +G37* +G36* +X254526355Y-131543544D02* +G01* +X254598967Y-131565570D01* +X254665881Y-131601336D01* +X254724531Y-131649469D01* +X254772664Y-131708119D01* +X254808430Y-131775033D01* +X254830456Y-131847645D01* +X254838200Y-131926267D01* +X254838200Y-138837733D01* +X254830456Y-138916355D01* +X254808430Y-138988967D01* +X254772664Y-139055881D01* +X254724531Y-139114531D01* +X254665881Y-139162664D01* +X254598967Y-139198430D01* +X254526355Y-139220456D01* +X254447733Y-139228200D01* +X253552267Y-139228200D01* +X253473645Y-139220456D01* +X253401033Y-139198430D01* +X253334119Y-139162664D01* +X253275469Y-139114531D01* +X253227336Y-139055881D01* +X253191570Y-138988967D01* +X253169544Y-138916355D01* +X253161800Y-138837733D01* +X253161800Y-131926267D01* +X253169544Y-131847645D01* +X253191570Y-131775033D01* +X253227336Y-131708119D01* +X253275469Y-131649469D01* +X253334119Y-131601336D01* +X253401033Y-131565570D01* +X253473645Y-131543544D01* +X253552267Y-131535800D01* +X254447733Y-131535800D01* +X254526355Y-131543544D01* +X254526355Y-131543544D01* +G37* +G36* +X251986355Y-131543544D02* +G01* +X252058967Y-131565570D01* +X252125881Y-131601336D01* +X252184531Y-131649469D01* +X252232664Y-131708119D01* +X252268430Y-131775033D01* +X252290456Y-131847645D01* +X252298200Y-131926267D01* +X252298200Y-138837733D01* +X252290456Y-138916355D01* +X252268430Y-138988967D01* +X252232664Y-139055881D01* +X252184531Y-139114531D01* +X252125881Y-139162664D01* +X252058967Y-139198430D01* +X251986355Y-139220456D01* +X251907733Y-139228200D01* +X251012267Y-139228200D01* +X250933645Y-139220456D01* +X250861033Y-139198430D01* +X250794119Y-139162664D01* +X250735469Y-139114531D01* +X250687336Y-139055881D01* +X250651570Y-138988967D01* +X250629544Y-138916355D01* +X250621800Y-138837733D01* +X250621800Y-131926267D01* +X250629544Y-131847645D01* +X250651570Y-131775033D01* +X250687336Y-131708119D01* +X250735469Y-131649469D01* +X250794119Y-131601336D01* +X250861033Y-131565570D01* +X250933645Y-131543544D01* +X251012267Y-131535800D01* +X251907733Y-131535800D01* +X251986355Y-131543544D01* +X251986355Y-131543544D01* +G37* +G36* +X249446355Y-131543544D02* +G01* +X249518967Y-131565570D01* +X249585881Y-131601336D01* +X249644531Y-131649469D01* +X249692664Y-131708119D01* +X249728430Y-131775033D01* +X249750456Y-131847645D01* +X249758200Y-131926267D01* +X249758200Y-138837733D01* +X249750456Y-138916355D01* +X249728430Y-138988967D01* +X249692664Y-139055881D01* +X249644531Y-139114531D01* +X249585881Y-139162664D01* +X249518967Y-139198430D01* +X249446355Y-139220456D01* +X249367733Y-139228200D01* +X248472267Y-139228200D01* +X248393645Y-139220456D01* +X248321033Y-139198430D01* +X248254119Y-139162664D01* +X248195469Y-139114531D01* +X248147336Y-139055881D01* +X248111570Y-138988967D01* +X248089544Y-138916355D01* +X248081800Y-138837733D01* +X248081800Y-131926267D01* +X248089544Y-131847645D01* +X248111570Y-131775033D01* +X248147336Y-131708119D01* +X248195469Y-131649469D01* +X248254119Y-131601336D01* +X248321033Y-131565570D01* +X248393645Y-131543544D01* +X248472267Y-131535800D01* +X249367733Y-131535800D01* +X249446355Y-131543544D01* +X249446355Y-131543544D01* +G37* +G36* +X246906355Y-131543544D02* +G01* +X246978967Y-131565570D01* +X247045881Y-131601336D01* +X247104531Y-131649469D01* +X247152664Y-131708119D01* +X247188430Y-131775033D01* +X247210456Y-131847645D01* +X247218200Y-131926267D01* +X247218200Y-138837733D01* +X247210456Y-138916355D01* +X247188430Y-138988967D01* +X247152664Y-139055881D01* +X247104531Y-139114531D01* +X247045881Y-139162664D01* +X246978967Y-139198430D01* +X246906355Y-139220456D01* +X246827733Y-139228200D01* +X245932267Y-139228200D01* +X245853645Y-139220456D01* +X245781033Y-139198430D01* +X245714119Y-139162664D01* +X245655469Y-139114531D01* +X245607336Y-139055881D01* +X245571570Y-138988967D01* +X245549544Y-138916355D01* +X245541800Y-138837733D01* +X245541800Y-131926267D01* +X245549544Y-131847645D01* +X245571570Y-131775033D01* +X245607336Y-131708119D01* +X245655469Y-131649469D01* +X245714119Y-131601336D01* +X245781033Y-131565570D01* +X245853645Y-131543544D01* +X245932267Y-131535800D01* +X246827733Y-131535800D01* +X246906355Y-131543544D01* +X246906355Y-131543544D01* +G37* +G36* +X244366355Y-131543544D02* +G01* +X244438967Y-131565570D01* +X244505881Y-131601336D01* +X244564531Y-131649469D01* +X244612664Y-131708119D01* +X244648430Y-131775033D01* +X244670456Y-131847645D01* +X244678200Y-131926267D01* +X244678200Y-138837733D01* +X244670456Y-138916355D01* +X244648430Y-138988967D01* +X244612664Y-139055881D01* +X244564531Y-139114531D01* +X244505881Y-139162664D01* +X244438967Y-139198430D01* +X244366355Y-139220456D01* +X244287733Y-139228200D01* +X243392267Y-139228200D01* +X243313645Y-139220456D01* +X243241033Y-139198430D01* +X243174119Y-139162664D01* +X243115469Y-139114531D01* +X243067336Y-139055881D01* +X243031570Y-138988967D01* +X243009544Y-138916355D01* +X243001800Y-138837733D01* +X243001800Y-131926267D01* +X243009544Y-131847645D01* +X243031570Y-131775033D01* +X243067336Y-131708119D01* +X243115469Y-131649469D01* +X243174119Y-131601336D01* +X243241033Y-131565570D01* +X243313645Y-131543544D01* +X243392267Y-131535800D01* +X244287733Y-131535800D01* +X244366355Y-131543544D01* +X244366355Y-131543544D01* +G37* +G36* +X241826355Y-131543544D02* +G01* +X241898967Y-131565570D01* +X241965881Y-131601336D01* +X242024531Y-131649469D01* +X242072664Y-131708119D01* +X242108430Y-131775033D01* +X242130456Y-131847645D01* +X242138200Y-131926267D01* +X242138200Y-138837733D01* +X242130456Y-138916355D01* +X242108430Y-138988967D01* +X242072664Y-139055881D01* +X242024531Y-139114531D01* +X241965881Y-139162664D01* +X241898967Y-139198430D01* +X241826355Y-139220456D01* +X241747733Y-139228200D01* +X240852267Y-139228200D01* +X240773645Y-139220456D01* +X240701033Y-139198430D01* +X240634119Y-139162664D01* +X240575469Y-139114531D01* +X240527336Y-139055881D01* +X240491570Y-138988967D01* +X240469544Y-138916355D01* +X240461800Y-138837733D01* +X240461800Y-131926267D01* +X240469544Y-131847645D01* +X240491570Y-131775033D01* +X240527336Y-131708119D01* +X240575469Y-131649469D01* +X240634119Y-131601336D01* +X240701033Y-131565570D01* +X240773645Y-131543544D01* +X240852267Y-131535800D01* +X241747733Y-131535800D01* +X241826355Y-131543544D01* +X241826355Y-131543544D01* +G37* +G36* +X239286355Y-131543544D02* +G01* +X239358967Y-131565570D01* +X239425881Y-131601336D01* +X239484531Y-131649469D01* +X239532664Y-131708119D01* +X239568430Y-131775033D01* +X239590456Y-131847645D01* +X239598200Y-131926267D01* +X239598200Y-138837733D01* +X239590456Y-138916355D01* +X239568430Y-138988967D01* +X239532664Y-139055881D01* +X239484531Y-139114531D01* +X239425881Y-139162664D01* +X239358967Y-139198430D01* +X239286355Y-139220456D01* +X239207733Y-139228200D01* +X238312267Y-139228200D01* +X238233645Y-139220456D01* +X238161033Y-139198430D01* +X238094119Y-139162664D01* +X238035469Y-139114531D01* +X237987336Y-139055881D01* +X237951570Y-138988967D01* +X237929544Y-138916355D01* +X237921800Y-138837733D01* +X237921800Y-131926267D01* +X237929544Y-131847645D01* +X237951570Y-131775033D01* +X237987336Y-131708119D01* +X238035469Y-131649469D01* +X238094119Y-131601336D01* +X238161033Y-131565570D01* +X238233645Y-131543544D01* +X238312267Y-131535800D01* +X239207733Y-131535800D01* +X239286355Y-131543544D01* +X239286355Y-131543544D01* +G37* +G36* +X236746355Y-131543544D02* +G01* +X236818967Y-131565570D01* +X236885881Y-131601336D01* +X236944531Y-131649469D01* +X236992664Y-131708119D01* +X237028430Y-131775033D01* +X237050456Y-131847645D01* +X237058200Y-131926267D01* +X237058200Y-138837733D01* +X237050456Y-138916355D01* +X237028430Y-138988967D01* +X236992664Y-139055881D01* +X236944531Y-139114531D01* +X236885881Y-139162664D01* +X236818967Y-139198430D01* +X236746355Y-139220456D01* +X236667733Y-139228200D01* +X235772267Y-139228200D01* +X235693645Y-139220456D01* +X235621033Y-139198430D01* +X235554119Y-139162664D01* +X235495469Y-139114531D01* +X235447336Y-139055881D01* +X235411570Y-138988967D01* +X235389544Y-138916355D01* +X235381800Y-138837733D01* +X235381800Y-131926267D01* +X235389544Y-131847645D01* +X235411570Y-131775033D01* +X235447336Y-131708119D01* +X235495469Y-131649469D01* +X235554119Y-131601336D01* +X235621033Y-131565570D01* +X235693645Y-131543544D01* +X235772267Y-131535800D01* +X236667733Y-131535800D01* +X236746355Y-131543544D01* +X236746355Y-131543544D01* +G37* +G36* +X234206355Y-131543544D02* +G01* +X234278967Y-131565570D01* +X234345881Y-131601336D01* +X234404531Y-131649469D01* +X234452664Y-131708119D01* +X234488430Y-131775033D01* +X234510456Y-131847645D01* +X234518200Y-131926267D01* +X234518200Y-138837733D01* +X234510456Y-138916355D01* +X234488430Y-138988967D01* +X234452664Y-139055881D01* +X234404531Y-139114531D01* +X234345881Y-139162664D01* +X234278967Y-139198430D01* +X234206355Y-139220456D01* +X234127733Y-139228200D01* +X233232267Y-139228200D01* +X233153645Y-139220456D01* +X233081033Y-139198430D01* +X233014119Y-139162664D01* +X232955469Y-139114531D01* +X232907336Y-139055881D01* +X232871570Y-138988967D01* +X232849544Y-138916355D01* +X232841800Y-138837733D01* +X232841800Y-131926267D01* +X232849544Y-131847645D01* +X232871570Y-131775033D01* +X232907336Y-131708119D01* +X232955469Y-131649469D01* +X233014119Y-131601336D01* +X233081033Y-131565570D01* +X233153645Y-131543544D01* +X233232267Y-131535800D01* +X234127733Y-131535800D01* +X234206355Y-131543544D01* +X234206355Y-131543544D01* +G37* +G36* +X231666355Y-131543544D02* +G01* +X231738967Y-131565570D01* +X231805881Y-131601336D01* +X231864531Y-131649469D01* +X231912664Y-131708119D01* +X231948430Y-131775033D01* +X231970456Y-131847645D01* +X231978200Y-131926267D01* +X231978200Y-138837733D01* +X231970456Y-138916355D01* +X231948430Y-138988967D01* +X231912664Y-139055881D01* +X231864531Y-139114531D01* +X231805881Y-139162664D01* +X231738967Y-139198430D01* +X231666355Y-139220456D01* +X231587733Y-139228200D01* +X230692267Y-139228200D01* +X230613645Y-139220456D01* +X230541033Y-139198430D01* +X230474119Y-139162664D01* +X230415469Y-139114531D01* +X230367336Y-139055881D01* +X230331570Y-138988967D01* +X230309544Y-138916355D01* +X230301800Y-138837733D01* +X230301800Y-131926267D01* +X230309544Y-131847645D01* +X230331570Y-131775033D01* +X230367336Y-131708119D01* +X230415469Y-131649469D01* +X230474119Y-131601336D01* +X230541033Y-131565570D01* +X230613645Y-131543544D01* +X230692267Y-131535800D01* +X231587733Y-131535800D01* +X231666355Y-131543544D01* +X231666355Y-131543544D01* +G37* +G36* +X229126355Y-131543544D02* +G01* +X229198967Y-131565570D01* +X229265881Y-131601336D01* +X229324531Y-131649469D01* +X229372664Y-131708119D01* +X229408430Y-131775033D01* +X229430456Y-131847645D01* +X229438200Y-131926267D01* +X229438200Y-138837733D01* +X229430456Y-138916355D01* +X229408430Y-138988967D01* +X229372664Y-139055881D01* +X229324531Y-139114531D01* +X229265881Y-139162664D01* +X229198967Y-139198430D01* +X229126355Y-139220456D01* +X229047733Y-139228200D01* +X228152267Y-139228200D01* +X228073645Y-139220456D01* +X228001033Y-139198430D01* +X227934119Y-139162664D01* +X227875469Y-139114531D01* +X227827336Y-139055881D01* +X227791570Y-138988967D01* +X227769544Y-138916355D01* +X227761800Y-138837733D01* +X227761800Y-131926267D01* +X227769544Y-131847645D01* +X227791570Y-131775033D01* +X227827336Y-131708119D01* +X227875469Y-131649469D01* +X227934119Y-131601336D01* +X228001033Y-131565570D01* +X228073645Y-131543544D01* +X228152267Y-131535800D01* +X229047733Y-131535800D01* +X229126355Y-131543544D01* +X229126355Y-131543544D01* +G37* +G36* +X226586355Y-131543544D02* +G01* +X226658967Y-131565570D01* +X226725881Y-131601336D01* +X226784531Y-131649469D01* +X226832664Y-131708119D01* +X226868430Y-131775033D01* +X226890456Y-131847645D01* +X226898200Y-131926267D01* +X226898200Y-138837733D01* +X226890456Y-138916355D01* +X226868430Y-138988967D01* +X226832664Y-139055881D01* +X226784531Y-139114531D01* +X226725881Y-139162664D01* +X226658967Y-139198430D01* +X226586355Y-139220456D01* +X226507733Y-139228200D01* +X225612267Y-139228200D01* +X225533645Y-139220456D01* +X225461033Y-139198430D01* +X225394119Y-139162664D01* +X225335469Y-139114531D01* +X225287336Y-139055881D01* +X225251570Y-138988967D01* +X225229544Y-138916355D01* +X225221800Y-138837733D01* +X225221800Y-131926267D01* +X225229544Y-131847645D01* +X225251570Y-131775033D01* +X225287336Y-131708119D01* +X225335469Y-131649469D01* +X225394119Y-131601336D01* +X225461033Y-131565570D01* +X225533645Y-131543544D01* +X225612267Y-131535800D01* +X226507733Y-131535800D01* +X226586355Y-131543544D01* +X226586355Y-131543544D01* +G37* +G36* +X224046355Y-131543544D02* +G01* +X224118967Y-131565570D01* +X224185881Y-131601336D01* +X224244531Y-131649469D01* +X224292664Y-131708119D01* +X224328430Y-131775033D01* +X224350456Y-131847645D01* +X224358200Y-131926267D01* +X224358200Y-138837733D01* +X224350456Y-138916355D01* +X224328430Y-138988967D01* +X224292664Y-139055881D01* +X224244531Y-139114531D01* +X224185881Y-139162664D01* +X224118967Y-139198430D01* +X224046355Y-139220456D01* +X223967733Y-139228200D01* +X223072267Y-139228200D01* +X222993645Y-139220456D01* +X222921033Y-139198430D01* +X222854119Y-139162664D01* +X222795469Y-139114531D01* +X222747336Y-139055881D01* +X222711570Y-138988967D01* +X222689544Y-138916355D01* +X222681800Y-138837733D01* +X222681800Y-131926267D01* +X222689544Y-131847645D01* +X222711570Y-131775033D01* +X222747336Y-131708119D01* +X222795469Y-131649469D01* +X222854119Y-131601336D01* +X222921033Y-131565570D01* +X222993645Y-131543544D01* +X223072267Y-131535800D01* +X223967733Y-131535800D01* +X224046355Y-131543544D01* +X224046355Y-131543544D01* +G37* +G36* +X221506355Y-131543544D02* +G01* +X221578967Y-131565570D01* +X221645881Y-131601336D01* +X221704531Y-131649469D01* +X221752664Y-131708119D01* +X221788430Y-131775033D01* +X221810456Y-131847645D01* +X221818200Y-131926267D01* +X221818200Y-138837733D01* +X221810456Y-138916355D01* +X221788430Y-138988967D01* +X221752664Y-139055881D01* +X221704531Y-139114531D01* +X221645881Y-139162664D01* +X221578967Y-139198430D01* +X221506355Y-139220456D01* +X221427733Y-139228200D01* +X220532267Y-139228200D01* +X220453645Y-139220456D01* +X220381033Y-139198430D01* +X220314119Y-139162664D01* +X220255469Y-139114531D01* +X220207336Y-139055881D01* +X220171570Y-138988967D01* +X220149544Y-138916355D01* +X220141800Y-138837733D01* +X220141800Y-131926267D01* +X220149544Y-131847645D01* +X220171570Y-131775033D01* +X220207336Y-131708119D01* +X220255469Y-131649469D01* +X220314119Y-131601336D01* +X220381033Y-131565570D01* +X220453645Y-131543544D01* +X220532267Y-131535800D01* +X221427733Y-131535800D01* +X221506355Y-131543544D01* +X221506355Y-131543544D01* +G37* +G36* +X218966355Y-131543544D02* +G01* +X219038967Y-131565570D01* +X219105881Y-131601336D01* +X219164531Y-131649469D01* +X219212664Y-131708119D01* +X219248430Y-131775033D01* +X219270456Y-131847645D01* +X219278200Y-131926267D01* +X219278200Y-138837733D01* +X219270456Y-138916355D01* +X219248430Y-138988967D01* +X219212664Y-139055881D01* +X219164531Y-139114531D01* +X219105881Y-139162664D01* +X219038967Y-139198430D01* +X218966355Y-139220456D01* +X218887733Y-139228200D01* +X217992267Y-139228200D01* +X217913645Y-139220456D01* +X217841033Y-139198430D01* +X217774119Y-139162664D01* +X217715469Y-139114531D01* +X217667336Y-139055881D01* +X217631570Y-138988967D01* +X217609544Y-138916355D01* +X217601800Y-138837733D01* +X217601800Y-131926267D01* +X217609544Y-131847645D01* +X217631570Y-131775033D01* +X217667336Y-131708119D01* +X217715469Y-131649469D01* +X217774119Y-131601336D01* +X217841033Y-131565570D01* +X217913645Y-131543544D01* +X217992267Y-131535800D01* +X218887733Y-131535800D01* +X218966355Y-131543544D01* +X218966355Y-131543544D01* +G37* +G36* +X216426355Y-131543544D02* +G01* +X216498967Y-131565570D01* +X216565881Y-131601336D01* +X216624531Y-131649469D01* +X216672664Y-131708119D01* +X216708430Y-131775033D01* +X216730456Y-131847645D01* +X216738200Y-131926267D01* +X216738200Y-138837733D01* +X216730456Y-138916355D01* +X216708430Y-138988967D01* +X216672664Y-139055881D01* +X216624531Y-139114531D01* +X216565881Y-139162664D01* +X216498967Y-139198430D01* +X216426355Y-139220456D01* +X216347733Y-139228200D01* +X215452267Y-139228200D01* +X215373645Y-139220456D01* +X215301033Y-139198430D01* +X215234119Y-139162664D01* +X215175469Y-139114531D01* +X215127336Y-139055881D01* +X215091570Y-138988967D01* +X215069544Y-138916355D01* +X215061800Y-138837733D01* +X215061800Y-131926267D01* +X215069544Y-131847645D01* +X215091570Y-131775033D01* +X215127336Y-131708119D01* +X215175469Y-131649469D01* +X215234119Y-131601336D01* +X215301033Y-131565570D01* +X215373645Y-131543544D01* +X215452267Y-131535800D01* +X216347733Y-131535800D01* +X216426355Y-131543544D01* +X216426355Y-131543544D01* +G37* +G36* +X213886355Y-131543544D02* +G01* +X213958967Y-131565570D01* +X214025881Y-131601336D01* +X214084531Y-131649469D01* +X214132664Y-131708119D01* +X214168430Y-131775033D01* +X214190456Y-131847645D01* +X214198200Y-131926267D01* +X214198200Y-138837733D01* +X214190456Y-138916355D01* +X214168430Y-138988967D01* +X214132664Y-139055881D01* +X214084531Y-139114531D01* +X214025881Y-139162664D01* +X213958967Y-139198430D01* +X213886355Y-139220456D01* +X213807733Y-139228200D01* +X212912267Y-139228200D01* +X212833645Y-139220456D01* +X212761033Y-139198430D01* +X212694119Y-139162664D01* +X212635469Y-139114531D01* +X212587336Y-139055881D01* +X212551570Y-138988967D01* +X212529544Y-138916355D01* +X212521800Y-138837733D01* +X212521800Y-131926267D01* +X212529544Y-131847645D01* +X212551570Y-131775033D01* +X212587336Y-131708119D01* +X212635469Y-131649469D01* +X212694119Y-131601336D01* +X212761033Y-131565570D01* +X212833645Y-131543544D01* +X212912267Y-131535800D01* +X213807733Y-131535800D01* +X213886355Y-131543544D01* +X213886355Y-131543544D01* +G37* +G36* +X211346355Y-131543544D02* +G01* +X211418967Y-131565570D01* +X211485881Y-131601336D01* +X211544531Y-131649469D01* +X211592664Y-131708119D01* +X211628430Y-131775033D01* +X211650456Y-131847645D01* +X211658200Y-131926267D01* +X211658200Y-138837733D01* +X211650456Y-138916355D01* +X211628430Y-138988967D01* +X211592664Y-139055881D01* +X211544531Y-139114531D01* +X211485881Y-139162664D01* +X211418967Y-139198430D01* +X211346355Y-139220456D01* +X211267733Y-139228200D01* +X210372267Y-139228200D01* +X210293645Y-139220456D01* +X210221033Y-139198430D01* +X210154119Y-139162664D01* +X210095469Y-139114531D01* +X210047336Y-139055881D01* +X210011570Y-138988967D01* +X209989544Y-138916355D01* +X209981800Y-138837733D01* +X209981800Y-131926267D01* +X209989544Y-131847645D01* +X210011570Y-131775033D01* +X210047336Y-131708119D01* +X210095469Y-131649469D01* +X210154119Y-131601336D01* +X210221033Y-131565570D01* +X210293645Y-131543544D01* +X210372267Y-131535800D01* +X211267733Y-131535800D01* +X211346355Y-131543544D01* +X211346355Y-131543544D01* +G37* +G36* +X208806355Y-131543544D02* +G01* +X208878967Y-131565570D01* +X208945881Y-131601336D01* +X209004531Y-131649469D01* +X209052664Y-131708119D01* +X209088430Y-131775033D01* +X209110456Y-131847645D01* +X209118200Y-131926267D01* +X209118200Y-138837733D01* +X209110456Y-138916355D01* +X209088430Y-138988967D01* +X209052664Y-139055881D01* +X209004531Y-139114531D01* +X208945881Y-139162664D01* +X208878967Y-139198430D01* +X208806355Y-139220456D01* +X208727733Y-139228200D01* +X207832267Y-139228200D01* +X207753645Y-139220456D01* +X207681033Y-139198430D01* +X207614119Y-139162664D01* +X207555469Y-139114531D01* +X207507336Y-139055881D01* +X207471570Y-138988967D01* +X207449544Y-138916355D01* +X207441800Y-138837733D01* +X207441800Y-131926267D01* +X207449544Y-131847645D01* +X207471570Y-131775033D01* +X207507336Y-131708119D01* +X207555469Y-131649469D01* +X207614119Y-131601336D01* +X207681033Y-131565570D01* +X207753645Y-131543544D01* +X207832267Y-131535800D01* +X208727733Y-131535800D01* +X208806355Y-131543544D01* +X208806355Y-131543544D01* +G37* +G36* +X206266355Y-131543544D02* +G01* +X206338967Y-131565570D01* +X206405881Y-131601336D01* +X206464531Y-131649469D01* +X206512664Y-131708119D01* +X206548430Y-131775033D01* +X206570456Y-131847645D01* +X206578200Y-131926267D01* +X206578200Y-138837733D01* +X206570456Y-138916355D01* +X206548430Y-138988967D01* +X206512664Y-139055881D01* +X206464531Y-139114531D01* +X206405881Y-139162664D01* +X206338967Y-139198430D01* +X206266355Y-139220456D01* +X206187733Y-139228200D01* +X205292267Y-139228200D01* +X205213645Y-139220456D01* +X205141033Y-139198430D01* +X205074119Y-139162664D01* +X205015469Y-139114531D01* +X204967336Y-139055881D01* +X204931570Y-138988967D01* +X204909544Y-138916355D01* +X204901800Y-138837733D01* +X204901800Y-131926267D01* +X204909544Y-131847645D01* +X204931570Y-131775033D01* +X204967336Y-131708119D01* +X205015469Y-131649469D01* +X205074119Y-131601336D01* +X205141033Y-131565570D01* +X205213645Y-131543544D01* +X205292267Y-131535800D01* +X206187733Y-131535800D01* +X206266355Y-131543544D01* +X206266355Y-131543544D01* +G37* +G36* +X203726355Y-131543544D02* +G01* +X203798967Y-131565570D01* +X203865881Y-131601336D01* +X203924531Y-131649469D01* +X203972664Y-131708119D01* +X204008430Y-131775033D01* +X204030456Y-131847645D01* +X204038200Y-131926267D01* +X204038200Y-138837733D01* +X204030456Y-138916355D01* +X204008430Y-138988967D01* +X203972664Y-139055881D01* +X203924531Y-139114531D01* +X203865881Y-139162664D01* +X203798967Y-139198430D01* +X203726355Y-139220456D01* +X203647733Y-139228200D01* +X202752267Y-139228200D01* +X202673645Y-139220456D01* +X202601033Y-139198430D01* +X202534119Y-139162664D01* +X202475469Y-139114531D01* +X202427336Y-139055881D01* +X202391570Y-138988967D01* +X202369544Y-138916355D01* +X202361800Y-138837733D01* +X202361800Y-131926267D01* +X202369544Y-131847645D01* +X202391570Y-131775033D01* +X202427336Y-131708119D01* +X202475469Y-131649469D01* +X202534119Y-131601336D01* +X202601033Y-131565570D01* +X202673645Y-131543544D01* +X202752267Y-131535800D01* +X203647733Y-131535800D01* +X203726355Y-131543544D01* +X203726355Y-131543544D01* +G37* +G36* +X201186355Y-131543544D02* +G01* +X201258967Y-131565570D01* +X201325881Y-131601336D01* +X201384531Y-131649469D01* +X201432664Y-131708119D01* +X201468430Y-131775033D01* +X201490456Y-131847645D01* +X201498200Y-131926267D01* +X201498200Y-138837733D01* +X201490456Y-138916355D01* +X201468430Y-138988967D01* +X201432664Y-139055881D01* +X201384531Y-139114531D01* +X201325881Y-139162664D01* +X201258967Y-139198430D01* +X201186355Y-139220456D01* +X201107733Y-139228200D01* +X200212267Y-139228200D01* +X200133645Y-139220456D01* +X200061033Y-139198430D01* +X199994119Y-139162664D01* +X199935469Y-139114531D01* +X199887336Y-139055881D01* +X199851570Y-138988967D01* +X199829544Y-138916355D01* +X199821800Y-138837733D01* +X199821800Y-131926267D01* +X199829544Y-131847645D01* +X199851570Y-131775033D01* +X199887336Y-131708119D01* +X199935469Y-131649469D01* +X199994119Y-131601336D01* +X200061033Y-131565570D01* +X200133645Y-131543544D01* +X200212267Y-131535800D01* +X201107733Y-131535800D01* +X201186355Y-131543544D01* +X201186355Y-131543544D01* +G37* +G36* +X268283914Y-128505157D02* +G01* +X268479777Y-128586286D01* +X268656033Y-128704057D01* +X268805943Y-128853967D01* +X268923714Y-129030223D01* +X269004843Y-129226086D01* +X269046200Y-129434004D01* +X269046200Y-129645996D01* +X269004843Y-129853914D01* +X268923714Y-130049777D01* +X268805943Y-130226033D01* +X268656033Y-130375943D01* +X268479777Y-130493714D01* +X268283914Y-130574843D01* +X268075996Y-130616200D01* +X267864004Y-130616200D01* +X267656086Y-130574843D01* +X267460223Y-130493714D01* +X267283967Y-130375943D01* +X267134057Y-130226033D01* +X267016286Y-130049777D01* +X266935157Y-129853914D01* +X266893800Y-129645996D01* +X266893800Y-129434004D01* +X266935157Y-129226086D01* +X267016286Y-129030223D01* +X267134057Y-128853967D01* +X267283967Y-128704057D01* +X267460223Y-128586286D01* +X267656086Y-128505157D01* +X267864004Y-128463800D01* +X268075996Y-128463800D01* +X268283914Y-128505157D01* +X268283914Y-128505157D01* +G37* +G36* +X207323914Y-128505157D02* +G01* +X207519777Y-128586286D01* +X207696033Y-128704057D01* +X207845943Y-128853967D01* +X207963714Y-129030223D01* +X208044843Y-129226086D01* +X208086200Y-129434004D01* +X208086200Y-129645996D01* +X208044843Y-129853914D01* +X207963714Y-130049777D01* +X207845943Y-130226033D01* +X207696033Y-130375943D01* +X207519777Y-130493714D01* +X207323914Y-130574843D01* +X207115996Y-130616200D01* +X206904004Y-130616200D01* +X206696086Y-130574843D01* +X206500223Y-130493714D01* +X206323967Y-130375943D01* +X206174057Y-130226033D01* +X206056286Y-130049777D01* +X205975157Y-129853914D01* +X205933800Y-129645996D01* +X205933800Y-129434004D01* +X205975157Y-129226086D01* +X206056286Y-129030223D01* +X206174057Y-128853967D01* +X206323967Y-128704057D01* +X206500223Y-128586286D01* +X206696086Y-128505157D01* +X206904004Y-128463800D01* +X207115996Y-128463800D01* +X207323914Y-128505157D01* +X207323914Y-128505157D01* +G37* +G36* +X203491692Y-128578429D02* +G01* +X203673679Y-128653811D01* +X203837458Y-128763245D01* +X203837460Y-128763247D01* +X203837463Y-128763249D01* +X203976751Y-128902537D01* +X203976753Y-128902540D01* +X203976755Y-128902542D01* +X204086189Y-129066321D01* +X204161571Y-129248308D01* +X204200000Y-129441509D01* +X204200000Y-129638491D01* +X204161571Y-129831692D01* +X204086189Y-130013679D01* +X203976755Y-130177458D01* +X203976753Y-130177460D01* +X203976751Y-130177463D01* +X203837463Y-130316751D01* +X203837460Y-130316753D01* +X203837458Y-130316755D01* +X203673679Y-130426189D01* +X203491692Y-130501571D01* +X203298491Y-130540000D01* +X203101509Y-130540000D01* +X202908308Y-130501571D01* +X202726321Y-130426189D01* +X202562542Y-130316755D01* +X202562540Y-130316753D01* +X202562537Y-130316751D01* +X202423249Y-130177463D01* +X202423247Y-130177460D01* +X202423245Y-130177458D01* +X202313811Y-130013679D01* +X202238429Y-129831692D01* +X202200000Y-129638491D01* +X202200000Y-129441509D01* +X202238429Y-129248308D01* +X202313811Y-129066321D01* +X202423245Y-128902542D01* +X202423247Y-128902540D01* +X202423249Y-128902537D01* +X202562537Y-128763249D01* +X202562540Y-128763247D01* +X202562542Y-128763245D01* +X202726321Y-128653811D01* +X202908308Y-128578429D01* +X203101509Y-128540000D01* +X203298491Y-128540000D01* +X203491692Y-128578429D01* +X203491692Y-128578429D01* +G37* +G36* +X272071692Y-128578429D02* +G01* +X272253679Y-128653811D01* +X272417458Y-128763245D01* +X272417460Y-128763247D01* +X272417463Y-128763249D01* +X272556751Y-128902537D01* +X272556753Y-128902540D01* +X272556755Y-128902542D01* +X272666189Y-129066321D01* +X272741571Y-129248308D01* +X272780000Y-129441509D01* +X272780000Y-129638491D01* +X272741571Y-129831692D01* +X272666189Y-130013679D01* +X272556755Y-130177458D01* +X272556753Y-130177460D01* +X272556751Y-130177463D01* +X272417463Y-130316751D01* +X272417460Y-130316753D01* +X272417458Y-130316755D01* +X272253679Y-130426189D01* +X272071692Y-130501571D01* +X271878491Y-130540000D01* +X271681509Y-130540000D01* +X271488308Y-130501571D01* +X271306321Y-130426189D01* +X271142542Y-130316755D01* +X271142540Y-130316753D01* +X271142537Y-130316751D01* +X271003249Y-130177463D01* +X271003247Y-130177460D01* +X271003245Y-130177458D01* +X270893811Y-130013679D01* +X270818429Y-129831692D01* +X270780000Y-129638491D01* +X270780000Y-129441509D01* +X270818429Y-129248308D01* +X270893811Y-129066321D01* +X271003245Y-128902542D01* +X271003247Y-128902540D01* +X271003249Y-128902537D01* +X271142537Y-128763249D01* +X271142540Y-128763247D01* +X271142542Y-128763245D01* +X271306321Y-128653811D01* +X271488308Y-128578429D01* +X271681509Y-128540000D01* +X271878491Y-128540000D01* +X272071692Y-128578429D01* +X272071692Y-128578429D01* +G37* +G36* +X245360290Y-125187105D02* +G01* +X245389568Y-125195986D01* +X245416545Y-125210406D01* +X245440190Y-125229810D01* +X245459594Y-125253455D01* +X245474014Y-125280432D01* +X245482895Y-125309710D01* +X245486200Y-125343267D01* +X245486200Y-126876733D01* +X245482895Y-126910290D01* +X245474014Y-126939568D01* +X245459594Y-126966545D01* +X245440190Y-126990190D01* +X245416545Y-127009594D01* +X245389568Y-127024014D01* +X245360290Y-127032895D01* +X245326733Y-127036200D01* +X244893267Y-127036200D01* +X244859710Y-127032895D01* +X244830432Y-127024014D01* +X244803455Y-127009594D01* +X244779810Y-126990190D01* +X244760406Y-126966545D01* +X244745986Y-126939568D01* +X244737105Y-126910290D01* +X244733800Y-126876733D01* +X244733800Y-125343267D01* +X244737105Y-125309710D01* +X244745986Y-125280432D01* +X244760406Y-125253455D01* +X244779810Y-125229810D01* +X244803455Y-125210406D01* +X244830432Y-125195986D01* +X244859710Y-125187105D01* +X244893267Y-125183800D01* +X245326733Y-125183800D01* +X245360290Y-125187105D01* +X245360290Y-125187105D01* +G37* +G36* +X244090290Y-125187105D02* +G01* +X244119568Y-125195986D01* +X244146545Y-125210406D01* +X244170190Y-125229810D01* +X244189594Y-125253455D01* +X244204014Y-125280432D01* +X244212895Y-125309710D01* +X244216200Y-125343267D01* +X244216200Y-126876733D01* +X244212895Y-126910290D01* +X244204014Y-126939568D01* +X244189594Y-126966545D01* +X244170190Y-126990190D01* +X244146545Y-127009594D01* +X244119568Y-127024014D01* +X244090290Y-127032895D01* +X244056733Y-127036200D01* +X243623267Y-127036200D01* +X243589710Y-127032895D01* +X243560432Y-127024014D01* +X243533455Y-127009594D01* +X243509810Y-126990190D01* +X243490406Y-126966545D01* +X243475986Y-126939568D01* +X243467105Y-126910290D01* +X243463800Y-126876733D01* +X243463800Y-125343267D01* +X243467105Y-125309710D01* +X243475986Y-125280432D01* +X243490406Y-125253455D01* +X243509810Y-125229810D01* +X243533455Y-125210406D01* +X243560432Y-125195986D01* +X243589710Y-125187105D01* +X243623267Y-125183800D01* +X244056733Y-125183800D01* +X244090290Y-125187105D01* +X244090290Y-125187105D01* +G37* +G36* +X242820290Y-125187105D02* +G01* +X242849568Y-125195986D01* +X242876545Y-125210406D01* +X242900190Y-125229810D01* +X242919594Y-125253455D01* +X242934014Y-125280432D01* +X242942895Y-125309710D01* +X242946200Y-125343267D01* +X242946200Y-126876733D01* +X242942895Y-126910290D01* +X242934014Y-126939568D01* +X242919594Y-126966545D01* +X242900190Y-126990190D01* +X242876545Y-127009594D01* +X242849568Y-127024014D01* +X242820290Y-127032895D01* +X242786733Y-127036200D01* +X242353267Y-127036200D01* +X242319710Y-127032895D01* +X242290432Y-127024014D01* +X242263455Y-127009594D01* +X242239810Y-126990190D01* +X242220406Y-126966545D01* +X242205986Y-126939568D01* +X242197105Y-126910290D01* +X242193800Y-126876733D01* +X242193800Y-125343267D01* +X242197105Y-125309710D01* +X242205986Y-125280432D01* +X242220406Y-125253455D01* +X242239810Y-125229810D01* +X242263455Y-125210406D01* +X242290432Y-125195986D01* +X242319710Y-125187105D01* +X242353267Y-125183800D01* +X242786733Y-125183800D01* +X242820290Y-125187105D01* +X242820290Y-125187105D01* +G37* +G36* +X241550290Y-125187105D02* +G01* +X241579568Y-125195986D01* +X241606545Y-125210406D01* +X241630190Y-125229810D01* +X241649594Y-125253455D01* +X241664014Y-125280432D01* +X241672895Y-125309710D01* +X241676200Y-125343267D01* +X241676200Y-126876733D01* +X241672895Y-126910290D01* +X241664014Y-126939568D01* +X241649594Y-126966545D01* +X241630190Y-126990190D01* +X241606545Y-127009594D01* +X241579568Y-127024014D01* +X241550290Y-127032895D01* +X241516733Y-127036200D01* +X241083267Y-127036200D01* +X241049710Y-127032895D01* +X241020432Y-127024014D01* +X240993455Y-127009594D01* +X240969810Y-126990190D01* +X240950406Y-126966545D01* +X240935986Y-126939568D01* +X240927105Y-126910290D01* +X240923800Y-126876733D01* +X240923800Y-125343267D01* +X240927105Y-125309710D01* +X240935986Y-125280432D01* +X240950406Y-125253455D01* +X240969810Y-125229810D01* +X240993455Y-125210406D01* +X241020432Y-125195986D01* +X241049710Y-125187105D01* +X241083267Y-125183800D01* +X241516733Y-125183800D01* +X241550290Y-125187105D01* +X241550290Y-125187105D01* +G37* +G36* +X240280290Y-125187105D02* +G01* +X240309568Y-125195986D01* +X240336545Y-125210406D01* +X240360190Y-125229810D01* +X240379594Y-125253455D01* +X240394014Y-125280432D01* +X240402895Y-125309710D01* +X240406200Y-125343267D01* +X240406200Y-126876733D01* +X240402895Y-126910290D01* +X240394014Y-126939568D01* +X240379594Y-126966545D01* +X240360190Y-126990190D01* +X240336545Y-127009594D01* +X240309568Y-127024014D01* +X240280290Y-127032895D01* +X240246733Y-127036200D01* +X239813267Y-127036200D01* +X239779710Y-127032895D01* +X239750432Y-127024014D01* +X239723455Y-127009594D01* +X239699810Y-126990190D01* +X239680406Y-126966545D01* +X239665986Y-126939568D01* +X239657105Y-126910290D01* +X239653800Y-126876733D01* +X239653800Y-125343267D01* +X239657105Y-125309710D01* +X239665986Y-125280432D01* +X239680406Y-125253455D01* +X239699810Y-125229810D01* +X239723455Y-125210406D01* +X239750432Y-125195986D01* +X239779710Y-125187105D01* +X239813267Y-125183800D01* +X240246733Y-125183800D01* +X240280290Y-125187105D01* +X240280290Y-125187105D01* +G37* +G36* +X239010290Y-125187105D02* +G01* +X239039568Y-125195986D01* +X239066545Y-125210406D01* +X239090190Y-125229810D01* +X239109594Y-125253455D01* +X239124014Y-125280432D01* +X239132895Y-125309710D01* +X239136200Y-125343267D01* +X239136200Y-126876733D01* +X239132895Y-126910290D01* +X239124014Y-126939568D01* +X239109594Y-126966545D01* +X239090190Y-126990190D01* +X239066545Y-127009594D01* +X239039568Y-127024014D01* +X239010290Y-127032895D01* +X238976733Y-127036200D01* +X238543267Y-127036200D01* +X238509710Y-127032895D01* +X238480432Y-127024014D01* +X238453455Y-127009594D01* +X238429810Y-126990190D01* +X238410406Y-126966545D01* +X238395986Y-126939568D01* +X238387105Y-126910290D01* +X238383800Y-126876733D01* +X238383800Y-125343267D01* +X238387105Y-125309710D01* +X238395986Y-125280432D01* +X238410406Y-125253455D01* +X238429810Y-125229810D01* +X238453455Y-125210406D01* +X238480432Y-125195986D01* +X238509710Y-125187105D01* +X238543267Y-125183800D01* +X238976733Y-125183800D01* +X239010290Y-125187105D01* +X239010290Y-125187105D01* +G37* +G36* +X237740290Y-125187105D02* +G01* +X237769568Y-125195986D01* +X237796545Y-125210406D01* +X237820190Y-125229810D01* +X237839594Y-125253455D01* +X237854014Y-125280432D01* +X237862895Y-125309710D01* +X237866200Y-125343267D01* +X237866200Y-126876733D01* +X237862895Y-126910290D01* +X237854014Y-126939568D01* +X237839594Y-126966545D01* +X237820190Y-126990190D01* +X237796545Y-127009594D01* +X237769568Y-127024014D01* +X237740290Y-127032895D01* +X237706733Y-127036200D01* +X237273267Y-127036200D01* +X237239710Y-127032895D01* +X237210432Y-127024014D01* +X237183455Y-127009594D01* +X237159810Y-126990190D01* +X237140406Y-126966545D01* +X237125986Y-126939568D01* +X237117105Y-126910290D01* +X237113800Y-126876733D01* +X237113800Y-125343267D01* +X237117105Y-125309710D01* +X237125986Y-125280432D01* +X237140406Y-125253455D01* +X237159810Y-125229810D01* +X237183455Y-125210406D01* +X237210432Y-125195986D01* +X237239710Y-125187105D01* +X237273267Y-125183800D01* +X237706733Y-125183800D01* +X237740290Y-125187105D01* +X237740290Y-125187105D01* +G37* +G36* +X236470290Y-125187105D02* +G01* +X236499568Y-125195986D01* +X236526545Y-125210406D01* +X236550190Y-125229810D01* +X236569594Y-125253455D01* +X236584014Y-125280432D01* +X236592895Y-125309710D01* +X236596200Y-125343267D01* +X236596200Y-126876733D01* +X236592895Y-126910290D01* +X236584014Y-126939568D01* +X236569594Y-126966545D01* +X236550190Y-126990190D01* +X236526545Y-127009594D01* +X236499568Y-127024014D01* +X236470290Y-127032895D01* +X236436733Y-127036200D01* +X236003267Y-127036200D01* +X235969710Y-127032895D01* +X235940432Y-127024014D01* +X235913455Y-127009594D01* +X235889810Y-126990190D01* +X235870406Y-126966545D01* +X235855986Y-126939568D01* +X235847105Y-126910290D01* +X235843800Y-126876733D01* +X235843800Y-125343267D01* +X235847105Y-125309710D01* +X235855986Y-125280432D01* +X235870406Y-125253455D01* +X235889810Y-125229810D01* +X235913455Y-125210406D01* +X235940432Y-125195986D01* +X235969710Y-125187105D01* +X236003267Y-125183800D01* +X236436733Y-125183800D01* +X236470290Y-125187105D01* +X236470290Y-125187105D01* +G37* +G36* +X235200290Y-125187105D02* +G01* +X235229568Y-125195986D01* +X235256545Y-125210406D01* +X235280190Y-125229810D01* +X235299594Y-125253455D01* +X235314014Y-125280432D01* +X235322895Y-125309710D01* +X235326200Y-125343267D01* +X235326200Y-126876733D01* +X235322895Y-126910290D01* +X235314014Y-126939568D01* +X235299594Y-126966545D01* +X235280190Y-126990190D01* +X235256545Y-127009594D01* +X235229568Y-127024014D01* +X235200290Y-127032895D01* +X235166733Y-127036200D01* +X234733267Y-127036200D01* +X234699710Y-127032895D01* +X234670432Y-127024014D01* +X234643455Y-127009594D01* +X234619810Y-126990190D01* +X234600406Y-126966545D01* +X234585986Y-126939568D01* +X234577105Y-126910290D01* +X234573800Y-126876733D01* +X234573800Y-125343267D01* +X234577105Y-125309710D01* +X234585986Y-125280432D01* +X234600406Y-125253455D01* +X234619810Y-125229810D01* +X234643455Y-125210406D01* +X234670432Y-125195986D01* +X234699710Y-125187105D01* +X234733267Y-125183800D01* +X235166733Y-125183800D01* +X235200290Y-125187105D01* +X235200290Y-125187105D01* +G37* +G36* +X256790290Y-125187105D02* +G01* +X256819568Y-125195986D01* +X256846545Y-125210406D01* +X256870190Y-125229810D01* +X256889594Y-125253455D01* +X256904014Y-125280432D01* +X256912895Y-125309710D01* +X256916200Y-125343267D01* +X256916200Y-126876733D01* +X256912895Y-126910290D01* +X256904014Y-126939568D01* +X256889594Y-126966545D01* +X256870190Y-126990190D01* +X256846545Y-127009594D01* +X256819568Y-127024014D01* +X256790290Y-127032895D01* +X256756733Y-127036200D01* +X256323267Y-127036200D01* +X256289710Y-127032895D01* +X256260432Y-127024014D01* +X256233455Y-127009594D01* +X256209810Y-126990190D01* +X256190406Y-126966545D01* +X256175986Y-126939568D01* +X256167105Y-126910290D01* +X256163800Y-126876733D01* +X256163800Y-125343267D01* +X256167105Y-125309710D01* +X256175986Y-125280432D01* +X256190406Y-125253455D01* +X256209810Y-125229810D01* +X256233455Y-125210406D01* +X256260432Y-125195986D01* +X256289710Y-125187105D01* +X256323267Y-125183800D01* +X256756733Y-125183800D01* +X256790290Y-125187105D01* +X256790290Y-125187105D01* +G37* +G36* +X258060290Y-125187105D02* +G01* +X258089568Y-125195986D01* +X258116545Y-125210406D01* +X258140190Y-125229810D01* +X258159594Y-125253455D01* +X258174014Y-125280432D01* +X258182895Y-125309710D01* +X258186200Y-125343267D01* +X258186200Y-126876733D01* +X258182895Y-126910290D01* +X258174014Y-126939568D01* +X258159594Y-126966545D01* +X258140190Y-126990190D01* +X258116545Y-127009594D01* +X258089568Y-127024014D01* +X258060290Y-127032895D01* +X258026733Y-127036200D01* +X257593267Y-127036200D01* +X257559710Y-127032895D01* +X257530432Y-127024014D01* +X257503455Y-127009594D01* +X257479810Y-126990190D01* +X257460406Y-126966545D01* +X257445986Y-126939568D01* +X257437105Y-126910290D01* +X257433800Y-126876733D01* +X257433800Y-125343267D01* +X257437105Y-125309710D01* +X257445986Y-125280432D01* +X257460406Y-125253455D01* +X257479810Y-125229810D01* +X257503455Y-125210406D01* +X257530432Y-125195986D01* +X257559710Y-125187105D01* +X257593267Y-125183800D01* +X258026733Y-125183800D01* +X258060290Y-125187105D01* +X258060290Y-125187105D01* +G37* +G36* +X259330290Y-125187105D02* +G01* +X259359568Y-125195986D01* +X259386545Y-125210406D01* +X259410190Y-125229810D01* +X259429594Y-125253455D01* +X259444014Y-125280432D01* +X259452895Y-125309710D01* +X259456200Y-125343267D01* +X259456200Y-126876733D01* +X259452895Y-126910290D01* +X259444014Y-126939568D01* +X259429594Y-126966545D01* +X259410190Y-126990190D01* +X259386545Y-127009594D01* +X259359568Y-127024014D01* +X259330290Y-127032895D01* +X259296733Y-127036200D01* +X258863267Y-127036200D01* +X258829710Y-127032895D01* +X258800432Y-127024014D01* +X258773455Y-127009594D01* +X258749810Y-126990190D01* +X258730406Y-126966545D01* +X258715986Y-126939568D01* +X258707105Y-126910290D01* +X258703800Y-126876733D01* +X258703800Y-125343267D01* +X258707105Y-125309710D01* +X258715986Y-125280432D01* +X258730406Y-125253455D01* +X258749810Y-125229810D01* +X258773455Y-125210406D01* +X258800432Y-125195986D01* +X258829710Y-125187105D01* +X258863267Y-125183800D01* +X259296733Y-125183800D01* +X259330290Y-125187105D01* +X259330290Y-125187105D01* +G37* +G36* +X260600290Y-125187105D02* +G01* +X260629568Y-125195986D01* +X260656545Y-125210406D01* +X260680190Y-125229810D01* +X260699594Y-125253455D01* +X260714014Y-125280432D01* +X260722895Y-125309710D01* +X260726200Y-125343267D01* +X260726200Y-126876733D01* +X260722895Y-126910290D01* +X260714014Y-126939568D01* +X260699594Y-126966545D01* +X260680190Y-126990190D01* +X260656545Y-127009594D01* +X260629568Y-127024014D01* +X260600290Y-127032895D01* +X260566733Y-127036200D01* +X260133267Y-127036200D01* +X260099710Y-127032895D01* +X260070432Y-127024014D01* +X260043455Y-127009594D01* +X260019810Y-126990190D01* +X260000406Y-126966545D01* +X259985986Y-126939568D01* +X259977105Y-126910290D01* +X259973800Y-126876733D01* +X259973800Y-125343267D01* +X259977105Y-125309710D01* +X259985986Y-125280432D01* +X260000406Y-125253455D01* +X260019810Y-125229810D01* +X260043455Y-125210406D01* +X260070432Y-125195986D01* +X260099710Y-125187105D01* +X260133267Y-125183800D01* +X260566733Y-125183800D01* +X260600290Y-125187105D01* +X260600290Y-125187105D01* +G37* +G36* +X246630290Y-125187105D02* +G01* +X246659568Y-125195986D01* +X246686545Y-125210406D01* +X246710190Y-125229810D01* +X246729594Y-125253455D01* +X246744014Y-125280432D01* +X246752895Y-125309710D01* +X246756200Y-125343267D01* +X246756200Y-126876733D01* +X246752895Y-126910290D01* +X246744014Y-126939568D01* +X246729594Y-126966545D01* +X246710190Y-126990190D01* +X246686545Y-127009594D01* +X246659568Y-127024014D01* +X246630290Y-127032895D01* +X246596733Y-127036200D01* +X246163267Y-127036200D01* +X246129710Y-127032895D01* +X246100432Y-127024014D01* +X246073455Y-127009594D01* +X246049810Y-126990190D01* +X246030406Y-126966545D01* +X246015986Y-126939568D01* +X246007105Y-126910290D01* +X246003800Y-126876733D01* +X246003800Y-125343267D01* +X246007105Y-125309710D01* +X246015986Y-125280432D01* +X246030406Y-125253455D01* +X246049810Y-125229810D01* +X246073455Y-125210406D01* +X246100432Y-125195986D01* +X246129710Y-125187105D01* +X246163267Y-125183800D01* +X246596733Y-125183800D01* +X246630290Y-125187105D01* +X246630290Y-125187105D01* +G37* +G36* +X247900290Y-125187105D02* +G01* +X247929568Y-125195986D01* +X247956545Y-125210406D01* +X247980190Y-125229810D01* +X247999594Y-125253455D01* +X248014014Y-125280432D01* +X248022895Y-125309710D01* +X248026200Y-125343267D01* +X248026200Y-126876733D01* +X248022895Y-126910290D01* +X248014014Y-126939568D01* +X247999594Y-126966545D01* +X247980190Y-126990190D01* +X247956545Y-127009594D01* +X247929568Y-127024014D01* +X247900290Y-127032895D01* +X247866733Y-127036200D01* +X247433267Y-127036200D01* +X247399710Y-127032895D01* +X247370432Y-127024014D01* +X247343455Y-127009594D01* +X247319810Y-126990190D01* +X247300406Y-126966545D01* +X247285986Y-126939568D01* +X247277105Y-126910290D01* +X247273800Y-126876733D01* +X247273800Y-125343267D01* +X247277105Y-125309710D01* +X247285986Y-125280432D01* +X247300406Y-125253455D01* +X247319810Y-125229810D01* +X247343455Y-125210406D01* +X247370432Y-125195986D01* +X247399710Y-125187105D01* +X247433267Y-125183800D01* +X247866733Y-125183800D01* +X247900290Y-125187105D01* +X247900290Y-125187105D01* +G37* +G36* +X249170290Y-125187105D02* +G01* +X249199568Y-125195986D01* +X249226545Y-125210406D01* +X249250190Y-125229810D01* +X249269594Y-125253455D01* +X249284014Y-125280432D01* +X249292895Y-125309710D01* +X249296200Y-125343267D01* +X249296200Y-126876733D01* +X249292895Y-126910290D01* +X249284014Y-126939568D01* +X249269594Y-126966545D01* +X249250190Y-126990190D01* +X249226545Y-127009594D01* +X249199568Y-127024014D01* +X249170290Y-127032895D01* +X249136733Y-127036200D01* +X248703267Y-127036200D01* +X248669710Y-127032895D01* +X248640432Y-127024014D01* +X248613455Y-127009594D01* +X248589810Y-126990190D01* +X248570406Y-126966545D01* +X248555986Y-126939568D01* +X248547105Y-126910290D01* +X248543800Y-126876733D01* +X248543800Y-125343267D01* +X248547105Y-125309710D01* +X248555986Y-125280432D01* +X248570406Y-125253455D01* +X248589810Y-125229810D01* +X248613455Y-125210406D01* +X248640432Y-125195986D01* +X248669710Y-125187105D01* +X248703267Y-125183800D01* +X249136733Y-125183800D01* +X249170290Y-125187105D01* +X249170290Y-125187105D01* +G37* +G36* +X255520290Y-125187105D02* +G01* +X255549568Y-125195986D01* +X255576545Y-125210406D01* +X255600190Y-125229810D01* +X255619594Y-125253455D01* +X255634014Y-125280432D01* +X255642895Y-125309710D01* +X255646200Y-125343267D01* +X255646200Y-126876733D01* +X255642895Y-126910290D01* +X255634014Y-126939568D01* +X255619594Y-126966545D01* +X255600190Y-126990190D01* +X255576545Y-127009594D01* +X255549568Y-127024014D01* +X255520290Y-127032895D01* +X255486733Y-127036200D01* +X255053267Y-127036200D01* +X255019710Y-127032895D01* +X254990432Y-127024014D01* +X254963455Y-127009594D01* +X254939810Y-126990190D01* +X254920406Y-126966545D01* +X254905986Y-126939568D01* +X254897105Y-126910290D01* +X254893800Y-126876733D01* +X254893800Y-125343267D01* +X254897105Y-125309710D01* +X254905986Y-125280432D01* +X254920406Y-125253455D01* +X254939810Y-125229810D01* +X254963455Y-125210406D01* +X254990432Y-125195986D01* +X255019710Y-125187105D01* +X255053267Y-125183800D01* +X255486733Y-125183800D01* +X255520290Y-125187105D01* +X255520290Y-125187105D01* +G37* +G36* +X254250290Y-125187105D02* +G01* +X254279568Y-125195986D01* +X254306545Y-125210406D01* +X254330190Y-125229810D01* +X254349594Y-125253455D01* +X254364014Y-125280432D01* +X254372895Y-125309710D01* +X254376200Y-125343267D01* +X254376200Y-126876733D01* +X254372895Y-126910290D01* +X254364014Y-126939568D01* +X254349594Y-126966545D01* +X254330190Y-126990190D01* +X254306545Y-127009594D01* +X254279568Y-127024014D01* +X254250290Y-127032895D01* +X254216733Y-127036200D01* +X253783267Y-127036200D01* +X253749710Y-127032895D01* +X253720432Y-127024014D01* +X253693455Y-127009594D01* +X253669810Y-126990190D01* +X253650406Y-126966545D01* +X253635986Y-126939568D01* +X253627105Y-126910290D01* +X253623800Y-126876733D01* +X253623800Y-125343267D01* +X253627105Y-125309710D01* +X253635986Y-125280432D01* +X253650406Y-125253455D01* +X253669810Y-125229810D01* +X253693455Y-125210406D01* +X253720432Y-125195986D01* +X253749710Y-125187105D01* +X253783267Y-125183800D01* +X254216733Y-125183800D01* +X254250290Y-125187105D01* +X254250290Y-125187105D01* +G37* +G36* +X250440290Y-125187105D02* +G01* +X250469568Y-125195986D01* +X250496545Y-125210406D01* +X250520190Y-125229810D01* +X250539594Y-125253455D01* +X250554014Y-125280432D01* +X250562895Y-125309710D01* +X250566200Y-125343267D01* +X250566200Y-126876733D01* +X250562895Y-126910290D01* +X250554014Y-126939568D01* +X250539594Y-126966545D01* +X250520190Y-126990190D01* +X250496545Y-127009594D01* +X250469568Y-127024014D01* +X250440290Y-127032895D01* +X250406733Y-127036200D01* +X249973267Y-127036200D01* +X249939710Y-127032895D01* +X249910432Y-127024014D01* +X249883455Y-127009594D01* +X249859810Y-126990190D01* +X249840406Y-126966545D01* +X249825986Y-126939568D01* +X249817105Y-126910290D01* +X249813800Y-126876733D01* +X249813800Y-125343267D01* +X249817105Y-125309710D01* +X249825986Y-125280432D01* +X249840406Y-125253455D01* +X249859810Y-125229810D01* +X249883455Y-125210406D01* +X249910432Y-125195986D01* +X249939710Y-125187105D01* +X249973267Y-125183800D01* +X250406733Y-125183800D01* +X250440290Y-125187105D01* +X250440290Y-125187105D01* +G37* +G36* +X251710290Y-125187105D02* +G01* +X251739568Y-125195986D01* +X251766545Y-125210406D01* +X251790190Y-125229810D01* +X251809594Y-125253455D01* +X251824014Y-125280432D01* +X251832895Y-125309710D01* +X251836200Y-125343267D01* +X251836200Y-126876733D01* +X251832895Y-126910290D01* +X251824014Y-126939568D01* +X251809594Y-126966545D01* +X251790190Y-126990190D01* +X251766545Y-127009594D01* +X251739568Y-127024014D01* +X251710290Y-127032895D01* +X251676733Y-127036200D01* +X251243267Y-127036200D01* +X251209710Y-127032895D01* +X251180432Y-127024014D01* +X251153455Y-127009594D01* +X251129810Y-126990190D01* +X251110406Y-126966545D01* +X251095986Y-126939568D01* +X251087105Y-126910290D01* +X251083800Y-126876733D01* +X251083800Y-125343267D01* +X251087105Y-125309710D01* +X251095986Y-125280432D01* +X251110406Y-125253455D01* +X251129810Y-125229810D01* +X251153455Y-125210406D01* +X251180432Y-125195986D01* +X251209710Y-125187105D01* +X251243267Y-125183800D01* +X251676733Y-125183800D01* +X251710290Y-125187105D01* +X251710290Y-125187105D01* +G37* +G36* +X252980290Y-125187105D02* +G01* +X253009568Y-125195986D01* +X253036545Y-125210406D01* +X253060190Y-125229810D01* +X253079594Y-125253455D01* +X253094014Y-125280432D01* +X253102895Y-125309710D01* +X253106200Y-125343267D01* +X253106200Y-126876733D01* +X253102895Y-126910290D01* +X253094014Y-126939568D01* +X253079594Y-126966545D01* +X253060190Y-126990190D01* +X253036545Y-127009594D01* +X253009568Y-127024014D01* +X252980290Y-127032895D01* +X252946733Y-127036200D01* +X252513267Y-127036200D01* +X252479710Y-127032895D01* +X252450432Y-127024014D01* +X252423455Y-127009594D01* +X252399810Y-126990190D01* +X252380406Y-126966545D01* +X252365986Y-126939568D01* +X252357105Y-126910290D01* +X252353800Y-126876733D01* +X252353800Y-125343267D01* +X252357105Y-125309710D01* +X252365986Y-125280432D01* +X252380406Y-125253455D01* +X252399810Y-125229810D01* +X252423455Y-125210406D01* +X252450432Y-125195986D01* +X252479710Y-125187105D01* +X252513267Y-125183800D01* +X252946733Y-125183800D01* +X252980290Y-125187105D01* +X252980290Y-125187105D01* +G37* +G36* +X227889737Y-123864267D02* +G01* +X227940119Y-123879550D01* +X227986546Y-123904366D01* +X228027239Y-123937761D01* +X228060634Y-123978454D01* +X228085450Y-124024881D01* +X228100733Y-124075263D01* +X228106200Y-124130767D01* +X228106200Y-124789233D01* +X228100733Y-124844737D01* +X228085450Y-124895119D01* +X228060634Y-124941546D01* +X228027239Y-124982239D01* +X227986546Y-125015634D01* +X227940119Y-125040450D01* +X227889737Y-125055733D01* +X227834233Y-125061200D01* +X226825767Y-125061200D01* +X226770263Y-125055733D01* +X226719881Y-125040450D01* +X226673454Y-125015634D01* +X226632761Y-124982239D01* +X226599366Y-124941546D01* +X226574550Y-124895119D01* +X226559267Y-124844737D01* +X226553800Y-124789233D01* +X226553800Y-124130767D01* +X226559267Y-124075263D01* +X226574550Y-124024881D01* +X226599366Y-123978454D01* +X226632761Y-123937761D01* +X226673454Y-123904366D01* +X226719881Y-123879550D01* +X226770263Y-123864267D01* +X226825767Y-123858800D01* +X227834233Y-123858800D01* +X227889737Y-123864267D01* +X227889737Y-123864267D01* +G37* +G36* +X262800290Y-124087105D02* +G01* +X262829568Y-124095986D01* +X262856545Y-124110406D01* +X262880190Y-124129810D01* +X262899594Y-124153455D01* +X262914014Y-124180432D01* +X262922895Y-124209710D01* +X262926200Y-124243267D01* +X262926200Y-124676733D01* +X262922895Y-124710290D01* +X262914014Y-124739568D01* +X262899594Y-124766545D01* +X262880190Y-124790190D01* +X262856545Y-124809594D01* +X262829568Y-124824014D01* +X262800290Y-124832895D01* +X262766733Y-124836200D01* +X261233267Y-124836200D01* +X261199710Y-124832895D01* +X261170432Y-124824014D01* +X261143455Y-124809594D01* +X261119810Y-124790190D01* +X261100406Y-124766545D01* +X261085986Y-124739568D01* +X261077105Y-124710290D01* +X261073800Y-124676733D01* +X261073800Y-124243267D01* +X261077105Y-124209710D01* +X261085986Y-124180432D01* +X261100406Y-124153455D01* +X261119810Y-124129810D01* +X261143455Y-124110406D01* +X261170432Y-124095986D01* +X261199710Y-124087105D01* +X261233267Y-124083800D01* +X262766733Y-124083800D01* +X262800290Y-124087105D01* +X262800290Y-124087105D01* +G37* +G36* +X234100290Y-124087105D02* +G01* +X234129568Y-124095986D01* +X234156545Y-124110406D01* +X234180190Y-124129810D01* +X234199594Y-124153455D01* +X234214014Y-124180432D01* +X234222895Y-124209710D01* +X234226200Y-124243267D01* +X234226200Y-124676733D01* +X234222895Y-124710290D01* +X234214014Y-124739568D01* +X234199594Y-124766545D01* +X234180190Y-124790190D01* +X234156545Y-124809594D01* +X234129568Y-124824014D01* +X234100290Y-124832895D01* +X234066733Y-124836200D01* +X232533267Y-124836200D01* +X232499710Y-124832895D01* +X232470432Y-124824014D01* +X232443455Y-124809594D01* +X232419810Y-124790190D01* +X232400406Y-124766545D01* +X232385986Y-124739568D01* +X232377105Y-124710290D01* +X232373800Y-124676733D01* +X232373800Y-124243267D01* +X232377105Y-124209710D01* +X232385986Y-124180432D01* +X232400406Y-124153455D01* +X232419810Y-124129810D01* +X232443455Y-124110406D01* +X232470432Y-124095986D01* +X232499710Y-124087105D01* +X232533267Y-124083800D01* +X234066733Y-124083800D01* +X234100290Y-124087105D01* +X234100290Y-124087105D01* +G37* +G36* +X234100290Y-122817105D02* +G01* +X234129568Y-122825986D01* +X234156545Y-122840406D01* +X234180190Y-122859810D01* +X234199594Y-122883455D01* +X234214014Y-122910432D01* +X234222895Y-122939710D01* +X234226200Y-122973267D01* +X234226200Y-123406733D01* +X234222895Y-123440290D01* +X234214014Y-123469568D01* +X234199594Y-123496545D01* +X234180190Y-123520190D01* +X234156545Y-123539594D01* +X234129568Y-123554014D01* +X234100290Y-123562895D01* +X234066733Y-123566200D01* +X232533267Y-123566200D01* +X232499710Y-123562895D01* +X232470432Y-123554014D01* +X232443455Y-123539594D01* +X232419810Y-123520190D01* +X232400406Y-123496545D01* +X232385986Y-123469568D01* +X232377105Y-123440290D01* +X232373800Y-123406733D01* +X232373800Y-122973267D01* +X232377105Y-122939710D01* +X232385986Y-122910432D01* +X232400406Y-122883455D01* +X232419810Y-122859810D01* +X232443455Y-122840406D01* +X232470432Y-122825986D01* +X232499710Y-122817105D01* +X232533267Y-122813800D01* +X234066733Y-122813800D01* +X234100290Y-122817105D01* +X234100290Y-122817105D01* +G37* +G36* +X262800290Y-122817105D02* +G01* +X262829568Y-122825986D01* +X262856545Y-122840406D01* +X262880190Y-122859810D01* +X262899594Y-122883455D01* +X262914014Y-122910432D01* +X262922895Y-122939710D01* +X262926200Y-122973267D01* +X262926200Y-123406733D01* +X262922895Y-123440290D01* +X262914014Y-123469568D01* +X262899594Y-123496545D01* +X262880190Y-123520190D01* +X262856545Y-123539594D01* +X262829568Y-123554014D01* +X262800290Y-123562895D01* +X262766733Y-123566200D01* +X261233267Y-123566200D01* +X261199710Y-123562895D01* +X261170432Y-123554014D01* +X261143455Y-123539594D01* +X261119810Y-123520190D01* +X261100406Y-123496545D01* +X261085986Y-123469568D01* +X261077105Y-123440290D01* +X261073800Y-123406733D01* +X261073800Y-122973267D01* +X261077105Y-122939710D01* +X261085986Y-122910432D01* +X261100406Y-122883455D01* +X261119810Y-122859810D01* +X261143455Y-122840406D01* +X261170432Y-122825986D01* +X261199710Y-122817105D01* +X261233267Y-122813800D01* +X262766733Y-122813800D01* +X262800290Y-122817105D01* +X262800290Y-122817105D01* +G37* +G36* +X227889737Y-122164267D02* +G01* +X227940119Y-122179550D01* +X227986546Y-122204366D01* +X228027239Y-122237761D01* +X228060634Y-122278454D01* +X228085450Y-122324881D01* +X228100733Y-122375263D01* +X228106200Y-122430767D01* +X228106200Y-123089233D01* +X228100733Y-123144737D01* +X228085450Y-123195119D01* +X228060634Y-123241546D01* +X228027239Y-123282239D01* +X227986546Y-123315634D01* +X227940119Y-123340450D01* +X227889737Y-123355733D01* +X227834233Y-123361200D01* +X226825767Y-123361200D01* +X226770263Y-123355733D01* +X226719881Y-123340450D01* +X226673454Y-123315634D01* +X226632761Y-123282239D01* +X226599366Y-123241546D01* +X226574550Y-123195119D01* +X226559267Y-123144737D01* +X226553800Y-123089233D01* +X226553800Y-122430767D01* +X226559267Y-122375263D01* +X226574550Y-122324881D01* +X226599366Y-122278454D01* +X226632761Y-122237761D01* +X226673454Y-122204366D01* +X226719881Y-122179550D01* +X226770263Y-122164267D01* +X226825767Y-122158800D01* +X227834233Y-122158800D01* +X227889737Y-122164267D01* +X227889737Y-122164267D01* +G37* +G36* +X262800290Y-121547105D02* +G01* +X262829568Y-121555986D01* +X262856545Y-121570406D01* +X262880190Y-121589810D01* +X262899594Y-121613455D01* +X262914014Y-121640432D01* +X262922895Y-121669710D01* +X262926200Y-121703267D01* +X262926200Y-122136733D01* +X262922895Y-122170290D01* +X262914014Y-122199568D01* +X262899594Y-122226545D01* +X262880190Y-122250190D01* +X262856545Y-122269594D01* +X262829568Y-122284014D01* +X262800290Y-122292895D01* +X262766733Y-122296200D01* +X261233267Y-122296200D01* +X261199710Y-122292895D01* +X261170432Y-122284014D01* +X261143455Y-122269594D01* +X261119810Y-122250190D01* +X261100406Y-122226545D01* +X261085986Y-122199568D01* +X261077105Y-122170290D01* +X261073800Y-122136733D01* +X261073800Y-121703267D01* +X261077105Y-121669710D01* +X261085986Y-121640432D01* +X261100406Y-121613455D01* +X261119810Y-121589810D01* +X261143455Y-121570406D01* +X261170432Y-121555986D01* +X261199710Y-121547105D01* +X261233267Y-121543800D01* +X262766733Y-121543800D01* +X262800290Y-121547105D01* +X262800290Y-121547105D01* +G37* +G36* +X234100290Y-121547105D02* +G01* +X234129568Y-121555986D01* +X234156545Y-121570406D01* +X234180190Y-121589810D01* +X234199594Y-121613455D01* +X234214014Y-121640432D01* +X234222895Y-121669710D01* +X234226200Y-121703267D01* +X234226200Y-122136733D01* +X234222895Y-122170290D01* +X234214014Y-122199568D01* +X234199594Y-122226545D01* +X234180190Y-122250190D01* +X234156545Y-122269594D01* +X234129568Y-122284014D01* +X234100290Y-122292895D01* +X234066733Y-122296200D01* +X232533267Y-122296200D01* +X232499710Y-122292895D01* +X232470432Y-122284014D01* +X232443455Y-122269594D01* +X232419810Y-122250190D01* +X232400406Y-122226545D01* +X232385986Y-122199568D01* +X232377105Y-122170290D01* +X232373800Y-122136733D01* +X232373800Y-121703267D01* +X232377105Y-121669710D01* +X232385986Y-121640432D01* +X232400406Y-121613455D01* +X232419810Y-121589810D01* +X232443455Y-121570406D01* +X232470432Y-121555986D01* +X232499710Y-121547105D01* +X232533267Y-121543800D01* +X234066733Y-121543800D01* +X234100290Y-121547105D01* +X234100290Y-121547105D01* +G37* +G36* +X268529737Y-120054267D02* +G01* +X268580119Y-120069550D01* +X268626546Y-120094366D01* +X268667239Y-120127761D01* +X268700634Y-120168454D01* +X268725450Y-120214881D01* +X268740733Y-120265263D01* +X268746200Y-120320767D01* +X268746200Y-120979233D01* +X268740733Y-121034737D01* +X268725450Y-121085119D01* +X268700634Y-121131546D01* +X268667239Y-121172239D01* +X268626546Y-121205634D01* +X268580119Y-121230450D01* +X268529737Y-121245733D01* +X268474233Y-121251200D01* +X267465767Y-121251200D01* +X267410263Y-121245733D01* +X267359881Y-121230450D01* +X267313454Y-121205634D01* +X267272761Y-121172239D01* +X267239366Y-121131546D01* +X267214550Y-121085119D01* +X267199267Y-121034737D01* +X267193800Y-120979233D01* +X267193800Y-120320767D01* +X267199267Y-120265263D01* +X267214550Y-120214881D01* +X267239366Y-120168454D01* +X267272761Y-120127761D01* +X267313454Y-120094366D01* +X267359881Y-120069550D01* +X267410263Y-120054267D01* +X267465767Y-120048800D01* +X268474233Y-120048800D01* +X268529737Y-120054267D01* +X268529737Y-120054267D01* +G37* +G36* +X234100290Y-120277105D02* +G01* +X234129568Y-120285986D01* +X234156545Y-120300406D01* +X234180190Y-120319810D01* +X234199594Y-120343455D01* +X234214014Y-120370432D01* +X234222895Y-120399710D01* +X234226200Y-120433267D01* +X234226200Y-120866733D01* +X234222895Y-120900290D01* +X234214014Y-120929568D01* +X234199594Y-120956545D01* +X234180190Y-120980190D01* +X234156545Y-120999594D01* +X234129568Y-121014014D01* +X234100290Y-121022895D01* +X234066733Y-121026200D01* +X232533267Y-121026200D01* +X232499710Y-121022895D01* +X232470432Y-121014014D01* +X232443455Y-120999594D01* +X232419810Y-120980190D01* +X232400406Y-120956545D01* +X232385986Y-120929568D01* +X232377105Y-120900290D01* +X232373800Y-120866733D01* +X232373800Y-120433267D01* +X232377105Y-120399710D01* +X232385986Y-120370432D01* +X232400406Y-120343455D01* +X232419810Y-120319810D01* +X232443455Y-120300406D01* +X232470432Y-120285986D01* +X232499710Y-120277105D01* +X232533267Y-120273800D01* +X234066733Y-120273800D01* +X234100290Y-120277105D01* +X234100290Y-120277105D01* +G37* +G36* +X262800290Y-120277105D02* +G01* +X262829568Y-120285986D01* +X262856545Y-120300406D01* +X262880190Y-120319810D01* +X262899594Y-120343455D01* +X262914014Y-120370432D01* +X262922895Y-120399710D01* +X262926200Y-120433267D01* +X262926200Y-120866733D01* +X262922895Y-120900290D01* +X262914014Y-120929568D01* +X262899594Y-120956545D01* +X262880190Y-120980190D01* +X262856545Y-120999594D01* +X262829568Y-121014014D01* +X262800290Y-121022895D01* +X262766733Y-121026200D01* +X261233267Y-121026200D01* +X261199710Y-121022895D01* +X261170432Y-121014014D01* +X261143455Y-120999594D01* +X261119810Y-120980190D01* +X261100406Y-120956545D01* +X261085986Y-120929568D01* +X261077105Y-120900290D01* +X261073800Y-120866733D01* +X261073800Y-120433267D01* +X261077105Y-120399710D01* +X261085986Y-120370432D01* +X261100406Y-120343455D01* +X261119810Y-120319810D01* +X261143455Y-120300406D01* +X261170432Y-120285986D01* +X261199710Y-120277105D01* +X261233267Y-120273800D01* +X262766733Y-120273800D01* +X262800290Y-120277105D01* +X262800290Y-120277105D01* +G37* +G36* +X234100290Y-119007105D02* +G01* +X234129568Y-119015986D01* +X234156545Y-119030406D01* +X234180190Y-119049810D01* +X234199594Y-119073455D01* +X234214014Y-119100432D01* +X234222895Y-119129710D01* +X234226200Y-119163267D01* +X234226200Y-119596733D01* +X234222895Y-119630290D01* +X234214014Y-119659568D01* +X234199594Y-119686545D01* +X234180190Y-119710190D01* +X234156545Y-119729594D01* +X234129568Y-119744014D01* +X234100290Y-119752895D01* +X234066733Y-119756200D01* +X232533267Y-119756200D01* +X232499710Y-119752895D01* +X232470432Y-119744014D01* +X232443455Y-119729594D01* +X232419810Y-119710190D01* +X232400406Y-119686545D01* +X232385986Y-119659568D01* +X232377105Y-119630290D01* +X232373800Y-119596733D01* +X232373800Y-119163267D01* +X232377105Y-119129710D01* +X232385986Y-119100432D01* +X232400406Y-119073455D01* +X232419810Y-119049810D01* +X232443455Y-119030406D01* +X232470432Y-119015986D01* +X232499710Y-119007105D01* +X232533267Y-119003800D01* +X234066733Y-119003800D01* +X234100290Y-119007105D01* +X234100290Y-119007105D01* +G37* +G36* +X262800290Y-119007105D02* +G01* +X262829568Y-119015986D01* +X262856545Y-119030406D01* +X262880190Y-119049810D01* +X262899594Y-119073455D01* +X262914014Y-119100432D01* +X262922895Y-119129710D01* +X262926200Y-119163267D01* +X262926200Y-119596733D01* +X262922895Y-119630290D01* +X262914014Y-119659568D01* +X262899594Y-119686545D01* +X262880190Y-119710190D01* +X262856545Y-119729594D01* +X262829568Y-119744014D01* +X262800290Y-119752895D01* +X262766733Y-119756200D01* +X261233267Y-119756200D01* +X261199710Y-119752895D01* +X261170432Y-119744014D01* +X261143455Y-119729594D01* +X261119810Y-119710190D01* +X261100406Y-119686545D01* +X261085986Y-119659568D01* +X261077105Y-119630290D01* +X261073800Y-119596733D01* +X261073800Y-119163267D01* +X261077105Y-119129710D01* +X261085986Y-119100432D01* +X261100406Y-119073455D01* +X261119810Y-119049810D01* +X261143455Y-119030406D01* +X261170432Y-119015986D01* +X261199710Y-119007105D01* +X261233267Y-119003800D01* +X262766733Y-119003800D01* +X262800290Y-119007105D01* +X262800290Y-119007105D01* +G37* +G36* +X268529737Y-118354267D02* +G01* +X268580119Y-118369550D01* +X268626546Y-118394366D01* +X268667239Y-118427761D01* +X268700634Y-118468454D01* +X268725450Y-118514881D01* +X268740733Y-118565263D01* +X268746200Y-118620767D01* +X268746200Y-119279233D01* +X268740733Y-119334737D01* +X268725450Y-119385119D01* +X268700634Y-119431546D01* +X268667239Y-119472239D01* +X268626546Y-119505634D01* +X268580119Y-119530450D01* +X268529737Y-119545733D01* +X268474233Y-119551200D01* +X267465767Y-119551200D01* +X267410263Y-119545733D01* +X267359881Y-119530450D01* +X267313454Y-119505634D01* +X267272761Y-119472239D01* +X267239366Y-119431546D01* +X267214550Y-119385119D01* +X267199267Y-119334737D01* +X267193800Y-119279233D01* +X267193800Y-118620767D01* +X267199267Y-118565263D01* +X267214550Y-118514881D01* +X267239366Y-118468454D01* +X267272761Y-118427761D01* +X267313454Y-118394366D01* +X267359881Y-118369550D01* +X267410263Y-118354267D01* +X267465767Y-118348800D01* +X268474233Y-118348800D01* +X268529737Y-118354267D01* +X268529737Y-118354267D01* +G37* +G36* +X234100290Y-117737105D02* +G01* +X234129568Y-117745986D01* +X234156545Y-117760406D01* +X234180190Y-117779810D01* +X234199594Y-117803455D01* +X234214014Y-117830432D01* +X234222895Y-117859710D01* +X234226200Y-117893267D01* +X234226200Y-118326733D01* +X234222895Y-118360290D01* +X234214014Y-118389568D01* +X234199594Y-118416545D01* +X234180190Y-118440190D01* +X234156545Y-118459594D01* +X234129568Y-118474014D01* +X234100290Y-118482895D01* +X234066733Y-118486200D01* +X232533267Y-118486200D01* +X232499710Y-118482895D01* +X232470432Y-118474014D01* +X232443455Y-118459594D01* +X232419810Y-118440190D01* +X232400406Y-118416545D01* +X232385986Y-118389568D01* +X232377105Y-118360290D01* +X232373800Y-118326733D01* +X232373800Y-117893267D01* +X232377105Y-117859710D01* +X232385986Y-117830432D01* +X232400406Y-117803455D01* +X232419810Y-117779810D01* +X232443455Y-117760406D01* +X232470432Y-117745986D01* +X232499710Y-117737105D01* +X232533267Y-117733800D01* +X234066733Y-117733800D01* +X234100290Y-117737105D01* +X234100290Y-117737105D01* +G37* +G36* +X262800290Y-117737105D02* +G01* +X262829568Y-117745986D01* +X262856545Y-117760406D01* +X262880190Y-117779810D01* +X262899594Y-117803455D01* +X262914014Y-117830432D01* +X262922895Y-117859710D01* +X262926200Y-117893267D01* +X262926200Y-118326733D01* +X262922895Y-118360290D01* +X262914014Y-118389568D01* +X262899594Y-118416545D01* +X262880190Y-118440190D01* +X262856545Y-118459594D01* +X262829568Y-118474014D01* +X262800290Y-118482895D01* +X262766733Y-118486200D01* +X261233267Y-118486200D01* +X261199710Y-118482895D01* +X261170432Y-118474014D01* +X261143455Y-118459594D01* +X261119810Y-118440190D01* +X261100406Y-118416545D01* +X261085986Y-118389568D01* +X261077105Y-118360290D01* +X261073800Y-118326733D01* +X261073800Y-117893267D01* +X261077105Y-117859710D01* +X261085986Y-117830432D01* +X261100406Y-117803455D01* +X261119810Y-117779810D01* +X261143455Y-117760406D01* +X261170432Y-117745986D01* +X261199710Y-117737105D01* +X261233267Y-117733800D01* +X262766733Y-117733800D01* +X262800290Y-117737105D01* +X262800290Y-117737105D01* +G37* +G36* +X214506737Y-116704267D02* +G01* +X214557119Y-116719550D01* +X214603546Y-116744366D01* +X214644239Y-116777761D01* +X214677634Y-116818454D01* +X214702450Y-116864881D01* +X214717733Y-116915263D01* +X214723200Y-116970767D01* +X214723200Y-117979233D01* +X214717733Y-118034737D01* +X214702450Y-118085119D01* +X214677634Y-118131546D01* +X214644239Y-118172239D01* +X214603546Y-118205634D01* +X214557119Y-118230450D01* +X214506737Y-118245733D01* +X214451233Y-118251200D01* +X213792767Y-118251200D01* +X213737263Y-118245733D01* +X213686881Y-118230450D01* +X213640454Y-118205634D01* +X213599761Y-118172239D01* +X213566366Y-118131546D01* +X213541550Y-118085119D01* +X213526267Y-118034737D01* +X213520800Y-117979233D01* +X213520800Y-116970767D01* +X213526267Y-116915263D01* +X213541550Y-116864881D01* +X213566366Y-116818454D01* +X213599761Y-116777761D01* +X213640454Y-116744366D01* +X213686881Y-116719550D01* +X213737263Y-116704267D01* +X213792767Y-116698800D01* +X214451233Y-116698800D01* +X214506737Y-116704267D01* +X214506737Y-116704267D01* +G37* +G36* +X216206737Y-116704267D02* +G01* +X216257119Y-116719550D01* +X216303546Y-116744366D01* +X216344239Y-116777761D01* +X216377634Y-116818454D01* +X216402450Y-116864881D01* +X216417733Y-116915263D01* +X216423200Y-116970767D01* +X216423200Y-117979233D01* +X216417733Y-118034737D01* +X216402450Y-118085119D01* +X216377634Y-118131546D01* +X216344239Y-118172239D01* +X216303546Y-118205634D01* +X216257119Y-118230450D01* +X216206737Y-118245733D01* +X216151233Y-118251200D01* +X215492767Y-118251200D01* +X215437263Y-118245733D01* +X215386881Y-118230450D01* +X215340454Y-118205634D01* +X215299761Y-118172239D01* +X215266366Y-118131546D01* +X215241550Y-118085119D01* +X215226267Y-118034737D01* +X215220800Y-117979233D01* +X215220800Y-116970767D01* +X215226267Y-116915263D01* +X215241550Y-116864881D01* +X215266366Y-116818454D01* +X215299761Y-116777761D01* +X215340454Y-116744366D01* +X215386881Y-116719550D01* +X215437263Y-116704267D01* +X215492767Y-116698800D01* +X216151233Y-116698800D01* +X216206737Y-116704267D01* +X216206737Y-116704267D01* +G37* +G36* +X262800290Y-116467105D02* +G01* +X262829568Y-116475986D01* +X262856545Y-116490406D01* +X262880190Y-116509810D01* +X262899594Y-116533455D01* +X262914014Y-116560432D01* +X262922895Y-116589710D01* +X262926200Y-116623267D01* +X262926200Y-117056733D01* +X262922895Y-117090290D01* +X262914014Y-117119568D01* +X262899594Y-117146545D01* +X262880190Y-117170190D01* +X262856545Y-117189594D01* +X262829568Y-117204014D01* +X262800290Y-117212895D01* +X262766733Y-117216200D01* +X261233267Y-117216200D01* +X261199710Y-117212895D01* +X261170432Y-117204014D01* +X261143455Y-117189594D01* +X261119810Y-117170190D01* +X261100406Y-117146545D01* +X261085986Y-117119568D01* +X261077105Y-117090290D01* +X261073800Y-117056733D01* +X261073800Y-116623267D01* +X261077105Y-116589710D01* +X261085986Y-116560432D01* +X261100406Y-116533455D01* +X261119810Y-116509810D01* +X261143455Y-116490406D01* +X261170432Y-116475986D01* +X261199710Y-116467105D01* +X261233267Y-116463800D01* +X262766733Y-116463800D01* +X262800290Y-116467105D01* +X262800290Y-116467105D01* +G37* +G36* +X234100290Y-116467105D02* +G01* +X234129568Y-116475986D01* +X234156545Y-116490406D01* +X234180190Y-116509810D01* +X234199594Y-116533455D01* +X234214014Y-116560432D01* +X234222895Y-116589710D01* +X234226200Y-116623267D01* +X234226200Y-117056733D01* +X234222895Y-117090290D01* +X234214014Y-117119568D01* +X234199594Y-117146545D01* +X234180190Y-117170190D01* +X234156545Y-117189594D01* +X234129568Y-117204014D01* +X234100290Y-117212895D01* +X234066733Y-117216200D01* +X232533267Y-117216200D01* +X232499710Y-117212895D01* +X232470432Y-117204014D01* +X232443455Y-117189594D01* +X232419810Y-117170190D01* +X232400406Y-117146545D01* +X232385986Y-117119568D01* +X232377105Y-117090290D01* +X232373800Y-117056733D01* +X232373800Y-116623267D01* +X232377105Y-116589710D01* +X232385986Y-116560432D01* +X232400406Y-116533455D01* +X232419810Y-116509810D01* +X232443455Y-116490406D01* +X232470432Y-116475986D01* +X232499710Y-116467105D01* +X232533267Y-116463800D01* +X234066733Y-116463800D01* +X234100290Y-116467105D01* +X234100290Y-116467105D01* +G37* +G36* +X262800290Y-115197105D02* +G01* +X262829568Y-115205986D01* +X262856545Y-115220406D01* +X262880190Y-115239810D01* +X262899594Y-115263455D01* +X262914014Y-115290432D01* +X262922895Y-115319710D01* +X262926200Y-115353267D01* +X262926200Y-115786733D01* +X262922895Y-115820290D01* +X262914014Y-115849568D01* +X262899594Y-115876545D01* +X262880190Y-115900190D01* +X262856545Y-115919594D01* +X262829568Y-115934014D01* +X262800290Y-115942895D01* +X262766733Y-115946200D01* +X261233267Y-115946200D01* +X261199710Y-115942895D01* +X261170432Y-115934014D01* +X261143455Y-115919594D01* +X261119810Y-115900190D01* +X261100406Y-115876545D01* +X261085986Y-115849568D01* +X261077105Y-115820290D01* +X261073800Y-115786733D01* +X261073800Y-115353267D01* +X261077105Y-115319710D01* +X261085986Y-115290432D01* +X261100406Y-115263455D01* +X261119810Y-115239810D01* +X261143455Y-115220406D01* +X261170432Y-115205986D01* +X261199710Y-115197105D01* +X261233267Y-115193800D01* +X262766733Y-115193800D01* +X262800290Y-115197105D01* +X262800290Y-115197105D01* +G37* +G36* +X234100290Y-115197105D02* +G01* +X234129568Y-115205986D01* +X234156545Y-115220406D01* +X234180190Y-115239810D01* +X234199594Y-115263455D01* +X234214014Y-115290432D01* +X234222895Y-115319710D01* +X234226200Y-115353267D01* +X234226200Y-115786733D01* +X234222895Y-115820290D01* +X234214014Y-115849568D01* +X234199594Y-115876545D01* +X234180190Y-115900190D01* +X234156545Y-115919594D01* +X234129568Y-115934014D01* +X234100290Y-115942895D01* +X234066733Y-115946200D01* +X232533267Y-115946200D01* +X232499710Y-115942895D01* +X232470432Y-115934014D01* +X232443455Y-115919594D01* +X232419810Y-115900190D01* +X232400406Y-115876545D01* +X232385986Y-115849568D01* +X232377105Y-115820290D01* +X232373800Y-115786733D01* +X232373800Y-115353267D01* +X232377105Y-115319710D01* +X232385986Y-115290432D01* +X232400406Y-115263455D01* +X232419810Y-115239810D01* +X232443455Y-115220406D01* +X232470432Y-115205986D01* +X232499710Y-115197105D01* +X232533267Y-115193800D01* +X234066733Y-115193800D01* +X234100290Y-115197105D01* +X234100290Y-115197105D01* +G37* +G36* +X223455290Y-114562105D02* +G01* +X223484568Y-114570986D01* +X223511545Y-114585406D01* +X223535190Y-114604810D01* +X223554594Y-114628455D01* +X223569014Y-114655432D01* +X223577895Y-114684710D01* +X223581200Y-114718267D01* +X223581200Y-115151733D01* +X223577895Y-115185290D01* +X223569014Y-115214568D01* +X223554594Y-115241545D01* +X223535190Y-115265190D01* +X223511545Y-115284594D01* +X223484568Y-115299014D01* +X223455290Y-115307895D01* +X223421733Y-115311200D01* +X219588267Y-115311200D01* +X219554710Y-115307895D01* +X219525432Y-115299014D01* +X219498455Y-115284594D01* +X219474810Y-115265190D01* +X219455406Y-115241545D01* +X219440986Y-115214568D01* +X219432105Y-115185290D01* +X219428800Y-115151733D01* +X219428800Y-114718267D01* +X219432105Y-114684710D01* +X219440986Y-114655432D01* +X219455406Y-114628455D01* +X219474810Y-114604810D01* +X219498455Y-114585406D01* +X219525432Y-114570986D01* +X219554710Y-114562105D01* +X219588267Y-114558800D01* +X223421733Y-114558800D01* +X223455290Y-114562105D01* +X223455290Y-114562105D01* +G37* +G36* +X216055290Y-114562105D02* +G01* +X216084568Y-114570986D01* +X216111545Y-114585406D01* +X216135190Y-114604810D01* +X216154594Y-114628455D01* +X216169014Y-114655432D01* +X216177895Y-114684710D01* +X216181200Y-114718267D01* +X216181200Y-115151733D01* +X216177895Y-115185290D01* +X216169014Y-115214568D01* +X216154594Y-115241545D01* +X216135190Y-115265190D01* +X216111545Y-115284594D01* +X216084568Y-115299014D01* +X216055290Y-115307895D01* +X216021733Y-115311200D01* +X212188267Y-115311200D01* +X212154710Y-115307895D01* +X212125432Y-115299014D01* +X212098455Y-115284594D01* +X212074810Y-115265190D01* +X212055406Y-115241545D01* +X212040986Y-115214568D01* +X212032105Y-115185290D01* +X212028800Y-115151733D01* +X212028800Y-114718267D01* +X212032105Y-114684710D01* +X212040986Y-114655432D01* +X212055406Y-114628455D01* +X212074810Y-114604810D01* +X212098455Y-114585406D01* +X212125432Y-114570986D01* +X212154710Y-114562105D01* +X212188267Y-114558800D01* +X216021733Y-114558800D01* +X216055290Y-114562105D01* +X216055290Y-114562105D01* +G37* +G36* +X234100290Y-113927105D02* +G01* +X234129568Y-113935986D01* +X234156545Y-113950406D01* +X234180190Y-113969810D01* +X234199594Y-113993455D01* +X234214014Y-114020432D01* +X234222895Y-114049710D01* +X234226200Y-114083267D01* +X234226200Y-114516733D01* +X234222895Y-114550290D01* +X234214014Y-114579568D01* +X234199594Y-114606545D01* +X234180190Y-114630190D01* +X234156545Y-114649594D01* +X234129568Y-114664014D01* +X234100290Y-114672895D01* +X234066733Y-114676200D01* +X232533267Y-114676200D01* +X232499710Y-114672895D01* +X232470432Y-114664014D01* +X232443455Y-114649594D01* +X232419810Y-114630190D01* +X232400406Y-114606545D01* +X232385986Y-114579568D01* +X232377105Y-114550290D01* +X232373800Y-114516733D01* +X232373800Y-114083267D01* +X232377105Y-114049710D01* +X232385986Y-114020432D01* +X232400406Y-113993455D01* +X232419810Y-113969810D01* +X232443455Y-113950406D01* +X232470432Y-113935986D01* +X232499710Y-113927105D01* +X232533267Y-113923800D01* +X234066733Y-113923800D01* +X234100290Y-113927105D01* +X234100290Y-113927105D01* +G37* +G36* +X262800290Y-113927105D02* +G01* +X262829568Y-113935986D01* +X262856545Y-113950406D01* +X262880190Y-113969810D01* +X262899594Y-113993455D01* +X262914014Y-114020432D01* +X262922895Y-114049710D01* +X262926200Y-114083267D01* +X262926200Y-114516733D01* +X262922895Y-114550290D01* +X262914014Y-114579568D01* +X262899594Y-114606545D01* +X262880190Y-114630190D01* +X262856545Y-114649594D01* +X262829568Y-114664014D01* +X262800290Y-114672895D01* +X262766733Y-114676200D01* +X261233267Y-114676200D01* +X261199710Y-114672895D01* +X261170432Y-114664014D01* +X261143455Y-114649594D01* +X261119810Y-114630190D01* +X261100406Y-114606545D01* +X261085986Y-114579568D01* +X261077105Y-114550290D01* +X261073800Y-114516733D01* +X261073800Y-114083267D01* +X261077105Y-114049710D01* +X261085986Y-114020432D01* +X261100406Y-113993455D01* +X261119810Y-113969810D01* +X261143455Y-113950406D01* +X261170432Y-113935986D01* +X261199710Y-113927105D01* +X261233267Y-113923800D01* +X262766733Y-113923800D01* +X262800290Y-113927105D01* +X262800290Y-113927105D01* +G37* +G36* +X205616737Y-112640267D02* +G01* +X205667119Y-112655550D01* +X205713546Y-112680366D01* +X205754239Y-112713761D01* +X205787634Y-112754454D01* +X205812450Y-112800881D01* +X205827733Y-112851263D01* +X205833200Y-112906767D01* +X205833200Y-113915233D01* +X205827733Y-113970737D01* +X205812450Y-114021119D01* +X205787634Y-114067546D01* +X205754239Y-114108239D01* +X205713546Y-114141634D01* +X205667119Y-114166450D01* +X205616737Y-114181733D01* +X205561233Y-114187200D01* +X204902767Y-114187200D01* +X204847263Y-114181733D01* +X204796881Y-114166450D01* +X204750454Y-114141634D01* +X204709761Y-114108239D01* +X204676366Y-114067546D01* +X204651550Y-114021119D01* +X204636267Y-113970737D01* +X204630800Y-113915233D01* +X204630800Y-112906767D01* +X204636267Y-112851263D01* +X204651550Y-112800881D01* +X204676366Y-112754454D01* +X204709761Y-112713761D01* +X204750454Y-112680366D01* +X204796881Y-112655550D01* +X204847263Y-112640267D01* +X204902767Y-112634800D01* +X205561233Y-112634800D01* +X205616737Y-112640267D01* +X205616737Y-112640267D01* +G37* +G36* +X203916737Y-112640267D02* +G01* +X203967119Y-112655550D01* +X204013546Y-112680366D01* +X204054239Y-112713761D01* +X204087634Y-112754454D01* +X204112450Y-112800881D01* +X204127733Y-112851263D01* +X204133200Y-112906767D01* +X204133200Y-113915233D01* +X204127733Y-113970737D01* +X204112450Y-114021119D01* +X204087634Y-114067546D01* +X204054239Y-114108239D01* +X204013546Y-114141634D01* +X203967119Y-114166450D01* +X203916737Y-114181733D01* +X203861233Y-114187200D01* +X203202767Y-114187200D01* +X203147263Y-114181733D01* +X203096881Y-114166450D01* +X203050454Y-114141634D01* +X203009761Y-114108239D01* +X202976366Y-114067546D01* +X202951550Y-114021119D01* +X202936267Y-113970737D01* +X202930800Y-113915233D01* +X202930800Y-112906767D01* +X202936267Y-112851263D01* +X202951550Y-112800881D01* +X202976366Y-112754454D01* +X203009761Y-112713761D01* +X203050454Y-112680366D01* +X203096881Y-112655550D01* +X203147263Y-112640267D01* +X203202767Y-112634800D01* +X203861233Y-112634800D01* +X203916737Y-112640267D01* +X203916737Y-112640267D01* +G37* +G36* +X223455290Y-113292105D02* +G01* +X223484568Y-113300986D01* +X223511545Y-113315406D01* +X223535190Y-113334810D01* +X223554594Y-113358455D01* +X223569014Y-113385432D01* +X223577895Y-113414710D01* +X223581200Y-113448267D01* +X223581200Y-113881733D01* +X223577895Y-113915290D01* +X223569014Y-113944568D01* +X223554594Y-113971545D01* +X223535190Y-113995190D01* +X223511545Y-114014594D01* +X223484568Y-114029014D01* +X223455290Y-114037895D01* +X223421733Y-114041200D01* +X219588267Y-114041200D01* +X219554710Y-114037895D01* +X219525432Y-114029014D01* +X219498455Y-114014594D01* +X219474810Y-113995190D01* +X219455406Y-113971545D01* +X219440986Y-113944568D01* +X219432105Y-113915290D01* +X219428800Y-113881733D01* +X219428800Y-113448267D01* +X219432105Y-113414710D01* +X219440986Y-113385432D01* +X219455406Y-113358455D01* +X219474810Y-113334810D01* +X219498455Y-113315406D01* +X219525432Y-113300986D01* +X219554710Y-113292105D01* +X219588267Y-113288800D01* +X223421733Y-113288800D01* +X223455290Y-113292105D01* +X223455290Y-113292105D01* +G37* +G36* +X216055290Y-113292105D02* +G01* +X216084568Y-113300986D01* +X216111545Y-113315406D01* +X216135190Y-113334810D01* +X216154594Y-113358455D01* +X216169014Y-113385432D01* +X216177895Y-113414710D01* +X216181200Y-113448267D01* +X216181200Y-113881733D01* +X216177895Y-113915290D01* +X216169014Y-113944568D01* +X216154594Y-113971545D01* +X216135190Y-113995190D01* +X216111545Y-114014594D01* +X216084568Y-114029014D01* +X216055290Y-114037895D01* +X216021733Y-114041200D01* +X212188267Y-114041200D01* +X212154710Y-114037895D01* +X212125432Y-114029014D01* +X212098455Y-114014594D01* +X212074810Y-113995190D01* +X212055406Y-113971545D01* +X212040986Y-113944568D01* +X212032105Y-113915290D01* +X212028800Y-113881733D01* +X212028800Y-113448267D01* +X212032105Y-113414710D01* +X212040986Y-113385432D01* +X212055406Y-113358455D01* +X212074810Y-113334810D01* +X212098455Y-113315406D01* +X212125432Y-113300986D01* +X212154710Y-113292105D01* +X212188267Y-113288800D01* +X216021733Y-113288800D01* +X216055290Y-113292105D01* +X216055290Y-113292105D01* +G37* +G36* +X262800290Y-112657105D02* +G01* +X262829568Y-112665986D01* +X262856545Y-112680406D01* +X262880190Y-112699810D01* +X262899594Y-112723455D01* +X262914014Y-112750432D01* +X262922895Y-112779710D01* +X262926200Y-112813267D01* +X262926200Y-113246733D01* +X262922895Y-113280290D01* +X262914014Y-113309568D01* +X262899594Y-113336545D01* +X262880190Y-113360190D01* +X262856545Y-113379594D01* +X262829568Y-113394014D01* +X262800290Y-113402895D01* +X262766733Y-113406200D01* +X261233267Y-113406200D01* +X261199710Y-113402895D01* +X261170432Y-113394014D01* +X261143455Y-113379594D01* +X261119810Y-113360190D01* +X261100406Y-113336545D01* +X261085986Y-113309568D01* +X261077105Y-113280290D01* +X261073800Y-113246733D01* +X261073800Y-112813267D01* +X261077105Y-112779710D01* +X261085986Y-112750432D01* +X261100406Y-112723455D01* +X261119810Y-112699810D01* +X261143455Y-112680406D01* +X261170432Y-112665986D01* +X261199710Y-112657105D01* +X261233267Y-112653800D01* +X262766733Y-112653800D01* +X262800290Y-112657105D01* +X262800290Y-112657105D01* +G37* +G36* +X234100290Y-112657105D02* +G01* +X234129568Y-112665986D01* +X234156545Y-112680406D01* +X234180190Y-112699810D01* +X234199594Y-112723455D01* +X234214014Y-112750432D01* +X234222895Y-112779710D01* +X234226200Y-112813267D01* +X234226200Y-113246733D01* +X234222895Y-113280290D01* +X234214014Y-113309568D01* +X234199594Y-113336545D01* +X234180190Y-113360190D01* +X234156545Y-113379594D01* +X234129568Y-113394014D01* +X234100290Y-113402895D01* +X234066733Y-113406200D01* +X232533267Y-113406200D01* +X232499710Y-113402895D01* +X232470432Y-113394014D01* +X232443455Y-113379594D01* +X232419810Y-113360190D01* +X232400406Y-113336545D01* +X232385986Y-113309568D01* +X232377105Y-113280290D01* +X232373800Y-113246733D01* +X232373800Y-112813267D01* +X232377105Y-112779710D01* +X232385986Y-112750432D01* +X232400406Y-112723455D01* +X232419810Y-112699810D01* +X232443455Y-112680406D01* +X232470432Y-112665986D01* +X232499710Y-112657105D01* +X232533267Y-112653800D01* +X234066733Y-112653800D01* +X234100290Y-112657105D01* +X234100290Y-112657105D01* +G37* +G36* +X216055290Y-112022105D02* +G01* +X216084568Y-112030986D01* +X216111545Y-112045406D01* +X216135190Y-112064810D01* +X216154594Y-112088455D01* +X216169014Y-112115432D01* +X216177895Y-112144710D01* +X216181200Y-112178267D01* +X216181200Y-112611733D01* +X216177895Y-112645290D01* +X216169014Y-112674568D01* +X216154594Y-112701545D01* +X216135190Y-112725190D01* +X216111545Y-112744594D01* +X216084568Y-112759014D01* +X216055290Y-112767895D01* +X216021733Y-112771200D01* +X212188267Y-112771200D01* +X212154710Y-112767895D01* +X212125432Y-112759014D01* +X212098455Y-112744594D01* +X212074810Y-112725190D01* +X212055406Y-112701545D01* +X212040986Y-112674568D01* +X212032105Y-112645290D01* +X212028800Y-112611733D01* +X212028800Y-112178267D01* +X212032105Y-112144710D01* +X212040986Y-112115432D01* +X212055406Y-112088455D01* +X212074810Y-112064810D01* +X212098455Y-112045406D01* +X212125432Y-112030986D01* +X212154710Y-112022105D01* +X212188267Y-112018800D01* +X216021733Y-112018800D01* +X216055290Y-112022105D01* +X216055290Y-112022105D01* +G37* +G36* +X223455290Y-112022105D02* +G01* +X223484568Y-112030986D01* +X223511545Y-112045406D01* +X223535190Y-112064810D01* +X223554594Y-112088455D01* +X223569014Y-112115432D01* +X223577895Y-112144710D01* +X223581200Y-112178267D01* +X223581200Y-112611733D01* +X223577895Y-112645290D01* +X223569014Y-112674568D01* +X223554594Y-112701545D01* +X223535190Y-112725190D01* +X223511545Y-112744594D01* +X223484568Y-112759014D01* +X223455290Y-112767895D01* +X223421733Y-112771200D01* +X219588267Y-112771200D01* +X219554710Y-112767895D01* +X219525432Y-112759014D01* +X219498455Y-112744594D01* +X219474810Y-112725190D01* +X219455406Y-112701545D01* +X219440986Y-112674568D01* +X219432105Y-112645290D01* +X219428800Y-112611733D01* +X219428800Y-112178267D01* +X219432105Y-112144710D01* +X219440986Y-112115432D01* +X219455406Y-112088455D01* +X219474810Y-112064810D01* +X219498455Y-112045406D01* +X219525432Y-112030986D01* +X219554710Y-112022105D01* +X219588267Y-112018800D01* +X223421733Y-112018800D01* +X223455290Y-112022105D01* +X223455290Y-112022105D01* +G37* +G36* +X227889737Y-111164267D02* +G01* +X227940119Y-111179550D01* +X227986546Y-111204366D01* +X228027239Y-111237761D01* +X228060634Y-111278454D01* +X228085450Y-111324881D01* +X228100733Y-111375263D01* +X228106200Y-111430767D01* +X228106200Y-112089233D01* +X228100733Y-112144737D01* +X228085450Y-112195119D01* +X228060634Y-112241546D01* +X228027239Y-112282239D01* +X227986546Y-112315634D01* +X227940119Y-112340450D01* +X227889737Y-112355733D01* +X227834233Y-112361200D01* +X226825767Y-112361200D01* +X226770263Y-112355733D01* +X226719881Y-112340450D01* +X226673454Y-112315634D01* +X226632761Y-112282239D01* +X226599366Y-112241546D01* +X226574550Y-112195119D01* +X226559267Y-112144737D01* +X226553800Y-112089233D01* +X226553800Y-111430767D01* +X226559267Y-111375263D01* +X226574550Y-111324881D01* +X226599366Y-111278454D01* +X226632761Y-111237761D01* +X226673454Y-111204366D01* +X226719881Y-111179550D01* +X226770263Y-111164267D01* +X226825767Y-111158800D01* +X227834233Y-111158800D01* +X227889737Y-111164267D01* +X227889737Y-111164267D01* +G37* +G36* +X262800290Y-111387105D02* +G01* +X262829568Y-111395986D01* +X262856545Y-111410406D01* +X262880190Y-111429810D01* +X262899594Y-111453455D01* +X262914014Y-111480432D01* +X262922895Y-111509710D01* +X262926200Y-111543267D01* +X262926200Y-111976733D01* +X262922895Y-112010290D01* +X262914014Y-112039568D01* +X262899594Y-112066545D01* +X262880190Y-112090190D01* +X262856545Y-112109594D01* +X262829568Y-112124014D01* +X262800290Y-112132895D01* +X262766733Y-112136200D01* +X261233267Y-112136200D01* +X261199710Y-112132895D01* +X261170432Y-112124014D01* +X261143455Y-112109594D01* +X261119810Y-112090190D01* +X261100406Y-112066545D01* +X261085986Y-112039568D01* +X261077105Y-112010290D01* +X261073800Y-111976733D01* +X261073800Y-111543267D01* +X261077105Y-111509710D01* +X261085986Y-111480432D01* +X261100406Y-111453455D01* +X261119810Y-111429810D01* +X261143455Y-111410406D01* +X261170432Y-111395986D01* +X261199710Y-111387105D01* +X261233267Y-111383800D01* +X262766733Y-111383800D01* +X262800290Y-111387105D01* +X262800290Y-111387105D01* +G37* +G36* +X234100290Y-111387105D02* +G01* +X234129568Y-111395986D01* +X234156545Y-111410406D01* +X234180190Y-111429810D01* +X234199594Y-111453455D01* +X234214014Y-111480432D01* +X234222895Y-111509710D01* +X234226200Y-111543267D01* +X234226200Y-111976733D01* +X234222895Y-112010290D01* +X234214014Y-112039568D01* +X234199594Y-112066545D01* +X234180190Y-112090190D01* +X234156545Y-112109594D01* +X234129568Y-112124014D01* +X234100290Y-112132895D01* +X234066733Y-112136200D01* +X232533267Y-112136200D01* +X232499710Y-112132895D01* +X232470432Y-112124014D01* +X232443455Y-112109594D01* +X232419810Y-112090190D01* +X232400406Y-112066545D01* +X232385986Y-112039568D01* +X232377105Y-112010290D01* +X232373800Y-111976733D01* +X232373800Y-111543267D01* +X232377105Y-111509710D01* +X232385986Y-111480432D01* +X232400406Y-111453455D01* +X232419810Y-111429810D01* +X232443455Y-111410406D01* +X232470432Y-111395986D01* +X232499710Y-111387105D01* +X232533267Y-111383800D01* +X234066733Y-111383800D01* +X234100290Y-111387105D01* +X234100290Y-111387105D01* +G37* +G36* +X216055290Y-110752105D02* +G01* +X216084568Y-110760986D01* +X216111545Y-110775406D01* +X216135190Y-110794810D01* +X216154594Y-110818455D01* +X216169014Y-110845432D01* +X216177895Y-110874710D01* +X216181200Y-110908267D01* +X216181200Y-111341733D01* +X216177895Y-111375290D01* +X216169014Y-111404568D01* +X216154594Y-111431545D01* +X216135190Y-111455190D01* +X216111545Y-111474594D01* +X216084568Y-111489014D01* +X216055290Y-111497895D01* +X216021733Y-111501200D01* +X212188267Y-111501200D01* +X212154710Y-111497895D01* +X212125432Y-111489014D01* +X212098455Y-111474594D01* +X212074810Y-111455190D01* +X212055406Y-111431545D01* +X212040986Y-111404568D01* +X212032105Y-111375290D01* +X212028800Y-111341733D01* +X212028800Y-110908267D01* +X212032105Y-110874710D01* +X212040986Y-110845432D01* +X212055406Y-110818455D01* +X212074810Y-110794810D01* +X212098455Y-110775406D01* +X212125432Y-110760986D01* +X212154710Y-110752105D01* +X212188267Y-110748800D01* +X216021733Y-110748800D01* +X216055290Y-110752105D01* +X216055290Y-110752105D01* +G37* +G36* +X223455290Y-110752105D02* +G01* +X223484568Y-110760986D01* +X223511545Y-110775406D01* +X223535190Y-110794810D01* +X223554594Y-110818455D01* +X223569014Y-110845432D01* +X223577895Y-110874710D01* +X223581200Y-110908267D01* +X223581200Y-111341733D01* +X223577895Y-111375290D01* +X223569014Y-111404568D01* +X223554594Y-111431545D01* +X223535190Y-111455190D01* +X223511545Y-111474594D01* +X223484568Y-111489014D01* +X223455290Y-111497895D01* +X223421733Y-111501200D01* +X219588267Y-111501200D01* +X219554710Y-111497895D01* +X219525432Y-111489014D01* +X219498455Y-111474594D01* +X219474810Y-111455190D01* +X219455406Y-111431545D01* +X219440986Y-111404568D01* +X219432105Y-111375290D01* +X219428800Y-111341733D01* +X219428800Y-110908267D01* +X219432105Y-110874710D01* +X219440986Y-110845432D01* +X219455406Y-110818455D01* +X219474810Y-110794810D01* +X219498455Y-110775406D01* +X219525432Y-110760986D01* +X219554710Y-110752105D01* +X219588267Y-110748800D01* +X223421733Y-110748800D01* +X223455290Y-110752105D01* +X223455290Y-110752105D01* +G37* +G36* +X234100290Y-110117105D02* +G01* +X234129568Y-110125986D01* +X234156545Y-110140406D01* +X234180190Y-110159810D01* +X234199594Y-110183455D01* +X234214014Y-110210432D01* +X234222895Y-110239710D01* +X234226200Y-110273267D01* +X234226200Y-110706733D01* +X234222895Y-110740290D01* +X234214014Y-110769568D01* +X234199594Y-110796545D01* +X234180190Y-110820190D01* +X234156545Y-110839594D01* +X234129568Y-110854014D01* +X234100290Y-110862895D01* +X234066733Y-110866200D01* +X232533267Y-110866200D01* +X232499710Y-110862895D01* +X232470432Y-110854014D01* +X232443455Y-110839594D01* +X232419810Y-110820190D01* +X232400406Y-110796545D01* +X232385986Y-110769568D01* +X232377105Y-110740290D01* +X232373800Y-110706733D01* +X232373800Y-110273267D01* +X232377105Y-110239710D01* +X232385986Y-110210432D01* +X232400406Y-110183455D01* +X232419810Y-110159810D01* +X232443455Y-110140406D01* +X232470432Y-110125986D01* +X232499710Y-110117105D01* +X232533267Y-110113800D01* +X234066733Y-110113800D01* +X234100290Y-110117105D01* +X234100290Y-110117105D01* +G37* +G36* +X262800290Y-110117105D02* +G01* +X262829568Y-110125986D01* +X262856545Y-110140406D01* +X262880190Y-110159810D01* +X262899594Y-110183455D01* +X262914014Y-110210432D01* +X262922895Y-110239710D01* +X262926200Y-110273267D01* +X262926200Y-110706733D01* +X262922895Y-110740290D01* +X262914014Y-110769568D01* +X262899594Y-110796545D01* +X262880190Y-110820190D01* +X262856545Y-110839594D01* +X262829568Y-110854014D01* +X262800290Y-110862895D01* +X262766733Y-110866200D01* +X261233267Y-110866200D01* +X261199710Y-110862895D01* +X261170432Y-110854014D01* +X261143455Y-110839594D01* +X261119810Y-110820190D01* +X261100406Y-110796545D01* +X261085986Y-110769568D01* +X261077105Y-110740290D01* +X261073800Y-110706733D01* +X261073800Y-110273267D01* +X261077105Y-110239710D01* +X261085986Y-110210432D01* +X261100406Y-110183455D01* +X261119810Y-110159810D01* +X261143455Y-110140406D01* +X261170432Y-110125986D01* +X261199710Y-110117105D01* +X261233267Y-110113800D01* +X262766733Y-110113800D01* +X262800290Y-110117105D01* +X262800290Y-110117105D01* +G37* +G36* +X227889737Y-109464267D02* +G01* +X227940119Y-109479550D01* +X227986546Y-109504366D01* +X228027239Y-109537761D01* +X228060634Y-109578454D01* +X228085450Y-109624881D01* +X228100733Y-109675263D01* +X228106200Y-109730767D01* +X228106200Y-110389233D01* +X228100733Y-110444737D01* +X228085450Y-110495119D01* +X228060634Y-110541546D01* +X228027239Y-110582239D01* +X227986546Y-110615634D01* +X227940119Y-110640450D01* +X227889737Y-110655733D01* +X227834233Y-110661200D01* +X226825767Y-110661200D01* +X226770263Y-110655733D01* +X226719881Y-110640450D01* +X226673454Y-110615634D01* +X226632761Y-110582239D01* +X226599366Y-110541546D01* +X226574550Y-110495119D01* +X226559267Y-110444737D01* +X226553800Y-110389233D01* +X226553800Y-109730767D01* +X226559267Y-109675263D01* +X226574550Y-109624881D01* +X226599366Y-109578454D01* +X226632761Y-109537761D01* +X226673454Y-109504366D01* +X226719881Y-109479550D01* +X226770263Y-109464267D01* +X226825767Y-109458800D01* +X227834233Y-109458800D01* +X227889737Y-109464267D01* +X227889737Y-109464267D01* +G37* +G36* +X223455290Y-109482105D02* +G01* +X223484568Y-109490986D01* +X223511545Y-109505406D01* +X223535190Y-109524810D01* +X223554594Y-109548455D01* +X223569014Y-109575432D01* +X223577895Y-109604710D01* +X223581200Y-109638267D01* +X223581200Y-110071733D01* +X223577895Y-110105290D01* +X223569014Y-110134568D01* +X223554594Y-110161545D01* +X223535190Y-110185190D01* +X223511545Y-110204594D01* +X223484568Y-110219014D01* +X223455290Y-110227895D01* +X223421733Y-110231200D01* +X219588267Y-110231200D01* +X219554710Y-110227895D01* +X219525432Y-110219014D01* +X219498455Y-110204594D01* +X219474810Y-110185190D01* +X219455406Y-110161545D01* +X219440986Y-110134568D01* +X219432105Y-110105290D01* +X219428800Y-110071733D01* +X219428800Y-109638267D01* +X219432105Y-109604710D01* +X219440986Y-109575432D01* +X219455406Y-109548455D01* +X219474810Y-109524810D01* +X219498455Y-109505406D01* +X219525432Y-109490986D01* +X219554710Y-109482105D01* +X219588267Y-109478800D01* +X223421733Y-109478800D01* +X223455290Y-109482105D01* +X223455290Y-109482105D01* +G37* +G36* +X216055290Y-109482105D02* +G01* +X216084568Y-109490986D01* +X216111545Y-109505406D01* +X216135190Y-109524810D01* +X216154594Y-109548455D01* +X216169014Y-109575432D01* +X216177895Y-109604710D01* +X216181200Y-109638267D01* +X216181200Y-110071733D01* +X216177895Y-110105290D01* +X216169014Y-110134568D01* +X216154594Y-110161545D01* +X216135190Y-110185190D01* +X216111545Y-110204594D01* +X216084568Y-110219014D01* +X216055290Y-110227895D01* +X216021733Y-110231200D01* +X212188267Y-110231200D01* +X212154710Y-110227895D01* +X212125432Y-110219014D01* +X212098455Y-110204594D01* +X212074810Y-110185190D01* +X212055406Y-110161545D01* +X212040986Y-110134568D01* +X212032105Y-110105290D01* +X212028800Y-110071733D01* +X212028800Y-109638267D01* +X212032105Y-109604710D01* +X212040986Y-109575432D01* +X212055406Y-109548455D01* +X212074810Y-109524810D01* +X212098455Y-109505406D01* +X212125432Y-109490986D01* +X212154710Y-109482105D01* +X212188267Y-109478800D01* +X216021733Y-109478800D01* +X216055290Y-109482105D01* +X216055290Y-109482105D01* +G37* +G36* +X268529737Y-108624267D02* +G01* +X268580119Y-108639550D01* +X268626546Y-108664366D01* +X268667239Y-108697761D01* +X268700634Y-108738454D01* +X268725450Y-108784881D01* +X268740733Y-108835263D01* +X268746200Y-108890767D01* +X268746200Y-109549233D01* +X268740733Y-109604737D01* +X268725450Y-109655119D01* +X268700634Y-109701546D01* +X268667239Y-109742239D01* +X268626546Y-109775634D01* +X268580119Y-109800450D01* +X268529737Y-109815733D01* +X268474233Y-109821200D01* +X267465767Y-109821200D01* +X267410263Y-109815733D01* +X267359881Y-109800450D01* +X267313454Y-109775634D01* +X267272761Y-109742239D01* +X267239366Y-109701546D01* +X267214550Y-109655119D01* +X267199267Y-109604737D01* +X267193800Y-109549233D01* +X267193800Y-108890767D01* +X267199267Y-108835263D01* +X267214550Y-108784881D01* +X267239366Y-108738454D01* +X267272761Y-108697761D01* +X267313454Y-108664366D01* +X267359881Y-108639550D01* +X267410263Y-108624267D01* +X267465767Y-108618800D01* +X268474233Y-108618800D01* +X268529737Y-108624267D01* +X268529737Y-108624267D01* +G37* +G36* +X262800290Y-108847105D02* +G01* +X262829568Y-108855986D01* +X262856545Y-108870406D01* +X262880190Y-108889810D01* +X262899594Y-108913455D01* +X262914014Y-108940432D01* +X262922895Y-108969710D01* +X262926200Y-109003267D01* +X262926200Y-109436733D01* +X262922895Y-109470290D01* +X262914014Y-109499568D01* +X262899594Y-109526545D01* +X262880190Y-109550190D01* +X262856545Y-109569594D01* +X262829568Y-109584014D01* +X262800290Y-109592895D01* +X262766733Y-109596200D01* +X261233267Y-109596200D01* +X261199710Y-109592895D01* +X261170432Y-109584014D01* +X261143455Y-109569594D01* +X261119810Y-109550190D01* +X261100406Y-109526545D01* +X261085986Y-109499568D01* +X261077105Y-109470290D01* +X261073800Y-109436733D01* +X261073800Y-109003267D01* +X261077105Y-108969710D01* +X261085986Y-108940432D01* +X261100406Y-108913455D01* +X261119810Y-108889810D01* +X261143455Y-108870406D01* +X261170432Y-108855986D01* +X261199710Y-108847105D01* +X261233267Y-108843800D01* +X262766733Y-108843800D01* +X262800290Y-108847105D01* +X262800290Y-108847105D01* +G37* +G36* +X234100290Y-108847105D02* +G01* +X234129568Y-108855986D01* +X234156545Y-108870406D01* +X234180190Y-108889810D01* +X234199594Y-108913455D01* +X234214014Y-108940432D01* +X234222895Y-108969710D01* +X234226200Y-109003267D01* +X234226200Y-109436733D01* +X234222895Y-109470290D01* +X234214014Y-109499568D01* +X234199594Y-109526545D01* +X234180190Y-109550190D01* +X234156545Y-109569594D01* +X234129568Y-109584014D01* +X234100290Y-109592895D01* +X234066733Y-109596200D01* +X232533267Y-109596200D01* +X232499710Y-109592895D01* +X232470432Y-109584014D01* +X232443455Y-109569594D01* +X232419810Y-109550190D01* +X232400406Y-109526545D01* +X232385986Y-109499568D01* +X232377105Y-109470290D01* +X232373800Y-109436733D01* +X232373800Y-109003267D01* +X232377105Y-108969710D01* +X232385986Y-108940432D01* +X232400406Y-108913455D01* +X232419810Y-108889810D01* +X232443455Y-108870406D01* +X232470432Y-108855986D01* +X232499710Y-108847105D01* +X232533267Y-108843800D01* +X234066733Y-108843800D01* +X234100290Y-108847105D01* +X234100290Y-108847105D01* +G37* +G36* +X223455290Y-108212105D02* +G01* +X223484568Y-108220986D01* +X223511545Y-108235406D01* +X223535190Y-108254810D01* +X223554594Y-108278455D01* +X223569014Y-108305432D01* +X223577895Y-108334710D01* +X223581200Y-108368267D01* +X223581200Y-108801733D01* +X223577895Y-108835290D01* +X223569014Y-108864568D01* +X223554594Y-108891545D01* +X223535190Y-108915190D01* +X223511545Y-108934594D01* +X223484568Y-108949014D01* +X223455290Y-108957895D01* +X223421733Y-108961200D01* +X219588267Y-108961200D01* +X219554710Y-108957895D01* +X219525432Y-108949014D01* +X219498455Y-108934594D01* +X219474810Y-108915190D01* +X219455406Y-108891545D01* +X219440986Y-108864568D01* +X219432105Y-108835290D01* +X219428800Y-108801733D01* +X219428800Y-108368267D01* +X219432105Y-108334710D01* +X219440986Y-108305432D01* +X219455406Y-108278455D01* +X219474810Y-108254810D01* +X219498455Y-108235406D01* +X219525432Y-108220986D01* +X219554710Y-108212105D01* +X219588267Y-108208800D01* +X223421733Y-108208800D01* +X223455290Y-108212105D01* +X223455290Y-108212105D01* +G37* +G36* +X216055290Y-108212105D02* +G01* +X216084568Y-108220986D01* +X216111545Y-108235406D01* +X216135190Y-108254810D01* +X216154594Y-108278455D01* +X216169014Y-108305432D01* +X216177895Y-108334710D01* +X216181200Y-108368267D01* +X216181200Y-108801733D01* +X216177895Y-108835290D01* +X216169014Y-108864568D01* +X216154594Y-108891545D01* +X216135190Y-108915190D01* +X216111545Y-108934594D01* +X216084568Y-108949014D01* +X216055290Y-108957895D01* +X216021733Y-108961200D01* +X212188267Y-108961200D01* +X212154710Y-108957895D01* +X212125432Y-108949014D01* +X212098455Y-108934594D01* +X212074810Y-108915190D01* +X212055406Y-108891545D01* +X212040986Y-108864568D01* +X212032105Y-108835290D01* +X212028800Y-108801733D01* +X212028800Y-108368267D01* +X212032105Y-108334710D01* +X212040986Y-108305432D01* +X212055406Y-108278455D01* +X212074810Y-108254810D01* +X212098455Y-108235406D01* +X212125432Y-108220986D01* +X212154710Y-108212105D01* +X212188267Y-108208800D01* +X216021733Y-108208800D01* +X216055290Y-108212105D01* +X216055290Y-108212105D01* +G37* +G36* +X262800290Y-107577105D02* +G01* +X262829568Y-107585986D01* +X262856545Y-107600406D01* +X262880190Y-107619810D01* +X262899594Y-107643455D01* +X262914014Y-107670432D01* +X262922895Y-107699710D01* +X262926200Y-107733267D01* +X262926200Y-108166733D01* +X262922895Y-108200290D01* +X262914014Y-108229568D01* +X262899594Y-108256545D01* +X262880190Y-108280190D01* +X262856545Y-108299594D01* +X262829568Y-108314014D01* +X262800290Y-108322895D01* +X262766733Y-108326200D01* +X261233267Y-108326200D01* +X261199710Y-108322895D01* +X261170432Y-108314014D01* +X261143455Y-108299594D01* +X261119810Y-108280190D01* +X261100406Y-108256545D01* +X261085986Y-108229568D01* +X261077105Y-108200290D01* +X261073800Y-108166733D01* +X261073800Y-107733267D01* +X261077105Y-107699710D01* +X261085986Y-107670432D01* +X261100406Y-107643455D01* +X261119810Y-107619810D01* +X261143455Y-107600406D01* +X261170432Y-107585986D01* +X261199710Y-107577105D01* +X261233267Y-107573800D01* +X262766733Y-107573800D01* +X262800290Y-107577105D01* +X262800290Y-107577105D01* +G37* +G36* +X234100290Y-107577105D02* +G01* +X234129568Y-107585986D01* +X234156545Y-107600406D01* +X234180190Y-107619810D01* +X234199594Y-107643455D01* +X234214014Y-107670432D01* +X234222895Y-107699710D01* +X234226200Y-107733267D01* +X234226200Y-108166733D01* +X234222895Y-108200290D01* +X234214014Y-108229568D01* +X234199594Y-108256545D01* +X234180190Y-108280190D01* +X234156545Y-108299594D01* +X234129568Y-108314014D01* +X234100290Y-108322895D01* +X234066733Y-108326200D01* +X232533267Y-108326200D01* +X232499710Y-108322895D01* +X232470432Y-108314014D01* +X232443455Y-108299594D01* +X232419810Y-108280190D01* +X232400406Y-108256545D01* +X232385986Y-108229568D01* +X232377105Y-108200290D01* +X232373800Y-108166733D01* +X232373800Y-107733267D01* +X232377105Y-107699710D01* +X232385986Y-107670432D01* +X232400406Y-107643455D01* +X232419810Y-107619810D01* +X232443455Y-107600406D01* +X232470432Y-107585986D01* +X232499710Y-107577105D01* +X232533267Y-107573800D01* +X234066733Y-107573800D01* +X234100290Y-107577105D01* +X234100290Y-107577105D01* +G37* +G36* +X268529737Y-106924267D02* +G01* +X268580119Y-106939550D01* +X268626546Y-106964366D01* +X268667239Y-106997761D01* +X268700634Y-107038454D01* +X268725450Y-107084881D01* +X268740733Y-107135263D01* +X268746200Y-107190767D01* +X268746200Y-107849233D01* +X268740733Y-107904737D01* +X268725450Y-107955119D01* +X268700634Y-108001546D01* +X268667239Y-108042239D01* +X268626546Y-108075634D01* +X268580119Y-108100450D01* +X268529737Y-108115733D01* +X268474233Y-108121200D01* +X267465767Y-108121200D01* +X267410263Y-108115733D01* +X267359881Y-108100450D01* +X267313454Y-108075634D01* +X267272761Y-108042239D01* +X267239366Y-108001546D01* +X267214550Y-107955119D01* +X267199267Y-107904737D01* +X267193800Y-107849233D01* +X267193800Y-107190767D01* +X267199267Y-107135263D01* +X267214550Y-107084881D01* +X267239366Y-107038454D01* +X267272761Y-106997761D01* +X267313454Y-106964366D01* +X267359881Y-106939550D01* +X267410263Y-106924267D01* +X267465767Y-106918800D01* +X268474233Y-106918800D01* +X268529737Y-106924267D01* +X268529737Y-106924267D01* +G37* +G36* +X216055290Y-106942105D02* +G01* +X216084568Y-106950986D01* +X216111545Y-106965406D01* +X216135190Y-106984810D01* +X216154594Y-107008455D01* +X216169014Y-107035432D01* +X216177895Y-107064710D01* +X216181200Y-107098267D01* +X216181200Y-107531733D01* +X216177895Y-107565290D01* +X216169014Y-107594568D01* +X216154594Y-107621545D01* +X216135190Y-107645190D01* +X216111545Y-107664594D01* +X216084568Y-107679014D01* +X216055290Y-107687895D01* +X216021733Y-107691200D01* +X212188267Y-107691200D01* +X212154710Y-107687895D01* +X212125432Y-107679014D01* +X212098455Y-107664594D01* +X212074810Y-107645190D01* +X212055406Y-107621545D01* +X212040986Y-107594568D01* +X212032105Y-107565290D01* +X212028800Y-107531733D01* +X212028800Y-107098267D01* +X212032105Y-107064710D01* +X212040986Y-107035432D01* +X212055406Y-107008455D01* +X212074810Y-106984810D01* +X212098455Y-106965406D01* +X212125432Y-106950986D01* +X212154710Y-106942105D01* +X212188267Y-106938800D01* +X216021733Y-106938800D01* +X216055290Y-106942105D01* +X216055290Y-106942105D01* +G37* +G36* +X223455290Y-106942105D02* +G01* +X223484568Y-106950986D01* +X223511545Y-106965406D01* +X223535190Y-106984810D01* +X223554594Y-107008455D01* +X223569014Y-107035432D01* +X223577895Y-107064710D01* +X223581200Y-107098267D01* +X223581200Y-107531733D01* +X223577895Y-107565290D01* +X223569014Y-107594568D01* +X223554594Y-107621545D01* +X223535190Y-107645190D01* +X223511545Y-107664594D01* +X223484568Y-107679014D01* +X223455290Y-107687895D01* +X223421733Y-107691200D01* +X219588267Y-107691200D01* +X219554710Y-107687895D01* +X219525432Y-107679014D01* +X219498455Y-107664594D01* +X219474810Y-107645190D01* +X219455406Y-107621545D01* +X219440986Y-107594568D01* +X219432105Y-107565290D01* +X219428800Y-107531733D01* +X219428800Y-107098267D01* +X219432105Y-107064710D01* +X219440986Y-107035432D01* +X219455406Y-107008455D01* +X219474810Y-106984810D01* +X219498455Y-106965406D01* +X219525432Y-106950986D01* +X219554710Y-106942105D01* +X219588267Y-106938800D01* +X223421733Y-106938800D01* +X223455290Y-106942105D01* +X223455290Y-106942105D01* +G37* +G36* +X262800290Y-106307105D02* +G01* +X262829568Y-106315986D01* +X262856545Y-106330406D01* +X262880190Y-106349810D01* +X262899594Y-106373455D01* +X262914014Y-106400432D01* +X262922895Y-106429710D01* +X262926200Y-106463267D01* +X262926200Y-106896733D01* +X262922895Y-106930290D01* +X262914014Y-106959568D01* +X262899594Y-106986545D01* +X262880190Y-107010190D01* +X262856545Y-107029594D01* +X262829568Y-107044014D01* +X262800290Y-107052895D01* +X262766733Y-107056200D01* +X261233267Y-107056200D01* +X261199710Y-107052895D01* +X261170432Y-107044014D01* +X261143455Y-107029594D01* +X261119810Y-107010190D01* +X261100406Y-106986545D01* +X261085986Y-106959568D01* +X261077105Y-106930290D01* +X261073800Y-106896733D01* +X261073800Y-106463267D01* +X261077105Y-106429710D01* +X261085986Y-106400432D01* +X261100406Y-106373455D01* +X261119810Y-106349810D01* +X261143455Y-106330406D01* +X261170432Y-106315986D01* +X261199710Y-106307105D01* +X261233267Y-106303800D01* +X262766733Y-106303800D01* +X262800290Y-106307105D01* +X262800290Y-106307105D01* +G37* +G36* +X234100290Y-106307105D02* +G01* +X234129568Y-106315986D01* +X234156545Y-106330406D01* +X234180190Y-106349810D01* +X234199594Y-106373455D01* +X234214014Y-106400432D01* +X234222895Y-106429710D01* +X234226200Y-106463267D01* +X234226200Y-106896733D01* +X234222895Y-106930290D01* +X234214014Y-106959568D01* +X234199594Y-106986545D01* +X234180190Y-107010190D01* +X234156545Y-107029594D01* +X234129568Y-107044014D01* +X234100290Y-107052895D01* +X234066733Y-107056200D01* +X232533267Y-107056200D01* +X232499710Y-107052895D01* +X232470432Y-107044014D01* +X232443455Y-107029594D01* +X232419810Y-107010190D01* +X232400406Y-106986545D01* +X232385986Y-106959568D01* +X232377105Y-106930290D01* +X232373800Y-106896733D01* +X232373800Y-106463267D01* +X232377105Y-106429710D01* +X232385986Y-106400432D01* +X232400406Y-106373455D01* +X232419810Y-106349810D01* +X232443455Y-106330406D01* +X232470432Y-106315986D01* +X232499710Y-106307105D01* +X232533267Y-106303800D01* +X234066733Y-106303800D01* +X234100290Y-106307105D01* +X234100290Y-106307105D01* +G37* +G36* +X216055290Y-105672105D02* +G01* +X216084568Y-105680986D01* +X216111545Y-105695406D01* +X216135190Y-105714810D01* +X216154594Y-105738455D01* +X216169014Y-105765432D01* +X216177895Y-105794710D01* +X216181200Y-105828267D01* +X216181200Y-106261733D01* +X216177895Y-106295290D01* +X216169014Y-106324568D01* +X216154594Y-106351545D01* +X216135190Y-106375190D01* +X216111545Y-106394594D01* +X216084568Y-106409014D01* +X216055290Y-106417895D01* +X216021733Y-106421200D01* +X212188267Y-106421200D01* +X212154710Y-106417895D01* +X212125432Y-106409014D01* +X212098455Y-106394594D01* +X212074810Y-106375190D01* +X212055406Y-106351545D01* +X212040986Y-106324568D01* +X212032105Y-106295290D01* +X212028800Y-106261733D01* +X212028800Y-105828267D01* +X212032105Y-105794710D01* +X212040986Y-105765432D01* +X212055406Y-105738455D01* +X212074810Y-105714810D01* +X212098455Y-105695406D01* +X212125432Y-105680986D01* +X212154710Y-105672105D01* +X212188267Y-105668800D01* +X216021733Y-105668800D01* +X216055290Y-105672105D01* +X216055290Y-105672105D01* +G37* +G36* +X223455290Y-105672105D02* +G01* +X223484568Y-105680986D01* +X223511545Y-105695406D01* +X223535190Y-105714810D01* +X223554594Y-105738455D01* +X223569014Y-105765432D01* +X223577895Y-105794710D01* +X223581200Y-105828267D01* +X223581200Y-106261733D01* +X223577895Y-106295290D01* +X223569014Y-106324568D01* +X223554594Y-106351545D01* +X223535190Y-106375190D01* +X223511545Y-106394594D01* +X223484568Y-106409014D01* +X223455290Y-106417895D01* +X223421733Y-106421200D01* +X219588267Y-106421200D01* +X219554710Y-106417895D01* +X219525432Y-106409014D01* +X219498455Y-106394594D01* +X219474810Y-106375190D01* +X219455406Y-106351545D01* +X219440986Y-106324568D01* +X219432105Y-106295290D01* +X219428800Y-106261733D01* +X219428800Y-105828267D01* +X219432105Y-105794710D01* +X219440986Y-105765432D01* +X219455406Y-105738455D01* +X219474810Y-105714810D01* +X219498455Y-105695406D01* +X219525432Y-105680986D01* +X219554710Y-105672105D01* +X219588267Y-105668800D01* +X223421733Y-105668800D01* +X223455290Y-105672105D01* +X223455290Y-105672105D01* +G37* +G36* +X262800290Y-105037105D02* +G01* +X262829568Y-105045986D01* +X262856545Y-105060406D01* +X262880190Y-105079810D01* +X262899594Y-105103455D01* +X262914014Y-105130432D01* +X262922895Y-105159710D01* +X262926200Y-105193267D01* +X262926200Y-105626733D01* +X262922895Y-105660290D01* +X262914014Y-105689568D01* +X262899594Y-105716545D01* +X262880190Y-105740190D01* +X262856545Y-105759594D01* +X262829568Y-105774014D01* +X262800290Y-105782895D01* +X262766733Y-105786200D01* +X261233267Y-105786200D01* +X261199710Y-105782895D01* +X261170432Y-105774014D01* +X261143455Y-105759594D01* +X261119810Y-105740190D01* +X261100406Y-105716545D01* +X261085986Y-105689568D01* +X261077105Y-105660290D01* +X261073800Y-105626733D01* +X261073800Y-105193267D01* +X261077105Y-105159710D01* +X261085986Y-105130432D01* +X261100406Y-105103455D01* +X261119810Y-105079810D01* +X261143455Y-105060406D01* +X261170432Y-105045986D01* +X261199710Y-105037105D01* +X261233267Y-105033800D01* +X262766733Y-105033800D01* +X262800290Y-105037105D01* +X262800290Y-105037105D01* +G37* +G36* +X234100290Y-105037105D02* +G01* +X234129568Y-105045986D01* +X234156545Y-105060406D01* +X234180190Y-105079810D01* +X234199594Y-105103455D01* +X234214014Y-105130432D01* +X234222895Y-105159710D01* +X234226200Y-105193267D01* +X234226200Y-105626733D01* +X234222895Y-105660290D01* +X234214014Y-105689568D01* +X234199594Y-105716545D01* +X234180190Y-105740190D01* +X234156545Y-105759594D01* +X234129568Y-105774014D01* +X234100290Y-105782895D01* +X234066733Y-105786200D01* +X232533267Y-105786200D01* +X232499710Y-105782895D01* +X232470432Y-105774014D01* +X232443455Y-105759594D01* +X232419810Y-105740190D01* +X232400406Y-105716545D01* +X232385986Y-105689568D01* +X232377105Y-105660290D01* +X232373800Y-105626733D01* +X232373800Y-105193267D01* +X232377105Y-105159710D01* +X232385986Y-105130432D01* +X232400406Y-105103455D01* +X232419810Y-105079810D01* +X232443455Y-105060406D01* +X232470432Y-105045986D01* +X232499710Y-105037105D01* +X232533267Y-105033800D01* +X234066733Y-105033800D01* +X234100290Y-105037105D01* +X234100290Y-105037105D01* +G37* +G36* +X216055290Y-104402105D02* +G01* +X216084568Y-104410986D01* +X216111545Y-104425406D01* +X216135190Y-104444810D01* +X216154594Y-104468455D01* +X216169014Y-104495432D01* +X216177895Y-104524710D01* +X216181200Y-104558267D01* +X216181200Y-104991733D01* +X216177895Y-105025290D01* +X216169014Y-105054568D01* +X216154594Y-105081545D01* +X216135190Y-105105190D01* +X216111545Y-105124594D01* +X216084568Y-105139014D01* +X216055290Y-105147895D01* +X216021733Y-105151200D01* +X212188267Y-105151200D01* +X212154710Y-105147895D01* +X212125432Y-105139014D01* +X212098455Y-105124594D01* +X212074810Y-105105190D01* +X212055406Y-105081545D01* +X212040986Y-105054568D01* +X212032105Y-105025290D01* +X212028800Y-104991733D01* +X212028800Y-104558267D01* +X212032105Y-104524710D01* +X212040986Y-104495432D01* +X212055406Y-104468455D01* +X212074810Y-104444810D01* +X212098455Y-104425406D01* +X212125432Y-104410986D01* +X212154710Y-104402105D01* +X212188267Y-104398800D01* +X216021733Y-104398800D01* +X216055290Y-104402105D01* +X216055290Y-104402105D01* +G37* +G36* +X223455290Y-104402105D02* +G01* +X223484568Y-104410986D01* +X223511545Y-104425406D01* +X223535190Y-104444810D01* +X223554594Y-104468455D01* +X223569014Y-104495432D01* +X223577895Y-104524710D01* +X223581200Y-104558267D01* +X223581200Y-104991733D01* +X223577895Y-105025290D01* +X223569014Y-105054568D01* +X223554594Y-105081545D01* +X223535190Y-105105190D01* +X223511545Y-105124594D01* +X223484568Y-105139014D01* +X223455290Y-105147895D01* +X223421733Y-105151200D01* +X219588267Y-105151200D01* +X219554710Y-105147895D01* +X219525432Y-105139014D01* +X219498455Y-105124594D01* +X219474810Y-105105190D01* +X219455406Y-105081545D01* +X219440986Y-105054568D01* +X219432105Y-105025290D01* +X219428800Y-104991733D01* +X219428800Y-104558267D01* +X219432105Y-104524710D01* +X219440986Y-104495432D01* +X219455406Y-104468455D01* +X219474810Y-104444810D01* +X219498455Y-104425406D01* +X219525432Y-104410986D01* +X219554710Y-104402105D01* +X219588267Y-104398800D01* +X223421733Y-104398800D01* +X223455290Y-104402105D01* +X223455290Y-104402105D01* +G37* +G36* +X234100290Y-103767105D02* +G01* +X234129568Y-103775986D01* +X234156545Y-103790406D01* +X234180190Y-103809810D01* +X234199594Y-103833455D01* +X234214014Y-103860432D01* +X234222895Y-103889710D01* +X234226200Y-103923267D01* +X234226200Y-104356733D01* +X234222895Y-104390290D01* +X234214014Y-104419568D01* +X234199594Y-104446545D01* +X234180190Y-104470190D01* +X234156545Y-104489594D01* +X234129568Y-104504014D01* +X234100290Y-104512895D01* +X234066733Y-104516200D01* +X232533267Y-104516200D01* +X232499710Y-104512895D01* +X232470432Y-104504014D01* +X232443455Y-104489594D01* +X232419810Y-104470190D01* +X232400406Y-104446545D01* +X232385986Y-104419568D01* +X232377105Y-104390290D01* +X232373800Y-104356733D01* +X232373800Y-103923267D01* +X232377105Y-103889710D01* +X232385986Y-103860432D01* +X232400406Y-103833455D01* +X232419810Y-103809810D01* +X232443455Y-103790406D01* +X232470432Y-103775986D01* +X232499710Y-103767105D01* +X232533267Y-103763800D01* +X234066733Y-103763800D01* +X234100290Y-103767105D01* +X234100290Y-103767105D01* +G37* +G36* +X262800290Y-103767105D02* +G01* +X262829568Y-103775986D01* +X262856545Y-103790406D01* +X262880190Y-103809810D01* +X262899594Y-103833455D01* +X262914014Y-103860432D01* +X262922895Y-103889710D01* +X262926200Y-103923267D01* +X262926200Y-104356733D01* +X262922895Y-104390290D01* +X262914014Y-104419568D01* +X262899594Y-104446545D01* +X262880190Y-104470190D01* +X262856545Y-104489594D01* +X262829568Y-104504014D01* +X262800290Y-104512895D01* +X262766733Y-104516200D01* +X261233267Y-104516200D01* +X261199710Y-104512895D01* +X261170432Y-104504014D01* +X261143455Y-104489594D01* +X261119810Y-104470190D01* +X261100406Y-104446545D01* +X261085986Y-104419568D01* +X261077105Y-104390290D01* +X261073800Y-104356733D01* +X261073800Y-103923267D01* +X261077105Y-103889710D01* +X261085986Y-103860432D01* +X261100406Y-103833455D01* +X261119810Y-103809810D01* +X261143455Y-103790406D01* +X261170432Y-103775986D01* +X261199710Y-103767105D01* +X261233267Y-103763800D01* +X262766733Y-103763800D01* +X262800290Y-103767105D01* +X262800290Y-103767105D01* +G37* +G36* +X223455290Y-103132105D02* +G01* +X223484568Y-103140986D01* +X223511545Y-103155406D01* +X223535190Y-103174810D01* +X223554594Y-103198455D01* +X223569014Y-103225432D01* +X223577895Y-103254710D01* +X223581200Y-103288267D01* +X223581200Y-103721733D01* +X223577895Y-103755290D01* +X223569014Y-103784568D01* +X223554594Y-103811545D01* +X223535190Y-103835190D01* +X223511545Y-103854594D01* +X223484568Y-103869014D01* +X223455290Y-103877895D01* +X223421733Y-103881200D01* +X219588267Y-103881200D01* +X219554710Y-103877895D01* +X219525432Y-103869014D01* +X219498455Y-103854594D01* +X219474810Y-103835190D01* +X219455406Y-103811545D01* +X219440986Y-103784568D01* +X219432105Y-103755290D01* +X219428800Y-103721733D01* +X219428800Y-103288267D01* +X219432105Y-103254710D01* +X219440986Y-103225432D01* +X219455406Y-103198455D01* +X219474810Y-103174810D01* +X219498455Y-103155406D01* +X219525432Y-103140986D01* +X219554710Y-103132105D01* +X219588267Y-103128800D01* +X223421733Y-103128800D01* +X223455290Y-103132105D01* +X223455290Y-103132105D01* +G37* +G36* +X216055290Y-103132105D02* +G01* +X216084568Y-103140986D01* +X216111545Y-103155406D01* +X216135190Y-103174810D01* +X216154594Y-103198455D01* +X216169014Y-103225432D01* +X216177895Y-103254710D01* +X216181200Y-103288267D01* +X216181200Y-103721733D01* +X216177895Y-103755290D01* +X216169014Y-103784568D01* +X216154594Y-103811545D01* +X216135190Y-103835190D01* +X216111545Y-103854594D01* +X216084568Y-103869014D01* +X216055290Y-103877895D01* +X216021733Y-103881200D01* +X212188267Y-103881200D01* +X212154710Y-103877895D01* +X212125432Y-103869014D01* +X212098455Y-103854594D01* +X212074810Y-103835190D01* +X212055406Y-103811545D01* +X212040986Y-103784568D01* +X212032105Y-103755290D01* +X212028800Y-103721733D01* +X212028800Y-103288267D01* +X212032105Y-103254710D01* +X212040986Y-103225432D01* +X212055406Y-103198455D01* +X212074810Y-103174810D01* +X212098455Y-103155406D01* +X212125432Y-103140986D01* +X212154710Y-103132105D01* +X212188267Y-103128800D01* +X216021733Y-103128800D01* +X216055290Y-103132105D01* +X216055290Y-103132105D01* +G37* +G36* +X234100290Y-102497105D02* +G01* +X234129568Y-102505986D01* +X234156545Y-102520406D01* +X234180190Y-102539810D01* +X234199594Y-102563455D01* +X234214014Y-102590432D01* +X234222895Y-102619710D01* +X234226200Y-102653267D01* +X234226200Y-103086733D01* +X234222895Y-103120290D01* +X234214014Y-103149568D01* +X234199594Y-103176545D01* +X234180190Y-103200190D01* +X234156545Y-103219594D01* +X234129568Y-103234014D01* +X234100290Y-103242895D01* +X234066733Y-103246200D01* +X232533267Y-103246200D01* +X232499710Y-103242895D01* +X232470432Y-103234014D01* +X232443455Y-103219594D01* +X232419810Y-103200190D01* +X232400406Y-103176545D01* +X232385986Y-103149568D01* +X232377105Y-103120290D01* +X232373800Y-103086733D01* +X232373800Y-102653267D01* +X232377105Y-102619710D01* +X232385986Y-102590432D01* +X232400406Y-102563455D01* +X232419810Y-102539810D01* +X232443455Y-102520406D01* +X232470432Y-102505986D01* +X232499710Y-102497105D01* +X232533267Y-102493800D01* +X234066733Y-102493800D01* +X234100290Y-102497105D01* +X234100290Y-102497105D01* +G37* +G36* +X262800290Y-102497105D02* +G01* +X262829568Y-102505986D01* +X262856545Y-102520406D01* +X262880190Y-102539810D01* +X262899594Y-102563455D01* +X262914014Y-102590432D01* +X262922895Y-102619710D01* +X262926200Y-102653267D01* +X262926200Y-103086733D01* +X262922895Y-103120290D01* +X262914014Y-103149568D01* +X262899594Y-103176545D01* +X262880190Y-103200190D01* +X262856545Y-103219594D01* +X262829568Y-103234014D01* +X262800290Y-103242895D01* +X262766733Y-103246200D01* +X261233267Y-103246200D01* +X261199710Y-103242895D01* +X261170432Y-103234014D01* +X261143455Y-103219594D01* +X261119810Y-103200190D01* +X261100406Y-103176545D01* +X261085986Y-103149568D01* +X261077105Y-103120290D01* +X261073800Y-103086733D01* +X261073800Y-102653267D01* +X261077105Y-102619710D01* +X261085986Y-102590432D01* +X261100406Y-102563455D01* +X261119810Y-102539810D01* +X261143455Y-102520406D01* +X261170432Y-102505986D01* +X261199710Y-102497105D01* +X261233267Y-102493800D01* +X262766733Y-102493800D01* +X262800290Y-102497105D01* +X262800290Y-102497105D01* +G37* +G36* +X216055290Y-101862105D02* +G01* +X216084568Y-101870986D01* +X216111545Y-101885406D01* +X216135190Y-101904810D01* +X216154594Y-101928455D01* +X216169014Y-101955432D01* +X216177895Y-101984710D01* +X216181200Y-102018267D01* +X216181200Y-102451733D01* +X216177895Y-102485290D01* +X216169014Y-102514568D01* +X216154594Y-102541545D01* +X216135190Y-102565190D01* +X216111545Y-102584594D01* +X216084568Y-102599014D01* +X216055290Y-102607895D01* +X216021733Y-102611200D01* +X212188267Y-102611200D01* +X212154710Y-102607895D01* +X212125432Y-102599014D01* +X212098455Y-102584594D01* +X212074810Y-102565190D01* +X212055406Y-102541545D01* +X212040986Y-102514568D01* +X212032105Y-102485290D01* +X212028800Y-102451733D01* +X212028800Y-102018267D01* +X212032105Y-101984710D01* +X212040986Y-101955432D01* +X212055406Y-101928455D01* +X212074810Y-101904810D01* +X212098455Y-101885406D01* +X212125432Y-101870986D01* +X212154710Y-101862105D01* +X212188267Y-101858800D01* +X216021733Y-101858800D01* +X216055290Y-101862105D01* +X216055290Y-101862105D01* +G37* +G36* +X223455290Y-101862105D02* +G01* +X223484568Y-101870986D01* +X223511545Y-101885406D01* +X223535190Y-101904810D01* +X223554594Y-101928455D01* +X223569014Y-101955432D01* +X223577895Y-101984710D01* +X223581200Y-102018267D01* +X223581200Y-102451733D01* +X223577895Y-102485290D01* +X223569014Y-102514568D01* +X223554594Y-102541545D01* +X223535190Y-102565190D01* +X223511545Y-102584594D01* +X223484568Y-102599014D01* +X223455290Y-102607895D01* +X223421733Y-102611200D01* +X219588267Y-102611200D01* +X219554710Y-102607895D01* +X219525432Y-102599014D01* +X219498455Y-102584594D01* +X219474810Y-102565190D01* +X219455406Y-102541545D01* +X219440986Y-102514568D01* +X219432105Y-102485290D01* +X219428800Y-102451733D01* +X219428800Y-102018267D01* +X219432105Y-101984710D01* +X219440986Y-101955432D01* +X219455406Y-101928455D01* +X219474810Y-101904810D01* +X219498455Y-101885406D01* +X219525432Y-101870986D01* +X219554710Y-101862105D01* +X219588267Y-101858800D01* +X223421733Y-101858800D01* +X223455290Y-101862105D01* +X223455290Y-101862105D01* +G37* +G36* +X262800290Y-101227105D02* +G01* +X262829568Y-101235986D01* +X262856545Y-101250406D01* +X262880190Y-101269810D01* +X262899594Y-101293455D01* +X262914014Y-101320432D01* +X262922895Y-101349710D01* +X262926200Y-101383267D01* +X262926200Y-101816733D01* +X262922895Y-101850290D01* +X262914014Y-101879568D01* +X262899594Y-101906545D01* +X262880190Y-101930190D01* +X262856545Y-101949594D01* +X262829568Y-101964014D01* +X262800290Y-101972895D01* +X262766733Y-101976200D01* +X261233267Y-101976200D01* +X261199710Y-101972895D01* +X261170432Y-101964014D01* +X261143455Y-101949594D01* +X261119810Y-101930190D01* +X261100406Y-101906545D01* +X261085986Y-101879568D01* +X261077105Y-101850290D01* +X261073800Y-101816733D01* +X261073800Y-101383267D01* +X261077105Y-101349710D01* +X261085986Y-101320432D01* +X261100406Y-101293455D01* +X261119810Y-101269810D01* +X261143455Y-101250406D01* +X261170432Y-101235986D01* +X261199710Y-101227105D01* +X261233267Y-101223800D01* +X262766733Y-101223800D01* +X262800290Y-101227105D01* +X262800290Y-101227105D01* +G37* +G36* +X234100290Y-101227105D02* +G01* +X234129568Y-101235986D01* +X234156545Y-101250406D01* +X234180190Y-101269810D01* +X234199594Y-101293455D01* +X234214014Y-101320432D01* +X234222895Y-101349710D01* +X234226200Y-101383267D01* +X234226200Y-101816733D01* +X234222895Y-101850290D01* +X234214014Y-101879568D01* +X234199594Y-101906545D01* +X234180190Y-101930190D01* +X234156545Y-101949594D01* +X234129568Y-101964014D01* +X234100290Y-101972895D01* +X234066733Y-101976200D01* +X232533267Y-101976200D01* +X232499710Y-101972895D01* +X232470432Y-101964014D01* +X232443455Y-101949594D01* +X232419810Y-101930190D01* +X232400406Y-101906545D01* +X232385986Y-101879568D01* +X232377105Y-101850290D01* +X232373800Y-101816733D01* +X232373800Y-101383267D01* +X232377105Y-101349710D01* +X232385986Y-101320432D01* +X232400406Y-101293455D01* +X232419810Y-101269810D01* +X232443455Y-101250406D01* +X232470432Y-101235986D01* +X232499710Y-101227105D01* +X232533267Y-101223800D01* +X234066733Y-101223800D01* +X234100290Y-101227105D01* +X234100290Y-101227105D01* +G37* +G36* +X268529737Y-100164267D02* +G01* +X268580119Y-100179550D01* +X268626546Y-100204366D01* +X268667239Y-100237761D01* +X268700634Y-100278454D01* +X268725450Y-100324881D01* +X268740733Y-100375263D01* +X268746200Y-100430767D01* +X268746200Y-101089233D01* +X268740733Y-101144737D01* +X268725450Y-101195119D01* +X268700634Y-101241546D01* +X268667239Y-101282239D01* +X268626546Y-101315634D01* +X268580119Y-101340450D01* +X268529737Y-101355733D01* +X268474233Y-101361200D01* +X267465767Y-101361200D01* +X267410263Y-101355733D01* +X267359881Y-101340450D01* +X267313454Y-101315634D01* +X267272761Y-101282239D01* +X267239366Y-101241546D01* +X267214550Y-101195119D01* +X267199267Y-101144737D01* +X267193800Y-101089233D01* +X267193800Y-100430767D01* +X267199267Y-100375263D01* +X267214550Y-100324881D01* +X267239366Y-100278454D01* +X267272761Y-100237761D01* +X267313454Y-100204366D01* +X267359881Y-100179550D01* +X267410263Y-100164267D01* +X267465767Y-100158800D01* +X268474233Y-100158800D01* +X268529737Y-100164267D01* +X268529737Y-100164267D01* +G37* +G36* +X216055290Y-100592105D02* +G01* +X216084568Y-100600986D01* +X216111545Y-100615406D01* +X216135190Y-100634810D01* +X216154594Y-100658455D01* +X216169014Y-100685432D01* +X216177895Y-100714710D01* +X216181200Y-100748267D01* +X216181200Y-101181733D01* +X216177895Y-101215290D01* +X216169014Y-101244568D01* +X216154594Y-101271545D01* +X216135190Y-101295190D01* +X216111545Y-101314594D01* +X216084568Y-101329014D01* +X216055290Y-101337895D01* +X216021733Y-101341200D01* +X212188267Y-101341200D01* +X212154710Y-101337895D01* +X212125432Y-101329014D01* +X212098455Y-101314594D01* +X212074810Y-101295190D01* +X212055406Y-101271545D01* +X212040986Y-101244568D01* +X212032105Y-101215290D01* +X212028800Y-101181733D01* +X212028800Y-100748267D01* +X212032105Y-100714710D01* +X212040986Y-100685432D01* +X212055406Y-100658455D01* +X212074810Y-100634810D01* +X212098455Y-100615406D01* +X212125432Y-100600986D01* +X212154710Y-100592105D01* +X212188267Y-100588800D01* +X216021733Y-100588800D01* +X216055290Y-100592105D01* +X216055290Y-100592105D01* +G37* +G36* +X223455290Y-100592105D02* +G01* +X223484568Y-100600986D01* +X223511545Y-100615406D01* +X223535190Y-100634810D01* +X223554594Y-100658455D01* +X223569014Y-100685432D01* +X223577895Y-100714710D01* +X223581200Y-100748267D01* +X223581200Y-101181733D01* +X223577895Y-101215290D01* +X223569014Y-101244568D01* +X223554594Y-101271545D01* +X223535190Y-101295190D01* +X223511545Y-101314594D01* +X223484568Y-101329014D01* +X223455290Y-101337895D01* +X223421733Y-101341200D01* +X219588267Y-101341200D01* +X219554710Y-101337895D01* +X219525432Y-101329014D01* +X219498455Y-101314594D01* +X219474810Y-101295190D01* +X219455406Y-101271545D01* +X219440986Y-101244568D01* +X219432105Y-101215290D01* +X219428800Y-101181733D01* +X219428800Y-100748267D01* +X219432105Y-100714710D01* +X219440986Y-100685432D01* +X219455406Y-100658455D01* +X219474810Y-100634810D01* +X219498455Y-100615406D01* +X219525432Y-100600986D01* +X219554710Y-100592105D01* +X219588267Y-100588800D01* +X223421733Y-100588800D01* +X223455290Y-100592105D01* +X223455290Y-100592105D01* +G37* +G36* +X262800290Y-99957105D02* +G01* +X262829568Y-99965986D01* +X262856545Y-99980406D01* +X262880190Y-99999810D01* +X262899594Y-100023455D01* +X262914014Y-100050432D01* +X262922895Y-100079710D01* +X262926200Y-100113267D01* +X262926200Y-100546733D01* +X262922895Y-100580290D01* +X262914014Y-100609568D01* +X262899594Y-100636545D01* +X262880190Y-100660190D01* +X262856545Y-100679594D01* +X262829568Y-100694014D01* +X262800290Y-100702895D01* +X262766733Y-100706200D01* +X261233267Y-100706200D01* +X261199710Y-100702895D01* +X261170432Y-100694014D01* +X261143455Y-100679594D01* +X261119810Y-100660190D01* +X261100406Y-100636545D01* +X261085986Y-100609568D01* +X261077105Y-100580290D01* +X261073800Y-100546733D01* +X261073800Y-100113267D01* +X261077105Y-100079710D01* +X261085986Y-100050432D01* +X261100406Y-100023455D01* +X261119810Y-99999810D01* +X261143455Y-99980406D01* +X261170432Y-99965986D01* +X261199710Y-99957105D01* +X261233267Y-99953800D01* +X262766733Y-99953800D01* +X262800290Y-99957105D01* +X262800290Y-99957105D01* +G37* +G36* +X234100290Y-99957105D02* +G01* +X234129568Y-99965986D01* +X234156545Y-99980406D01* +X234180190Y-99999810D01* +X234199594Y-100023455D01* +X234214014Y-100050432D01* +X234222895Y-100079710D01* +X234226200Y-100113267D01* +X234226200Y-100546733D01* +X234222895Y-100580290D01* +X234214014Y-100609568D01* +X234199594Y-100636545D01* +X234180190Y-100660190D01* +X234156545Y-100679594D01* +X234129568Y-100694014D01* +X234100290Y-100702895D01* +X234066733Y-100706200D01* +X232533267Y-100706200D01* +X232499710Y-100702895D01* +X232470432Y-100694014D01* +X232443455Y-100679594D01* +X232419810Y-100660190D01* +X232400406Y-100636545D01* +X232385986Y-100609568D01* +X232377105Y-100580290D01* +X232373800Y-100546733D01* +X232373800Y-100113267D01* +X232377105Y-100079710D01* +X232385986Y-100050432D01* +X232400406Y-100023455D01* +X232419810Y-99999810D01* +X232443455Y-99980406D01* +X232470432Y-99965986D01* +X232499710Y-99957105D01* +X232533267Y-99953800D01* +X234066733Y-99953800D01* +X234100290Y-99957105D01* +X234100290Y-99957105D01* +G37* +G36* +X223455290Y-99322105D02* +G01* +X223484568Y-99330986D01* +X223511545Y-99345406D01* +X223535190Y-99364810D01* +X223554594Y-99388455D01* +X223569014Y-99415432D01* +X223577895Y-99444710D01* +X223581200Y-99478267D01* +X223581200Y-99911733D01* +X223577895Y-99945290D01* +X223569014Y-99974568D01* +X223554594Y-100001545D01* +X223535190Y-100025190D01* +X223511545Y-100044594D01* +X223484568Y-100059014D01* +X223455290Y-100067895D01* +X223421733Y-100071200D01* +X219588267Y-100071200D01* +X219554710Y-100067895D01* +X219525432Y-100059014D01* +X219498455Y-100044594D01* +X219474810Y-100025190D01* +X219455406Y-100001545D01* +X219440986Y-99974568D01* +X219432105Y-99945290D01* +X219428800Y-99911733D01* +X219428800Y-99478267D01* +X219432105Y-99444710D01* +X219440986Y-99415432D01* +X219455406Y-99388455D01* +X219474810Y-99364810D01* +X219498455Y-99345406D01* +X219525432Y-99330986D01* +X219554710Y-99322105D01* +X219588267Y-99318800D01* +X223421733Y-99318800D01* +X223455290Y-99322105D01* +X223455290Y-99322105D01* +G37* +G36* +X216055290Y-99322105D02* +G01* +X216084568Y-99330986D01* +X216111545Y-99345406D01* +X216135190Y-99364810D01* +X216154594Y-99388455D01* +X216169014Y-99415432D01* +X216177895Y-99444710D01* +X216181200Y-99478267D01* +X216181200Y-99911733D01* +X216177895Y-99945290D01* +X216169014Y-99974568D01* +X216154594Y-100001545D01* +X216135190Y-100025190D01* +X216111545Y-100044594D01* +X216084568Y-100059014D01* +X216055290Y-100067895D01* +X216021733Y-100071200D01* +X212188267Y-100071200D01* +X212154710Y-100067895D01* +X212125432Y-100059014D01* +X212098455Y-100044594D01* +X212074810Y-100025190D01* +X212055406Y-100001545D01* +X212040986Y-99974568D01* +X212032105Y-99945290D01* +X212028800Y-99911733D01* +X212028800Y-99478267D01* +X212032105Y-99444710D01* +X212040986Y-99415432D01* +X212055406Y-99388455D01* +X212074810Y-99364810D01* +X212098455Y-99345406D01* +X212125432Y-99330986D01* +X212154710Y-99322105D01* +X212188267Y-99318800D01* +X216021733Y-99318800D01* +X216055290Y-99322105D01* +X216055290Y-99322105D01* +G37* +G36* +X268529737Y-98464267D02* +G01* +X268580119Y-98479550D01* +X268626546Y-98504366D01* +X268667239Y-98537761D01* +X268700634Y-98578454D01* +X268725450Y-98624881D01* +X268740733Y-98675263D01* +X268746200Y-98730767D01* +X268746200Y-99389233D01* +X268740733Y-99444737D01* +X268725450Y-99495119D01* +X268700634Y-99541546D01* +X268667239Y-99582239D01* +X268626546Y-99615634D01* +X268580119Y-99640450D01* +X268529737Y-99655733D01* +X268474233Y-99661200D01* +X267465767Y-99661200D01* +X267410263Y-99655733D01* +X267359881Y-99640450D01* +X267313454Y-99615634D01* +X267272761Y-99582239D01* +X267239366Y-99541546D01* +X267214550Y-99495119D01* +X267199267Y-99444737D01* +X267193800Y-99389233D01* +X267193800Y-98730767D01* +X267199267Y-98675263D01* +X267214550Y-98624881D01* +X267239366Y-98578454D01* +X267272761Y-98537761D01* +X267313454Y-98504366D01* +X267359881Y-98479550D01* +X267410263Y-98464267D01* +X267465767Y-98458800D01* +X268474233Y-98458800D01* +X268529737Y-98464267D01* +X268529737Y-98464267D01* +G37* +G36* +X262800290Y-98687105D02* +G01* +X262829568Y-98695986D01* +X262856545Y-98710406D01* +X262880190Y-98729810D01* +X262899594Y-98753455D01* +X262914014Y-98780432D01* +X262922895Y-98809710D01* +X262926200Y-98843267D01* +X262926200Y-99276733D01* +X262922895Y-99310290D01* +X262914014Y-99339568D01* +X262899594Y-99366545D01* +X262880190Y-99390190D01* +X262856545Y-99409594D01* +X262829568Y-99424014D01* +X262800290Y-99432895D01* +X262766733Y-99436200D01* +X261233267Y-99436200D01* +X261199710Y-99432895D01* +X261170432Y-99424014D01* +X261143455Y-99409594D01* +X261119810Y-99390190D01* +X261100406Y-99366545D01* +X261085986Y-99339568D01* +X261077105Y-99310290D01* +X261073800Y-99276733D01* +X261073800Y-98843267D01* +X261077105Y-98809710D01* +X261085986Y-98780432D01* +X261100406Y-98753455D01* +X261119810Y-98729810D01* +X261143455Y-98710406D01* +X261170432Y-98695986D01* +X261199710Y-98687105D01* +X261233267Y-98683800D01* +X262766733Y-98683800D01* +X262800290Y-98687105D01* +X262800290Y-98687105D01* +G37* +G36* +X234100290Y-98687105D02* +G01* +X234129568Y-98695986D01* +X234156545Y-98710406D01* +X234180190Y-98729810D01* +X234199594Y-98753455D01* +X234214014Y-98780432D01* +X234222895Y-98809710D01* +X234226200Y-98843267D01* +X234226200Y-99276733D01* +X234222895Y-99310290D01* +X234214014Y-99339568D01* +X234199594Y-99366545D01* +X234180190Y-99390190D01* +X234156545Y-99409594D01* +X234129568Y-99424014D01* +X234100290Y-99432895D01* +X234066733Y-99436200D01* +X232533267Y-99436200D01* +X232499710Y-99432895D01* +X232470432Y-99424014D01* +X232443455Y-99409594D01* +X232419810Y-99390190D01* +X232400406Y-99366545D01* +X232385986Y-99339568D01* +X232377105Y-99310290D01* +X232373800Y-99276733D01* +X232373800Y-98843267D01* +X232377105Y-98809710D01* +X232385986Y-98780432D01* +X232400406Y-98753455D01* +X232419810Y-98729810D01* +X232443455Y-98710406D01* +X232470432Y-98695986D01* +X232499710Y-98687105D01* +X232533267Y-98683800D01* +X234066733Y-98683800D01* +X234100290Y-98687105D01* +X234100290Y-98687105D01* +G37* +G36* +X223455290Y-98052105D02* +G01* +X223484568Y-98060986D01* +X223511545Y-98075406D01* +X223535190Y-98094810D01* +X223554594Y-98118455D01* +X223569014Y-98145432D01* +X223577895Y-98174710D01* +X223581200Y-98208267D01* +X223581200Y-98641733D01* +X223577895Y-98675290D01* +X223569014Y-98704568D01* +X223554594Y-98731545D01* +X223535190Y-98755190D01* +X223511545Y-98774594D01* +X223484568Y-98789014D01* +X223455290Y-98797895D01* +X223421733Y-98801200D01* +X219588267Y-98801200D01* +X219554710Y-98797895D01* +X219525432Y-98789014D01* +X219498455Y-98774594D01* +X219474810Y-98755190D01* +X219455406Y-98731545D01* +X219440986Y-98704568D01* +X219432105Y-98675290D01* +X219428800Y-98641733D01* +X219428800Y-98208267D01* +X219432105Y-98174710D01* +X219440986Y-98145432D01* +X219455406Y-98118455D01* +X219474810Y-98094810D01* +X219498455Y-98075406D01* +X219525432Y-98060986D01* +X219554710Y-98052105D01* +X219588267Y-98048800D01* +X223421733Y-98048800D01* +X223455290Y-98052105D01* +X223455290Y-98052105D01* +G37* +G36* +X216055290Y-98052105D02* +G01* +X216084568Y-98060986D01* +X216111545Y-98075406D01* +X216135190Y-98094810D01* +X216154594Y-98118455D01* +X216169014Y-98145432D01* +X216177895Y-98174710D01* +X216181200Y-98208267D01* +X216181200Y-98641733D01* +X216177895Y-98675290D01* +X216169014Y-98704568D01* +X216154594Y-98731545D01* +X216135190Y-98755190D01* +X216111545Y-98774594D01* +X216084568Y-98789014D01* +X216055290Y-98797895D01* +X216021733Y-98801200D01* +X212188267Y-98801200D01* +X212154710Y-98797895D01* +X212125432Y-98789014D01* +X212098455Y-98774594D01* +X212074810Y-98755190D01* +X212055406Y-98731545D01* +X212040986Y-98704568D01* +X212032105Y-98675290D01* +X212028800Y-98641733D01* +X212028800Y-98208267D01* +X212032105Y-98174710D01* +X212040986Y-98145432D01* +X212055406Y-98118455D01* +X212074810Y-98094810D01* +X212098455Y-98075406D01* +X212125432Y-98060986D01* +X212154710Y-98052105D01* +X212188267Y-98048800D01* +X216021733Y-98048800D01* +X216055290Y-98052105D01* +X216055290Y-98052105D01* +G37* +G36* +X244090290Y-96487105D02* +G01* +X244119568Y-96495986D01* +X244146545Y-96510406D01* +X244170190Y-96529810D01* +X244189594Y-96553455D01* +X244204014Y-96580432D01* +X244212895Y-96609710D01* +X244216200Y-96643267D01* +X244216200Y-98176733D01* +X244212895Y-98210290D01* +X244204014Y-98239568D01* +X244189594Y-98266545D01* +X244170190Y-98290190D01* +X244146545Y-98309594D01* +X244119568Y-98324014D01* +X244090290Y-98332895D01* +X244056733Y-98336200D01* +X243623267Y-98336200D01* +X243589710Y-98332895D01* +X243560432Y-98324014D01* +X243533455Y-98309594D01* +X243509810Y-98290190D01* +X243490406Y-98266545D01* +X243475986Y-98239568D01* +X243467105Y-98210290D01* +X243463800Y-98176733D01* +X243463800Y-96643267D01* +X243467105Y-96609710D01* +X243475986Y-96580432D01* +X243490406Y-96553455D01* +X243509810Y-96529810D01* +X243533455Y-96510406D01* +X243560432Y-96495986D01* +X243589710Y-96487105D01* +X243623267Y-96483800D01* +X244056733Y-96483800D01* +X244090290Y-96487105D01* +X244090290Y-96487105D01* +G37* +G36* +X245360290Y-96487105D02* +G01* +X245389568Y-96495986D01* +X245416545Y-96510406D01* +X245440190Y-96529810D01* +X245459594Y-96553455D01* +X245474014Y-96580432D01* +X245482895Y-96609710D01* +X245486200Y-96643267D01* +X245486200Y-98176733D01* +X245482895Y-98210290D01* +X245474014Y-98239568D01* +X245459594Y-98266545D01* +X245440190Y-98290190D01* +X245416545Y-98309594D01* +X245389568Y-98324014D01* +X245360290Y-98332895D01* +X245326733Y-98336200D01* +X244893267Y-98336200D01* +X244859710Y-98332895D01* +X244830432Y-98324014D01* +X244803455Y-98309594D01* +X244779810Y-98290190D01* +X244760406Y-98266545D01* +X244745986Y-98239568D01* +X244737105Y-98210290D01* +X244733800Y-98176733D01* +X244733800Y-96643267D01* +X244737105Y-96609710D01* +X244745986Y-96580432D01* +X244760406Y-96553455D01* +X244779810Y-96529810D01* +X244803455Y-96510406D01* +X244830432Y-96495986D01* +X244859710Y-96487105D01* +X244893267Y-96483800D01* +X245326733Y-96483800D01* +X245360290Y-96487105D01* +X245360290Y-96487105D01* +G37* +G36* +X246630290Y-96487105D02* +G01* +X246659568Y-96495986D01* +X246686545Y-96510406D01* +X246710190Y-96529810D01* +X246729594Y-96553455D01* +X246744014Y-96580432D01* +X246752895Y-96609710D01* +X246756200Y-96643267D01* +X246756200Y-98176733D01* +X246752895Y-98210290D01* +X246744014Y-98239568D01* +X246729594Y-98266545D01* +X246710190Y-98290190D01* +X246686545Y-98309594D01* +X246659568Y-98324014D01* +X246630290Y-98332895D01* +X246596733Y-98336200D01* +X246163267Y-98336200D01* +X246129710Y-98332895D01* +X246100432Y-98324014D01* +X246073455Y-98309594D01* +X246049810Y-98290190D01* +X246030406Y-98266545D01* +X246015986Y-98239568D01* +X246007105Y-98210290D01* +X246003800Y-98176733D01* +X246003800Y-96643267D01* +X246007105Y-96609710D01* +X246015986Y-96580432D01* +X246030406Y-96553455D01* +X246049810Y-96529810D01* +X246073455Y-96510406D01* +X246100432Y-96495986D01* +X246129710Y-96487105D01* +X246163267Y-96483800D01* +X246596733Y-96483800D01* +X246630290Y-96487105D01* +X246630290Y-96487105D01* +G37* +G36* +X247900290Y-96487105D02* +G01* +X247929568Y-96495986D01* +X247956545Y-96510406D01* +X247980190Y-96529810D01* +X247999594Y-96553455D01* +X248014014Y-96580432D01* +X248022895Y-96609710D01* +X248026200Y-96643267D01* +X248026200Y-98176733D01* +X248022895Y-98210290D01* +X248014014Y-98239568D01* +X247999594Y-98266545D01* +X247980190Y-98290190D01* +X247956545Y-98309594D01* +X247929568Y-98324014D01* +X247900290Y-98332895D01* +X247866733Y-98336200D01* +X247433267Y-98336200D01* +X247399710Y-98332895D01* +X247370432Y-98324014D01* +X247343455Y-98309594D01* +X247319810Y-98290190D01* +X247300406Y-98266545D01* +X247285986Y-98239568D01* +X247277105Y-98210290D01* +X247273800Y-98176733D01* +X247273800Y-96643267D01* +X247277105Y-96609710D01* +X247285986Y-96580432D01* +X247300406Y-96553455D01* +X247319810Y-96529810D01* +X247343455Y-96510406D01* +X247370432Y-96495986D01* +X247399710Y-96487105D01* +X247433267Y-96483800D01* +X247866733Y-96483800D01* +X247900290Y-96487105D01* +X247900290Y-96487105D01* +G37* +G36* +X249170290Y-96487105D02* +G01* +X249199568Y-96495986D01* +X249226545Y-96510406D01* +X249250190Y-96529810D01* +X249269594Y-96553455D01* +X249284014Y-96580432D01* +X249292895Y-96609710D01* +X249296200Y-96643267D01* +X249296200Y-98176733D01* +X249292895Y-98210290D01* +X249284014Y-98239568D01* +X249269594Y-98266545D01* +X249250190Y-98290190D01* +X249226545Y-98309594D01* +X249199568Y-98324014D01* +X249170290Y-98332895D01* +X249136733Y-98336200D01* +X248703267Y-98336200D01* +X248669710Y-98332895D01* +X248640432Y-98324014D01* +X248613455Y-98309594D01* +X248589810Y-98290190D01* +X248570406Y-98266545D01* +X248555986Y-98239568D01* +X248547105Y-98210290D01* +X248543800Y-98176733D01* +X248543800Y-96643267D01* +X248547105Y-96609710D01* +X248555986Y-96580432D01* +X248570406Y-96553455D01* +X248589810Y-96529810D01* +X248613455Y-96510406D01* +X248640432Y-96495986D01* +X248669710Y-96487105D01* +X248703267Y-96483800D01* +X249136733Y-96483800D01* +X249170290Y-96487105D01* +X249170290Y-96487105D01* +G37* +G36* +X250440290Y-96487105D02* +G01* +X250469568Y-96495986D01* +X250496545Y-96510406D01* +X250520190Y-96529810D01* +X250539594Y-96553455D01* +X250554014Y-96580432D01* +X250562895Y-96609710D01* +X250566200Y-96643267D01* +X250566200Y-98176733D01* +X250562895Y-98210290D01* +X250554014Y-98239568D01* +X250539594Y-98266545D01* +X250520190Y-98290190D01* +X250496545Y-98309594D01* +X250469568Y-98324014D01* +X250440290Y-98332895D01* +X250406733Y-98336200D01* +X249973267Y-98336200D01* +X249939710Y-98332895D01* +X249910432Y-98324014D01* +X249883455Y-98309594D01* +X249859810Y-98290190D01* +X249840406Y-98266545D01* +X249825986Y-98239568D01* +X249817105Y-98210290D01* +X249813800Y-98176733D01* +X249813800Y-96643267D01* +X249817105Y-96609710D01* +X249825986Y-96580432D01* +X249840406Y-96553455D01* +X249859810Y-96529810D01* +X249883455Y-96510406D01* +X249910432Y-96495986D01* +X249939710Y-96487105D01* +X249973267Y-96483800D01* +X250406733Y-96483800D01* +X250440290Y-96487105D01* +X250440290Y-96487105D01* +G37* +G36* +X251710290Y-96487105D02* +G01* +X251739568Y-96495986D01* +X251766545Y-96510406D01* +X251790190Y-96529810D01* +X251809594Y-96553455D01* +X251824014Y-96580432D01* +X251832895Y-96609710D01* +X251836200Y-96643267D01* +X251836200Y-98176733D01* +X251832895Y-98210290D01* +X251824014Y-98239568D01* +X251809594Y-98266545D01* +X251790190Y-98290190D01* +X251766545Y-98309594D01* +X251739568Y-98324014D01* +X251710290Y-98332895D01* +X251676733Y-98336200D01* +X251243267Y-98336200D01* +X251209710Y-98332895D01* +X251180432Y-98324014D01* +X251153455Y-98309594D01* +X251129810Y-98290190D01* +X251110406Y-98266545D01* +X251095986Y-98239568D01* +X251087105Y-98210290D01* +X251083800Y-98176733D01* +X251083800Y-96643267D01* +X251087105Y-96609710D01* +X251095986Y-96580432D01* +X251110406Y-96553455D01* +X251129810Y-96529810D01* +X251153455Y-96510406D01* +X251180432Y-96495986D01* +X251209710Y-96487105D01* +X251243267Y-96483800D01* +X251676733Y-96483800D01* +X251710290Y-96487105D01* +X251710290Y-96487105D01* +G37* +G36* +X252980290Y-96487105D02* +G01* +X253009568Y-96495986D01* +X253036545Y-96510406D01* +X253060190Y-96529810D01* +X253079594Y-96553455D01* +X253094014Y-96580432D01* +X253102895Y-96609710D01* +X253106200Y-96643267D01* +X253106200Y-98176733D01* +X253102895Y-98210290D01* +X253094014Y-98239568D01* +X253079594Y-98266545D01* +X253060190Y-98290190D01* +X253036545Y-98309594D01* +X253009568Y-98324014D01* +X252980290Y-98332895D01* +X252946733Y-98336200D01* +X252513267Y-98336200D01* +X252479710Y-98332895D01* +X252450432Y-98324014D01* +X252423455Y-98309594D01* +X252399810Y-98290190D01* +X252380406Y-98266545D01* +X252365986Y-98239568D01* +X252357105Y-98210290D01* +X252353800Y-98176733D01* +X252353800Y-96643267D01* +X252357105Y-96609710D01* +X252365986Y-96580432D01* +X252380406Y-96553455D01* +X252399810Y-96529810D01* +X252423455Y-96510406D01* +X252450432Y-96495986D01* +X252479710Y-96487105D01* +X252513267Y-96483800D01* +X252946733Y-96483800D01* +X252980290Y-96487105D01* +X252980290Y-96487105D01* +G37* +G36* +X241550290Y-96487105D02* +G01* +X241579568Y-96495986D01* +X241606545Y-96510406D01* +X241630190Y-96529810D01* +X241649594Y-96553455D01* +X241664014Y-96580432D01* +X241672895Y-96609710D01* +X241676200Y-96643267D01* +X241676200Y-98176733D01* +X241672895Y-98210290D01* +X241664014Y-98239568D01* +X241649594Y-98266545D01* +X241630190Y-98290190D01* +X241606545Y-98309594D01* +X241579568Y-98324014D01* +X241550290Y-98332895D01* +X241516733Y-98336200D01* +X241083267Y-98336200D01* +X241049710Y-98332895D01* +X241020432Y-98324014D01* +X240993455Y-98309594D01* +X240969810Y-98290190D01* +X240950406Y-98266545D01* +X240935986Y-98239568D01* +X240927105Y-98210290D01* +X240923800Y-98176733D01* +X240923800Y-96643267D01* +X240927105Y-96609710D01* +X240935986Y-96580432D01* +X240950406Y-96553455D01* +X240969810Y-96529810D01* +X240993455Y-96510406D01* +X241020432Y-96495986D01* +X241049710Y-96487105D01* +X241083267Y-96483800D01* +X241516733Y-96483800D01* +X241550290Y-96487105D01* +X241550290Y-96487105D01* +G37* +G36* +X254250290Y-96487105D02* +G01* +X254279568Y-96495986D01* +X254306545Y-96510406D01* +X254330190Y-96529810D01* +X254349594Y-96553455D01* +X254364014Y-96580432D01* +X254372895Y-96609710D01* +X254376200Y-96643267D01* +X254376200Y-98176733D01* +X254372895Y-98210290D01* +X254364014Y-98239568D01* +X254349594Y-98266545D01* +X254330190Y-98290190D01* +X254306545Y-98309594D01* +X254279568Y-98324014D01* +X254250290Y-98332895D01* +X254216733Y-98336200D01* +X253783267Y-98336200D01* +X253749710Y-98332895D01* +X253720432Y-98324014D01* +X253693455Y-98309594D01* +X253669810Y-98290190D01* +X253650406Y-98266545D01* +X253635986Y-98239568D01* +X253627105Y-98210290D01* +X253623800Y-98176733D01* +X253623800Y-96643267D01* +X253627105Y-96609710D01* +X253635986Y-96580432D01* +X253650406Y-96553455D01* +X253669810Y-96529810D01* +X253693455Y-96510406D01* +X253720432Y-96495986D01* +X253749710Y-96487105D01* +X253783267Y-96483800D01* +X254216733Y-96483800D01* +X254250290Y-96487105D01* +X254250290Y-96487105D01* +G37* +G36* +X255520290Y-96487105D02* +G01* +X255549568Y-96495986D01* +X255576545Y-96510406D01* +X255600190Y-96529810D01* +X255619594Y-96553455D01* +X255634014Y-96580432D01* +X255642895Y-96609710D01* +X255646200Y-96643267D01* +X255646200Y-98176733D01* +X255642895Y-98210290D01* +X255634014Y-98239568D01* +X255619594Y-98266545D01* +X255600190Y-98290190D01* +X255576545Y-98309594D01* +X255549568Y-98324014D01* +X255520290Y-98332895D01* +X255486733Y-98336200D01* +X255053267Y-98336200D01* +X255019710Y-98332895D01* +X254990432Y-98324014D01* +X254963455Y-98309594D01* +X254939810Y-98290190D01* +X254920406Y-98266545D01* +X254905986Y-98239568D01* +X254897105Y-98210290D01* +X254893800Y-98176733D01* +X254893800Y-96643267D01* +X254897105Y-96609710D01* +X254905986Y-96580432D01* +X254920406Y-96553455D01* +X254939810Y-96529810D01* +X254963455Y-96510406D01* +X254990432Y-96495986D01* +X255019710Y-96487105D01* +X255053267Y-96483800D01* +X255486733Y-96483800D01* +X255520290Y-96487105D01* +X255520290Y-96487105D01* +G37* +G36* +X242820290Y-96487105D02* +G01* +X242849568Y-96495986D01* +X242876545Y-96510406D01* +X242900190Y-96529810D01* +X242919594Y-96553455D01* +X242934014Y-96580432D01* +X242942895Y-96609710D01* +X242946200Y-96643267D01* +X242946200Y-98176733D01* +X242942895Y-98210290D01* +X242934014Y-98239568D01* +X242919594Y-98266545D01* +X242900190Y-98290190D01* +X242876545Y-98309594D01* +X242849568Y-98324014D01* +X242820290Y-98332895D01* +X242786733Y-98336200D01* +X242353267Y-98336200D01* +X242319710Y-98332895D01* +X242290432Y-98324014D01* +X242263455Y-98309594D01* +X242239810Y-98290190D01* +X242220406Y-98266545D01* +X242205986Y-98239568D01* +X242197105Y-98210290D01* +X242193800Y-98176733D01* +X242193800Y-96643267D01* +X242197105Y-96609710D01* +X242205986Y-96580432D01* +X242220406Y-96553455D01* +X242239810Y-96529810D01* +X242263455Y-96510406D01* +X242290432Y-96495986D01* +X242319710Y-96487105D01* +X242353267Y-96483800D01* +X242786733Y-96483800D01* +X242820290Y-96487105D01* +X242820290Y-96487105D01* +G37* +G36* +X235200290Y-96487105D02* +G01* +X235229568Y-96495986D01* +X235256545Y-96510406D01* +X235280190Y-96529810D01* +X235299594Y-96553455D01* +X235314014Y-96580432D01* +X235322895Y-96609710D01* +X235326200Y-96643267D01* +X235326200Y-98176733D01* +X235322895Y-98210290D01* +X235314014Y-98239568D01* +X235299594Y-98266545D01* +X235280190Y-98290190D01* +X235256545Y-98309594D01* +X235229568Y-98324014D01* +X235200290Y-98332895D01* +X235166733Y-98336200D01* +X234733267Y-98336200D01* +X234699710Y-98332895D01* +X234670432Y-98324014D01* +X234643455Y-98309594D01* +X234619810Y-98290190D01* +X234600406Y-98266545D01* +X234585986Y-98239568D01* +X234577105Y-98210290D01* +X234573800Y-98176733D01* +X234573800Y-96643267D01* +X234577105Y-96609710D01* +X234585986Y-96580432D01* +X234600406Y-96553455D01* +X234619810Y-96529810D01* +X234643455Y-96510406D01* +X234670432Y-96495986D01* +X234699710Y-96487105D01* +X234733267Y-96483800D01* +X235166733Y-96483800D01* +X235200290Y-96487105D01* +X235200290Y-96487105D01* +G37* +G36* +X236470290Y-96487105D02* +G01* +X236499568Y-96495986D01* +X236526545Y-96510406D01* +X236550190Y-96529810D01* +X236569594Y-96553455D01* +X236584014Y-96580432D01* +X236592895Y-96609710D01* +X236596200Y-96643267D01* +X236596200Y-98176733D01* +X236592895Y-98210290D01* +X236584014Y-98239568D01* +X236569594Y-98266545D01* +X236550190Y-98290190D01* +X236526545Y-98309594D01* +X236499568Y-98324014D01* +X236470290Y-98332895D01* +X236436733Y-98336200D01* +X236003267Y-98336200D01* +X235969710Y-98332895D01* +X235940432Y-98324014D01* +X235913455Y-98309594D01* +X235889810Y-98290190D01* +X235870406Y-98266545D01* +X235855986Y-98239568D01* +X235847105Y-98210290D01* +X235843800Y-98176733D01* +X235843800Y-96643267D01* +X235847105Y-96609710D01* +X235855986Y-96580432D01* +X235870406Y-96553455D01* +X235889810Y-96529810D01* +X235913455Y-96510406D01* +X235940432Y-96495986D01* +X235969710Y-96487105D01* +X236003267Y-96483800D01* +X236436733Y-96483800D01* +X236470290Y-96487105D01* +X236470290Y-96487105D01* +G37* +G36* +X237740290Y-96487105D02* +G01* +X237769568Y-96495986D01* +X237796545Y-96510406D01* +X237820190Y-96529810D01* +X237839594Y-96553455D01* +X237854014Y-96580432D01* +X237862895Y-96609710D01* +X237866200Y-96643267D01* +X237866200Y-98176733D01* +X237862895Y-98210290D01* +X237854014Y-98239568D01* +X237839594Y-98266545D01* +X237820190Y-98290190D01* +X237796545Y-98309594D01* +X237769568Y-98324014D01* +X237740290Y-98332895D01* +X237706733Y-98336200D01* +X237273267Y-98336200D01* +X237239710Y-98332895D01* +X237210432Y-98324014D01* +X237183455Y-98309594D01* +X237159810Y-98290190D01* +X237140406Y-98266545D01* +X237125986Y-98239568D01* +X237117105Y-98210290D01* +X237113800Y-98176733D01* +X237113800Y-96643267D01* +X237117105Y-96609710D01* +X237125986Y-96580432D01* +X237140406Y-96553455D01* +X237159810Y-96529810D01* +X237183455Y-96510406D01* +X237210432Y-96495986D01* +X237239710Y-96487105D01* +X237273267Y-96483800D01* +X237706733Y-96483800D01* +X237740290Y-96487105D01* +X237740290Y-96487105D01* +G37* +G36* +X260600290Y-96487105D02* +G01* +X260629568Y-96495986D01* +X260656545Y-96510406D01* +X260680190Y-96529810D01* +X260699594Y-96553455D01* +X260714014Y-96580432D01* +X260722895Y-96609710D01* +X260726200Y-96643267D01* +X260726200Y-98176733D01* +X260722895Y-98210290D01* +X260714014Y-98239568D01* +X260699594Y-98266545D01* +X260680190Y-98290190D01* +X260656545Y-98309594D01* +X260629568Y-98324014D01* +X260600290Y-98332895D01* +X260566733Y-98336200D01* +X260133267Y-98336200D01* +X260099710Y-98332895D01* +X260070432Y-98324014D01* +X260043455Y-98309594D01* +X260019810Y-98290190D01* +X260000406Y-98266545D01* +X259985986Y-98239568D01* +X259977105Y-98210290D01* +X259973800Y-98176733D01* +X259973800Y-96643267D01* +X259977105Y-96609710D01* +X259985986Y-96580432D01* +X260000406Y-96553455D01* +X260019810Y-96529810D01* +X260043455Y-96510406D01* +X260070432Y-96495986D01* +X260099710Y-96487105D01* +X260133267Y-96483800D01* +X260566733Y-96483800D01* +X260600290Y-96487105D01* +X260600290Y-96487105D01* +G37* +G36* +X259330290Y-96487105D02* +G01* +X259359568Y-96495986D01* +X259386545Y-96510406D01* +X259410190Y-96529810D01* +X259429594Y-96553455D01* +X259444014Y-96580432D01* +X259452895Y-96609710D01* +X259456200Y-96643267D01* +X259456200Y-98176733D01* +X259452895Y-98210290D01* +X259444014Y-98239568D01* +X259429594Y-98266545D01* +X259410190Y-98290190D01* +X259386545Y-98309594D01* +X259359568Y-98324014D01* +X259330290Y-98332895D01* +X259296733Y-98336200D01* +X258863267Y-98336200D01* +X258829710Y-98332895D01* +X258800432Y-98324014D01* +X258773455Y-98309594D01* +X258749810Y-98290190D01* +X258730406Y-98266545D01* +X258715986Y-98239568D01* +X258707105Y-98210290D01* +X258703800Y-98176733D01* +X258703800Y-96643267D01* +X258707105Y-96609710D01* +X258715986Y-96580432D01* +X258730406Y-96553455D01* +X258749810Y-96529810D01* +X258773455Y-96510406D01* +X258800432Y-96495986D01* +X258829710Y-96487105D01* +X258863267Y-96483800D01* +X259296733Y-96483800D01* +X259330290Y-96487105D01* +X259330290Y-96487105D01* +G37* +G36* +X258060290Y-96487105D02* +G01* +X258089568Y-96495986D01* +X258116545Y-96510406D01* +X258140190Y-96529810D01* +X258159594Y-96553455D01* +X258174014Y-96580432D01* +X258182895Y-96609710D01* +X258186200Y-96643267D01* +X258186200Y-98176733D01* +X258182895Y-98210290D01* +X258174014Y-98239568D01* +X258159594Y-98266545D01* +X258140190Y-98290190D01* +X258116545Y-98309594D01* +X258089568Y-98324014D01* +X258060290Y-98332895D01* +X258026733Y-98336200D01* +X257593267Y-98336200D01* +X257559710Y-98332895D01* +X257530432Y-98324014D01* +X257503455Y-98309594D01* +X257479810Y-98290190D01* +X257460406Y-98266545D01* +X257445986Y-98239568D01* +X257437105Y-98210290D01* +X257433800Y-98176733D01* +X257433800Y-96643267D01* +X257437105Y-96609710D01* +X257445986Y-96580432D01* +X257460406Y-96553455D01* +X257479810Y-96529810D01* +X257503455Y-96510406D01* +X257530432Y-96495986D01* +X257559710Y-96487105D01* +X257593267Y-96483800D01* +X258026733Y-96483800D01* +X258060290Y-96487105D01* +X258060290Y-96487105D01* +G37* +G36* +X256790290Y-96487105D02* +G01* +X256819568Y-96495986D01* +X256846545Y-96510406D01* +X256870190Y-96529810D01* +X256889594Y-96553455D01* +X256904014Y-96580432D01* +X256912895Y-96609710D01* +X256916200Y-96643267D01* +X256916200Y-98176733D01* +X256912895Y-98210290D01* +X256904014Y-98239568D01* +X256889594Y-98266545D01* +X256870190Y-98290190D01* +X256846545Y-98309594D01* +X256819568Y-98324014D01* +X256790290Y-98332895D01* +X256756733Y-98336200D01* +X256323267Y-98336200D01* +X256289710Y-98332895D01* +X256260432Y-98324014D01* +X256233455Y-98309594D01* +X256209810Y-98290190D01* +X256190406Y-98266545D01* +X256175986Y-98239568D01* +X256167105Y-98210290D01* +X256163800Y-98176733D01* +X256163800Y-96643267D01* +X256167105Y-96609710D01* +X256175986Y-96580432D01* +X256190406Y-96553455D01* +X256209810Y-96529810D01* +X256233455Y-96510406D01* +X256260432Y-96495986D01* +X256289710Y-96487105D01* +X256323267Y-96483800D01* +X256756733Y-96483800D01* +X256790290Y-96487105D01* +X256790290Y-96487105D01* +G37* +G36* +X239010290Y-96487105D02* +G01* +X239039568Y-96495986D01* +X239066545Y-96510406D01* +X239090190Y-96529810D01* +X239109594Y-96553455D01* +X239124014Y-96580432D01* +X239132895Y-96609710D01* +X239136200Y-96643267D01* +X239136200Y-98176733D01* +X239132895Y-98210290D01* +X239124014Y-98239568D01* +X239109594Y-98266545D01* +X239090190Y-98290190D01* +X239066545Y-98309594D01* +X239039568Y-98324014D01* +X239010290Y-98332895D01* +X238976733Y-98336200D01* +X238543267Y-98336200D01* +X238509710Y-98332895D01* +X238480432Y-98324014D01* +X238453455Y-98309594D01* +X238429810Y-98290190D01* +X238410406Y-98266545D01* +X238395986Y-98239568D01* +X238387105Y-98210290D01* +X238383800Y-98176733D01* +X238383800Y-96643267D01* +X238387105Y-96609710D01* +X238395986Y-96580432D01* +X238410406Y-96553455D01* +X238429810Y-96529810D01* +X238453455Y-96510406D01* +X238480432Y-96495986D01* +X238509710Y-96487105D01* +X238543267Y-96483800D01* +X238976733Y-96483800D01* +X239010290Y-96487105D01* +X239010290Y-96487105D01* +G37* +G36* +X240280290Y-96487105D02* +G01* +X240309568Y-96495986D01* +X240336545Y-96510406D01* +X240360190Y-96529810D01* +X240379594Y-96553455D01* +X240394014Y-96580432D01* +X240402895Y-96609710D01* +X240406200Y-96643267D01* +X240406200Y-98176733D01* +X240402895Y-98210290D01* +X240394014Y-98239568D01* +X240379594Y-98266545D01* +X240360190Y-98290190D01* +X240336545Y-98309594D01* +X240309568Y-98324014D01* +X240280290Y-98332895D01* +X240246733Y-98336200D01* +X239813267Y-98336200D01* +X239779710Y-98332895D01* +X239750432Y-98324014D01* +X239723455Y-98309594D01* +X239699810Y-98290190D01* +X239680406Y-98266545D01* +X239665986Y-98239568D01* +X239657105Y-98210290D01* +X239653800Y-98176733D01* +X239653800Y-96643267D01* +X239657105Y-96609710D01* +X239665986Y-96580432D01* +X239680406Y-96553455D01* +X239699810Y-96529810D01* +X239723455Y-96510406D01* +X239750432Y-96495986D01* +X239779710Y-96487105D01* +X239813267Y-96483800D01* +X240246733Y-96483800D01* +X240280290Y-96487105D01* +X240280290Y-96487105D01* +G37* +G36* +X268569986Y-96785306D02* +G01* +X268610980Y-96797742D01* +X268648767Y-96817939D01* +X268681883Y-96845117D01* +X268709061Y-96878233D01* +X268729258Y-96916020D01* +X268741694Y-96957014D01* +X268746200Y-97002767D01* +X268746200Y-97561233D01* +X268741694Y-97606986D01* +X268729258Y-97647980D01* +X268709061Y-97685767D01* +X268681883Y-97718883D01* +X268648767Y-97746061D01* +X268610980Y-97766258D01* +X268569986Y-97778694D01* +X268524233Y-97783200D01* +X267415767Y-97783200D01* +X267370014Y-97778694D01* +X267329020Y-97766258D01* +X267291233Y-97746061D01* +X267258117Y-97718883D01* +X267230939Y-97685767D01* +X267210742Y-97647980D01* +X267198306Y-97606986D01* +X267193800Y-97561233D01* +X267193800Y-97002767D01* +X267198306Y-96957014D01* +X267210742Y-96916020D01* +X267230939Y-96878233D01* +X267258117Y-96845117D01* +X267291233Y-96817939D01* +X267329020Y-96797742D01* +X267370014Y-96785306D01* +X267415767Y-96780800D01* +X268524233Y-96780800D01* +X268569986Y-96785306D01* +X268569986Y-96785306D01* +G37* +G36* +X214506737Y-95114267D02* +G01* +X214557119Y-95129550D01* +X214603546Y-95154366D01* +X214644239Y-95187761D01* +X214677634Y-95228454D01* +X214702450Y-95274881D01* +X214717733Y-95325263D01* +X214723200Y-95380767D01* +X214723200Y-96389233D01* +X214717733Y-96444737D01* +X214702450Y-96495119D01* +X214677634Y-96541546D01* +X214644239Y-96582239D01* +X214603546Y-96615634D01* +X214557119Y-96640450D01* +X214506737Y-96655733D01* +X214451233Y-96661200D01* +X213792767Y-96661200D01* +X213737263Y-96655733D01* +X213686881Y-96640450D01* +X213640454Y-96615634D01* +X213599761Y-96582239D01* +X213566366Y-96541546D01* +X213541550Y-96495119D01* +X213526267Y-96444737D01* +X213520800Y-96389233D01* +X213520800Y-95380767D01* +X213526267Y-95325263D01* +X213541550Y-95274881D01* +X213566366Y-95228454D01* +X213599761Y-95187761D01* +X213640454Y-95154366D01* +X213686881Y-95129550D01* +X213737263Y-95114267D01* +X213792767Y-95108800D01* +X214451233Y-95108800D01* +X214506737Y-95114267D01* +X214506737Y-95114267D01* +G37* +G36* +X216206737Y-95114267D02* +G01* +X216257119Y-95129550D01* +X216303546Y-95154366D01* +X216344239Y-95187761D01* +X216377634Y-95228454D01* +X216402450Y-95274881D01* +X216417733Y-95325263D01* +X216423200Y-95380767D01* +X216423200Y-96389233D01* +X216417733Y-96444737D01* +X216402450Y-96495119D01* +X216377634Y-96541546D01* +X216344239Y-96582239D01* +X216303546Y-96615634D01* +X216257119Y-96640450D01* +X216206737Y-96655733D01* +X216151233Y-96661200D01* +X215492767Y-96661200D01* +X215437263Y-96655733D01* +X215386881Y-96640450D01* +X215340454Y-96615634D01* +X215299761Y-96582239D01* +X215266366Y-96541546D01* +X215241550Y-96495119D01* +X215226267Y-96444737D01* +X215220800Y-96389233D01* +X215220800Y-95380767D01* +X215226267Y-95325263D01* +X215241550Y-95274881D01* +X215266366Y-95228454D01* +X215299761Y-95187761D01* +X215340454Y-95154366D01* +X215386881Y-95129550D01* +X215437263Y-95114267D01* +X215492767Y-95108800D01* +X216151233Y-95108800D01* +X216206737Y-95114267D01* +X216206737Y-95114267D01* +G37* +G36* +X268569986Y-94885306D02* +G01* +X268610980Y-94897742D01* +X268648767Y-94917939D01* +X268681883Y-94945117D01* +X268709061Y-94978233D01* +X268729258Y-95016020D01* +X268741694Y-95057014D01* +X268746200Y-95102767D01* +X268746200Y-95661233D01* +X268741694Y-95706986D01* +X268729258Y-95747980D01* +X268709061Y-95785767D01* +X268681883Y-95818883D01* +X268648767Y-95846061D01* +X268610980Y-95866258D01* +X268569986Y-95878694D01* +X268524233Y-95883200D01* +X267415767Y-95883200D01* +X267370014Y-95878694D01* +X267329020Y-95866258D01* +X267291233Y-95846061D01* +X267258117Y-95818883D01* +X267230939Y-95785767D01* +X267210742Y-95747980D01* +X267198306Y-95706986D01* +X267193800Y-95661233D01* +X267193800Y-95102767D01* +X267198306Y-95057014D01* +X267210742Y-95016020D01* +X267230939Y-94978233D01* +X267258117Y-94945117D01* +X267291233Y-94917939D01* +X267329020Y-94897742D01* +X267370014Y-94885306D01* +X267415767Y-94880800D01* +X268524233Y-94880800D01* +X268569986Y-94885306D01* +X268569986Y-94885306D01* +G37* +G36* +X270315914Y-92056157D02* +G01* +X270511777Y-92137286D01* +X270688033Y-92255057D01* +X270837943Y-92404967D01* +X270955714Y-92581223D01* +X271036843Y-92777086D01* +X271078200Y-92985004D01* +X271078200Y-93196996D01* +X271036843Y-93404914D01* +X270955714Y-93600777D01* +X270837943Y-93777033D01* +X270688033Y-93926943D01* +X270511777Y-94044714D01* +X270315914Y-94125843D01* +X270107996Y-94167200D01* +X269896004Y-94167200D01* +X269688086Y-94125843D01* +X269492223Y-94044714D01* +X269315967Y-93926943D01* +X269166057Y-93777033D01* +X269048286Y-93600777D01* +X268967157Y-93404914D01* +X268925800Y-93196996D01* +X268925800Y-92985004D01* +X268967157Y-92777086D01* +X269048286Y-92581223D01* +X269166057Y-92404967D01* +X269315967Y-92255057D01* +X269492223Y-92137286D01* +X269688086Y-92056157D01* +X269896004Y-92014800D01* +X270107996Y-92014800D01* +X270315914Y-92056157D01* +X270315914Y-92056157D01* +G37* +G36* +X261203737Y-90669267D02* +G01* +X261254119Y-90684550D01* +X261300546Y-90709366D01* +X261341239Y-90742761D01* +X261374634Y-90783454D01* +X261399450Y-90829881D01* +X261414733Y-90880263D01* +X261420200Y-90935767D01* +X261420200Y-91944233D01* +X261414733Y-91999737D01* +X261399450Y-92050119D01* +X261374634Y-92096546D01* +X261341239Y-92137239D01* +X261300546Y-92170634D01* +X261254119Y-92195450D01* +X261203737Y-92210733D01* +X261148233Y-92216200D01* +X260489767Y-92216200D01* +X260434263Y-92210733D01* +X260383881Y-92195450D01* +X260337454Y-92170634D01* +X260296761Y-92137239D01* +X260263366Y-92096546D01* +X260238550Y-92050119D01* +X260223267Y-91999737D01* +X260217800Y-91944233D01* +X260217800Y-90935767D01* +X260223267Y-90880263D01* +X260238550Y-90829881D01* +X260263366Y-90783454D01* +X260296761Y-90742761D01* +X260337454Y-90709366D01* +X260383881Y-90684550D01* +X260434263Y-90669267D01* +X260489767Y-90663800D01* +X261148233Y-90663800D01* +X261203737Y-90669267D01* +X261203737Y-90669267D01* +G37* +G36* +X259503737Y-90669267D02* +G01* +X259554119Y-90684550D01* +X259600546Y-90709366D01* +X259641239Y-90742761D01* +X259674634Y-90783454D01* +X259699450Y-90829881D01* +X259714733Y-90880263D01* +X259720200Y-90935767D01* +X259720200Y-91944233D01* +X259714733Y-91999737D01* +X259699450Y-92050119D01* +X259674634Y-92096546D01* +X259641239Y-92137239D01* +X259600546Y-92170634D01* +X259554119Y-92195450D01* +X259503737Y-92210733D01* +X259448233Y-92216200D01* +X258789767Y-92216200D01* +X258734263Y-92210733D01* +X258683881Y-92195450D01* +X258637454Y-92170634D01* +X258596761Y-92137239D01* +X258563366Y-92096546D01* +X258538550Y-92050119D01* +X258523267Y-91999737D01* +X258517800Y-91944233D01* +X258517800Y-90935767D01* +X258523267Y-90880263D01* +X258538550Y-90829881D01* +X258563366Y-90783454D01* +X258596761Y-90742761D01* +X258637454Y-90709366D01* +X258683881Y-90684550D01* +X258734263Y-90669267D01* +X258789767Y-90663800D01* +X259448233Y-90663800D01* +X259503737Y-90669267D01* +X259503737Y-90669267D01* +G37* +G36* +X241254737Y-90669267D02* +G01* +X241305119Y-90684550D01* +X241351546Y-90709366D01* +X241392239Y-90742761D01* +X241425634Y-90783454D01* +X241450450Y-90829881D01* +X241465733Y-90880263D01* +X241471200Y-90935767D01* +X241471200Y-91944233D01* +X241465733Y-91999737D01* +X241450450Y-92050119D01* +X241425634Y-92096546D01* +X241392239Y-92137239D01* +X241351546Y-92170634D01* +X241305119Y-92195450D01* +X241254737Y-92210733D01* +X241199233Y-92216200D01* +X240540767Y-92216200D01* +X240485263Y-92210733D01* +X240434881Y-92195450D01* +X240388454Y-92170634D01* +X240347761Y-92137239D01* +X240314366Y-92096546D01* +X240289550Y-92050119D01* +X240274267Y-91999737D01* +X240268800Y-91944233D01* +X240268800Y-90935767D01* +X240274267Y-90880263D01* +X240289550Y-90829881D01* +X240314366Y-90783454D01* +X240347761Y-90742761D01* +X240388454Y-90709366D01* +X240434881Y-90684550D01* +X240485263Y-90669267D01* +X240540767Y-90663800D01* +X241199233Y-90663800D01* +X241254737Y-90669267D01* +X241254737Y-90669267D01* +G37* +G36* +X242954737Y-90669267D02* +G01* +X243005119Y-90684550D01* +X243051546Y-90709366D01* +X243092239Y-90742761D01* +X243125634Y-90783454D01* +X243150450Y-90829881D01* +X243165733Y-90880263D01* +X243171200Y-90935767D01* +X243171200Y-91944233D01* +X243165733Y-91999737D01* +X243150450Y-92050119D01* +X243125634Y-92096546D01* +X243092239Y-92137239D01* +X243051546Y-92170634D01* +X243005119Y-92195450D01* +X242954737Y-92210733D01* +X242899233Y-92216200D01* +X242240767Y-92216200D01* +X242185263Y-92210733D01* +X242134881Y-92195450D01* +X242088454Y-92170634D01* +X242047761Y-92137239D01* +X242014366Y-92096546D01* +X241989550Y-92050119D01* +X241974267Y-91999737D01* +X241968800Y-91944233D01* +X241968800Y-90935767D01* +X241974267Y-90880263D01* +X241989550Y-90829881D01* +X242014366Y-90783454D01* +X242047761Y-90742761D01* +X242088454Y-90709366D01* +X242134881Y-90684550D01* +X242185263Y-90669267D01* +X242240767Y-90663800D01* +X242899233Y-90663800D01* +X242954737Y-90669267D01* +X242954737Y-90669267D01* +G37* +G36* +X205291914Y-88246157D02* +G01* +X205487777Y-88327286D01* +X205664033Y-88445057D01* +X205813943Y-88594967D01* +X205931714Y-88771223D01* +X206012843Y-88967086D01* +X206054200Y-89175004D01* +X206054200Y-89386996D01* +X206012843Y-89594914D01* +X205931714Y-89790777D01* +X205813943Y-89967033D01* +X205664033Y-90116943D01* +X205487777Y-90234714D01* +X205291914Y-90315843D01* +X205083996Y-90357200D01* +X204872004Y-90357200D01* +X204664086Y-90315843D01* +X204468223Y-90234714D01* +X204291967Y-90116943D01* +X204142057Y-89967033D01* +X204024286Y-89790777D01* +X203943157Y-89594914D01* +X203901800Y-89386996D01* +X203901800Y-89175004D01* +X203943157Y-88967086D01* +X204024286Y-88771223D01* +X204142057Y-88594967D01* +X204291967Y-88445057D01* +X204468223Y-88327286D01* +X204664086Y-88246157D01* +X204872004Y-88204800D01* +X205083996Y-88204800D01* +X205291914Y-88246157D01* +X205291914Y-88246157D01* +G37* +G36* +X201459692Y-88319429D02* +G01* +X201641679Y-88394811D01* +X201805458Y-88504245D01* +X201805460Y-88504247D01* +X201805463Y-88504249D01* +X201944751Y-88643537D01* +X201944753Y-88643540D01* +X201944755Y-88643542D01* +X202054189Y-88807321D01* +X202129571Y-88989308D01* +X202168000Y-89182509D01* +X202168000Y-89379491D01* +X202129571Y-89572692D01* +X202054189Y-89754679D01* +X201944755Y-89918458D01* +X201944753Y-89918460D01* +X201944751Y-89918463D01* +X201805463Y-90057751D01* +X201805460Y-90057753D01* +X201805458Y-90057755D01* +X201641679Y-90167189D01* +X201459692Y-90242571D01* +X201266491Y-90281000D01* +X201069509Y-90281000D01* +X200876308Y-90242571D01* +X200694321Y-90167189D01* +X200530542Y-90057755D01* +X200530540Y-90057753D01* +X200530537Y-90057751D01* +X200391249Y-89918463D01* +X200391247Y-89918460D01* +X200391245Y-89918458D01* +X200281811Y-89754679D01* +X200206429Y-89572692D01* +X200168000Y-89379491D01* +X200168000Y-89182509D01* +X200206429Y-88989308D01* +X200281811Y-88807321D01* +X200391245Y-88643542D01* +X200391247Y-88643540D01* +X200391249Y-88643537D01* +X200530537Y-88504249D01* +X200530540Y-88504247D01* +X200530542Y-88504245D01* +X200694321Y-88394811D01* +X200876308Y-88319429D01* +X201069509Y-88281000D01* +X201266491Y-88281000D01* +X201459692Y-88319429D01* +X201459692Y-88319429D01* +G37* +G36* +X274357692Y-88319429D02* +G01* +X274539679Y-88394811D01* +X274703458Y-88504245D01* +X274703460Y-88504247D01* +X274703463Y-88504249D01* +X274842751Y-88643537D01* +X274842753Y-88643540D01* +X274842755Y-88643542D01* +X274952189Y-88807321D01* +X275027571Y-88989308D01* +X275066000Y-89182509D01* +X275066000Y-89379491D01* +X275027571Y-89572692D01* +X274952189Y-89754679D01* +X274842755Y-89918458D01* +X274842753Y-89918460D01* +X274842751Y-89918463D01* +X274703463Y-90057751D01* +X274703460Y-90057753D01* +X274703458Y-90057755D01* +X274539679Y-90167189D01* +X274357692Y-90242571D01* +X274164491Y-90281000D01* +X273967509Y-90281000D01* +X273774308Y-90242571D01* +X273592321Y-90167189D01* +X273428542Y-90057755D01* +X273428540Y-90057753D01* +X273428537Y-90057751D01* +X273289249Y-89918463D01* +X273289247Y-89918460D01* +X273289245Y-89918458D01* +X273179811Y-89754679D01* +X273104429Y-89572692D01* +X273066000Y-89379491D01* +X273066000Y-89182509D01* +X273104429Y-88989308D01* +X273179811Y-88807321D01* +X273289245Y-88643542D01* +X273289247Y-88643540D01* +X273289249Y-88643537D01* +X273428537Y-88504249D01* +X273428540Y-88504247D01* +X273428542Y-88504245D01* +X273592321Y-88394811D01* +X273774308Y-88319429D01* +X273967509Y-88281000D01* +X274164491Y-88281000D01* +X274357692Y-88319429D01* +X274357692Y-88319429D01* +G37* +M02* diff --git a/gerber/RAM2E-F_Paste.gtp b/gerber/RAM2E-F_Paste.gtp new file mode 100644 index 0000000..5546e93 --- /dev/null +++ b/gerber/RAM2E-F_Paste.gtp @@ -0,0 +1,10202 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.2-1)-1* +G04 #@! TF.CreationDate,2019-07-30T17:06:57-04:00* +G04 #@! TF.ProjectId,RAM2E,52414d32-452e-46b6-9963-61645f706362,rev?* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Paste,Top* +G04 #@! TF.FilePolarity,Positive* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW (5.1.2-1)-1) date 2019-07-30 17:06:57* +%MOMM*% +%LPD*% +G04 APERTURE LIST* +%ADD10C,0.100000*% +%ADD11C,0.973800*% +%ADD12C,0.773800*% +%ADD13C,0.523800*% +G04 APERTURE END LIST* +D10* +G36* +X205499312Y-112750272D02* +G01* +X205522945Y-112753778D01* +X205546120Y-112759583D01* +X205568614Y-112767632D01* +X205590212Y-112777846D01* +X205610704Y-112790129D01* +X205629893Y-112804361D01* +X205647595Y-112820405D01* +X205663639Y-112838107D01* +X205677871Y-112857296D01* +X205690154Y-112877788D01* +X205700368Y-112899386D01* +X205708417Y-112921880D01* +X205714222Y-112945055D01* +X205717728Y-112968688D01* +X205718900Y-112992550D01* +X205718900Y-113829450D01* +X205717728Y-113853312D01* +X205714222Y-113876945D01* +X205708417Y-113900120D01* +X205700368Y-113922614D01* +X205690154Y-113944212D01* +X205677871Y-113964704D01* +X205663639Y-113983893D01* +X205647595Y-114001595D01* +X205629893Y-114017639D01* +X205610704Y-114031871D01* +X205590212Y-114044154D01* +X205568614Y-114054368D01* +X205546120Y-114062417D01* +X205522945Y-114068222D01* +X205499312Y-114071728D01* +X205475450Y-114072900D01* +X204988550Y-114072900D01* +X204964688Y-114071728D01* +X204941055Y-114068222D01* +X204917880Y-114062417D01* +X204895386Y-114054368D01* +X204873788Y-114044154D01* +X204853296Y-114031871D01* +X204834107Y-114017639D01* +X204816405Y-114001595D01* +X204800361Y-113983893D01* +X204786129Y-113964704D01* +X204773846Y-113944212D01* +X204763632Y-113922614D01* +X204755583Y-113900120D01* +X204749778Y-113876945D01* +X204746272Y-113853312D01* +X204745100Y-113829450D01* +X204745100Y-112992550D01* +X204746272Y-112968688D01* +X204749778Y-112945055D01* +X204755583Y-112921880D01* +X204763632Y-112899386D01* +X204773846Y-112877788D01* +X204786129Y-112857296D01* +X204800361Y-112838107D01* +X204816405Y-112820405D01* +X204834107Y-112804361D01* +X204853296Y-112790129D01* +X204873788Y-112777846D01* +X204895386Y-112767632D01* +X204917880Y-112759583D01* +X204941055Y-112753778D01* +X204964688Y-112750272D01* +X204988550Y-112749100D01* +X205475450Y-112749100D01* +X205499312Y-112750272D01* +X205499312Y-112750272D01* +G37* +D11* +X205232000Y-113411000D03* +D10* +G36* +X203799312Y-112750272D02* +G01* +X203822945Y-112753778D01* +X203846120Y-112759583D01* +X203868614Y-112767632D01* +X203890212Y-112777846D01* +X203910704Y-112790129D01* +X203929893Y-112804361D01* +X203947595Y-112820405D01* +X203963639Y-112838107D01* +X203977871Y-112857296D01* +X203990154Y-112877788D01* +X204000368Y-112899386D01* +X204008417Y-112921880D01* +X204014222Y-112945055D01* +X204017728Y-112968688D01* +X204018900Y-112992550D01* +X204018900Y-113829450D01* +X204017728Y-113853312D01* +X204014222Y-113876945D01* +X204008417Y-113900120D01* +X204000368Y-113922614D01* +X203990154Y-113944212D01* +X203977871Y-113964704D01* +X203963639Y-113983893D01* +X203947595Y-114001595D01* +X203929893Y-114017639D01* +X203910704Y-114031871D01* +X203890212Y-114044154D01* +X203868614Y-114054368D01* +X203846120Y-114062417D01* +X203822945Y-114068222D01* +X203799312Y-114071728D01* +X203775450Y-114072900D01* +X203288550Y-114072900D01* +X203264688Y-114071728D01* +X203241055Y-114068222D01* +X203217880Y-114062417D01* +X203195386Y-114054368D01* +X203173788Y-114044154D01* +X203153296Y-114031871D01* +X203134107Y-114017639D01* +X203116405Y-114001595D01* +X203100361Y-113983893D01* +X203086129Y-113964704D01* +X203073846Y-113944212D01* +X203063632Y-113922614D01* +X203055583Y-113900120D01* +X203049778Y-113876945D01* +X203046272Y-113853312D01* +X203045100Y-113829450D01* +X203045100Y-112992550D01* +X203046272Y-112968688D01* +X203049778Y-112945055D01* +X203055583Y-112921880D01* +X203063632Y-112899386D01* +X203073846Y-112877788D01* +X203086129Y-112857296D01* +X203100361Y-112838107D01* +X203116405Y-112820405D01* +X203134107Y-112804361D01* +X203153296Y-112790129D01* +X203173788Y-112777846D01* +X203195386Y-112767632D01* +X203217880Y-112759583D01* +X203241055Y-112753778D01* +X203264688Y-112750272D01* +X203288550Y-112749100D01* +X203775450Y-112749100D01* +X203799312Y-112750272D01* +X203799312Y-112750272D01* +G37* +D11* +X203532000Y-113411000D03* +D10* +G36* +X268412312Y-100274272D02* +G01* +X268435945Y-100277778D01* +X268459120Y-100283583D01* +X268481614Y-100291632D01* +X268503212Y-100301846D01* +X268523704Y-100314129D01* +X268542893Y-100328361D01* +X268560595Y-100344405D01* +X268576639Y-100362107D01* +X268590871Y-100381296D01* +X268603154Y-100401788D01* +X268613368Y-100423386D01* +X268621417Y-100445880D01* +X268627222Y-100469055D01* +X268630728Y-100492688D01* +X268631900Y-100516550D01* +X268631900Y-101003450D01* +X268630728Y-101027312D01* +X268627222Y-101050945D01* +X268621417Y-101074120D01* +X268613368Y-101096614D01* +X268603154Y-101118212D01* +X268590871Y-101138704D01* +X268576639Y-101157893D01* +X268560595Y-101175595D01* +X268542893Y-101191639D01* +X268523704Y-101205871D01* +X268503212Y-101218154D01* +X268481614Y-101228368D01* +X268459120Y-101236417D01* +X268435945Y-101242222D01* +X268412312Y-101245728D01* +X268388450Y-101246900D01* +X267551550Y-101246900D01* +X267527688Y-101245728D01* +X267504055Y-101242222D01* +X267480880Y-101236417D01* +X267458386Y-101228368D01* +X267436788Y-101218154D01* +X267416296Y-101205871D01* +X267397107Y-101191639D01* +X267379405Y-101175595D01* +X267363361Y-101157893D01* +X267349129Y-101138704D01* +X267336846Y-101118212D01* +X267326632Y-101096614D01* +X267318583Y-101074120D01* +X267312778Y-101050945D01* +X267309272Y-101027312D01* +X267308100Y-101003450D01* +X267308100Y-100516550D01* +X267309272Y-100492688D01* +X267312778Y-100469055D01* +X267318583Y-100445880D01* +X267326632Y-100423386D01* +X267336846Y-100401788D01* +X267349129Y-100381296D01* +X267363361Y-100362107D01* +X267379405Y-100344405D01* +X267397107Y-100328361D01* +X267416296Y-100314129D01* +X267436788Y-100301846D01* +X267458386Y-100291632D01* +X267480880Y-100283583D01* +X267504055Y-100277778D01* +X267527688Y-100274272D01* +X267551550Y-100273100D01* +X268388450Y-100273100D01* +X268412312Y-100274272D01* +X268412312Y-100274272D01* +G37* +D11* +X267970000Y-100760000D03* +D10* +G36* +X268412312Y-98574272D02* +G01* +X268435945Y-98577778D01* +X268459120Y-98583583D01* +X268481614Y-98591632D01* +X268503212Y-98601846D01* +X268523704Y-98614129D01* +X268542893Y-98628361D01* +X268560595Y-98644405D01* +X268576639Y-98662107D01* +X268590871Y-98681296D01* +X268603154Y-98701788D01* +X268613368Y-98723386D01* +X268621417Y-98745880D01* +X268627222Y-98769055D01* +X268630728Y-98792688D01* +X268631900Y-98816550D01* +X268631900Y-99303450D01* +X268630728Y-99327312D01* +X268627222Y-99350945D01* +X268621417Y-99374120D01* +X268613368Y-99396614D01* +X268603154Y-99418212D01* +X268590871Y-99438704D01* +X268576639Y-99457893D01* +X268560595Y-99475595D01* +X268542893Y-99491639D01* +X268523704Y-99505871D01* +X268503212Y-99518154D01* +X268481614Y-99528368D01* +X268459120Y-99536417D01* +X268435945Y-99542222D01* +X268412312Y-99545728D01* +X268388450Y-99546900D01* +X267551550Y-99546900D01* +X267527688Y-99545728D01* +X267504055Y-99542222D01* +X267480880Y-99536417D01* +X267458386Y-99528368D01* +X267436788Y-99518154D01* +X267416296Y-99505871D01* +X267397107Y-99491639D01* +X267379405Y-99475595D01* +X267363361Y-99457893D01* +X267349129Y-99438704D01* +X267336846Y-99418212D01* +X267326632Y-99396614D01* +X267318583Y-99374120D01* +X267312778Y-99350945D01* +X267309272Y-99327312D01* +X267308100Y-99303450D01* +X267308100Y-98816550D01* +X267309272Y-98792688D01* +X267312778Y-98769055D01* +X267318583Y-98745880D01* +X267326632Y-98723386D01* +X267336846Y-98701788D01* +X267349129Y-98681296D01* +X267363361Y-98662107D01* +X267379405Y-98644405D01* +X267397107Y-98628361D01* +X267416296Y-98614129D01* +X267436788Y-98601846D01* +X267458386Y-98591632D01* +X267480880Y-98583583D01* +X267504055Y-98577778D01* +X267527688Y-98574272D01* +X267551550Y-98573100D01* +X268388450Y-98573100D01* +X268412312Y-98574272D01* +X268412312Y-98574272D01* +G37* +D11* +X267970000Y-99060000D03* +D10* +G36* +X268457411Y-94996032D02* +G01* +X268476190Y-94998817D01* +X268494606Y-95003430D01* +X268512480Y-95009826D01* +X268529642Y-95017942D01* +X268545925Y-95027702D01* +X268561173Y-95039011D01* +X268575240Y-95051760D01* +X268587989Y-95065827D01* +X268599298Y-95081075D01* +X268609058Y-95097358D01* +X268617174Y-95114520D01* +X268623570Y-95132394D01* +X268628183Y-95150810D01* +X268630968Y-95169589D01* +X268631900Y-95188550D01* +X268631900Y-95575450D01* +X268630968Y-95594411D01* +X268628183Y-95613190D01* +X268623570Y-95631606D01* +X268617174Y-95649480D01* +X268609058Y-95666642D01* +X268599298Y-95682925D01* +X268587989Y-95698173D01* +X268575240Y-95712240D01* +X268561173Y-95724989D01* +X268545925Y-95736298D01* +X268529642Y-95746058D01* +X268512480Y-95754174D01* +X268494606Y-95760570D01* +X268476190Y-95765183D01* +X268457411Y-95767968D01* +X268438450Y-95768900D01* +X267501550Y-95768900D01* +X267482589Y-95767968D01* +X267463810Y-95765183D01* +X267445394Y-95760570D01* +X267427520Y-95754174D01* +X267410358Y-95746058D01* +X267394075Y-95736298D01* +X267378827Y-95724989D01* +X267364760Y-95712240D01* +X267352011Y-95698173D01* +X267340702Y-95682925D01* +X267330942Y-95666642D01* +X267322826Y-95649480D01* +X267316430Y-95631606D01* +X267311817Y-95613190D01* +X267309032Y-95594411D01* +X267308100Y-95575450D01* +X267308100Y-95188550D01* +X267309032Y-95169589D01* +X267311817Y-95150810D01* +X267316430Y-95132394D01* +X267322826Y-95114520D01* +X267330942Y-95097358D01* +X267340702Y-95081075D01* +X267352011Y-95065827D01* +X267364760Y-95051760D01* +X267378827Y-95039011D01* +X267394075Y-95027702D01* +X267410358Y-95017942D01* +X267427520Y-95009826D01* +X267445394Y-95003430D01* +X267463810Y-94998817D01* +X267482589Y-94996032D01* +X267501550Y-94995100D01* +X268438450Y-94995100D01* +X268457411Y-94996032D01* +X268457411Y-94996032D01* +G37* +D12* +X267970000Y-95382000D03* +D10* +G36* +X268457411Y-96896032D02* +G01* +X268476190Y-96898817D01* +X268494606Y-96903430D01* +X268512480Y-96909826D01* +X268529642Y-96917942D01* +X268545925Y-96927702D01* +X268561173Y-96939011D01* +X268575240Y-96951760D01* +X268587989Y-96965827D01* +X268599298Y-96981075D01* +X268609058Y-96997358D01* +X268617174Y-97014520D01* +X268623570Y-97032394D01* +X268628183Y-97050810D01* +X268630968Y-97069589D01* +X268631900Y-97088550D01* +X268631900Y-97475450D01* +X268630968Y-97494411D01* +X268628183Y-97513190D01* +X268623570Y-97531606D01* +X268617174Y-97549480D01* +X268609058Y-97566642D01* +X268599298Y-97582925D01* +X268587989Y-97598173D01* +X268575240Y-97612240D01* +X268561173Y-97624989D01* +X268545925Y-97636298D01* +X268529642Y-97646058D01* +X268512480Y-97654174D01* +X268494606Y-97660570D01* +X268476190Y-97665183D01* +X268457411Y-97667968D01* +X268438450Y-97668900D01* +X267501550Y-97668900D01* +X267482589Y-97667968D01* +X267463810Y-97665183D01* +X267445394Y-97660570D01* +X267427520Y-97654174D01* +X267410358Y-97646058D01* +X267394075Y-97636298D01* +X267378827Y-97624989D01* +X267364760Y-97612240D01* +X267352011Y-97598173D01* +X267340702Y-97582925D01* +X267330942Y-97566642D01* +X267322826Y-97549480D01* +X267316430Y-97531606D01* +X267311817Y-97513190D01* +X267309032Y-97494411D01* +X267308100Y-97475450D01* +X267308100Y-97088550D01* +X267309032Y-97069589D01* +X267311817Y-97050810D01* +X267316430Y-97032394D01* +X267322826Y-97014520D01* +X267330942Y-96997358D01* +X267340702Y-96981075D01* +X267352011Y-96965827D01* +X267364760Y-96951760D01* +X267378827Y-96939011D01* +X267394075Y-96927702D01* +X267410358Y-96917942D01* +X267427520Y-96909826D01* +X267445394Y-96903430D01* +X267463810Y-96898817D01* +X267482589Y-96896032D01* +X267501550Y-96895100D01* +X268438450Y-96895100D01* +X268457411Y-96896032D01* +X268457411Y-96896032D01* +G37* +D12* +X267970000Y-97282000D03* +D10* +G36* +X262693785Y-112768731D02* +G01* +X262706497Y-112770616D01* +X262718963Y-112773739D01* +X262731062Y-112778068D01* +X262742679Y-112783562D01* +X262753702Y-112790169D01* +X262764024Y-112797824D01* +X262773546Y-112806454D01* +X262782176Y-112815976D01* +X262789831Y-112826298D01* +X262796438Y-112837321D01* +X262801932Y-112848938D01* +X262806261Y-112861037D01* +X262809384Y-112873503D01* +X262811269Y-112886215D01* +X262811900Y-112899050D01* +X262811900Y-113160950D01* +X262811269Y-113173785D01* +X262809384Y-113186497D01* +X262806261Y-113198963D01* +X262801932Y-113211062D01* +X262796438Y-113222679D01* +X262789831Y-113233702D01* +X262782176Y-113244024D01* +X262773546Y-113253546D01* +X262764024Y-113262176D01* +X262753702Y-113269831D01* +X262742679Y-113276438D01* +X262731062Y-113281932D01* +X262718963Y-113286261D01* +X262706497Y-113289384D01* +X262693785Y-113291269D01* +X262680950Y-113291900D01* +X261319050Y-113291900D01* +X261306215Y-113291269D01* +X261293503Y-113289384D01* +X261281037Y-113286261D01* +X261268938Y-113281932D01* +X261257321Y-113276438D01* +X261246298Y-113269831D01* +X261235976Y-113262176D01* +X261226454Y-113253546D01* +X261217824Y-113244024D01* +X261210169Y-113233702D01* +X261203562Y-113222679D01* +X261198068Y-113211062D01* +X261193739Y-113198963D01* +X261190616Y-113186497D01* +X261188731Y-113173785D01* +X261188100Y-113160950D01* +X261188100Y-112899050D01* +X261188731Y-112886215D01* +X261190616Y-112873503D01* +X261193739Y-112861037D01* +X261198068Y-112848938D01* +X261203562Y-112837321D01* +X261210169Y-112826298D01* +X261217824Y-112815976D01* +X261226454Y-112806454D01* +X261235976Y-112797824D01* +X261246298Y-112790169D01* +X261257321Y-112783562D01* +X261268938Y-112778068D01* +X261281037Y-112773739D01* +X261293503Y-112770616D01* +X261306215Y-112768731D01* +X261319050Y-112768100D01* +X262680950Y-112768100D01* +X262693785Y-112768731D01* +X262693785Y-112768731D01* +G37* +D13* +X262000000Y-113030000D03* +D10* +G36* +X262693785Y-114038731D02* +G01* +X262706497Y-114040616D01* +X262718963Y-114043739D01* +X262731062Y-114048068D01* +X262742679Y-114053562D01* +X262753702Y-114060169D01* +X262764024Y-114067824D01* +X262773546Y-114076454D01* +X262782176Y-114085976D01* +X262789831Y-114096298D01* +X262796438Y-114107321D01* +X262801932Y-114118938D01* +X262806261Y-114131037D01* +X262809384Y-114143503D01* +X262811269Y-114156215D01* +X262811900Y-114169050D01* +X262811900Y-114430950D01* +X262811269Y-114443785D01* +X262809384Y-114456497D01* +X262806261Y-114468963D01* +X262801932Y-114481062D01* +X262796438Y-114492679D01* +X262789831Y-114503702D01* +X262782176Y-114514024D01* +X262773546Y-114523546D01* +X262764024Y-114532176D01* +X262753702Y-114539831D01* +X262742679Y-114546438D01* +X262731062Y-114551932D01* +X262718963Y-114556261D01* +X262706497Y-114559384D01* +X262693785Y-114561269D01* +X262680950Y-114561900D01* +X261319050Y-114561900D01* +X261306215Y-114561269D01* +X261293503Y-114559384D01* +X261281037Y-114556261D01* +X261268938Y-114551932D01* +X261257321Y-114546438D01* +X261246298Y-114539831D01* +X261235976Y-114532176D01* +X261226454Y-114523546D01* +X261217824Y-114514024D01* +X261210169Y-114503702D01* +X261203562Y-114492679D01* +X261198068Y-114481062D01* +X261193739Y-114468963D01* +X261190616Y-114456497D01* +X261188731Y-114443785D01* +X261188100Y-114430950D01* +X261188100Y-114169050D01* +X261188731Y-114156215D01* +X261190616Y-114143503D01* +X261193739Y-114131037D01* +X261198068Y-114118938D01* +X261203562Y-114107321D01* +X261210169Y-114096298D01* +X261217824Y-114085976D01* +X261226454Y-114076454D01* +X261235976Y-114067824D01* +X261246298Y-114060169D01* +X261257321Y-114053562D01* +X261268938Y-114048068D01* +X261281037Y-114043739D01* +X261293503Y-114040616D01* +X261306215Y-114038731D01* +X261319050Y-114038100D01* +X262680950Y-114038100D01* +X262693785Y-114038731D01* +X262693785Y-114038731D01* +G37* +D13* +X262000000Y-114300000D03* +D10* +G36* +X262693785Y-115308731D02* +G01* +X262706497Y-115310616D01* +X262718963Y-115313739D01* +X262731062Y-115318068D01* +X262742679Y-115323562D01* +X262753702Y-115330169D01* +X262764024Y-115337824D01* +X262773546Y-115346454D01* +X262782176Y-115355976D01* +X262789831Y-115366298D01* +X262796438Y-115377321D01* +X262801932Y-115388938D01* +X262806261Y-115401037D01* +X262809384Y-115413503D01* +X262811269Y-115426215D01* +X262811900Y-115439050D01* +X262811900Y-115700950D01* +X262811269Y-115713785D01* +X262809384Y-115726497D01* +X262806261Y-115738963D01* +X262801932Y-115751062D01* +X262796438Y-115762679D01* +X262789831Y-115773702D01* +X262782176Y-115784024D01* +X262773546Y-115793546D01* +X262764024Y-115802176D01* +X262753702Y-115809831D01* +X262742679Y-115816438D01* +X262731062Y-115821932D01* +X262718963Y-115826261D01* +X262706497Y-115829384D01* +X262693785Y-115831269D01* +X262680950Y-115831900D01* +X261319050Y-115831900D01* +X261306215Y-115831269D01* +X261293503Y-115829384D01* +X261281037Y-115826261D01* +X261268938Y-115821932D01* +X261257321Y-115816438D01* +X261246298Y-115809831D01* +X261235976Y-115802176D01* +X261226454Y-115793546D01* +X261217824Y-115784024D01* +X261210169Y-115773702D01* +X261203562Y-115762679D01* +X261198068Y-115751062D01* +X261193739Y-115738963D01* +X261190616Y-115726497D01* +X261188731Y-115713785D01* +X261188100Y-115700950D01* +X261188100Y-115439050D01* +X261188731Y-115426215D01* +X261190616Y-115413503D01* +X261193739Y-115401037D01* +X261198068Y-115388938D01* +X261203562Y-115377321D01* +X261210169Y-115366298D01* +X261217824Y-115355976D01* +X261226454Y-115346454D01* +X261235976Y-115337824D01* +X261246298Y-115330169D01* +X261257321Y-115323562D01* +X261268938Y-115318068D01* +X261281037Y-115313739D01* +X261293503Y-115310616D01* +X261306215Y-115308731D01* +X261319050Y-115308100D01* +X262680950Y-115308100D01* +X262693785Y-115308731D01* +X262693785Y-115308731D01* +G37* +D13* +X262000000Y-115570000D03* +D10* +G36* +X262693785Y-116578731D02* +G01* +X262706497Y-116580616D01* +X262718963Y-116583739D01* +X262731062Y-116588068D01* +X262742679Y-116593562D01* +X262753702Y-116600169D01* +X262764024Y-116607824D01* +X262773546Y-116616454D01* +X262782176Y-116625976D01* +X262789831Y-116636298D01* +X262796438Y-116647321D01* +X262801932Y-116658938D01* +X262806261Y-116671037D01* +X262809384Y-116683503D01* +X262811269Y-116696215D01* +X262811900Y-116709050D01* +X262811900Y-116970950D01* +X262811269Y-116983785D01* +X262809384Y-116996497D01* +X262806261Y-117008963D01* +X262801932Y-117021062D01* +X262796438Y-117032679D01* +X262789831Y-117043702D01* +X262782176Y-117054024D01* +X262773546Y-117063546D01* +X262764024Y-117072176D01* +X262753702Y-117079831D01* +X262742679Y-117086438D01* +X262731062Y-117091932D01* +X262718963Y-117096261D01* +X262706497Y-117099384D01* +X262693785Y-117101269D01* +X262680950Y-117101900D01* +X261319050Y-117101900D01* +X261306215Y-117101269D01* +X261293503Y-117099384D01* +X261281037Y-117096261D01* +X261268938Y-117091932D01* +X261257321Y-117086438D01* +X261246298Y-117079831D01* +X261235976Y-117072176D01* +X261226454Y-117063546D01* +X261217824Y-117054024D01* +X261210169Y-117043702D01* +X261203562Y-117032679D01* +X261198068Y-117021062D01* +X261193739Y-117008963D01* +X261190616Y-116996497D01* +X261188731Y-116983785D01* +X261188100Y-116970950D01* +X261188100Y-116709050D01* +X261188731Y-116696215D01* +X261190616Y-116683503D01* +X261193739Y-116671037D01* +X261198068Y-116658938D01* +X261203562Y-116647321D01* +X261210169Y-116636298D01* +X261217824Y-116625976D01* +X261226454Y-116616454D01* +X261235976Y-116607824D01* +X261246298Y-116600169D01* +X261257321Y-116593562D01* +X261268938Y-116588068D01* +X261281037Y-116583739D01* +X261293503Y-116580616D01* +X261306215Y-116578731D01* +X261319050Y-116578100D01* +X262680950Y-116578100D01* +X262693785Y-116578731D01* +X262693785Y-116578731D01* +G37* +D13* +X262000000Y-116840000D03* +D10* +G36* +X262693785Y-117848731D02* +G01* +X262706497Y-117850616D01* +X262718963Y-117853739D01* +X262731062Y-117858068D01* +X262742679Y-117863562D01* +X262753702Y-117870169D01* +X262764024Y-117877824D01* +X262773546Y-117886454D01* +X262782176Y-117895976D01* +X262789831Y-117906298D01* +X262796438Y-117917321D01* +X262801932Y-117928938D01* +X262806261Y-117941037D01* +X262809384Y-117953503D01* +X262811269Y-117966215D01* +X262811900Y-117979050D01* +X262811900Y-118240950D01* +X262811269Y-118253785D01* +X262809384Y-118266497D01* +X262806261Y-118278963D01* +X262801932Y-118291062D01* +X262796438Y-118302679D01* +X262789831Y-118313702D01* +X262782176Y-118324024D01* +X262773546Y-118333546D01* +X262764024Y-118342176D01* +X262753702Y-118349831D01* +X262742679Y-118356438D01* +X262731062Y-118361932D01* +X262718963Y-118366261D01* +X262706497Y-118369384D01* +X262693785Y-118371269D01* +X262680950Y-118371900D01* +X261319050Y-118371900D01* +X261306215Y-118371269D01* +X261293503Y-118369384D01* +X261281037Y-118366261D01* +X261268938Y-118361932D01* +X261257321Y-118356438D01* +X261246298Y-118349831D01* +X261235976Y-118342176D01* +X261226454Y-118333546D01* +X261217824Y-118324024D01* +X261210169Y-118313702D01* +X261203562Y-118302679D01* +X261198068Y-118291062D01* +X261193739Y-118278963D01* +X261190616Y-118266497D01* +X261188731Y-118253785D01* +X261188100Y-118240950D01* +X261188100Y-117979050D01* +X261188731Y-117966215D01* +X261190616Y-117953503D01* +X261193739Y-117941037D01* +X261198068Y-117928938D01* +X261203562Y-117917321D01* +X261210169Y-117906298D01* +X261217824Y-117895976D01* +X261226454Y-117886454D01* +X261235976Y-117877824D01* +X261246298Y-117870169D01* +X261257321Y-117863562D01* +X261268938Y-117858068D01* +X261281037Y-117853739D01* +X261293503Y-117850616D01* +X261306215Y-117848731D01* +X261319050Y-117848100D01* +X262680950Y-117848100D01* +X262693785Y-117848731D01* +X262693785Y-117848731D01* +G37* +D13* +X262000000Y-118110000D03* +D10* +G36* +X262693785Y-119118731D02* +G01* +X262706497Y-119120616D01* +X262718963Y-119123739D01* +X262731062Y-119128068D01* +X262742679Y-119133562D01* +X262753702Y-119140169D01* +X262764024Y-119147824D01* +X262773546Y-119156454D01* +X262782176Y-119165976D01* +X262789831Y-119176298D01* +X262796438Y-119187321D01* +X262801932Y-119198938D01* +X262806261Y-119211037D01* +X262809384Y-119223503D01* +X262811269Y-119236215D01* +X262811900Y-119249050D01* +X262811900Y-119510950D01* +X262811269Y-119523785D01* +X262809384Y-119536497D01* +X262806261Y-119548963D01* +X262801932Y-119561062D01* +X262796438Y-119572679D01* +X262789831Y-119583702D01* +X262782176Y-119594024D01* +X262773546Y-119603546D01* +X262764024Y-119612176D01* +X262753702Y-119619831D01* +X262742679Y-119626438D01* +X262731062Y-119631932D01* +X262718963Y-119636261D01* +X262706497Y-119639384D01* +X262693785Y-119641269D01* +X262680950Y-119641900D01* +X261319050Y-119641900D01* +X261306215Y-119641269D01* +X261293503Y-119639384D01* +X261281037Y-119636261D01* +X261268938Y-119631932D01* +X261257321Y-119626438D01* +X261246298Y-119619831D01* +X261235976Y-119612176D01* +X261226454Y-119603546D01* +X261217824Y-119594024D01* +X261210169Y-119583702D01* +X261203562Y-119572679D01* +X261198068Y-119561062D01* +X261193739Y-119548963D01* +X261190616Y-119536497D01* +X261188731Y-119523785D01* +X261188100Y-119510950D01* +X261188100Y-119249050D01* +X261188731Y-119236215D01* +X261190616Y-119223503D01* +X261193739Y-119211037D01* +X261198068Y-119198938D01* +X261203562Y-119187321D01* +X261210169Y-119176298D01* +X261217824Y-119165976D01* +X261226454Y-119156454D01* +X261235976Y-119147824D01* +X261246298Y-119140169D01* +X261257321Y-119133562D01* +X261268938Y-119128068D01* +X261281037Y-119123739D01* +X261293503Y-119120616D01* +X261306215Y-119118731D01* +X261319050Y-119118100D01* +X262680950Y-119118100D01* +X262693785Y-119118731D01* +X262693785Y-119118731D01* +G37* +D13* +X262000000Y-119380000D03* +D10* +G36* +X262693785Y-120388731D02* +G01* +X262706497Y-120390616D01* +X262718963Y-120393739D01* +X262731062Y-120398068D01* +X262742679Y-120403562D01* +X262753702Y-120410169D01* +X262764024Y-120417824D01* +X262773546Y-120426454D01* +X262782176Y-120435976D01* +X262789831Y-120446298D01* +X262796438Y-120457321D01* +X262801932Y-120468938D01* +X262806261Y-120481037D01* +X262809384Y-120493503D01* +X262811269Y-120506215D01* +X262811900Y-120519050D01* +X262811900Y-120780950D01* +X262811269Y-120793785D01* +X262809384Y-120806497D01* +X262806261Y-120818963D01* +X262801932Y-120831062D01* +X262796438Y-120842679D01* +X262789831Y-120853702D01* +X262782176Y-120864024D01* +X262773546Y-120873546D01* +X262764024Y-120882176D01* +X262753702Y-120889831D01* +X262742679Y-120896438D01* +X262731062Y-120901932D01* +X262718963Y-120906261D01* +X262706497Y-120909384D01* +X262693785Y-120911269D01* +X262680950Y-120911900D01* +X261319050Y-120911900D01* +X261306215Y-120911269D01* +X261293503Y-120909384D01* +X261281037Y-120906261D01* +X261268938Y-120901932D01* +X261257321Y-120896438D01* +X261246298Y-120889831D01* +X261235976Y-120882176D01* +X261226454Y-120873546D01* +X261217824Y-120864024D01* +X261210169Y-120853702D01* +X261203562Y-120842679D01* +X261198068Y-120831062D01* +X261193739Y-120818963D01* +X261190616Y-120806497D01* +X261188731Y-120793785D01* +X261188100Y-120780950D01* +X261188100Y-120519050D01* +X261188731Y-120506215D01* +X261190616Y-120493503D01* +X261193739Y-120481037D01* +X261198068Y-120468938D01* +X261203562Y-120457321D01* +X261210169Y-120446298D01* +X261217824Y-120435976D01* +X261226454Y-120426454D01* +X261235976Y-120417824D01* +X261246298Y-120410169D01* +X261257321Y-120403562D01* +X261268938Y-120398068D01* +X261281037Y-120393739D01* +X261293503Y-120390616D01* +X261306215Y-120388731D01* +X261319050Y-120388100D01* +X262680950Y-120388100D01* +X262693785Y-120388731D01* +X262693785Y-120388731D01* +G37* +D13* +X262000000Y-120650000D03* +D10* +G36* +X262693785Y-121658731D02* +G01* +X262706497Y-121660616D01* +X262718963Y-121663739D01* +X262731062Y-121668068D01* +X262742679Y-121673562D01* +X262753702Y-121680169D01* +X262764024Y-121687824D01* +X262773546Y-121696454D01* +X262782176Y-121705976D01* +X262789831Y-121716298D01* +X262796438Y-121727321D01* +X262801932Y-121738938D01* +X262806261Y-121751037D01* +X262809384Y-121763503D01* +X262811269Y-121776215D01* +X262811900Y-121789050D01* +X262811900Y-122050950D01* +X262811269Y-122063785D01* +X262809384Y-122076497D01* +X262806261Y-122088963D01* +X262801932Y-122101062D01* +X262796438Y-122112679D01* +X262789831Y-122123702D01* +X262782176Y-122134024D01* +X262773546Y-122143546D01* +X262764024Y-122152176D01* +X262753702Y-122159831D01* +X262742679Y-122166438D01* +X262731062Y-122171932D01* +X262718963Y-122176261D01* +X262706497Y-122179384D01* +X262693785Y-122181269D01* +X262680950Y-122181900D01* +X261319050Y-122181900D01* +X261306215Y-122181269D01* +X261293503Y-122179384D01* +X261281037Y-122176261D01* +X261268938Y-122171932D01* +X261257321Y-122166438D01* +X261246298Y-122159831D01* +X261235976Y-122152176D01* +X261226454Y-122143546D01* +X261217824Y-122134024D01* +X261210169Y-122123702D01* +X261203562Y-122112679D01* +X261198068Y-122101062D01* +X261193739Y-122088963D01* +X261190616Y-122076497D01* +X261188731Y-122063785D01* +X261188100Y-122050950D01* +X261188100Y-121789050D01* +X261188731Y-121776215D01* +X261190616Y-121763503D01* +X261193739Y-121751037D01* +X261198068Y-121738938D01* +X261203562Y-121727321D01* +X261210169Y-121716298D01* +X261217824Y-121705976D01* +X261226454Y-121696454D01* +X261235976Y-121687824D01* +X261246298Y-121680169D01* +X261257321Y-121673562D01* +X261268938Y-121668068D01* +X261281037Y-121663739D01* +X261293503Y-121660616D01* +X261306215Y-121658731D01* +X261319050Y-121658100D01* +X262680950Y-121658100D01* +X262693785Y-121658731D01* +X262693785Y-121658731D01* +G37* +D13* +X262000000Y-121920000D03* +D10* +G36* +X262693785Y-122928731D02* +G01* +X262706497Y-122930616D01* +X262718963Y-122933739D01* +X262731062Y-122938068D01* +X262742679Y-122943562D01* +X262753702Y-122950169D01* +X262764024Y-122957824D01* +X262773546Y-122966454D01* +X262782176Y-122975976D01* +X262789831Y-122986298D01* +X262796438Y-122997321D01* +X262801932Y-123008938D01* +X262806261Y-123021037D01* +X262809384Y-123033503D01* +X262811269Y-123046215D01* +X262811900Y-123059050D01* +X262811900Y-123320950D01* +X262811269Y-123333785D01* +X262809384Y-123346497D01* +X262806261Y-123358963D01* +X262801932Y-123371062D01* +X262796438Y-123382679D01* +X262789831Y-123393702D01* +X262782176Y-123404024D01* +X262773546Y-123413546D01* +X262764024Y-123422176D01* +X262753702Y-123429831D01* +X262742679Y-123436438D01* +X262731062Y-123441932D01* +X262718963Y-123446261D01* +X262706497Y-123449384D01* +X262693785Y-123451269D01* +X262680950Y-123451900D01* +X261319050Y-123451900D01* +X261306215Y-123451269D01* +X261293503Y-123449384D01* +X261281037Y-123446261D01* +X261268938Y-123441932D01* +X261257321Y-123436438D01* +X261246298Y-123429831D01* +X261235976Y-123422176D01* +X261226454Y-123413546D01* +X261217824Y-123404024D01* +X261210169Y-123393702D01* +X261203562Y-123382679D01* +X261198068Y-123371062D01* +X261193739Y-123358963D01* +X261190616Y-123346497D01* +X261188731Y-123333785D01* +X261188100Y-123320950D01* +X261188100Y-123059050D01* +X261188731Y-123046215D01* +X261190616Y-123033503D01* +X261193739Y-123021037D01* +X261198068Y-123008938D01* +X261203562Y-122997321D01* +X261210169Y-122986298D01* +X261217824Y-122975976D01* +X261226454Y-122966454D01* +X261235976Y-122957824D01* +X261246298Y-122950169D01* +X261257321Y-122943562D01* +X261268938Y-122938068D01* +X261281037Y-122933739D01* +X261293503Y-122930616D01* +X261306215Y-122928731D01* +X261319050Y-122928100D01* +X262680950Y-122928100D01* +X262693785Y-122928731D01* +X262693785Y-122928731D01* +G37* +D13* +X262000000Y-123190000D03* +D10* +G36* +X262693785Y-124198731D02* +G01* +X262706497Y-124200616D01* +X262718963Y-124203739D01* +X262731062Y-124208068D01* +X262742679Y-124213562D01* +X262753702Y-124220169D01* +X262764024Y-124227824D01* +X262773546Y-124236454D01* +X262782176Y-124245976D01* +X262789831Y-124256298D01* +X262796438Y-124267321D01* +X262801932Y-124278938D01* +X262806261Y-124291037D01* +X262809384Y-124303503D01* +X262811269Y-124316215D01* +X262811900Y-124329050D01* +X262811900Y-124590950D01* +X262811269Y-124603785D01* +X262809384Y-124616497D01* +X262806261Y-124628963D01* +X262801932Y-124641062D01* +X262796438Y-124652679D01* +X262789831Y-124663702D01* +X262782176Y-124674024D01* +X262773546Y-124683546D01* +X262764024Y-124692176D01* +X262753702Y-124699831D01* +X262742679Y-124706438D01* +X262731062Y-124711932D01* +X262718963Y-124716261D01* +X262706497Y-124719384D01* +X262693785Y-124721269D01* +X262680950Y-124721900D01* +X261319050Y-124721900D01* +X261306215Y-124721269D01* +X261293503Y-124719384D01* +X261281037Y-124716261D01* +X261268938Y-124711932D01* +X261257321Y-124706438D01* +X261246298Y-124699831D01* +X261235976Y-124692176D01* +X261226454Y-124683546D01* +X261217824Y-124674024D01* +X261210169Y-124663702D01* +X261203562Y-124652679D01* +X261198068Y-124641062D01* +X261193739Y-124628963D01* +X261190616Y-124616497D01* +X261188731Y-124603785D01* +X261188100Y-124590950D01* +X261188100Y-124329050D01* +X261188731Y-124316215D01* +X261190616Y-124303503D01* +X261193739Y-124291037D01* +X261198068Y-124278938D01* +X261203562Y-124267321D01* +X261210169Y-124256298D01* +X261217824Y-124245976D01* +X261226454Y-124236454D01* +X261235976Y-124227824D01* +X261246298Y-124220169D01* +X261257321Y-124213562D01* +X261268938Y-124208068D01* +X261281037Y-124203739D01* +X261293503Y-124200616D01* +X261306215Y-124198731D01* +X261319050Y-124198100D01* +X262680950Y-124198100D01* +X262693785Y-124198731D01* +X262693785Y-124198731D01* +G37* +D13* +X262000000Y-124460000D03* +D10* +G36* +X260493785Y-125298731D02* +G01* +X260506497Y-125300616D01* +X260518963Y-125303739D01* +X260531062Y-125308068D01* +X260542679Y-125313562D01* +X260553702Y-125320169D01* +X260564024Y-125327824D01* +X260573546Y-125336454D01* +X260582176Y-125345976D01* +X260589831Y-125356298D01* +X260596438Y-125367321D01* +X260601932Y-125378938D01* +X260606261Y-125391037D01* +X260609384Y-125403503D01* +X260611269Y-125416215D01* +X260611900Y-125429050D01* +X260611900Y-126790950D01* +X260611269Y-126803785D01* +X260609384Y-126816497D01* +X260606261Y-126828963D01* +X260601932Y-126841062D01* +X260596438Y-126852679D01* +X260589831Y-126863702D01* +X260582176Y-126874024D01* +X260573546Y-126883546D01* +X260564024Y-126892176D01* +X260553702Y-126899831D01* +X260542679Y-126906438D01* +X260531062Y-126911932D01* +X260518963Y-126916261D01* +X260506497Y-126919384D01* +X260493785Y-126921269D01* +X260480950Y-126921900D01* +X260219050Y-126921900D01* +X260206215Y-126921269D01* +X260193503Y-126919384D01* +X260181037Y-126916261D01* +X260168938Y-126911932D01* +X260157321Y-126906438D01* +X260146298Y-126899831D01* +X260135976Y-126892176D01* +X260126454Y-126883546D01* +X260117824Y-126874024D01* +X260110169Y-126863702D01* +X260103562Y-126852679D01* +X260098068Y-126841062D01* +X260093739Y-126828963D01* +X260090616Y-126816497D01* +X260088731Y-126803785D01* +X260088100Y-126790950D01* +X260088100Y-125429050D01* +X260088731Y-125416215D01* +X260090616Y-125403503D01* +X260093739Y-125391037D01* +X260098068Y-125378938D01* +X260103562Y-125367321D01* +X260110169Y-125356298D01* +X260117824Y-125345976D01* +X260126454Y-125336454D01* +X260135976Y-125327824D01* +X260146298Y-125320169D01* +X260157321Y-125313562D01* +X260168938Y-125308068D01* +X260181037Y-125303739D01* +X260193503Y-125300616D01* +X260206215Y-125298731D01* +X260219050Y-125298100D01* +X260480950Y-125298100D01* +X260493785Y-125298731D01* +X260493785Y-125298731D01* +G37* +D13* +X260350000Y-126110000D03* +D10* +G36* +X259223785Y-125298731D02* +G01* +X259236497Y-125300616D01* +X259248963Y-125303739D01* +X259261062Y-125308068D01* +X259272679Y-125313562D01* +X259283702Y-125320169D01* +X259294024Y-125327824D01* +X259303546Y-125336454D01* +X259312176Y-125345976D01* +X259319831Y-125356298D01* +X259326438Y-125367321D01* +X259331932Y-125378938D01* +X259336261Y-125391037D01* +X259339384Y-125403503D01* +X259341269Y-125416215D01* +X259341900Y-125429050D01* +X259341900Y-126790950D01* +X259341269Y-126803785D01* +X259339384Y-126816497D01* +X259336261Y-126828963D01* +X259331932Y-126841062D01* +X259326438Y-126852679D01* +X259319831Y-126863702D01* +X259312176Y-126874024D01* +X259303546Y-126883546D01* +X259294024Y-126892176D01* +X259283702Y-126899831D01* +X259272679Y-126906438D01* +X259261062Y-126911932D01* +X259248963Y-126916261D01* +X259236497Y-126919384D01* +X259223785Y-126921269D01* +X259210950Y-126921900D01* +X258949050Y-126921900D01* +X258936215Y-126921269D01* +X258923503Y-126919384D01* +X258911037Y-126916261D01* +X258898938Y-126911932D01* +X258887321Y-126906438D01* +X258876298Y-126899831D01* +X258865976Y-126892176D01* +X258856454Y-126883546D01* +X258847824Y-126874024D01* +X258840169Y-126863702D01* +X258833562Y-126852679D01* +X258828068Y-126841062D01* +X258823739Y-126828963D01* +X258820616Y-126816497D01* +X258818731Y-126803785D01* +X258818100Y-126790950D01* +X258818100Y-125429050D01* +X258818731Y-125416215D01* +X258820616Y-125403503D01* +X258823739Y-125391037D01* +X258828068Y-125378938D01* +X258833562Y-125367321D01* +X258840169Y-125356298D01* +X258847824Y-125345976D01* +X258856454Y-125336454D01* +X258865976Y-125327824D01* +X258876298Y-125320169D01* +X258887321Y-125313562D01* +X258898938Y-125308068D01* +X258911037Y-125303739D01* +X258923503Y-125300616D01* +X258936215Y-125298731D01* +X258949050Y-125298100D01* +X259210950Y-125298100D01* +X259223785Y-125298731D01* +X259223785Y-125298731D01* +G37* +D13* +X259080000Y-126110000D03* +D10* +G36* +X257953785Y-125298731D02* +G01* +X257966497Y-125300616D01* +X257978963Y-125303739D01* +X257991062Y-125308068D01* +X258002679Y-125313562D01* +X258013702Y-125320169D01* +X258024024Y-125327824D01* +X258033546Y-125336454D01* +X258042176Y-125345976D01* +X258049831Y-125356298D01* +X258056438Y-125367321D01* +X258061932Y-125378938D01* +X258066261Y-125391037D01* +X258069384Y-125403503D01* +X258071269Y-125416215D01* +X258071900Y-125429050D01* +X258071900Y-126790950D01* +X258071269Y-126803785D01* +X258069384Y-126816497D01* +X258066261Y-126828963D01* +X258061932Y-126841062D01* +X258056438Y-126852679D01* +X258049831Y-126863702D01* +X258042176Y-126874024D01* +X258033546Y-126883546D01* +X258024024Y-126892176D01* +X258013702Y-126899831D01* +X258002679Y-126906438D01* +X257991062Y-126911932D01* +X257978963Y-126916261D01* +X257966497Y-126919384D01* +X257953785Y-126921269D01* +X257940950Y-126921900D01* +X257679050Y-126921900D01* +X257666215Y-126921269D01* +X257653503Y-126919384D01* +X257641037Y-126916261D01* +X257628938Y-126911932D01* +X257617321Y-126906438D01* +X257606298Y-126899831D01* +X257595976Y-126892176D01* +X257586454Y-126883546D01* +X257577824Y-126874024D01* +X257570169Y-126863702D01* +X257563562Y-126852679D01* +X257558068Y-126841062D01* +X257553739Y-126828963D01* +X257550616Y-126816497D01* +X257548731Y-126803785D01* +X257548100Y-126790950D01* +X257548100Y-125429050D01* +X257548731Y-125416215D01* +X257550616Y-125403503D01* +X257553739Y-125391037D01* +X257558068Y-125378938D01* +X257563562Y-125367321D01* +X257570169Y-125356298D01* +X257577824Y-125345976D01* +X257586454Y-125336454D01* +X257595976Y-125327824D01* +X257606298Y-125320169D01* +X257617321Y-125313562D01* +X257628938Y-125308068D01* +X257641037Y-125303739D01* +X257653503Y-125300616D01* +X257666215Y-125298731D01* +X257679050Y-125298100D01* +X257940950Y-125298100D01* +X257953785Y-125298731D01* +X257953785Y-125298731D01* +G37* +D13* +X257810000Y-126110000D03* +D10* +G36* +X256683785Y-125298731D02* +G01* +X256696497Y-125300616D01* +X256708963Y-125303739D01* +X256721062Y-125308068D01* +X256732679Y-125313562D01* +X256743702Y-125320169D01* +X256754024Y-125327824D01* +X256763546Y-125336454D01* +X256772176Y-125345976D01* +X256779831Y-125356298D01* +X256786438Y-125367321D01* +X256791932Y-125378938D01* +X256796261Y-125391037D01* +X256799384Y-125403503D01* +X256801269Y-125416215D01* +X256801900Y-125429050D01* +X256801900Y-126790950D01* +X256801269Y-126803785D01* +X256799384Y-126816497D01* +X256796261Y-126828963D01* +X256791932Y-126841062D01* +X256786438Y-126852679D01* +X256779831Y-126863702D01* +X256772176Y-126874024D01* +X256763546Y-126883546D01* +X256754024Y-126892176D01* +X256743702Y-126899831D01* +X256732679Y-126906438D01* +X256721062Y-126911932D01* +X256708963Y-126916261D01* +X256696497Y-126919384D01* +X256683785Y-126921269D01* +X256670950Y-126921900D01* +X256409050Y-126921900D01* +X256396215Y-126921269D01* +X256383503Y-126919384D01* +X256371037Y-126916261D01* +X256358938Y-126911932D01* +X256347321Y-126906438D01* +X256336298Y-126899831D01* +X256325976Y-126892176D01* +X256316454Y-126883546D01* +X256307824Y-126874024D01* +X256300169Y-126863702D01* +X256293562Y-126852679D01* +X256288068Y-126841062D01* +X256283739Y-126828963D01* +X256280616Y-126816497D01* +X256278731Y-126803785D01* +X256278100Y-126790950D01* +X256278100Y-125429050D01* +X256278731Y-125416215D01* +X256280616Y-125403503D01* +X256283739Y-125391037D01* +X256288068Y-125378938D01* +X256293562Y-125367321D01* +X256300169Y-125356298D01* +X256307824Y-125345976D01* +X256316454Y-125336454D01* +X256325976Y-125327824D01* +X256336298Y-125320169D01* +X256347321Y-125313562D01* +X256358938Y-125308068D01* +X256371037Y-125303739D01* +X256383503Y-125300616D01* +X256396215Y-125298731D01* +X256409050Y-125298100D01* +X256670950Y-125298100D01* +X256683785Y-125298731D01* +X256683785Y-125298731D01* +G37* +D13* +X256540000Y-126110000D03* +D10* +G36* +X255413785Y-125298731D02* +G01* +X255426497Y-125300616D01* +X255438963Y-125303739D01* +X255451062Y-125308068D01* +X255462679Y-125313562D01* +X255473702Y-125320169D01* +X255484024Y-125327824D01* +X255493546Y-125336454D01* +X255502176Y-125345976D01* +X255509831Y-125356298D01* +X255516438Y-125367321D01* +X255521932Y-125378938D01* +X255526261Y-125391037D01* +X255529384Y-125403503D01* +X255531269Y-125416215D01* +X255531900Y-125429050D01* +X255531900Y-126790950D01* +X255531269Y-126803785D01* +X255529384Y-126816497D01* +X255526261Y-126828963D01* +X255521932Y-126841062D01* +X255516438Y-126852679D01* +X255509831Y-126863702D01* +X255502176Y-126874024D01* +X255493546Y-126883546D01* +X255484024Y-126892176D01* +X255473702Y-126899831D01* +X255462679Y-126906438D01* +X255451062Y-126911932D01* +X255438963Y-126916261D01* +X255426497Y-126919384D01* +X255413785Y-126921269D01* +X255400950Y-126921900D01* +X255139050Y-126921900D01* +X255126215Y-126921269D01* +X255113503Y-126919384D01* +X255101037Y-126916261D01* +X255088938Y-126911932D01* +X255077321Y-126906438D01* +X255066298Y-126899831D01* +X255055976Y-126892176D01* +X255046454Y-126883546D01* +X255037824Y-126874024D01* +X255030169Y-126863702D01* +X255023562Y-126852679D01* +X255018068Y-126841062D01* +X255013739Y-126828963D01* +X255010616Y-126816497D01* +X255008731Y-126803785D01* +X255008100Y-126790950D01* +X255008100Y-125429050D01* +X255008731Y-125416215D01* +X255010616Y-125403503D01* +X255013739Y-125391037D01* +X255018068Y-125378938D01* +X255023562Y-125367321D01* +X255030169Y-125356298D01* +X255037824Y-125345976D01* +X255046454Y-125336454D01* +X255055976Y-125327824D01* +X255066298Y-125320169D01* +X255077321Y-125313562D01* +X255088938Y-125308068D01* +X255101037Y-125303739D01* +X255113503Y-125300616D01* +X255126215Y-125298731D01* +X255139050Y-125298100D01* +X255400950Y-125298100D01* +X255413785Y-125298731D01* +X255413785Y-125298731D01* +G37* +D13* +X255270000Y-126110000D03* +D10* +G36* +X254143785Y-125298731D02* +G01* +X254156497Y-125300616D01* +X254168963Y-125303739D01* +X254181062Y-125308068D01* +X254192679Y-125313562D01* +X254203702Y-125320169D01* +X254214024Y-125327824D01* +X254223546Y-125336454D01* +X254232176Y-125345976D01* +X254239831Y-125356298D01* +X254246438Y-125367321D01* +X254251932Y-125378938D01* +X254256261Y-125391037D01* +X254259384Y-125403503D01* +X254261269Y-125416215D01* +X254261900Y-125429050D01* +X254261900Y-126790950D01* +X254261269Y-126803785D01* +X254259384Y-126816497D01* +X254256261Y-126828963D01* +X254251932Y-126841062D01* +X254246438Y-126852679D01* +X254239831Y-126863702D01* +X254232176Y-126874024D01* +X254223546Y-126883546D01* +X254214024Y-126892176D01* +X254203702Y-126899831D01* +X254192679Y-126906438D01* +X254181062Y-126911932D01* +X254168963Y-126916261D01* +X254156497Y-126919384D01* +X254143785Y-126921269D01* +X254130950Y-126921900D01* +X253869050Y-126921900D01* +X253856215Y-126921269D01* +X253843503Y-126919384D01* +X253831037Y-126916261D01* +X253818938Y-126911932D01* +X253807321Y-126906438D01* +X253796298Y-126899831D01* +X253785976Y-126892176D01* +X253776454Y-126883546D01* +X253767824Y-126874024D01* +X253760169Y-126863702D01* +X253753562Y-126852679D01* +X253748068Y-126841062D01* +X253743739Y-126828963D01* +X253740616Y-126816497D01* +X253738731Y-126803785D01* +X253738100Y-126790950D01* +X253738100Y-125429050D01* +X253738731Y-125416215D01* +X253740616Y-125403503D01* +X253743739Y-125391037D01* +X253748068Y-125378938D01* +X253753562Y-125367321D01* +X253760169Y-125356298D01* +X253767824Y-125345976D01* +X253776454Y-125336454D01* +X253785976Y-125327824D01* +X253796298Y-125320169D01* +X253807321Y-125313562D01* +X253818938Y-125308068D01* +X253831037Y-125303739D01* +X253843503Y-125300616D01* +X253856215Y-125298731D01* +X253869050Y-125298100D01* +X254130950Y-125298100D01* +X254143785Y-125298731D01* +X254143785Y-125298731D01* +G37* +D13* +X254000000Y-126110000D03* +D10* +G36* +X252873785Y-125298731D02* +G01* +X252886497Y-125300616D01* +X252898963Y-125303739D01* +X252911062Y-125308068D01* +X252922679Y-125313562D01* +X252933702Y-125320169D01* +X252944024Y-125327824D01* +X252953546Y-125336454D01* +X252962176Y-125345976D01* +X252969831Y-125356298D01* +X252976438Y-125367321D01* +X252981932Y-125378938D01* +X252986261Y-125391037D01* +X252989384Y-125403503D01* +X252991269Y-125416215D01* +X252991900Y-125429050D01* +X252991900Y-126790950D01* +X252991269Y-126803785D01* +X252989384Y-126816497D01* +X252986261Y-126828963D01* +X252981932Y-126841062D01* +X252976438Y-126852679D01* +X252969831Y-126863702D01* +X252962176Y-126874024D01* +X252953546Y-126883546D01* +X252944024Y-126892176D01* +X252933702Y-126899831D01* +X252922679Y-126906438D01* +X252911062Y-126911932D01* +X252898963Y-126916261D01* +X252886497Y-126919384D01* +X252873785Y-126921269D01* +X252860950Y-126921900D01* +X252599050Y-126921900D01* +X252586215Y-126921269D01* +X252573503Y-126919384D01* +X252561037Y-126916261D01* +X252548938Y-126911932D01* +X252537321Y-126906438D01* +X252526298Y-126899831D01* +X252515976Y-126892176D01* +X252506454Y-126883546D01* +X252497824Y-126874024D01* +X252490169Y-126863702D01* +X252483562Y-126852679D01* +X252478068Y-126841062D01* +X252473739Y-126828963D01* +X252470616Y-126816497D01* +X252468731Y-126803785D01* +X252468100Y-126790950D01* +X252468100Y-125429050D01* +X252468731Y-125416215D01* +X252470616Y-125403503D01* +X252473739Y-125391037D01* +X252478068Y-125378938D01* +X252483562Y-125367321D01* +X252490169Y-125356298D01* +X252497824Y-125345976D01* +X252506454Y-125336454D01* +X252515976Y-125327824D01* +X252526298Y-125320169D01* +X252537321Y-125313562D01* +X252548938Y-125308068D01* +X252561037Y-125303739D01* +X252573503Y-125300616D01* +X252586215Y-125298731D01* +X252599050Y-125298100D01* +X252860950Y-125298100D01* +X252873785Y-125298731D01* +X252873785Y-125298731D01* +G37* +D13* +X252730000Y-126110000D03* +D10* +G36* +X251603785Y-125298731D02* +G01* +X251616497Y-125300616D01* +X251628963Y-125303739D01* +X251641062Y-125308068D01* +X251652679Y-125313562D01* +X251663702Y-125320169D01* +X251674024Y-125327824D01* +X251683546Y-125336454D01* +X251692176Y-125345976D01* +X251699831Y-125356298D01* +X251706438Y-125367321D01* +X251711932Y-125378938D01* +X251716261Y-125391037D01* +X251719384Y-125403503D01* +X251721269Y-125416215D01* +X251721900Y-125429050D01* +X251721900Y-126790950D01* +X251721269Y-126803785D01* +X251719384Y-126816497D01* +X251716261Y-126828963D01* +X251711932Y-126841062D01* +X251706438Y-126852679D01* +X251699831Y-126863702D01* +X251692176Y-126874024D01* +X251683546Y-126883546D01* +X251674024Y-126892176D01* +X251663702Y-126899831D01* +X251652679Y-126906438D01* +X251641062Y-126911932D01* +X251628963Y-126916261D01* +X251616497Y-126919384D01* +X251603785Y-126921269D01* +X251590950Y-126921900D01* +X251329050Y-126921900D01* +X251316215Y-126921269D01* +X251303503Y-126919384D01* +X251291037Y-126916261D01* +X251278938Y-126911932D01* +X251267321Y-126906438D01* +X251256298Y-126899831D01* +X251245976Y-126892176D01* +X251236454Y-126883546D01* +X251227824Y-126874024D01* +X251220169Y-126863702D01* +X251213562Y-126852679D01* +X251208068Y-126841062D01* +X251203739Y-126828963D01* +X251200616Y-126816497D01* +X251198731Y-126803785D01* +X251198100Y-126790950D01* +X251198100Y-125429050D01* +X251198731Y-125416215D01* +X251200616Y-125403503D01* +X251203739Y-125391037D01* +X251208068Y-125378938D01* +X251213562Y-125367321D01* +X251220169Y-125356298D01* +X251227824Y-125345976D01* +X251236454Y-125336454D01* +X251245976Y-125327824D01* +X251256298Y-125320169D01* +X251267321Y-125313562D01* +X251278938Y-125308068D01* +X251291037Y-125303739D01* +X251303503Y-125300616D01* +X251316215Y-125298731D01* +X251329050Y-125298100D01* +X251590950Y-125298100D01* +X251603785Y-125298731D01* +X251603785Y-125298731D01* +G37* +D13* +X251460000Y-126110000D03* +D10* +G36* +X250333785Y-125298731D02* +G01* +X250346497Y-125300616D01* +X250358963Y-125303739D01* +X250371062Y-125308068D01* +X250382679Y-125313562D01* +X250393702Y-125320169D01* +X250404024Y-125327824D01* +X250413546Y-125336454D01* +X250422176Y-125345976D01* +X250429831Y-125356298D01* +X250436438Y-125367321D01* +X250441932Y-125378938D01* +X250446261Y-125391037D01* +X250449384Y-125403503D01* +X250451269Y-125416215D01* +X250451900Y-125429050D01* +X250451900Y-126790950D01* +X250451269Y-126803785D01* +X250449384Y-126816497D01* +X250446261Y-126828963D01* +X250441932Y-126841062D01* +X250436438Y-126852679D01* +X250429831Y-126863702D01* +X250422176Y-126874024D01* +X250413546Y-126883546D01* +X250404024Y-126892176D01* +X250393702Y-126899831D01* +X250382679Y-126906438D01* +X250371062Y-126911932D01* +X250358963Y-126916261D01* +X250346497Y-126919384D01* +X250333785Y-126921269D01* +X250320950Y-126921900D01* +X250059050Y-126921900D01* +X250046215Y-126921269D01* +X250033503Y-126919384D01* +X250021037Y-126916261D01* +X250008938Y-126911932D01* +X249997321Y-126906438D01* +X249986298Y-126899831D01* +X249975976Y-126892176D01* +X249966454Y-126883546D01* +X249957824Y-126874024D01* +X249950169Y-126863702D01* +X249943562Y-126852679D01* +X249938068Y-126841062D01* +X249933739Y-126828963D01* +X249930616Y-126816497D01* +X249928731Y-126803785D01* +X249928100Y-126790950D01* +X249928100Y-125429050D01* +X249928731Y-125416215D01* +X249930616Y-125403503D01* +X249933739Y-125391037D01* +X249938068Y-125378938D01* +X249943562Y-125367321D01* +X249950169Y-125356298D01* +X249957824Y-125345976D01* +X249966454Y-125336454D01* +X249975976Y-125327824D01* +X249986298Y-125320169D01* +X249997321Y-125313562D01* +X250008938Y-125308068D01* +X250021037Y-125303739D01* +X250033503Y-125300616D01* +X250046215Y-125298731D01* +X250059050Y-125298100D01* +X250320950Y-125298100D01* +X250333785Y-125298731D01* +X250333785Y-125298731D01* +G37* +D13* +X250190000Y-126110000D03* +D10* +G36* +X249063785Y-125298731D02* +G01* +X249076497Y-125300616D01* +X249088963Y-125303739D01* +X249101062Y-125308068D01* +X249112679Y-125313562D01* +X249123702Y-125320169D01* +X249134024Y-125327824D01* +X249143546Y-125336454D01* +X249152176Y-125345976D01* +X249159831Y-125356298D01* +X249166438Y-125367321D01* +X249171932Y-125378938D01* +X249176261Y-125391037D01* +X249179384Y-125403503D01* +X249181269Y-125416215D01* +X249181900Y-125429050D01* +X249181900Y-126790950D01* +X249181269Y-126803785D01* +X249179384Y-126816497D01* +X249176261Y-126828963D01* +X249171932Y-126841062D01* +X249166438Y-126852679D01* +X249159831Y-126863702D01* +X249152176Y-126874024D01* +X249143546Y-126883546D01* +X249134024Y-126892176D01* +X249123702Y-126899831D01* +X249112679Y-126906438D01* +X249101062Y-126911932D01* +X249088963Y-126916261D01* +X249076497Y-126919384D01* +X249063785Y-126921269D01* +X249050950Y-126921900D01* +X248789050Y-126921900D01* +X248776215Y-126921269D01* +X248763503Y-126919384D01* +X248751037Y-126916261D01* +X248738938Y-126911932D01* +X248727321Y-126906438D01* +X248716298Y-126899831D01* +X248705976Y-126892176D01* +X248696454Y-126883546D01* +X248687824Y-126874024D01* +X248680169Y-126863702D01* +X248673562Y-126852679D01* +X248668068Y-126841062D01* +X248663739Y-126828963D01* +X248660616Y-126816497D01* +X248658731Y-126803785D01* +X248658100Y-126790950D01* +X248658100Y-125429050D01* +X248658731Y-125416215D01* +X248660616Y-125403503D01* +X248663739Y-125391037D01* +X248668068Y-125378938D01* +X248673562Y-125367321D01* +X248680169Y-125356298D01* +X248687824Y-125345976D01* +X248696454Y-125336454D01* +X248705976Y-125327824D01* +X248716298Y-125320169D01* +X248727321Y-125313562D01* +X248738938Y-125308068D01* +X248751037Y-125303739D01* +X248763503Y-125300616D01* +X248776215Y-125298731D01* +X248789050Y-125298100D01* +X249050950Y-125298100D01* +X249063785Y-125298731D01* +X249063785Y-125298731D01* +G37* +D13* +X248920000Y-126110000D03* +D10* +G36* +X247793785Y-125298731D02* +G01* +X247806497Y-125300616D01* +X247818963Y-125303739D01* +X247831062Y-125308068D01* +X247842679Y-125313562D01* +X247853702Y-125320169D01* +X247864024Y-125327824D01* +X247873546Y-125336454D01* +X247882176Y-125345976D01* +X247889831Y-125356298D01* +X247896438Y-125367321D01* +X247901932Y-125378938D01* +X247906261Y-125391037D01* +X247909384Y-125403503D01* +X247911269Y-125416215D01* +X247911900Y-125429050D01* +X247911900Y-126790950D01* +X247911269Y-126803785D01* +X247909384Y-126816497D01* +X247906261Y-126828963D01* +X247901932Y-126841062D01* +X247896438Y-126852679D01* +X247889831Y-126863702D01* +X247882176Y-126874024D01* +X247873546Y-126883546D01* +X247864024Y-126892176D01* +X247853702Y-126899831D01* +X247842679Y-126906438D01* +X247831062Y-126911932D01* +X247818963Y-126916261D01* +X247806497Y-126919384D01* +X247793785Y-126921269D01* +X247780950Y-126921900D01* +X247519050Y-126921900D01* +X247506215Y-126921269D01* +X247493503Y-126919384D01* +X247481037Y-126916261D01* +X247468938Y-126911932D01* +X247457321Y-126906438D01* +X247446298Y-126899831D01* +X247435976Y-126892176D01* +X247426454Y-126883546D01* +X247417824Y-126874024D01* +X247410169Y-126863702D01* +X247403562Y-126852679D01* +X247398068Y-126841062D01* +X247393739Y-126828963D01* +X247390616Y-126816497D01* +X247388731Y-126803785D01* +X247388100Y-126790950D01* +X247388100Y-125429050D01* +X247388731Y-125416215D01* +X247390616Y-125403503D01* +X247393739Y-125391037D01* +X247398068Y-125378938D01* +X247403562Y-125367321D01* +X247410169Y-125356298D01* +X247417824Y-125345976D01* +X247426454Y-125336454D01* +X247435976Y-125327824D01* +X247446298Y-125320169D01* +X247457321Y-125313562D01* +X247468938Y-125308068D01* +X247481037Y-125303739D01* +X247493503Y-125300616D01* +X247506215Y-125298731D01* +X247519050Y-125298100D01* +X247780950Y-125298100D01* +X247793785Y-125298731D01* +X247793785Y-125298731D01* +G37* +D13* +X247650000Y-126110000D03* +D10* +G36* +X246523785Y-125298731D02* +G01* +X246536497Y-125300616D01* +X246548963Y-125303739D01* +X246561062Y-125308068D01* +X246572679Y-125313562D01* +X246583702Y-125320169D01* +X246594024Y-125327824D01* +X246603546Y-125336454D01* +X246612176Y-125345976D01* +X246619831Y-125356298D01* +X246626438Y-125367321D01* +X246631932Y-125378938D01* +X246636261Y-125391037D01* +X246639384Y-125403503D01* +X246641269Y-125416215D01* +X246641900Y-125429050D01* +X246641900Y-126790950D01* +X246641269Y-126803785D01* +X246639384Y-126816497D01* +X246636261Y-126828963D01* +X246631932Y-126841062D01* +X246626438Y-126852679D01* +X246619831Y-126863702D01* +X246612176Y-126874024D01* +X246603546Y-126883546D01* +X246594024Y-126892176D01* +X246583702Y-126899831D01* +X246572679Y-126906438D01* +X246561062Y-126911932D01* +X246548963Y-126916261D01* +X246536497Y-126919384D01* +X246523785Y-126921269D01* +X246510950Y-126921900D01* +X246249050Y-126921900D01* +X246236215Y-126921269D01* +X246223503Y-126919384D01* +X246211037Y-126916261D01* +X246198938Y-126911932D01* +X246187321Y-126906438D01* +X246176298Y-126899831D01* +X246165976Y-126892176D01* +X246156454Y-126883546D01* +X246147824Y-126874024D01* +X246140169Y-126863702D01* +X246133562Y-126852679D01* +X246128068Y-126841062D01* +X246123739Y-126828963D01* +X246120616Y-126816497D01* +X246118731Y-126803785D01* +X246118100Y-126790950D01* +X246118100Y-125429050D01* +X246118731Y-125416215D01* +X246120616Y-125403503D01* +X246123739Y-125391037D01* +X246128068Y-125378938D01* +X246133562Y-125367321D01* +X246140169Y-125356298D01* +X246147824Y-125345976D01* +X246156454Y-125336454D01* +X246165976Y-125327824D01* +X246176298Y-125320169D01* +X246187321Y-125313562D01* +X246198938Y-125308068D01* +X246211037Y-125303739D01* +X246223503Y-125300616D01* +X246236215Y-125298731D01* +X246249050Y-125298100D01* +X246510950Y-125298100D01* +X246523785Y-125298731D01* +X246523785Y-125298731D01* +G37* +D13* +X246380000Y-126110000D03* +D10* +G36* +X245253785Y-125298731D02* +G01* +X245266497Y-125300616D01* +X245278963Y-125303739D01* +X245291062Y-125308068D01* +X245302679Y-125313562D01* +X245313702Y-125320169D01* +X245324024Y-125327824D01* +X245333546Y-125336454D01* +X245342176Y-125345976D01* +X245349831Y-125356298D01* +X245356438Y-125367321D01* +X245361932Y-125378938D01* +X245366261Y-125391037D01* +X245369384Y-125403503D01* +X245371269Y-125416215D01* +X245371900Y-125429050D01* +X245371900Y-126790950D01* +X245371269Y-126803785D01* +X245369384Y-126816497D01* +X245366261Y-126828963D01* +X245361932Y-126841062D01* +X245356438Y-126852679D01* +X245349831Y-126863702D01* +X245342176Y-126874024D01* +X245333546Y-126883546D01* +X245324024Y-126892176D01* +X245313702Y-126899831D01* +X245302679Y-126906438D01* +X245291062Y-126911932D01* +X245278963Y-126916261D01* +X245266497Y-126919384D01* +X245253785Y-126921269D01* +X245240950Y-126921900D01* +X244979050Y-126921900D01* +X244966215Y-126921269D01* +X244953503Y-126919384D01* +X244941037Y-126916261D01* +X244928938Y-126911932D01* +X244917321Y-126906438D01* +X244906298Y-126899831D01* +X244895976Y-126892176D01* +X244886454Y-126883546D01* +X244877824Y-126874024D01* +X244870169Y-126863702D01* +X244863562Y-126852679D01* +X244858068Y-126841062D01* +X244853739Y-126828963D01* +X244850616Y-126816497D01* +X244848731Y-126803785D01* +X244848100Y-126790950D01* +X244848100Y-125429050D01* +X244848731Y-125416215D01* +X244850616Y-125403503D01* +X244853739Y-125391037D01* +X244858068Y-125378938D01* +X244863562Y-125367321D01* +X244870169Y-125356298D01* +X244877824Y-125345976D01* +X244886454Y-125336454D01* +X244895976Y-125327824D01* +X244906298Y-125320169D01* +X244917321Y-125313562D01* +X244928938Y-125308068D01* +X244941037Y-125303739D01* +X244953503Y-125300616D01* +X244966215Y-125298731D01* +X244979050Y-125298100D01* +X245240950Y-125298100D01* +X245253785Y-125298731D01* +X245253785Y-125298731D01* +G37* +D13* +X245110000Y-126110000D03* +D10* +G36* +X243983785Y-125298731D02* +G01* +X243996497Y-125300616D01* +X244008963Y-125303739D01* +X244021062Y-125308068D01* +X244032679Y-125313562D01* +X244043702Y-125320169D01* +X244054024Y-125327824D01* +X244063546Y-125336454D01* +X244072176Y-125345976D01* +X244079831Y-125356298D01* +X244086438Y-125367321D01* +X244091932Y-125378938D01* +X244096261Y-125391037D01* +X244099384Y-125403503D01* +X244101269Y-125416215D01* +X244101900Y-125429050D01* +X244101900Y-126790950D01* +X244101269Y-126803785D01* +X244099384Y-126816497D01* +X244096261Y-126828963D01* +X244091932Y-126841062D01* +X244086438Y-126852679D01* +X244079831Y-126863702D01* +X244072176Y-126874024D01* +X244063546Y-126883546D01* +X244054024Y-126892176D01* +X244043702Y-126899831D01* +X244032679Y-126906438D01* +X244021062Y-126911932D01* +X244008963Y-126916261D01* +X243996497Y-126919384D01* +X243983785Y-126921269D01* +X243970950Y-126921900D01* +X243709050Y-126921900D01* +X243696215Y-126921269D01* +X243683503Y-126919384D01* +X243671037Y-126916261D01* +X243658938Y-126911932D01* +X243647321Y-126906438D01* +X243636298Y-126899831D01* +X243625976Y-126892176D01* +X243616454Y-126883546D01* +X243607824Y-126874024D01* +X243600169Y-126863702D01* +X243593562Y-126852679D01* +X243588068Y-126841062D01* +X243583739Y-126828963D01* +X243580616Y-126816497D01* +X243578731Y-126803785D01* +X243578100Y-126790950D01* +X243578100Y-125429050D01* +X243578731Y-125416215D01* +X243580616Y-125403503D01* +X243583739Y-125391037D01* +X243588068Y-125378938D01* +X243593562Y-125367321D01* +X243600169Y-125356298D01* +X243607824Y-125345976D01* +X243616454Y-125336454D01* +X243625976Y-125327824D01* +X243636298Y-125320169D01* +X243647321Y-125313562D01* +X243658938Y-125308068D01* +X243671037Y-125303739D01* +X243683503Y-125300616D01* +X243696215Y-125298731D01* +X243709050Y-125298100D01* +X243970950Y-125298100D01* +X243983785Y-125298731D01* +X243983785Y-125298731D01* +G37* +D13* +X243840000Y-126110000D03* +D10* +G36* +X242713785Y-125298731D02* +G01* +X242726497Y-125300616D01* +X242738963Y-125303739D01* +X242751062Y-125308068D01* +X242762679Y-125313562D01* +X242773702Y-125320169D01* +X242784024Y-125327824D01* +X242793546Y-125336454D01* +X242802176Y-125345976D01* +X242809831Y-125356298D01* +X242816438Y-125367321D01* +X242821932Y-125378938D01* +X242826261Y-125391037D01* +X242829384Y-125403503D01* +X242831269Y-125416215D01* +X242831900Y-125429050D01* +X242831900Y-126790950D01* +X242831269Y-126803785D01* +X242829384Y-126816497D01* +X242826261Y-126828963D01* +X242821932Y-126841062D01* +X242816438Y-126852679D01* +X242809831Y-126863702D01* +X242802176Y-126874024D01* +X242793546Y-126883546D01* +X242784024Y-126892176D01* +X242773702Y-126899831D01* +X242762679Y-126906438D01* +X242751062Y-126911932D01* +X242738963Y-126916261D01* +X242726497Y-126919384D01* +X242713785Y-126921269D01* +X242700950Y-126921900D01* +X242439050Y-126921900D01* +X242426215Y-126921269D01* +X242413503Y-126919384D01* +X242401037Y-126916261D01* +X242388938Y-126911932D01* +X242377321Y-126906438D01* +X242366298Y-126899831D01* +X242355976Y-126892176D01* +X242346454Y-126883546D01* +X242337824Y-126874024D01* +X242330169Y-126863702D01* +X242323562Y-126852679D01* +X242318068Y-126841062D01* +X242313739Y-126828963D01* +X242310616Y-126816497D01* +X242308731Y-126803785D01* +X242308100Y-126790950D01* +X242308100Y-125429050D01* +X242308731Y-125416215D01* +X242310616Y-125403503D01* +X242313739Y-125391037D01* +X242318068Y-125378938D01* +X242323562Y-125367321D01* +X242330169Y-125356298D01* +X242337824Y-125345976D01* +X242346454Y-125336454D01* +X242355976Y-125327824D01* +X242366298Y-125320169D01* +X242377321Y-125313562D01* +X242388938Y-125308068D01* +X242401037Y-125303739D01* +X242413503Y-125300616D01* +X242426215Y-125298731D01* +X242439050Y-125298100D01* +X242700950Y-125298100D01* +X242713785Y-125298731D01* +X242713785Y-125298731D01* +G37* +D13* +X242570000Y-126110000D03* +D10* +G36* +X241443785Y-125298731D02* +G01* +X241456497Y-125300616D01* +X241468963Y-125303739D01* +X241481062Y-125308068D01* +X241492679Y-125313562D01* +X241503702Y-125320169D01* +X241514024Y-125327824D01* +X241523546Y-125336454D01* +X241532176Y-125345976D01* +X241539831Y-125356298D01* +X241546438Y-125367321D01* +X241551932Y-125378938D01* +X241556261Y-125391037D01* +X241559384Y-125403503D01* +X241561269Y-125416215D01* +X241561900Y-125429050D01* +X241561900Y-126790950D01* +X241561269Y-126803785D01* +X241559384Y-126816497D01* +X241556261Y-126828963D01* +X241551932Y-126841062D01* +X241546438Y-126852679D01* +X241539831Y-126863702D01* +X241532176Y-126874024D01* +X241523546Y-126883546D01* +X241514024Y-126892176D01* +X241503702Y-126899831D01* +X241492679Y-126906438D01* +X241481062Y-126911932D01* +X241468963Y-126916261D01* +X241456497Y-126919384D01* +X241443785Y-126921269D01* +X241430950Y-126921900D01* +X241169050Y-126921900D01* +X241156215Y-126921269D01* +X241143503Y-126919384D01* +X241131037Y-126916261D01* +X241118938Y-126911932D01* +X241107321Y-126906438D01* +X241096298Y-126899831D01* +X241085976Y-126892176D01* +X241076454Y-126883546D01* +X241067824Y-126874024D01* +X241060169Y-126863702D01* +X241053562Y-126852679D01* +X241048068Y-126841062D01* +X241043739Y-126828963D01* +X241040616Y-126816497D01* +X241038731Y-126803785D01* +X241038100Y-126790950D01* +X241038100Y-125429050D01* +X241038731Y-125416215D01* +X241040616Y-125403503D01* +X241043739Y-125391037D01* +X241048068Y-125378938D01* +X241053562Y-125367321D01* +X241060169Y-125356298D01* +X241067824Y-125345976D01* +X241076454Y-125336454D01* +X241085976Y-125327824D01* +X241096298Y-125320169D01* +X241107321Y-125313562D01* +X241118938Y-125308068D01* +X241131037Y-125303739D01* +X241143503Y-125300616D01* +X241156215Y-125298731D01* +X241169050Y-125298100D01* +X241430950Y-125298100D01* +X241443785Y-125298731D01* +X241443785Y-125298731D01* +G37* +D13* +X241300000Y-126110000D03* +D10* +G36* +X240173785Y-125298731D02* +G01* +X240186497Y-125300616D01* +X240198963Y-125303739D01* +X240211062Y-125308068D01* +X240222679Y-125313562D01* +X240233702Y-125320169D01* +X240244024Y-125327824D01* +X240253546Y-125336454D01* +X240262176Y-125345976D01* +X240269831Y-125356298D01* +X240276438Y-125367321D01* +X240281932Y-125378938D01* +X240286261Y-125391037D01* +X240289384Y-125403503D01* +X240291269Y-125416215D01* +X240291900Y-125429050D01* +X240291900Y-126790950D01* +X240291269Y-126803785D01* +X240289384Y-126816497D01* +X240286261Y-126828963D01* +X240281932Y-126841062D01* +X240276438Y-126852679D01* +X240269831Y-126863702D01* +X240262176Y-126874024D01* +X240253546Y-126883546D01* +X240244024Y-126892176D01* +X240233702Y-126899831D01* +X240222679Y-126906438D01* +X240211062Y-126911932D01* +X240198963Y-126916261D01* +X240186497Y-126919384D01* +X240173785Y-126921269D01* +X240160950Y-126921900D01* +X239899050Y-126921900D01* +X239886215Y-126921269D01* +X239873503Y-126919384D01* +X239861037Y-126916261D01* +X239848938Y-126911932D01* +X239837321Y-126906438D01* +X239826298Y-126899831D01* +X239815976Y-126892176D01* +X239806454Y-126883546D01* +X239797824Y-126874024D01* +X239790169Y-126863702D01* +X239783562Y-126852679D01* +X239778068Y-126841062D01* +X239773739Y-126828963D01* +X239770616Y-126816497D01* +X239768731Y-126803785D01* +X239768100Y-126790950D01* +X239768100Y-125429050D01* +X239768731Y-125416215D01* +X239770616Y-125403503D01* +X239773739Y-125391037D01* +X239778068Y-125378938D01* +X239783562Y-125367321D01* +X239790169Y-125356298D01* +X239797824Y-125345976D01* +X239806454Y-125336454D01* +X239815976Y-125327824D01* +X239826298Y-125320169D01* +X239837321Y-125313562D01* +X239848938Y-125308068D01* +X239861037Y-125303739D01* +X239873503Y-125300616D01* +X239886215Y-125298731D01* +X239899050Y-125298100D01* +X240160950Y-125298100D01* +X240173785Y-125298731D01* +X240173785Y-125298731D01* +G37* +D13* +X240030000Y-126110000D03* +D10* +G36* +X238903785Y-125298731D02* +G01* +X238916497Y-125300616D01* +X238928963Y-125303739D01* +X238941062Y-125308068D01* +X238952679Y-125313562D01* +X238963702Y-125320169D01* +X238974024Y-125327824D01* +X238983546Y-125336454D01* +X238992176Y-125345976D01* +X238999831Y-125356298D01* +X239006438Y-125367321D01* +X239011932Y-125378938D01* +X239016261Y-125391037D01* +X239019384Y-125403503D01* +X239021269Y-125416215D01* +X239021900Y-125429050D01* +X239021900Y-126790950D01* +X239021269Y-126803785D01* +X239019384Y-126816497D01* +X239016261Y-126828963D01* +X239011932Y-126841062D01* +X239006438Y-126852679D01* +X238999831Y-126863702D01* +X238992176Y-126874024D01* +X238983546Y-126883546D01* +X238974024Y-126892176D01* +X238963702Y-126899831D01* +X238952679Y-126906438D01* +X238941062Y-126911932D01* +X238928963Y-126916261D01* +X238916497Y-126919384D01* +X238903785Y-126921269D01* +X238890950Y-126921900D01* +X238629050Y-126921900D01* +X238616215Y-126921269D01* +X238603503Y-126919384D01* +X238591037Y-126916261D01* +X238578938Y-126911932D01* +X238567321Y-126906438D01* +X238556298Y-126899831D01* +X238545976Y-126892176D01* +X238536454Y-126883546D01* +X238527824Y-126874024D01* +X238520169Y-126863702D01* +X238513562Y-126852679D01* +X238508068Y-126841062D01* +X238503739Y-126828963D01* +X238500616Y-126816497D01* +X238498731Y-126803785D01* +X238498100Y-126790950D01* +X238498100Y-125429050D01* +X238498731Y-125416215D01* +X238500616Y-125403503D01* +X238503739Y-125391037D01* +X238508068Y-125378938D01* +X238513562Y-125367321D01* +X238520169Y-125356298D01* +X238527824Y-125345976D01* +X238536454Y-125336454D01* +X238545976Y-125327824D01* +X238556298Y-125320169D01* +X238567321Y-125313562D01* +X238578938Y-125308068D01* +X238591037Y-125303739D01* +X238603503Y-125300616D01* +X238616215Y-125298731D01* +X238629050Y-125298100D01* +X238890950Y-125298100D01* +X238903785Y-125298731D01* +X238903785Y-125298731D01* +G37* +D13* +X238760000Y-126110000D03* +D10* +G36* +X237633785Y-125298731D02* +G01* +X237646497Y-125300616D01* +X237658963Y-125303739D01* +X237671062Y-125308068D01* +X237682679Y-125313562D01* +X237693702Y-125320169D01* +X237704024Y-125327824D01* +X237713546Y-125336454D01* +X237722176Y-125345976D01* +X237729831Y-125356298D01* +X237736438Y-125367321D01* +X237741932Y-125378938D01* +X237746261Y-125391037D01* +X237749384Y-125403503D01* +X237751269Y-125416215D01* +X237751900Y-125429050D01* +X237751900Y-126790950D01* +X237751269Y-126803785D01* +X237749384Y-126816497D01* +X237746261Y-126828963D01* +X237741932Y-126841062D01* +X237736438Y-126852679D01* +X237729831Y-126863702D01* +X237722176Y-126874024D01* +X237713546Y-126883546D01* +X237704024Y-126892176D01* +X237693702Y-126899831D01* +X237682679Y-126906438D01* +X237671062Y-126911932D01* +X237658963Y-126916261D01* +X237646497Y-126919384D01* +X237633785Y-126921269D01* +X237620950Y-126921900D01* +X237359050Y-126921900D01* +X237346215Y-126921269D01* +X237333503Y-126919384D01* +X237321037Y-126916261D01* +X237308938Y-126911932D01* +X237297321Y-126906438D01* +X237286298Y-126899831D01* +X237275976Y-126892176D01* +X237266454Y-126883546D01* +X237257824Y-126874024D01* +X237250169Y-126863702D01* +X237243562Y-126852679D01* +X237238068Y-126841062D01* +X237233739Y-126828963D01* +X237230616Y-126816497D01* +X237228731Y-126803785D01* +X237228100Y-126790950D01* +X237228100Y-125429050D01* +X237228731Y-125416215D01* +X237230616Y-125403503D01* +X237233739Y-125391037D01* +X237238068Y-125378938D01* +X237243562Y-125367321D01* +X237250169Y-125356298D01* +X237257824Y-125345976D01* +X237266454Y-125336454D01* +X237275976Y-125327824D01* +X237286298Y-125320169D01* +X237297321Y-125313562D01* +X237308938Y-125308068D01* +X237321037Y-125303739D01* +X237333503Y-125300616D01* +X237346215Y-125298731D01* +X237359050Y-125298100D01* +X237620950Y-125298100D01* +X237633785Y-125298731D01* +X237633785Y-125298731D01* +G37* +D13* +X237490000Y-126110000D03* +D10* +G36* +X236363785Y-125298731D02* +G01* +X236376497Y-125300616D01* +X236388963Y-125303739D01* +X236401062Y-125308068D01* +X236412679Y-125313562D01* +X236423702Y-125320169D01* +X236434024Y-125327824D01* +X236443546Y-125336454D01* +X236452176Y-125345976D01* +X236459831Y-125356298D01* +X236466438Y-125367321D01* +X236471932Y-125378938D01* +X236476261Y-125391037D01* +X236479384Y-125403503D01* +X236481269Y-125416215D01* +X236481900Y-125429050D01* +X236481900Y-126790950D01* +X236481269Y-126803785D01* +X236479384Y-126816497D01* +X236476261Y-126828963D01* +X236471932Y-126841062D01* +X236466438Y-126852679D01* +X236459831Y-126863702D01* +X236452176Y-126874024D01* +X236443546Y-126883546D01* +X236434024Y-126892176D01* +X236423702Y-126899831D01* +X236412679Y-126906438D01* +X236401062Y-126911932D01* +X236388963Y-126916261D01* +X236376497Y-126919384D01* +X236363785Y-126921269D01* +X236350950Y-126921900D01* +X236089050Y-126921900D01* +X236076215Y-126921269D01* +X236063503Y-126919384D01* +X236051037Y-126916261D01* +X236038938Y-126911932D01* +X236027321Y-126906438D01* +X236016298Y-126899831D01* +X236005976Y-126892176D01* +X235996454Y-126883546D01* +X235987824Y-126874024D01* +X235980169Y-126863702D01* +X235973562Y-126852679D01* +X235968068Y-126841062D01* +X235963739Y-126828963D01* +X235960616Y-126816497D01* +X235958731Y-126803785D01* +X235958100Y-126790950D01* +X235958100Y-125429050D01* +X235958731Y-125416215D01* +X235960616Y-125403503D01* +X235963739Y-125391037D01* +X235968068Y-125378938D01* +X235973562Y-125367321D01* +X235980169Y-125356298D01* +X235987824Y-125345976D01* +X235996454Y-125336454D01* +X236005976Y-125327824D01* +X236016298Y-125320169D01* +X236027321Y-125313562D01* +X236038938Y-125308068D01* +X236051037Y-125303739D01* +X236063503Y-125300616D01* +X236076215Y-125298731D01* +X236089050Y-125298100D01* +X236350950Y-125298100D01* +X236363785Y-125298731D01* +X236363785Y-125298731D01* +G37* +D13* +X236220000Y-126110000D03* +D10* +G36* +X235093785Y-125298731D02* +G01* +X235106497Y-125300616D01* +X235118963Y-125303739D01* +X235131062Y-125308068D01* +X235142679Y-125313562D01* +X235153702Y-125320169D01* +X235164024Y-125327824D01* +X235173546Y-125336454D01* +X235182176Y-125345976D01* +X235189831Y-125356298D01* +X235196438Y-125367321D01* +X235201932Y-125378938D01* +X235206261Y-125391037D01* +X235209384Y-125403503D01* +X235211269Y-125416215D01* +X235211900Y-125429050D01* +X235211900Y-126790950D01* +X235211269Y-126803785D01* +X235209384Y-126816497D01* +X235206261Y-126828963D01* +X235201932Y-126841062D01* +X235196438Y-126852679D01* +X235189831Y-126863702D01* +X235182176Y-126874024D01* +X235173546Y-126883546D01* +X235164024Y-126892176D01* +X235153702Y-126899831D01* +X235142679Y-126906438D01* +X235131062Y-126911932D01* +X235118963Y-126916261D01* +X235106497Y-126919384D01* +X235093785Y-126921269D01* +X235080950Y-126921900D01* +X234819050Y-126921900D01* +X234806215Y-126921269D01* +X234793503Y-126919384D01* +X234781037Y-126916261D01* +X234768938Y-126911932D01* +X234757321Y-126906438D01* +X234746298Y-126899831D01* +X234735976Y-126892176D01* +X234726454Y-126883546D01* +X234717824Y-126874024D01* +X234710169Y-126863702D01* +X234703562Y-126852679D01* +X234698068Y-126841062D01* +X234693739Y-126828963D01* +X234690616Y-126816497D01* +X234688731Y-126803785D01* +X234688100Y-126790950D01* +X234688100Y-125429050D01* +X234688731Y-125416215D01* +X234690616Y-125403503D01* +X234693739Y-125391037D01* +X234698068Y-125378938D01* +X234703562Y-125367321D01* +X234710169Y-125356298D01* +X234717824Y-125345976D01* +X234726454Y-125336454D01* +X234735976Y-125327824D01* +X234746298Y-125320169D01* +X234757321Y-125313562D01* +X234768938Y-125308068D01* +X234781037Y-125303739D01* +X234793503Y-125300616D01* +X234806215Y-125298731D01* +X234819050Y-125298100D01* +X235080950Y-125298100D01* +X235093785Y-125298731D01* +X235093785Y-125298731D01* +G37* +D13* +X234950000Y-126110000D03* +D10* +G36* +X233993785Y-124198731D02* +G01* +X234006497Y-124200616D01* +X234018963Y-124203739D01* +X234031062Y-124208068D01* +X234042679Y-124213562D01* +X234053702Y-124220169D01* +X234064024Y-124227824D01* +X234073546Y-124236454D01* +X234082176Y-124245976D01* +X234089831Y-124256298D01* +X234096438Y-124267321D01* +X234101932Y-124278938D01* +X234106261Y-124291037D01* +X234109384Y-124303503D01* +X234111269Y-124316215D01* +X234111900Y-124329050D01* +X234111900Y-124590950D01* +X234111269Y-124603785D01* +X234109384Y-124616497D01* +X234106261Y-124628963D01* +X234101932Y-124641062D01* +X234096438Y-124652679D01* +X234089831Y-124663702D01* +X234082176Y-124674024D01* +X234073546Y-124683546D01* +X234064024Y-124692176D01* +X234053702Y-124699831D01* +X234042679Y-124706438D01* +X234031062Y-124711932D01* +X234018963Y-124716261D01* +X234006497Y-124719384D01* +X233993785Y-124721269D01* +X233980950Y-124721900D01* +X232619050Y-124721900D01* +X232606215Y-124721269D01* +X232593503Y-124719384D01* +X232581037Y-124716261D01* +X232568938Y-124711932D01* +X232557321Y-124706438D01* +X232546298Y-124699831D01* +X232535976Y-124692176D01* +X232526454Y-124683546D01* +X232517824Y-124674024D01* +X232510169Y-124663702D01* +X232503562Y-124652679D01* +X232498068Y-124641062D01* +X232493739Y-124628963D01* +X232490616Y-124616497D01* +X232488731Y-124603785D01* +X232488100Y-124590950D01* +X232488100Y-124329050D01* +X232488731Y-124316215D01* +X232490616Y-124303503D01* +X232493739Y-124291037D01* +X232498068Y-124278938D01* +X232503562Y-124267321D01* +X232510169Y-124256298D01* +X232517824Y-124245976D01* +X232526454Y-124236454D01* +X232535976Y-124227824D01* +X232546298Y-124220169D01* +X232557321Y-124213562D01* +X232568938Y-124208068D01* +X232581037Y-124203739D01* +X232593503Y-124200616D01* +X232606215Y-124198731D01* +X232619050Y-124198100D01* +X233980950Y-124198100D01* +X233993785Y-124198731D01* +X233993785Y-124198731D01* +G37* +D13* +X233300000Y-124460000D03* +D10* +G36* +X233993785Y-122928731D02* +G01* +X234006497Y-122930616D01* +X234018963Y-122933739D01* +X234031062Y-122938068D01* +X234042679Y-122943562D01* +X234053702Y-122950169D01* +X234064024Y-122957824D01* +X234073546Y-122966454D01* +X234082176Y-122975976D01* +X234089831Y-122986298D01* +X234096438Y-122997321D01* +X234101932Y-123008938D01* +X234106261Y-123021037D01* +X234109384Y-123033503D01* +X234111269Y-123046215D01* +X234111900Y-123059050D01* +X234111900Y-123320950D01* +X234111269Y-123333785D01* +X234109384Y-123346497D01* +X234106261Y-123358963D01* +X234101932Y-123371062D01* +X234096438Y-123382679D01* +X234089831Y-123393702D01* +X234082176Y-123404024D01* +X234073546Y-123413546D01* +X234064024Y-123422176D01* +X234053702Y-123429831D01* +X234042679Y-123436438D01* +X234031062Y-123441932D01* +X234018963Y-123446261D01* +X234006497Y-123449384D01* +X233993785Y-123451269D01* +X233980950Y-123451900D01* +X232619050Y-123451900D01* +X232606215Y-123451269D01* +X232593503Y-123449384D01* +X232581037Y-123446261D01* +X232568938Y-123441932D01* +X232557321Y-123436438D01* +X232546298Y-123429831D01* +X232535976Y-123422176D01* +X232526454Y-123413546D01* +X232517824Y-123404024D01* +X232510169Y-123393702D01* +X232503562Y-123382679D01* +X232498068Y-123371062D01* +X232493739Y-123358963D01* +X232490616Y-123346497D01* +X232488731Y-123333785D01* +X232488100Y-123320950D01* +X232488100Y-123059050D01* +X232488731Y-123046215D01* +X232490616Y-123033503D01* +X232493739Y-123021037D01* +X232498068Y-123008938D01* +X232503562Y-122997321D01* +X232510169Y-122986298D01* +X232517824Y-122975976D01* +X232526454Y-122966454D01* +X232535976Y-122957824D01* +X232546298Y-122950169D01* +X232557321Y-122943562D01* +X232568938Y-122938068D01* +X232581037Y-122933739D01* +X232593503Y-122930616D01* +X232606215Y-122928731D01* +X232619050Y-122928100D01* +X233980950Y-122928100D01* +X233993785Y-122928731D01* +X233993785Y-122928731D01* +G37* +D13* +X233300000Y-123190000D03* +D10* +G36* +X233993785Y-121658731D02* +G01* +X234006497Y-121660616D01* +X234018963Y-121663739D01* +X234031062Y-121668068D01* +X234042679Y-121673562D01* +X234053702Y-121680169D01* +X234064024Y-121687824D01* +X234073546Y-121696454D01* +X234082176Y-121705976D01* +X234089831Y-121716298D01* +X234096438Y-121727321D01* +X234101932Y-121738938D01* +X234106261Y-121751037D01* +X234109384Y-121763503D01* +X234111269Y-121776215D01* +X234111900Y-121789050D01* +X234111900Y-122050950D01* +X234111269Y-122063785D01* +X234109384Y-122076497D01* +X234106261Y-122088963D01* +X234101932Y-122101062D01* +X234096438Y-122112679D01* +X234089831Y-122123702D01* +X234082176Y-122134024D01* +X234073546Y-122143546D01* +X234064024Y-122152176D01* +X234053702Y-122159831D01* +X234042679Y-122166438D01* +X234031062Y-122171932D01* +X234018963Y-122176261D01* +X234006497Y-122179384D01* +X233993785Y-122181269D01* +X233980950Y-122181900D01* +X232619050Y-122181900D01* +X232606215Y-122181269D01* +X232593503Y-122179384D01* +X232581037Y-122176261D01* +X232568938Y-122171932D01* +X232557321Y-122166438D01* +X232546298Y-122159831D01* +X232535976Y-122152176D01* +X232526454Y-122143546D01* +X232517824Y-122134024D01* +X232510169Y-122123702D01* +X232503562Y-122112679D01* +X232498068Y-122101062D01* +X232493739Y-122088963D01* +X232490616Y-122076497D01* +X232488731Y-122063785D01* +X232488100Y-122050950D01* +X232488100Y-121789050D01* +X232488731Y-121776215D01* +X232490616Y-121763503D01* +X232493739Y-121751037D01* +X232498068Y-121738938D01* +X232503562Y-121727321D01* +X232510169Y-121716298D01* +X232517824Y-121705976D01* +X232526454Y-121696454D01* +X232535976Y-121687824D01* +X232546298Y-121680169D01* +X232557321Y-121673562D01* +X232568938Y-121668068D01* +X232581037Y-121663739D01* +X232593503Y-121660616D01* +X232606215Y-121658731D01* +X232619050Y-121658100D01* +X233980950Y-121658100D01* +X233993785Y-121658731D01* +X233993785Y-121658731D01* +G37* +D13* +X233300000Y-121920000D03* +D10* +G36* +X233993785Y-120388731D02* +G01* +X234006497Y-120390616D01* +X234018963Y-120393739D01* +X234031062Y-120398068D01* +X234042679Y-120403562D01* +X234053702Y-120410169D01* +X234064024Y-120417824D01* +X234073546Y-120426454D01* +X234082176Y-120435976D01* +X234089831Y-120446298D01* +X234096438Y-120457321D01* +X234101932Y-120468938D01* +X234106261Y-120481037D01* +X234109384Y-120493503D01* +X234111269Y-120506215D01* +X234111900Y-120519050D01* +X234111900Y-120780950D01* +X234111269Y-120793785D01* +X234109384Y-120806497D01* +X234106261Y-120818963D01* +X234101932Y-120831062D01* +X234096438Y-120842679D01* +X234089831Y-120853702D01* +X234082176Y-120864024D01* +X234073546Y-120873546D01* +X234064024Y-120882176D01* +X234053702Y-120889831D01* +X234042679Y-120896438D01* +X234031062Y-120901932D01* +X234018963Y-120906261D01* +X234006497Y-120909384D01* +X233993785Y-120911269D01* +X233980950Y-120911900D01* +X232619050Y-120911900D01* +X232606215Y-120911269D01* +X232593503Y-120909384D01* +X232581037Y-120906261D01* +X232568938Y-120901932D01* +X232557321Y-120896438D01* +X232546298Y-120889831D01* +X232535976Y-120882176D01* +X232526454Y-120873546D01* +X232517824Y-120864024D01* +X232510169Y-120853702D01* +X232503562Y-120842679D01* +X232498068Y-120831062D01* +X232493739Y-120818963D01* +X232490616Y-120806497D01* +X232488731Y-120793785D01* +X232488100Y-120780950D01* +X232488100Y-120519050D01* +X232488731Y-120506215D01* +X232490616Y-120493503D01* +X232493739Y-120481037D01* +X232498068Y-120468938D01* +X232503562Y-120457321D01* +X232510169Y-120446298D01* +X232517824Y-120435976D01* +X232526454Y-120426454D01* +X232535976Y-120417824D01* +X232546298Y-120410169D01* +X232557321Y-120403562D01* +X232568938Y-120398068D01* +X232581037Y-120393739D01* +X232593503Y-120390616D01* +X232606215Y-120388731D01* +X232619050Y-120388100D01* +X233980950Y-120388100D01* +X233993785Y-120388731D01* +X233993785Y-120388731D01* +G37* +D13* +X233300000Y-120650000D03* +D10* +G36* +X233993785Y-119118731D02* +G01* +X234006497Y-119120616D01* +X234018963Y-119123739D01* +X234031062Y-119128068D01* +X234042679Y-119133562D01* +X234053702Y-119140169D01* +X234064024Y-119147824D01* +X234073546Y-119156454D01* +X234082176Y-119165976D01* +X234089831Y-119176298D01* +X234096438Y-119187321D01* +X234101932Y-119198938D01* +X234106261Y-119211037D01* +X234109384Y-119223503D01* +X234111269Y-119236215D01* +X234111900Y-119249050D01* +X234111900Y-119510950D01* +X234111269Y-119523785D01* +X234109384Y-119536497D01* +X234106261Y-119548963D01* +X234101932Y-119561062D01* +X234096438Y-119572679D01* +X234089831Y-119583702D01* +X234082176Y-119594024D01* +X234073546Y-119603546D01* +X234064024Y-119612176D01* +X234053702Y-119619831D01* +X234042679Y-119626438D01* +X234031062Y-119631932D01* +X234018963Y-119636261D01* +X234006497Y-119639384D01* +X233993785Y-119641269D01* +X233980950Y-119641900D01* +X232619050Y-119641900D01* +X232606215Y-119641269D01* +X232593503Y-119639384D01* +X232581037Y-119636261D01* +X232568938Y-119631932D01* +X232557321Y-119626438D01* +X232546298Y-119619831D01* +X232535976Y-119612176D01* +X232526454Y-119603546D01* +X232517824Y-119594024D01* +X232510169Y-119583702D01* +X232503562Y-119572679D01* +X232498068Y-119561062D01* +X232493739Y-119548963D01* +X232490616Y-119536497D01* +X232488731Y-119523785D01* +X232488100Y-119510950D01* +X232488100Y-119249050D01* +X232488731Y-119236215D01* +X232490616Y-119223503D01* +X232493739Y-119211037D01* +X232498068Y-119198938D01* +X232503562Y-119187321D01* +X232510169Y-119176298D01* +X232517824Y-119165976D01* +X232526454Y-119156454D01* +X232535976Y-119147824D01* +X232546298Y-119140169D01* +X232557321Y-119133562D01* +X232568938Y-119128068D01* +X232581037Y-119123739D01* +X232593503Y-119120616D01* +X232606215Y-119118731D01* +X232619050Y-119118100D01* +X233980950Y-119118100D01* +X233993785Y-119118731D01* +X233993785Y-119118731D01* +G37* +D13* +X233300000Y-119380000D03* +D10* +G36* +X233993785Y-117848731D02* +G01* +X234006497Y-117850616D01* +X234018963Y-117853739D01* +X234031062Y-117858068D01* +X234042679Y-117863562D01* +X234053702Y-117870169D01* +X234064024Y-117877824D01* +X234073546Y-117886454D01* +X234082176Y-117895976D01* +X234089831Y-117906298D01* +X234096438Y-117917321D01* +X234101932Y-117928938D01* +X234106261Y-117941037D01* +X234109384Y-117953503D01* +X234111269Y-117966215D01* +X234111900Y-117979050D01* +X234111900Y-118240950D01* +X234111269Y-118253785D01* +X234109384Y-118266497D01* +X234106261Y-118278963D01* +X234101932Y-118291062D01* +X234096438Y-118302679D01* +X234089831Y-118313702D01* +X234082176Y-118324024D01* +X234073546Y-118333546D01* +X234064024Y-118342176D01* +X234053702Y-118349831D01* +X234042679Y-118356438D01* +X234031062Y-118361932D01* +X234018963Y-118366261D01* +X234006497Y-118369384D01* +X233993785Y-118371269D01* +X233980950Y-118371900D01* +X232619050Y-118371900D01* +X232606215Y-118371269D01* +X232593503Y-118369384D01* +X232581037Y-118366261D01* +X232568938Y-118361932D01* +X232557321Y-118356438D01* +X232546298Y-118349831D01* +X232535976Y-118342176D01* +X232526454Y-118333546D01* +X232517824Y-118324024D01* +X232510169Y-118313702D01* +X232503562Y-118302679D01* +X232498068Y-118291062D01* +X232493739Y-118278963D01* +X232490616Y-118266497D01* +X232488731Y-118253785D01* +X232488100Y-118240950D01* +X232488100Y-117979050D01* +X232488731Y-117966215D01* +X232490616Y-117953503D01* +X232493739Y-117941037D01* +X232498068Y-117928938D01* +X232503562Y-117917321D01* +X232510169Y-117906298D01* +X232517824Y-117895976D01* +X232526454Y-117886454D01* +X232535976Y-117877824D01* +X232546298Y-117870169D01* +X232557321Y-117863562D01* +X232568938Y-117858068D01* +X232581037Y-117853739D01* +X232593503Y-117850616D01* +X232606215Y-117848731D01* +X232619050Y-117848100D01* +X233980950Y-117848100D01* +X233993785Y-117848731D01* +X233993785Y-117848731D01* +G37* +D13* +X233300000Y-118110000D03* +D10* +G36* +X233993785Y-116578731D02* +G01* +X234006497Y-116580616D01* +X234018963Y-116583739D01* +X234031062Y-116588068D01* +X234042679Y-116593562D01* +X234053702Y-116600169D01* +X234064024Y-116607824D01* +X234073546Y-116616454D01* +X234082176Y-116625976D01* +X234089831Y-116636298D01* +X234096438Y-116647321D01* +X234101932Y-116658938D01* +X234106261Y-116671037D01* +X234109384Y-116683503D01* +X234111269Y-116696215D01* +X234111900Y-116709050D01* +X234111900Y-116970950D01* +X234111269Y-116983785D01* +X234109384Y-116996497D01* +X234106261Y-117008963D01* +X234101932Y-117021062D01* +X234096438Y-117032679D01* +X234089831Y-117043702D01* +X234082176Y-117054024D01* +X234073546Y-117063546D01* +X234064024Y-117072176D01* +X234053702Y-117079831D01* +X234042679Y-117086438D01* +X234031062Y-117091932D01* +X234018963Y-117096261D01* +X234006497Y-117099384D01* +X233993785Y-117101269D01* +X233980950Y-117101900D01* +X232619050Y-117101900D01* +X232606215Y-117101269D01* +X232593503Y-117099384D01* +X232581037Y-117096261D01* +X232568938Y-117091932D01* +X232557321Y-117086438D01* +X232546298Y-117079831D01* +X232535976Y-117072176D01* +X232526454Y-117063546D01* +X232517824Y-117054024D01* +X232510169Y-117043702D01* +X232503562Y-117032679D01* +X232498068Y-117021062D01* +X232493739Y-117008963D01* +X232490616Y-116996497D01* +X232488731Y-116983785D01* +X232488100Y-116970950D01* +X232488100Y-116709050D01* +X232488731Y-116696215D01* +X232490616Y-116683503D01* +X232493739Y-116671037D01* +X232498068Y-116658938D01* +X232503562Y-116647321D01* +X232510169Y-116636298D01* +X232517824Y-116625976D01* +X232526454Y-116616454D01* +X232535976Y-116607824D01* +X232546298Y-116600169D01* +X232557321Y-116593562D01* +X232568938Y-116588068D01* +X232581037Y-116583739D01* +X232593503Y-116580616D01* +X232606215Y-116578731D01* +X232619050Y-116578100D01* +X233980950Y-116578100D01* +X233993785Y-116578731D01* +X233993785Y-116578731D01* +G37* +D13* +X233300000Y-116840000D03* +D10* +G36* +X233993785Y-115308731D02* +G01* +X234006497Y-115310616D01* +X234018963Y-115313739D01* +X234031062Y-115318068D01* +X234042679Y-115323562D01* +X234053702Y-115330169D01* +X234064024Y-115337824D01* +X234073546Y-115346454D01* +X234082176Y-115355976D01* +X234089831Y-115366298D01* +X234096438Y-115377321D01* +X234101932Y-115388938D01* +X234106261Y-115401037D01* +X234109384Y-115413503D01* +X234111269Y-115426215D01* +X234111900Y-115439050D01* +X234111900Y-115700950D01* +X234111269Y-115713785D01* +X234109384Y-115726497D01* +X234106261Y-115738963D01* +X234101932Y-115751062D01* +X234096438Y-115762679D01* +X234089831Y-115773702D01* +X234082176Y-115784024D01* +X234073546Y-115793546D01* +X234064024Y-115802176D01* +X234053702Y-115809831D01* +X234042679Y-115816438D01* +X234031062Y-115821932D01* +X234018963Y-115826261D01* +X234006497Y-115829384D01* +X233993785Y-115831269D01* +X233980950Y-115831900D01* +X232619050Y-115831900D01* +X232606215Y-115831269D01* +X232593503Y-115829384D01* +X232581037Y-115826261D01* +X232568938Y-115821932D01* +X232557321Y-115816438D01* +X232546298Y-115809831D01* +X232535976Y-115802176D01* +X232526454Y-115793546D01* +X232517824Y-115784024D01* +X232510169Y-115773702D01* +X232503562Y-115762679D01* +X232498068Y-115751062D01* +X232493739Y-115738963D01* +X232490616Y-115726497D01* +X232488731Y-115713785D01* +X232488100Y-115700950D01* +X232488100Y-115439050D01* +X232488731Y-115426215D01* +X232490616Y-115413503D01* +X232493739Y-115401037D01* +X232498068Y-115388938D01* +X232503562Y-115377321D01* +X232510169Y-115366298D01* +X232517824Y-115355976D01* +X232526454Y-115346454D01* +X232535976Y-115337824D01* +X232546298Y-115330169D01* +X232557321Y-115323562D01* +X232568938Y-115318068D01* +X232581037Y-115313739D01* +X232593503Y-115310616D01* +X232606215Y-115308731D01* +X232619050Y-115308100D01* +X233980950Y-115308100D01* +X233993785Y-115308731D01* +X233993785Y-115308731D01* +G37* +D13* +X233300000Y-115570000D03* +D10* +G36* +X233993785Y-114038731D02* +G01* +X234006497Y-114040616D01* +X234018963Y-114043739D01* +X234031062Y-114048068D01* +X234042679Y-114053562D01* +X234053702Y-114060169D01* +X234064024Y-114067824D01* +X234073546Y-114076454D01* +X234082176Y-114085976D01* +X234089831Y-114096298D01* +X234096438Y-114107321D01* +X234101932Y-114118938D01* +X234106261Y-114131037D01* +X234109384Y-114143503D01* +X234111269Y-114156215D01* +X234111900Y-114169050D01* +X234111900Y-114430950D01* +X234111269Y-114443785D01* +X234109384Y-114456497D01* +X234106261Y-114468963D01* +X234101932Y-114481062D01* +X234096438Y-114492679D01* +X234089831Y-114503702D01* +X234082176Y-114514024D01* +X234073546Y-114523546D01* +X234064024Y-114532176D01* +X234053702Y-114539831D01* +X234042679Y-114546438D01* +X234031062Y-114551932D01* +X234018963Y-114556261D01* +X234006497Y-114559384D01* +X233993785Y-114561269D01* +X233980950Y-114561900D01* +X232619050Y-114561900D01* +X232606215Y-114561269D01* +X232593503Y-114559384D01* +X232581037Y-114556261D01* +X232568938Y-114551932D01* +X232557321Y-114546438D01* +X232546298Y-114539831D01* +X232535976Y-114532176D01* +X232526454Y-114523546D01* +X232517824Y-114514024D01* +X232510169Y-114503702D01* +X232503562Y-114492679D01* +X232498068Y-114481062D01* +X232493739Y-114468963D01* +X232490616Y-114456497D01* +X232488731Y-114443785D01* +X232488100Y-114430950D01* +X232488100Y-114169050D01* +X232488731Y-114156215D01* +X232490616Y-114143503D01* +X232493739Y-114131037D01* +X232498068Y-114118938D01* +X232503562Y-114107321D01* +X232510169Y-114096298D01* +X232517824Y-114085976D01* +X232526454Y-114076454D01* +X232535976Y-114067824D01* +X232546298Y-114060169D01* +X232557321Y-114053562D01* +X232568938Y-114048068D01* +X232581037Y-114043739D01* +X232593503Y-114040616D01* +X232606215Y-114038731D01* +X232619050Y-114038100D01* +X233980950Y-114038100D01* +X233993785Y-114038731D01* +X233993785Y-114038731D01* +G37* +D13* +X233300000Y-114300000D03* +D10* +G36* +X233993785Y-112768731D02* +G01* +X234006497Y-112770616D01* +X234018963Y-112773739D01* +X234031062Y-112778068D01* +X234042679Y-112783562D01* +X234053702Y-112790169D01* +X234064024Y-112797824D01* +X234073546Y-112806454D01* +X234082176Y-112815976D01* +X234089831Y-112826298D01* +X234096438Y-112837321D01* +X234101932Y-112848938D01* +X234106261Y-112861037D01* +X234109384Y-112873503D01* +X234111269Y-112886215D01* +X234111900Y-112899050D01* +X234111900Y-113160950D01* +X234111269Y-113173785D01* +X234109384Y-113186497D01* +X234106261Y-113198963D01* +X234101932Y-113211062D01* +X234096438Y-113222679D01* +X234089831Y-113233702D01* +X234082176Y-113244024D01* +X234073546Y-113253546D01* +X234064024Y-113262176D01* +X234053702Y-113269831D01* +X234042679Y-113276438D01* +X234031062Y-113281932D01* +X234018963Y-113286261D01* +X234006497Y-113289384D01* +X233993785Y-113291269D01* +X233980950Y-113291900D01* +X232619050Y-113291900D01* +X232606215Y-113291269D01* +X232593503Y-113289384D01* +X232581037Y-113286261D01* +X232568938Y-113281932D01* +X232557321Y-113276438D01* +X232546298Y-113269831D01* +X232535976Y-113262176D01* +X232526454Y-113253546D01* +X232517824Y-113244024D01* +X232510169Y-113233702D01* +X232503562Y-113222679D01* +X232498068Y-113211062D01* +X232493739Y-113198963D01* +X232490616Y-113186497D01* +X232488731Y-113173785D01* +X232488100Y-113160950D01* +X232488100Y-112899050D01* +X232488731Y-112886215D01* +X232490616Y-112873503D01* +X232493739Y-112861037D01* +X232498068Y-112848938D01* +X232503562Y-112837321D01* +X232510169Y-112826298D01* +X232517824Y-112815976D01* +X232526454Y-112806454D01* +X232535976Y-112797824D01* +X232546298Y-112790169D01* +X232557321Y-112783562D01* +X232568938Y-112778068D01* +X232581037Y-112773739D01* +X232593503Y-112770616D01* +X232606215Y-112768731D01* +X232619050Y-112768100D01* +X233980950Y-112768100D01* +X233993785Y-112768731D01* +X233993785Y-112768731D01* +G37* +D13* +X233300000Y-113030000D03* +D10* +G36* +X233993785Y-111498731D02* +G01* +X234006497Y-111500616D01* +X234018963Y-111503739D01* +X234031062Y-111508068D01* +X234042679Y-111513562D01* +X234053702Y-111520169D01* +X234064024Y-111527824D01* +X234073546Y-111536454D01* +X234082176Y-111545976D01* +X234089831Y-111556298D01* +X234096438Y-111567321D01* +X234101932Y-111578938D01* +X234106261Y-111591037D01* +X234109384Y-111603503D01* +X234111269Y-111616215D01* +X234111900Y-111629050D01* +X234111900Y-111890950D01* +X234111269Y-111903785D01* +X234109384Y-111916497D01* +X234106261Y-111928963D01* +X234101932Y-111941062D01* +X234096438Y-111952679D01* +X234089831Y-111963702D01* +X234082176Y-111974024D01* +X234073546Y-111983546D01* +X234064024Y-111992176D01* +X234053702Y-111999831D01* +X234042679Y-112006438D01* +X234031062Y-112011932D01* +X234018963Y-112016261D01* +X234006497Y-112019384D01* +X233993785Y-112021269D01* +X233980950Y-112021900D01* +X232619050Y-112021900D01* +X232606215Y-112021269D01* +X232593503Y-112019384D01* +X232581037Y-112016261D01* +X232568938Y-112011932D01* +X232557321Y-112006438D01* +X232546298Y-111999831D01* +X232535976Y-111992176D01* +X232526454Y-111983546D01* +X232517824Y-111974024D01* +X232510169Y-111963702D01* +X232503562Y-111952679D01* +X232498068Y-111941062D01* +X232493739Y-111928963D01* +X232490616Y-111916497D01* +X232488731Y-111903785D01* +X232488100Y-111890950D01* +X232488100Y-111629050D01* +X232488731Y-111616215D01* +X232490616Y-111603503D01* +X232493739Y-111591037D01* +X232498068Y-111578938D01* +X232503562Y-111567321D01* +X232510169Y-111556298D01* +X232517824Y-111545976D01* +X232526454Y-111536454D01* +X232535976Y-111527824D01* +X232546298Y-111520169D01* +X232557321Y-111513562D01* +X232568938Y-111508068D01* +X232581037Y-111503739D01* +X232593503Y-111500616D01* +X232606215Y-111498731D01* +X232619050Y-111498100D01* +X233980950Y-111498100D01* +X233993785Y-111498731D01* +X233993785Y-111498731D01* +G37* +D13* +X233300000Y-111760000D03* +D10* +G36* +X233993785Y-110228731D02* +G01* +X234006497Y-110230616D01* +X234018963Y-110233739D01* +X234031062Y-110238068D01* +X234042679Y-110243562D01* +X234053702Y-110250169D01* +X234064024Y-110257824D01* +X234073546Y-110266454D01* +X234082176Y-110275976D01* +X234089831Y-110286298D01* +X234096438Y-110297321D01* +X234101932Y-110308938D01* +X234106261Y-110321037D01* +X234109384Y-110333503D01* +X234111269Y-110346215D01* +X234111900Y-110359050D01* +X234111900Y-110620950D01* +X234111269Y-110633785D01* +X234109384Y-110646497D01* +X234106261Y-110658963D01* +X234101932Y-110671062D01* +X234096438Y-110682679D01* +X234089831Y-110693702D01* +X234082176Y-110704024D01* +X234073546Y-110713546D01* +X234064024Y-110722176D01* +X234053702Y-110729831D01* +X234042679Y-110736438D01* +X234031062Y-110741932D01* +X234018963Y-110746261D01* +X234006497Y-110749384D01* +X233993785Y-110751269D01* +X233980950Y-110751900D01* +X232619050Y-110751900D01* +X232606215Y-110751269D01* +X232593503Y-110749384D01* +X232581037Y-110746261D01* +X232568938Y-110741932D01* +X232557321Y-110736438D01* +X232546298Y-110729831D01* +X232535976Y-110722176D01* +X232526454Y-110713546D01* +X232517824Y-110704024D01* +X232510169Y-110693702D01* +X232503562Y-110682679D01* +X232498068Y-110671062D01* +X232493739Y-110658963D01* +X232490616Y-110646497D01* +X232488731Y-110633785D01* +X232488100Y-110620950D01* +X232488100Y-110359050D01* +X232488731Y-110346215D01* +X232490616Y-110333503D01* +X232493739Y-110321037D01* +X232498068Y-110308938D01* +X232503562Y-110297321D01* +X232510169Y-110286298D01* +X232517824Y-110275976D01* +X232526454Y-110266454D01* +X232535976Y-110257824D01* +X232546298Y-110250169D01* +X232557321Y-110243562D01* +X232568938Y-110238068D01* +X232581037Y-110233739D01* +X232593503Y-110230616D01* +X232606215Y-110228731D01* +X232619050Y-110228100D01* +X233980950Y-110228100D01* +X233993785Y-110228731D01* +X233993785Y-110228731D01* +G37* +D13* +X233300000Y-110490000D03* +D10* +G36* +X233993785Y-108958731D02* +G01* +X234006497Y-108960616D01* +X234018963Y-108963739D01* +X234031062Y-108968068D01* +X234042679Y-108973562D01* +X234053702Y-108980169D01* +X234064024Y-108987824D01* +X234073546Y-108996454D01* +X234082176Y-109005976D01* +X234089831Y-109016298D01* +X234096438Y-109027321D01* +X234101932Y-109038938D01* +X234106261Y-109051037D01* +X234109384Y-109063503D01* +X234111269Y-109076215D01* +X234111900Y-109089050D01* +X234111900Y-109350950D01* +X234111269Y-109363785D01* +X234109384Y-109376497D01* +X234106261Y-109388963D01* +X234101932Y-109401062D01* +X234096438Y-109412679D01* +X234089831Y-109423702D01* +X234082176Y-109434024D01* +X234073546Y-109443546D01* +X234064024Y-109452176D01* +X234053702Y-109459831D01* +X234042679Y-109466438D01* +X234031062Y-109471932D01* +X234018963Y-109476261D01* +X234006497Y-109479384D01* +X233993785Y-109481269D01* +X233980950Y-109481900D01* +X232619050Y-109481900D01* +X232606215Y-109481269D01* +X232593503Y-109479384D01* +X232581037Y-109476261D01* +X232568938Y-109471932D01* +X232557321Y-109466438D01* +X232546298Y-109459831D01* +X232535976Y-109452176D01* +X232526454Y-109443546D01* +X232517824Y-109434024D01* +X232510169Y-109423702D01* +X232503562Y-109412679D01* +X232498068Y-109401062D01* +X232493739Y-109388963D01* +X232490616Y-109376497D01* +X232488731Y-109363785D01* +X232488100Y-109350950D01* +X232488100Y-109089050D01* +X232488731Y-109076215D01* +X232490616Y-109063503D01* +X232493739Y-109051037D01* +X232498068Y-109038938D01* +X232503562Y-109027321D01* +X232510169Y-109016298D01* +X232517824Y-109005976D01* +X232526454Y-108996454D01* +X232535976Y-108987824D01* +X232546298Y-108980169D01* +X232557321Y-108973562D01* +X232568938Y-108968068D01* +X232581037Y-108963739D01* +X232593503Y-108960616D01* +X232606215Y-108958731D01* +X232619050Y-108958100D01* +X233980950Y-108958100D01* +X233993785Y-108958731D01* +X233993785Y-108958731D01* +G37* +D13* +X233300000Y-109220000D03* +D10* +G36* +X233993785Y-107688731D02* +G01* +X234006497Y-107690616D01* +X234018963Y-107693739D01* +X234031062Y-107698068D01* +X234042679Y-107703562D01* +X234053702Y-107710169D01* +X234064024Y-107717824D01* +X234073546Y-107726454D01* +X234082176Y-107735976D01* +X234089831Y-107746298D01* +X234096438Y-107757321D01* +X234101932Y-107768938D01* +X234106261Y-107781037D01* +X234109384Y-107793503D01* +X234111269Y-107806215D01* +X234111900Y-107819050D01* +X234111900Y-108080950D01* +X234111269Y-108093785D01* +X234109384Y-108106497D01* +X234106261Y-108118963D01* +X234101932Y-108131062D01* +X234096438Y-108142679D01* +X234089831Y-108153702D01* +X234082176Y-108164024D01* +X234073546Y-108173546D01* +X234064024Y-108182176D01* +X234053702Y-108189831D01* +X234042679Y-108196438D01* +X234031062Y-108201932D01* +X234018963Y-108206261D01* +X234006497Y-108209384D01* +X233993785Y-108211269D01* +X233980950Y-108211900D01* +X232619050Y-108211900D01* +X232606215Y-108211269D01* +X232593503Y-108209384D01* +X232581037Y-108206261D01* +X232568938Y-108201932D01* +X232557321Y-108196438D01* +X232546298Y-108189831D01* +X232535976Y-108182176D01* +X232526454Y-108173546D01* +X232517824Y-108164024D01* +X232510169Y-108153702D01* +X232503562Y-108142679D01* +X232498068Y-108131062D01* +X232493739Y-108118963D01* +X232490616Y-108106497D01* +X232488731Y-108093785D01* +X232488100Y-108080950D01* +X232488100Y-107819050D01* +X232488731Y-107806215D01* +X232490616Y-107793503D01* +X232493739Y-107781037D01* +X232498068Y-107768938D01* +X232503562Y-107757321D01* +X232510169Y-107746298D01* +X232517824Y-107735976D01* +X232526454Y-107726454D01* +X232535976Y-107717824D01* +X232546298Y-107710169D01* +X232557321Y-107703562D01* +X232568938Y-107698068D01* +X232581037Y-107693739D01* +X232593503Y-107690616D01* +X232606215Y-107688731D01* +X232619050Y-107688100D01* +X233980950Y-107688100D01* +X233993785Y-107688731D01* +X233993785Y-107688731D01* +G37* +D13* +X233300000Y-107950000D03* +D10* +G36* +X233993785Y-106418731D02* +G01* +X234006497Y-106420616D01* +X234018963Y-106423739D01* +X234031062Y-106428068D01* +X234042679Y-106433562D01* +X234053702Y-106440169D01* +X234064024Y-106447824D01* +X234073546Y-106456454D01* +X234082176Y-106465976D01* +X234089831Y-106476298D01* +X234096438Y-106487321D01* +X234101932Y-106498938D01* +X234106261Y-106511037D01* +X234109384Y-106523503D01* +X234111269Y-106536215D01* +X234111900Y-106549050D01* +X234111900Y-106810950D01* +X234111269Y-106823785D01* +X234109384Y-106836497D01* +X234106261Y-106848963D01* +X234101932Y-106861062D01* +X234096438Y-106872679D01* +X234089831Y-106883702D01* +X234082176Y-106894024D01* +X234073546Y-106903546D01* +X234064024Y-106912176D01* +X234053702Y-106919831D01* +X234042679Y-106926438D01* +X234031062Y-106931932D01* +X234018963Y-106936261D01* +X234006497Y-106939384D01* +X233993785Y-106941269D01* +X233980950Y-106941900D01* +X232619050Y-106941900D01* +X232606215Y-106941269D01* +X232593503Y-106939384D01* +X232581037Y-106936261D01* +X232568938Y-106931932D01* +X232557321Y-106926438D01* +X232546298Y-106919831D01* +X232535976Y-106912176D01* +X232526454Y-106903546D01* +X232517824Y-106894024D01* +X232510169Y-106883702D01* +X232503562Y-106872679D01* +X232498068Y-106861062D01* +X232493739Y-106848963D01* +X232490616Y-106836497D01* +X232488731Y-106823785D01* +X232488100Y-106810950D01* +X232488100Y-106549050D01* +X232488731Y-106536215D01* +X232490616Y-106523503D01* +X232493739Y-106511037D01* +X232498068Y-106498938D01* +X232503562Y-106487321D01* +X232510169Y-106476298D01* +X232517824Y-106465976D01* +X232526454Y-106456454D01* +X232535976Y-106447824D01* +X232546298Y-106440169D01* +X232557321Y-106433562D01* +X232568938Y-106428068D01* +X232581037Y-106423739D01* +X232593503Y-106420616D01* +X232606215Y-106418731D01* +X232619050Y-106418100D01* +X233980950Y-106418100D01* +X233993785Y-106418731D01* +X233993785Y-106418731D01* +G37* +D13* +X233300000Y-106680000D03* +D10* +G36* +X233993785Y-105148731D02* +G01* +X234006497Y-105150616D01* +X234018963Y-105153739D01* +X234031062Y-105158068D01* +X234042679Y-105163562D01* +X234053702Y-105170169D01* +X234064024Y-105177824D01* +X234073546Y-105186454D01* +X234082176Y-105195976D01* +X234089831Y-105206298D01* +X234096438Y-105217321D01* +X234101932Y-105228938D01* +X234106261Y-105241037D01* +X234109384Y-105253503D01* +X234111269Y-105266215D01* +X234111900Y-105279050D01* +X234111900Y-105540950D01* +X234111269Y-105553785D01* +X234109384Y-105566497D01* +X234106261Y-105578963D01* +X234101932Y-105591062D01* +X234096438Y-105602679D01* +X234089831Y-105613702D01* +X234082176Y-105624024D01* +X234073546Y-105633546D01* +X234064024Y-105642176D01* +X234053702Y-105649831D01* +X234042679Y-105656438D01* +X234031062Y-105661932D01* +X234018963Y-105666261D01* +X234006497Y-105669384D01* +X233993785Y-105671269D01* +X233980950Y-105671900D01* +X232619050Y-105671900D01* +X232606215Y-105671269D01* +X232593503Y-105669384D01* +X232581037Y-105666261D01* +X232568938Y-105661932D01* +X232557321Y-105656438D01* +X232546298Y-105649831D01* +X232535976Y-105642176D01* +X232526454Y-105633546D01* +X232517824Y-105624024D01* +X232510169Y-105613702D01* +X232503562Y-105602679D01* +X232498068Y-105591062D01* +X232493739Y-105578963D01* +X232490616Y-105566497D01* +X232488731Y-105553785D01* +X232488100Y-105540950D01* +X232488100Y-105279050D01* +X232488731Y-105266215D01* +X232490616Y-105253503D01* +X232493739Y-105241037D01* +X232498068Y-105228938D01* +X232503562Y-105217321D01* +X232510169Y-105206298D01* +X232517824Y-105195976D01* +X232526454Y-105186454D01* +X232535976Y-105177824D01* +X232546298Y-105170169D01* +X232557321Y-105163562D01* +X232568938Y-105158068D01* +X232581037Y-105153739D01* +X232593503Y-105150616D01* +X232606215Y-105148731D01* +X232619050Y-105148100D01* +X233980950Y-105148100D01* +X233993785Y-105148731D01* +X233993785Y-105148731D01* +G37* +D13* +X233300000Y-105410000D03* +D10* +G36* +X233993785Y-103878731D02* +G01* +X234006497Y-103880616D01* +X234018963Y-103883739D01* +X234031062Y-103888068D01* +X234042679Y-103893562D01* +X234053702Y-103900169D01* +X234064024Y-103907824D01* +X234073546Y-103916454D01* +X234082176Y-103925976D01* +X234089831Y-103936298D01* +X234096438Y-103947321D01* +X234101932Y-103958938D01* +X234106261Y-103971037D01* +X234109384Y-103983503D01* +X234111269Y-103996215D01* +X234111900Y-104009050D01* +X234111900Y-104270950D01* +X234111269Y-104283785D01* +X234109384Y-104296497D01* +X234106261Y-104308963D01* +X234101932Y-104321062D01* +X234096438Y-104332679D01* +X234089831Y-104343702D01* +X234082176Y-104354024D01* +X234073546Y-104363546D01* +X234064024Y-104372176D01* +X234053702Y-104379831D01* +X234042679Y-104386438D01* +X234031062Y-104391932D01* +X234018963Y-104396261D01* +X234006497Y-104399384D01* +X233993785Y-104401269D01* +X233980950Y-104401900D01* +X232619050Y-104401900D01* +X232606215Y-104401269D01* +X232593503Y-104399384D01* +X232581037Y-104396261D01* +X232568938Y-104391932D01* +X232557321Y-104386438D01* +X232546298Y-104379831D01* +X232535976Y-104372176D01* +X232526454Y-104363546D01* +X232517824Y-104354024D01* +X232510169Y-104343702D01* +X232503562Y-104332679D01* +X232498068Y-104321062D01* +X232493739Y-104308963D01* +X232490616Y-104296497D01* +X232488731Y-104283785D01* +X232488100Y-104270950D01* +X232488100Y-104009050D01* +X232488731Y-103996215D01* +X232490616Y-103983503D01* +X232493739Y-103971037D01* +X232498068Y-103958938D01* +X232503562Y-103947321D01* +X232510169Y-103936298D01* +X232517824Y-103925976D01* +X232526454Y-103916454D01* +X232535976Y-103907824D01* +X232546298Y-103900169D01* +X232557321Y-103893562D01* +X232568938Y-103888068D01* +X232581037Y-103883739D01* +X232593503Y-103880616D01* +X232606215Y-103878731D01* +X232619050Y-103878100D01* +X233980950Y-103878100D01* +X233993785Y-103878731D01* +X233993785Y-103878731D01* +G37* +D13* +X233300000Y-104140000D03* +D10* +G36* +X233993785Y-102608731D02* +G01* +X234006497Y-102610616D01* +X234018963Y-102613739D01* +X234031062Y-102618068D01* +X234042679Y-102623562D01* +X234053702Y-102630169D01* +X234064024Y-102637824D01* +X234073546Y-102646454D01* +X234082176Y-102655976D01* +X234089831Y-102666298D01* +X234096438Y-102677321D01* +X234101932Y-102688938D01* +X234106261Y-102701037D01* +X234109384Y-102713503D01* +X234111269Y-102726215D01* +X234111900Y-102739050D01* +X234111900Y-103000950D01* +X234111269Y-103013785D01* +X234109384Y-103026497D01* +X234106261Y-103038963D01* +X234101932Y-103051062D01* +X234096438Y-103062679D01* +X234089831Y-103073702D01* +X234082176Y-103084024D01* +X234073546Y-103093546D01* +X234064024Y-103102176D01* +X234053702Y-103109831D01* +X234042679Y-103116438D01* +X234031062Y-103121932D01* +X234018963Y-103126261D01* +X234006497Y-103129384D01* +X233993785Y-103131269D01* +X233980950Y-103131900D01* +X232619050Y-103131900D01* +X232606215Y-103131269D01* +X232593503Y-103129384D01* +X232581037Y-103126261D01* +X232568938Y-103121932D01* +X232557321Y-103116438D01* +X232546298Y-103109831D01* +X232535976Y-103102176D01* +X232526454Y-103093546D01* +X232517824Y-103084024D01* +X232510169Y-103073702D01* +X232503562Y-103062679D01* +X232498068Y-103051062D01* +X232493739Y-103038963D01* +X232490616Y-103026497D01* +X232488731Y-103013785D01* +X232488100Y-103000950D01* +X232488100Y-102739050D01* +X232488731Y-102726215D01* +X232490616Y-102713503D01* +X232493739Y-102701037D01* +X232498068Y-102688938D01* +X232503562Y-102677321D01* +X232510169Y-102666298D01* +X232517824Y-102655976D01* +X232526454Y-102646454D01* +X232535976Y-102637824D01* +X232546298Y-102630169D01* +X232557321Y-102623562D01* +X232568938Y-102618068D01* +X232581037Y-102613739D01* +X232593503Y-102610616D01* +X232606215Y-102608731D01* +X232619050Y-102608100D01* +X233980950Y-102608100D01* +X233993785Y-102608731D01* +X233993785Y-102608731D01* +G37* +D13* +X233300000Y-102870000D03* +D10* +G36* +X233993785Y-101338731D02* +G01* +X234006497Y-101340616D01* +X234018963Y-101343739D01* +X234031062Y-101348068D01* +X234042679Y-101353562D01* +X234053702Y-101360169D01* +X234064024Y-101367824D01* +X234073546Y-101376454D01* +X234082176Y-101385976D01* +X234089831Y-101396298D01* +X234096438Y-101407321D01* +X234101932Y-101418938D01* +X234106261Y-101431037D01* +X234109384Y-101443503D01* +X234111269Y-101456215D01* +X234111900Y-101469050D01* +X234111900Y-101730950D01* +X234111269Y-101743785D01* +X234109384Y-101756497D01* +X234106261Y-101768963D01* +X234101932Y-101781062D01* +X234096438Y-101792679D01* +X234089831Y-101803702D01* +X234082176Y-101814024D01* +X234073546Y-101823546D01* +X234064024Y-101832176D01* +X234053702Y-101839831D01* +X234042679Y-101846438D01* +X234031062Y-101851932D01* +X234018963Y-101856261D01* +X234006497Y-101859384D01* +X233993785Y-101861269D01* +X233980950Y-101861900D01* +X232619050Y-101861900D01* +X232606215Y-101861269D01* +X232593503Y-101859384D01* +X232581037Y-101856261D01* +X232568938Y-101851932D01* +X232557321Y-101846438D01* +X232546298Y-101839831D01* +X232535976Y-101832176D01* +X232526454Y-101823546D01* +X232517824Y-101814024D01* +X232510169Y-101803702D01* +X232503562Y-101792679D01* +X232498068Y-101781062D01* +X232493739Y-101768963D01* +X232490616Y-101756497D01* +X232488731Y-101743785D01* +X232488100Y-101730950D01* +X232488100Y-101469050D01* +X232488731Y-101456215D01* +X232490616Y-101443503D01* +X232493739Y-101431037D01* +X232498068Y-101418938D01* +X232503562Y-101407321D01* +X232510169Y-101396298D01* +X232517824Y-101385976D01* +X232526454Y-101376454D01* +X232535976Y-101367824D01* +X232546298Y-101360169D01* +X232557321Y-101353562D01* +X232568938Y-101348068D01* +X232581037Y-101343739D01* +X232593503Y-101340616D01* +X232606215Y-101338731D01* +X232619050Y-101338100D01* +X233980950Y-101338100D01* +X233993785Y-101338731D01* +X233993785Y-101338731D01* +G37* +D13* +X233300000Y-101600000D03* +D10* +G36* +X233993785Y-100068731D02* +G01* +X234006497Y-100070616D01* +X234018963Y-100073739D01* +X234031062Y-100078068D01* +X234042679Y-100083562D01* +X234053702Y-100090169D01* +X234064024Y-100097824D01* +X234073546Y-100106454D01* +X234082176Y-100115976D01* +X234089831Y-100126298D01* +X234096438Y-100137321D01* +X234101932Y-100148938D01* +X234106261Y-100161037D01* +X234109384Y-100173503D01* +X234111269Y-100186215D01* +X234111900Y-100199050D01* +X234111900Y-100460950D01* +X234111269Y-100473785D01* +X234109384Y-100486497D01* +X234106261Y-100498963D01* +X234101932Y-100511062D01* +X234096438Y-100522679D01* +X234089831Y-100533702D01* +X234082176Y-100544024D01* +X234073546Y-100553546D01* +X234064024Y-100562176D01* +X234053702Y-100569831D01* +X234042679Y-100576438D01* +X234031062Y-100581932D01* +X234018963Y-100586261D01* +X234006497Y-100589384D01* +X233993785Y-100591269D01* +X233980950Y-100591900D01* +X232619050Y-100591900D01* +X232606215Y-100591269D01* +X232593503Y-100589384D01* +X232581037Y-100586261D01* +X232568938Y-100581932D01* +X232557321Y-100576438D01* +X232546298Y-100569831D01* +X232535976Y-100562176D01* +X232526454Y-100553546D01* +X232517824Y-100544024D01* +X232510169Y-100533702D01* +X232503562Y-100522679D01* +X232498068Y-100511062D01* +X232493739Y-100498963D01* +X232490616Y-100486497D01* +X232488731Y-100473785D01* +X232488100Y-100460950D01* +X232488100Y-100199050D01* +X232488731Y-100186215D01* +X232490616Y-100173503D01* +X232493739Y-100161037D01* +X232498068Y-100148938D01* +X232503562Y-100137321D01* +X232510169Y-100126298D01* +X232517824Y-100115976D01* +X232526454Y-100106454D01* +X232535976Y-100097824D01* +X232546298Y-100090169D01* +X232557321Y-100083562D01* +X232568938Y-100078068D01* +X232581037Y-100073739D01* +X232593503Y-100070616D01* +X232606215Y-100068731D01* +X232619050Y-100068100D01* +X233980950Y-100068100D01* +X233993785Y-100068731D01* +X233993785Y-100068731D01* +G37* +D13* +X233300000Y-100330000D03* +D10* +G36* +X233993785Y-98798731D02* +G01* +X234006497Y-98800616D01* +X234018963Y-98803739D01* +X234031062Y-98808068D01* +X234042679Y-98813562D01* +X234053702Y-98820169D01* +X234064024Y-98827824D01* +X234073546Y-98836454D01* +X234082176Y-98845976D01* +X234089831Y-98856298D01* +X234096438Y-98867321D01* +X234101932Y-98878938D01* +X234106261Y-98891037D01* +X234109384Y-98903503D01* +X234111269Y-98916215D01* +X234111900Y-98929050D01* +X234111900Y-99190950D01* +X234111269Y-99203785D01* +X234109384Y-99216497D01* +X234106261Y-99228963D01* +X234101932Y-99241062D01* +X234096438Y-99252679D01* +X234089831Y-99263702D01* +X234082176Y-99274024D01* +X234073546Y-99283546D01* +X234064024Y-99292176D01* +X234053702Y-99299831D01* +X234042679Y-99306438D01* +X234031062Y-99311932D01* +X234018963Y-99316261D01* +X234006497Y-99319384D01* +X233993785Y-99321269D01* +X233980950Y-99321900D01* +X232619050Y-99321900D01* +X232606215Y-99321269D01* +X232593503Y-99319384D01* +X232581037Y-99316261D01* +X232568938Y-99311932D01* +X232557321Y-99306438D01* +X232546298Y-99299831D01* +X232535976Y-99292176D01* +X232526454Y-99283546D01* +X232517824Y-99274024D01* +X232510169Y-99263702D01* +X232503562Y-99252679D01* +X232498068Y-99241062D01* +X232493739Y-99228963D01* +X232490616Y-99216497D01* +X232488731Y-99203785D01* +X232488100Y-99190950D01* +X232488100Y-98929050D01* +X232488731Y-98916215D01* +X232490616Y-98903503D01* +X232493739Y-98891037D01* +X232498068Y-98878938D01* +X232503562Y-98867321D01* +X232510169Y-98856298D01* +X232517824Y-98845976D01* +X232526454Y-98836454D01* +X232535976Y-98827824D01* +X232546298Y-98820169D01* +X232557321Y-98813562D01* +X232568938Y-98808068D01* +X232581037Y-98803739D01* +X232593503Y-98800616D01* +X232606215Y-98798731D01* +X232619050Y-98798100D01* +X233980950Y-98798100D01* +X233993785Y-98798731D01* +X233993785Y-98798731D01* +G37* +D13* +X233300000Y-99060000D03* +D10* +G36* +X235093785Y-96598731D02* +G01* +X235106497Y-96600616D01* +X235118963Y-96603739D01* +X235131062Y-96608068D01* +X235142679Y-96613562D01* +X235153702Y-96620169D01* +X235164024Y-96627824D01* +X235173546Y-96636454D01* +X235182176Y-96645976D01* +X235189831Y-96656298D01* +X235196438Y-96667321D01* +X235201932Y-96678938D01* +X235206261Y-96691037D01* +X235209384Y-96703503D01* +X235211269Y-96716215D01* +X235211900Y-96729050D01* +X235211900Y-98090950D01* +X235211269Y-98103785D01* +X235209384Y-98116497D01* +X235206261Y-98128963D01* +X235201932Y-98141062D01* +X235196438Y-98152679D01* +X235189831Y-98163702D01* +X235182176Y-98174024D01* +X235173546Y-98183546D01* +X235164024Y-98192176D01* +X235153702Y-98199831D01* +X235142679Y-98206438D01* +X235131062Y-98211932D01* +X235118963Y-98216261D01* +X235106497Y-98219384D01* +X235093785Y-98221269D01* +X235080950Y-98221900D01* +X234819050Y-98221900D01* +X234806215Y-98221269D01* +X234793503Y-98219384D01* +X234781037Y-98216261D01* +X234768938Y-98211932D01* +X234757321Y-98206438D01* +X234746298Y-98199831D01* +X234735976Y-98192176D01* +X234726454Y-98183546D01* +X234717824Y-98174024D01* +X234710169Y-98163702D01* +X234703562Y-98152679D01* +X234698068Y-98141062D01* +X234693739Y-98128963D01* +X234690616Y-98116497D01* +X234688731Y-98103785D01* +X234688100Y-98090950D01* +X234688100Y-96729050D01* +X234688731Y-96716215D01* +X234690616Y-96703503D01* +X234693739Y-96691037D01* +X234698068Y-96678938D01* +X234703562Y-96667321D01* +X234710169Y-96656298D01* +X234717824Y-96645976D01* +X234726454Y-96636454D01* +X234735976Y-96627824D01* +X234746298Y-96620169D01* +X234757321Y-96613562D01* +X234768938Y-96608068D01* +X234781037Y-96603739D01* +X234793503Y-96600616D01* +X234806215Y-96598731D01* +X234819050Y-96598100D01* +X235080950Y-96598100D01* +X235093785Y-96598731D01* +X235093785Y-96598731D01* +G37* +D13* +X234950000Y-97410000D03* +D10* +G36* +X236363785Y-96598731D02* +G01* +X236376497Y-96600616D01* +X236388963Y-96603739D01* +X236401062Y-96608068D01* +X236412679Y-96613562D01* +X236423702Y-96620169D01* +X236434024Y-96627824D01* +X236443546Y-96636454D01* +X236452176Y-96645976D01* +X236459831Y-96656298D01* +X236466438Y-96667321D01* +X236471932Y-96678938D01* +X236476261Y-96691037D01* +X236479384Y-96703503D01* +X236481269Y-96716215D01* +X236481900Y-96729050D01* +X236481900Y-98090950D01* +X236481269Y-98103785D01* +X236479384Y-98116497D01* +X236476261Y-98128963D01* +X236471932Y-98141062D01* +X236466438Y-98152679D01* +X236459831Y-98163702D01* +X236452176Y-98174024D01* +X236443546Y-98183546D01* +X236434024Y-98192176D01* +X236423702Y-98199831D01* +X236412679Y-98206438D01* +X236401062Y-98211932D01* +X236388963Y-98216261D01* +X236376497Y-98219384D01* +X236363785Y-98221269D01* +X236350950Y-98221900D01* +X236089050Y-98221900D01* +X236076215Y-98221269D01* +X236063503Y-98219384D01* +X236051037Y-98216261D01* +X236038938Y-98211932D01* +X236027321Y-98206438D01* +X236016298Y-98199831D01* +X236005976Y-98192176D01* +X235996454Y-98183546D01* +X235987824Y-98174024D01* +X235980169Y-98163702D01* +X235973562Y-98152679D01* +X235968068Y-98141062D01* +X235963739Y-98128963D01* +X235960616Y-98116497D01* +X235958731Y-98103785D01* +X235958100Y-98090950D01* +X235958100Y-96729050D01* +X235958731Y-96716215D01* +X235960616Y-96703503D01* +X235963739Y-96691037D01* +X235968068Y-96678938D01* +X235973562Y-96667321D01* +X235980169Y-96656298D01* +X235987824Y-96645976D01* +X235996454Y-96636454D01* +X236005976Y-96627824D01* +X236016298Y-96620169D01* +X236027321Y-96613562D01* +X236038938Y-96608068D01* +X236051037Y-96603739D01* +X236063503Y-96600616D01* +X236076215Y-96598731D01* +X236089050Y-96598100D01* +X236350950Y-96598100D01* +X236363785Y-96598731D01* +X236363785Y-96598731D01* +G37* +D13* +X236220000Y-97410000D03* +D10* +G36* +X237633785Y-96598731D02* +G01* +X237646497Y-96600616D01* +X237658963Y-96603739D01* +X237671062Y-96608068D01* +X237682679Y-96613562D01* +X237693702Y-96620169D01* +X237704024Y-96627824D01* +X237713546Y-96636454D01* +X237722176Y-96645976D01* +X237729831Y-96656298D01* +X237736438Y-96667321D01* +X237741932Y-96678938D01* +X237746261Y-96691037D01* +X237749384Y-96703503D01* +X237751269Y-96716215D01* +X237751900Y-96729050D01* +X237751900Y-98090950D01* +X237751269Y-98103785D01* +X237749384Y-98116497D01* +X237746261Y-98128963D01* +X237741932Y-98141062D01* +X237736438Y-98152679D01* +X237729831Y-98163702D01* +X237722176Y-98174024D01* +X237713546Y-98183546D01* +X237704024Y-98192176D01* +X237693702Y-98199831D01* +X237682679Y-98206438D01* +X237671062Y-98211932D01* +X237658963Y-98216261D01* +X237646497Y-98219384D01* +X237633785Y-98221269D01* +X237620950Y-98221900D01* +X237359050Y-98221900D01* +X237346215Y-98221269D01* +X237333503Y-98219384D01* +X237321037Y-98216261D01* +X237308938Y-98211932D01* +X237297321Y-98206438D01* +X237286298Y-98199831D01* +X237275976Y-98192176D01* +X237266454Y-98183546D01* +X237257824Y-98174024D01* +X237250169Y-98163702D01* +X237243562Y-98152679D01* +X237238068Y-98141062D01* +X237233739Y-98128963D01* +X237230616Y-98116497D01* +X237228731Y-98103785D01* +X237228100Y-98090950D01* +X237228100Y-96729050D01* +X237228731Y-96716215D01* +X237230616Y-96703503D01* +X237233739Y-96691037D01* +X237238068Y-96678938D01* +X237243562Y-96667321D01* +X237250169Y-96656298D01* +X237257824Y-96645976D01* +X237266454Y-96636454D01* +X237275976Y-96627824D01* +X237286298Y-96620169D01* +X237297321Y-96613562D01* +X237308938Y-96608068D01* +X237321037Y-96603739D01* +X237333503Y-96600616D01* +X237346215Y-96598731D01* +X237359050Y-96598100D01* +X237620950Y-96598100D01* +X237633785Y-96598731D01* +X237633785Y-96598731D01* +G37* +D13* +X237490000Y-97410000D03* +D10* +G36* +X238903785Y-96598731D02* +G01* +X238916497Y-96600616D01* +X238928963Y-96603739D01* +X238941062Y-96608068D01* +X238952679Y-96613562D01* +X238963702Y-96620169D01* +X238974024Y-96627824D01* +X238983546Y-96636454D01* +X238992176Y-96645976D01* +X238999831Y-96656298D01* +X239006438Y-96667321D01* +X239011932Y-96678938D01* +X239016261Y-96691037D01* +X239019384Y-96703503D01* +X239021269Y-96716215D01* +X239021900Y-96729050D01* +X239021900Y-98090950D01* +X239021269Y-98103785D01* +X239019384Y-98116497D01* +X239016261Y-98128963D01* +X239011932Y-98141062D01* +X239006438Y-98152679D01* +X238999831Y-98163702D01* +X238992176Y-98174024D01* +X238983546Y-98183546D01* +X238974024Y-98192176D01* +X238963702Y-98199831D01* +X238952679Y-98206438D01* +X238941062Y-98211932D01* +X238928963Y-98216261D01* +X238916497Y-98219384D01* +X238903785Y-98221269D01* +X238890950Y-98221900D01* +X238629050Y-98221900D01* +X238616215Y-98221269D01* +X238603503Y-98219384D01* +X238591037Y-98216261D01* +X238578938Y-98211932D01* +X238567321Y-98206438D01* +X238556298Y-98199831D01* +X238545976Y-98192176D01* +X238536454Y-98183546D01* +X238527824Y-98174024D01* +X238520169Y-98163702D01* +X238513562Y-98152679D01* +X238508068Y-98141062D01* +X238503739Y-98128963D01* +X238500616Y-98116497D01* +X238498731Y-98103785D01* +X238498100Y-98090950D01* +X238498100Y-96729050D01* +X238498731Y-96716215D01* +X238500616Y-96703503D01* +X238503739Y-96691037D01* +X238508068Y-96678938D01* +X238513562Y-96667321D01* +X238520169Y-96656298D01* +X238527824Y-96645976D01* +X238536454Y-96636454D01* +X238545976Y-96627824D01* +X238556298Y-96620169D01* +X238567321Y-96613562D01* +X238578938Y-96608068D01* +X238591037Y-96603739D01* +X238603503Y-96600616D01* +X238616215Y-96598731D01* +X238629050Y-96598100D01* +X238890950Y-96598100D01* +X238903785Y-96598731D01* +X238903785Y-96598731D01* +G37* +D13* +X238760000Y-97410000D03* +D10* +G36* +X240173785Y-96598731D02* +G01* +X240186497Y-96600616D01* +X240198963Y-96603739D01* +X240211062Y-96608068D01* +X240222679Y-96613562D01* +X240233702Y-96620169D01* +X240244024Y-96627824D01* +X240253546Y-96636454D01* +X240262176Y-96645976D01* +X240269831Y-96656298D01* +X240276438Y-96667321D01* +X240281932Y-96678938D01* +X240286261Y-96691037D01* +X240289384Y-96703503D01* +X240291269Y-96716215D01* +X240291900Y-96729050D01* +X240291900Y-98090950D01* +X240291269Y-98103785D01* +X240289384Y-98116497D01* +X240286261Y-98128963D01* +X240281932Y-98141062D01* +X240276438Y-98152679D01* +X240269831Y-98163702D01* +X240262176Y-98174024D01* +X240253546Y-98183546D01* +X240244024Y-98192176D01* +X240233702Y-98199831D01* +X240222679Y-98206438D01* +X240211062Y-98211932D01* +X240198963Y-98216261D01* +X240186497Y-98219384D01* +X240173785Y-98221269D01* +X240160950Y-98221900D01* +X239899050Y-98221900D01* +X239886215Y-98221269D01* +X239873503Y-98219384D01* +X239861037Y-98216261D01* +X239848938Y-98211932D01* +X239837321Y-98206438D01* +X239826298Y-98199831D01* +X239815976Y-98192176D01* +X239806454Y-98183546D01* +X239797824Y-98174024D01* +X239790169Y-98163702D01* +X239783562Y-98152679D01* +X239778068Y-98141062D01* +X239773739Y-98128963D01* +X239770616Y-98116497D01* +X239768731Y-98103785D01* +X239768100Y-98090950D01* +X239768100Y-96729050D01* +X239768731Y-96716215D01* +X239770616Y-96703503D01* +X239773739Y-96691037D01* +X239778068Y-96678938D01* +X239783562Y-96667321D01* +X239790169Y-96656298D01* +X239797824Y-96645976D01* +X239806454Y-96636454D01* +X239815976Y-96627824D01* +X239826298Y-96620169D01* +X239837321Y-96613562D01* +X239848938Y-96608068D01* +X239861037Y-96603739D01* +X239873503Y-96600616D01* +X239886215Y-96598731D01* +X239899050Y-96598100D01* +X240160950Y-96598100D01* +X240173785Y-96598731D01* +X240173785Y-96598731D01* +G37* +D13* +X240030000Y-97410000D03* +D10* +G36* +X241443785Y-96598731D02* +G01* +X241456497Y-96600616D01* +X241468963Y-96603739D01* +X241481062Y-96608068D01* +X241492679Y-96613562D01* +X241503702Y-96620169D01* +X241514024Y-96627824D01* +X241523546Y-96636454D01* +X241532176Y-96645976D01* +X241539831Y-96656298D01* +X241546438Y-96667321D01* +X241551932Y-96678938D01* +X241556261Y-96691037D01* +X241559384Y-96703503D01* +X241561269Y-96716215D01* +X241561900Y-96729050D01* +X241561900Y-98090950D01* +X241561269Y-98103785D01* +X241559384Y-98116497D01* +X241556261Y-98128963D01* +X241551932Y-98141062D01* +X241546438Y-98152679D01* +X241539831Y-98163702D01* +X241532176Y-98174024D01* +X241523546Y-98183546D01* +X241514024Y-98192176D01* +X241503702Y-98199831D01* +X241492679Y-98206438D01* +X241481062Y-98211932D01* +X241468963Y-98216261D01* +X241456497Y-98219384D01* +X241443785Y-98221269D01* +X241430950Y-98221900D01* +X241169050Y-98221900D01* +X241156215Y-98221269D01* +X241143503Y-98219384D01* +X241131037Y-98216261D01* +X241118938Y-98211932D01* +X241107321Y-98206438D01* +X241096298Y-98199831D01* +X241085976Y-98192176D01* +X241076454Y-98183546D01* +X241067824Y-98174024D01* +X241060169Y-98163702D01* +X241053562Y-98152679D01* +X241048068Y-98141062D01* +X241043739Y-98128963D01* +X241040616Y-98116497D01* +X241038731Y-98103785D01* +X241038100Y-98090950D01* +X241038100Y-96729050D01* +X241038731Y-96716215D01* +X241040616Y-96703503D01* +X241043739Y-96691037D01* +X241048068Y-96678938D01* +X241053562Y-96667321D01* +X241060169Y-96656298D01* +X241067824Y-96645976D01* +X241076454Y-96636454D01* +X241085976Y-96627824D01* +X241096298Y-96620169D01* +X241107321Y-96613562D01* +X241118938Y-96608068D01* +X241131037Y-96603739D01* +X241143503Y-96600616D01* +X241156215Y-96598731D01* +X241169050Y-96598100D01* +X241430950Y-96598100D01* +X241443785Y-96598731D01* +X241443785Y-96598731D01* +G37* +D13* +X241300000Y-97410000D03* +D10* +G36* +X242713785Y-96598731D02* +G01* +X242726497Y-96600616D01* +X242738963Y-96603739D01* +X242751062Y-96608068D01* +X242762679Y-96613562D01* +X242773702Y-96620169D01* +X242784024Y-96627824D01* +X242793546Y-96636454D01* +X242802176Y-96645976D01* +X242809831Y-96656298D01* +X242816438Y-96667321D01* +X242821932Y-96678938D01* +X242826261Y-96691037D01* +X242829384Y-96703503D01* +X242831269Y-96716215D01* +X242831900Y-96729050D01* +X242831900Y-98090950D01* +X242831269Y-98103785D01* +X242829384Y-98116497D01* +X242826261Y-98128963D01* +X242821932Y-98141062D01* +X242816438Y-98152679D01* +X242809831Y-98163702D01* +X242802176Y-98174024D01* +X242793546Y-98183546D01* +X242784024Y-98192176D01* +X242773702Y-98199831D01* +X242762679Y-98206438D01* +X242751062Y-98211932D01* +X242738963Y-98216261D01* +X242726497Y-98219384D01* +X242713785Y-98221269D01* +X242700950Y-98221900D01* +X242439050Y-98221900D01* +X242426215Y-98221269D01* +X242413503Y-98219384D01* +X242401037Y-98216261D01* +X242388938Y-98211932D01* +X242377321Y-98206438D01* +X242366298Y-98199831D01* +X242355976Y-98192176D01* +X242346454Y-98183546D01* +X242337824Y-98174024D01* +X242330169Y-98163702D01* +X242323562Y-98152679D01* +X242318068Y-98141062D01* +X242313739Y-98128963D01* +X242310616Y-98116497D01* +X242308731Y-98103785D01* +X242308100Y-98090950D01* +X242308100Y-96729050D01* +X242308731Y-96716215D01* +X242310616Y-96703503D01* +X242313739Y-96691037D01* +X242318068Y-96678938D01* +X242323562Y-96667321D01* +X242330169Y-96656298D01* +X242337824Y-96645976D01* +X242346454Y-96636454D01* +X242355976Y-96627824D01* +X242366298Y-96620169D01* +X242377321Y-96613562D01* +X242388938Y-96608068D01* +X242401037Y-96603739D01* +X242413503Y-96600616D01* +X242426215Y-96598731D01* +X242439050Y-96598100D01* +X242700950Y-96598100D01* +X242713785Y-96598731D01* +X242713785Y-96598731D01* +G37* +D13* +X242570000Y-97410000D03* +D10* +G36* +X243983785Y-96598731D02* +G01* +X243996497Y-96600616D01* +X244008963Y-96603739D01* +X244021062Y-96608068D01* +X244032679Y-96613562D01* +X244043702Y-96620169D01* +X244054024Y-96627824D01* +X244063546Y-96636454D01* +X244072176Y-96645976D01* +X244079831Y-96656298D01* +X244086438Y-96667321D01* +X244091932Y-96678938D01* +X244096261Y-96691037D01* +X244099384Y-96703503D01* +X244101269Y-96716215D01* +X244101900Y-96729050D01* +X244101900Y-98090950D01* +X244101269Y-98103785D01* +X244099384Y-98116497D01* +X244096261Y-98128963D01* +X244091932Y-98141062D01* +X244086438Y-98152679D01* +X244079831Y-98163702D01* +X244072176Y-98174024D01* +X244063546Y-98183546D01* +X244054024Y-98192176D01* +X244043702Y-98199831D01* +X244032679Y-98206438D01* +X244021062Y-98211932D01* +X244008963Y-98216261D01* +X243996497Y-98219384D01* +X243983785Y-98221269D01* +X243970950Y-98221900D01* +X243709050Y-98221900D01* +X243696215Y-98221269D01* +X243683503Y-98219384D01* +X243671037Y-98216261D01* +X243658938Y-98211932D01* +X243647321Y-98206438D01* +X243636298Y-98199831D01* +X243625976Y-98192176D01* +X243616454Y-98183546D01* +X243607824Y-98174024D01* +X243600169Y-98163702D01* +X243593562Y-98152679D01* +X243588068Y-98141062D01* +X243583739Y-98128963D01* +X243580616Y-98116497D01* +X243578731Y-98103785D01* +X243578100Y-98090950D01* +X243578100Y-96729050D01* +X243578731Y-96716215D01* +X243580616Y-96703503D01* +X243583739Y-96691037D01* +X243588068Y-96678938D01* +X243593562Y-96667321D01* +X243600169Y-96656298D01* +X243607824Y-96645976D01* +X243616454Y-96636454D01* +X243625976Y-96627824D01* +X243636298Y-96620169D01* +X243647321Y-96613562D01* +X243658938Y-96608068D01* +X243671037Y-96603739D01* +X243683503Y-96600616D01* +X243696215Y-96598731D01* +X243709050Y-96598100D01* +X243970950Y-96598100D01* +X243983785Y-96598731D01* +X243983785Y-96598731D01* +G37* +D13* +X243840000Y-97410000D03* +D10* +G36* +X245253785Y-96598731D02* +G01* +X245266497Y-96600616D01* +X245278963Y-96603739D01* +X245291062Y-96608068D01* +X245302679Y-96613562D01* +X245313702Y-96620169D01* +X245324024Y-96627824D01* +X245333546Y-96636454D01* +X245342176Y-96645976D01* +X245349831Y-96656298D01* +X245356438Y-96667321D01* +X245361932Y-96678938D01* +X245366261Y-96691037D01* +X245369384Y-96703503D01* +X245371269Y-96716215D01* +X245371900Y-96729050D01* +X245371900Y-98090950D01* +X245371269Y-98103785D01* +X245369384Y-98116497D01* +X245366261Y-98128963D01* +X245361932Y-98141062D01* +X245356438Y-98152679D01* +X245349831Y-98163702D01* +X245342176Y-98174024D01* +X245333546Y-98183546D01* +X245324024Y-98192176D01* +X245313702Y-98199831D01* +X245302679Y-98206438D01* +X245291062Y-98211932D01* +X245278963Y-98216261D01* +X245266497Y-98219384D01* +X245253785Y-98221269D01* +X245240950Y-98221900D01* +X244979050Y-98221900D01* +X244966215Y-98221269D01* +X244953503Y-98219384D01* +X244941037Y-98216261D01* +X244928938Y-98211932D01* +X244917321Y-98206438D01* +X244906298Y-98199831D01* +X244895976Y-98192176D01* +X244886454Y-98183546D01* +X244877824Y-98174024D01* +X244870169Y-98163702D01* +X244863562Y-98152679D01* +X244858068Y-98141062D01* +X244853739Y-98128963D01* +X244850616Y-98116497D01* +X244848731Y-98103785D01* +X244848100Y-98090950D01* +X244848100Y-96729050D01* +X244848731Y-96716215D01* +X244850616Y-96703503D01* +X244853739Y-96691037D01* +X244858068Y-96678938D01* +X244863562Y-96667321D01* +X244870169Y-96656298D01* +X244877824Y-96645976D01* +X244886454Y-96636454D01* +X244895976Y-96627824D01* +X244906298Y-96620169D01* +X244917321Y-96613562D01* +X244928938Y-96608068D01* +X244941037Y-96603739D01* +X244953503Y-96600616D01* +X244966215Y-96598731D01* +X244979050Y-96598100D01* +X245240950Y-96598100D01* +X245253785Y-96598731D01* +X245253785Y-96598731D01* +G37* +D13* +X245110000Y-97410000D03* +D10* +G36* +X246523785Y-96598731D02* +G01* +X246536497Y-96600616D01* +X246548963Y-96603739D01* +X246561062Y-96608068D01* +X246572679Y-96613562D01* +X246583702Y-96620169D01* +X246594024Y-96627824D01* +X246603546Y-96636454D01* +X246612176Y-96645976D01* +X246619831Y-96656298D01* +X246626438Y-96667321D01* +X246631932Y-96678938D01* +X246636261Y-96691037D01* +X246639384Y-96703503D01* +X246641269Y-96716215D01* +X246641900Y-96729050D01* +X246641900Y-98090950D01* +X246641269Y-98103785D01* +X246639384Y-98116497D01* +X246636261Y-98128963D01* +X246631932Y-98141062D01* +X246626438Y-98152679D01* +X246619831Y-98163702D01* +X246612176Y-98174024D01* +X246603546Y-98183546D01* +X246594024Y-98192176D01* +X246583702Y-98199831D01* +X246572679Y-98206438D01* +X246561062Y-98211932D01* +X246548963Y-98216261D01* +X246536497Y-98219384D01* +X246523785Y-98221269D01* +X246510950Y-98221900D01* +X246249050Y-98221900D01* +X246236215Y-98221269D01* +X246223503Y-98219384D01* +X246211037Y-98216261D01* +X246198938Y-98211932D01* +X246187321Y-98206438D01* +X246176298Y-98199831D01* +X246165976Y-98192176D01* +X246156454Y-98183546D01* +X246147824Y-98174024D01* +X246140169Y-98163702D01* +X246133562Y-98152679D01* +X246128068Y-98141062D01* +X246123739Y-98128963D01* +X246120616Y-98116497D01* +X246118731Y-98103785D01* +X246118100Y-98090950D01* +X246118100Y-96729050D01* +X246118731Y-96716215D01* +X246120616Y-96703503D01* +X246123739Y-96691037D01* +X246128068Y-96678938D01* +X246133562Y-96667321D01* +X246140169Y-96656298D01* +X246147824Y-96645976D01* +X246156454Y-96636454D01* +X246165976Y-96627824D01* +X246176298Y-96620169D01* +X246187321Y-96613562D01* +X246198938Y-96608068D01* +X246211037Y-96603739D01* +X246223503Y-96600616D01* +X246236215Y-96598731D01* +X246249050Y-96598100D01* +X246510950Y-96598100D01* +X246523785Y-96598731D01* +X246523785Y-96598731D01* +G37* +D13* +X246380000Y-97410000D03* +D10* +G36* +X247793785Y-96598731D02* +G01* +X247806497Y-96600616D01* +X247818963Y-96603739D01* +X247831062Y-96608068D01* +X247842679Y-96613562D01* +X247853702Y-96620169D01* +X247864024Y-96627824D01* +X247873546Y-96636454D01* +X247882176Y-96645976D01* +X247889831Y-96656298D01* +X247896438Y-96667321D01* +X247901932Y-96678938D01* +X247906261Y-96691037D01* +X247909384Y-96703503D01* +X247911269Y-96716215D01* +X247911900Y-96729050D01* +X247911900Y-98090950D01* +X247911269Y-98103785D01* +X247909384Y-98116497D01* +X247906261Y-98128963D01* +X247901932Y-98141062D01* +X247896438Y-98152679D01* +X247889831Y-98163702D01* +X247882176Y-98174024D01* +X247873546Y-98183546D01* +X247864024Y-98192176D01* +X247853702Y-98199831D01* +X247842679Y-98206438D01* +X247831062Y-98211932D01* +X247818963Y-98216261D01* +X247806497Y-98219384D01* +X247793785Y-98221269D01* +X247780950Y-98221900D01* +X247519050Y-98221900D01* +X247506215Y-98221269D01* +X247493503Y-98219384D01* +X247481037Y-98216261D01* +X247468938Y-98211932D01* +X247457321Y-98206438D01* +X247446298Y-98199831D01* +X247435976Y-98192176D01* +X247426454Y-98183546D01* +X247417824Y-98174024D01* +X247410169Y-98163702D01* +X247403562Y-98152679D01* +X247398068Y-98141062D01* +X247393739Y-98128963D01* +X247390616Y-98116497D01* +X247388731Y-98103785D01* +X247388100Y-98090950D01* +X247388100Y-96729050D01* +X247388731Y-96716215D01* +X247390616Y-96703503D01* +X247393739Y-96691037D01* +X247398068Y-96678938D01* +X247403562Y-96667321D01* +X247410169Y-96656298D01* +X247417824Y-96645976D01* +X247426454Y-96636454D01* +X247435976Y-96627824D01* +X247446298Y-96620169D01* +X247457321Y-96613562D01* +X247468938Y-96608068D01* +X247481037Y-96603739D01* +X247493503Y-96600616D01* +X247506215Y-96598731D01* +X247519050Y-96598100D01* +X247780950Y-96598100D01* +X247793785Y-96598731D01* +X247793785Y-96598731D01* +G37* +D13* +X247650000Y-97410000D03* +D10* +G36* +X249063785Y-96598731D02* +G01* +X249076497Y-96600616D01* +X249088963Y-96603739D01* +X249101062Y-96608068D01* +X249112679Y-96613562D01* +X249123702Y-96620169D01* +X249134024Y-96627824D01* +X249143546Y-96636454D01* +X249152176Y-96645976D01* +X249159831Y-96656298D01* +X249166438Y-96667321D01* +X249171932Y-96678938D01* +X249176261Y-96691037D01* +X249179384Y-96703503D01* +X249181269Y-96716215D01* +X249181900Y-96729050D01* +X249181900Y-98090950D01* +X249181269Y-98103785D01* +X249179384Y-98116497D01* +X249176261Y-98128963D01* +X249171932Y-98141062D01* +X249166438Y-98152679D01* +X249159831Y-98163702D01* +X249152176Y-98174024D01* +X249143546Y-98183546D01* +X249134024Y-98192176D01* +X249123702Y-98199831D01* +X249112679Y-98206438D01* +X249101062Y-98211932D01* +X249088963Y-98216261D01* +X249076497Y-98219384D01* +X249063785Y-98221269D01* +X249050950Y-98221900D01* +X248789050Y-98221900D01* +X248776215Y-98221269D01* +X248763503Y-98219384D01* +X248751037Y-98216261D01* +X248738938Y-98211932D01* +X248727321Y-98206438D01* +X248716298Y-98199831D01* +X248705976Y-98192176D01* +X248696454Y-98183546D01* +X248687824Y-98174024D01* +X248680169Y-98163702D01* +X248673562Y-98152679D01* +X248668068Y-98141062D01* +X248663739Y-98128963D01* +X248660616Y-98116497D01* +X248658731Y-98103785D01* +X248658100Y-98090950D01* +X248658100Y-96729050D01* +X248658731Y-96716215D01* +X248660616Y-96703503D01* +X248663739Y-96691037D01* +X248668068Y-96678938D01* +X248673562Y-96667321D01* +X248680169Y-96656298D01* +X248687824Y-96645976D01* +X248696454Y-96636454D01* +X248705976Y-96627824D01* +X248716298Y-96620169D01* +X248727321Y-96613562D01* +X248738938Y-96608068D01* +X248751037Y-96603739D01* +X248763503Y-96600616D01* +X248776215Y-96598731D01* +X248789050Y-96598100D01* +X249050950Y-96598100D01* +X249063785Y-96598731D01* +X249063785Y-96598731D01* +G37* +D13* +X248920000Y-97410000D03* +D10* +G36* +X250333785Y-96598731D02* +G01* +X250346497Y-96600616D01* +X250358963Y-96603739D01* +X250371062Y-96608068D01* +X250382679Y-96613562D01* +X250393702Y-96620169D01* +X250404024Y-96627824D01* +X250413546Y-96636454D01* +X250422176Y-96645976D01* +X250429831Y-96656298D01* +X250436438Y-96667321D01* +X250441932Y-96678938D01* +X250446261Y-96691037D01* +X250449384Y-96703503D01* +X250451269Y-96716215D01* +X250451900Y-96729050D01* +X250451900Y-98090950D01* +X250451269Y-98103785D01* +X250449384Y-98116497D01* +X250446261Y-98128963D01* +X250441932Y-98141062D01* +X250436438Y-98152679D01* +X250429831Y-98163702D01* +X250422176Y-98174024D01* +X250413546Y-98183546D01* +X250404024Y-98192176D01* +X250393702Y-98199831D01* +X250382679Y-98206438D01* +X250371062Y-98211932D01* +X250358963Y-98216261D01* +X250346497Y-98219384D01* +X250333785Y-98221269D01* +X250320950Y-98221900D01* +X250059050Y-98221900D01* +X250046215Y-98221269D01* +X250033503Y-98219384D01* +X250021037Y-98216261D01* +X250008938Y-98211932D01* +X249997321Y-98206438D01* +X249986298Y-98199831D01* +X249975976Y-98192176D01* +X249966454Y-98183546D01* +X249957824Y-98174024D01* +X249950169Y-98163702D01* +X249943562Y-98152679D01* +X249938068Y-98141062D01* +X249933739Y-98128963D01* +X249930616Y-98116497D01* +X249928731Y-98103785D01* +X249928100Y-98090950D01* +X249928100Y-96729050D01* +X249928731Y-96716215D01* +X249930616Y-96703503D01* +X249933739Y-96691037D01* +X249938068Y-96678938D01* +X249943562Y-96667321D01* +X249950169Y-96656298D01* +X249957824Y-96645976D01* +X249966454Y-96636454D01* +X249975976Y-96627824D01* +X249986298Y-96620169D01* +X249997321Y-96613562D01* +X250008938Y-96608068D01* +X250021037Y-96603739D01* +X250033503Y-96600616D01* +X250046215Y-96598731D01* +X250059050Y-96598100D01* +X250320950Y-96598100D01* +X250333785Y-96598731D01* +X250333785Y-96598731D01* +G37* +D13* +X250190000Y-97410000D03* +D10* +G36* +X251603785Y-96598731D02* +G01* +X251616497Y-96600616D01* +X251628963Y-96603739D01* +X251641062Y-96608068D01* +X251652679Y-96613562D01* +X251663702Y-96620169D01* +X251674024Y-96627824D01* +X251683546Y-96636454D01* +X251692176Y-96645976D01* +X251699831Y-96656298D01* +X251706438Y-96667321D01* +X251711932Y-96678938D01* +X251716261Y-96691037D01* +X251719384Y-96703503D01* +X251721269Y-96716215D01* +X251721900Y-96729050D01* +X251721900Y-98090950D01* +X251721269Y-98103785D01* +X251719384Y-98116497D01* +X251716261Y-98128963D01* +X251711932Y-98141062D01* +X251706438Y-98152679D01* +X251699831Y-98163702D01* +X251692176Y-98174024D01* +X251683546Y-98183546D01* +X251674024Y-98192176D01* +X251663702Y-98199831D01* +X251652679Y-98206438D01* +X251641062Y-98211932D01* +X251628963Y-98216261D01* +X251616497Y-98219384D01* +X251603785Y-98221269D01* +X251590950Y-98221900D01* +X251329050Y-98221900D01* +X251316215Y-98221269D01* +X251303503Y-98219384D01* +X251291037Y-98216261D01* +X251278938Y-98211932D01* +X251267321Y-98206438D01* +X251256298Y-98199831D01* +X251245976Y-98192176D01* +X251236454Y-98183546D01* +X251227824Y-98174024D01* +X251220169Y-98163702D01* +X251213562Y-98152679D01* +X251208068Y-98141062D01* +X251203739Y-98128963D01* +X251200616Y-98116497D01* +X251198731Y-98103785D01* +X251198100Y-98090950D01* +X251198100Y-96729050D01* +X251198731Y-96716215D01* +X251200616Y-96703503D01* +X251203739Y-96691037D01* +X251208068Y-96678938D01* +X251213562Y-96667321D01* +X251220169Y-96656298D01* +X251227824Y-96645976D01* +X251236454Y-96636454D01* +X251245976Y-96627824D01* +X251256298Y-96620169D01* +X251267321Y-96613562D01* +X251278938Y-96608068D01* +X251291037Y-96603739D01* +X251303503Y-96600616D01* +X251316215Y-96598731D01* +X251329050Y-96598100D01* +X251590950Y-96598100D01* +X251603785Y-96598731D01* +X251603785Y-96598731D01* +G37* +D13* +X251460000Y-97410000D03* +D10* +G36* +X252873785Y-96598731D02* +G01* +X252886497Y-96600616D01* +X252898963Y-96603739D01* +X252911062Y-96608068D01* +X252922679Y-96613562D01* +X252933702Y-96620169D01* +X252944024Y-96627824D01* +X252953546Y-96636454D01* +X252962176Y-96645976D01* +X252969831Y-96656298D01* +X252976438Y-96667321D01* +X252981932Y-96678938D01* +X252986261Y-96691037D01* +X252989384Y-96703503D01* +X252991269Y-96716215D01* +X252991900Y-96729050D01* +X252991900Y-98090950D01* +X252991269Y-98103785D01* +X252989384Y-98116497D01* +X252986261Y-98128963D01* +X252981932Y-98141062D01* +X252976438Y-98152679D01* +X252969831Y-98163702D01* +X252962176Y-98174024D01* +X252953546Y-98183546D01* +X252944024Y-98192176D01* +X252933702Y-98199831D01* +X252922679Y-98206438D01* +X252911062Y-98211932D01* +X252898963Y-98216261D01* +X252886497Y-98219384D01* +X252873785Y-98221269D01* +X252860950Y-98221900D01* +X252599050Y-98221900D01* +X252586215Y-98221269D01* +X252573503Y-98219384D01* +X252561037Y-98216261D01* +X252548938Y-98211932D01* +X252537321Y-98206438D01* +X252526298Y-98199831D01* +X252515976Y-98192176D01* +X252506454Y-98183546D01* +X252497824Y-98174024D01* +X252490169Y-98163702D01* +X252483562Y-98152679D01* +X252478068Y-98141062D01* +X252473739Y-98128963D01* +X252470616Y-98116497D01* +X252468731Y-98103785D01* +X252468100Y-98090950D01* +X252468100Y-96729050D01* +X252468731Y-96716215D01* +X252470616Y-96703503D01* +X252473739Y-96691037D01* +X252478068Y-96678938D01* +X252483562Y-96667321D01* +X252490169Y-96656298D01* +X252497824Y-96645976D01* +X252506454Y-96636454D01* +X252515976Y-96627824D01* +X252526298Y-96620169D01* +X252537321Y-96613562D01* +X252548938Y-96608068D01* +X252561037Y-96603739D01* +X252573503Y-96600616D01* +X252586215Y-96598731D01* +X252599050Y-96598100D01* +X252860950Y-96598100D01* +X252873785Y-96598731D01* +X252873785Y-96598731D01* +G37* +D13* +X252730000Y-97410000D03* +D10* +G36* +X254143785Y-96598731D02* +G01* +X254156497Y-96600616D01* +X254168963Y-96603739D01* +X254181062Y-96608068D01* +X254192679Y-96613562D01* +X254203702Y-96620169D01* +X254214024Y-96627824D01* +X254223546Y-96636454D01* +X254232176Y-96645976D01* +X254239831Y-96656298D01* +X254246438Y-96667321D01* +X254251932Y-96678938D01* +X254256261Y-96691037D01* +X254259384Y-96703503D01* +X254261269Y-96716215D01* +X254261900Y-96729050D01* +X254261900Y-98090950D01* +X254261269Y-98103785D01* +X254259384Y-98116497D01* +X254256261Y-98128963D01* +X254251932Y-98141062D01* +X254246438Y-98152679D01* +X254239831Y-98163702D01* +X254232176Y-98174024D01* +X254223546Y-98183546D01* +X254214024Y-98192176D01* +X254203702Y-98199831D01* +X254192679Y-98206438D01* +X254181062Y-98211932D01* +X254168963Y-98216261D01* +X254156497Y-98219384D01* +X254143785Y-98221269D01* +X254130950Y-98221900D01* +X253869050Y-98221900D01* +X253856215Y-98221269D01* +X253843503Y-98219384D01* +X253831037Y-98216261D01* +X253818938Y-98211932D01* +X253807321Y-98206438D01* +X253796298Y-98199831D01* +X253785976Y-98192176D01* +X253776454Y-98183546D01* +X253767824Y-98174024D01* +X253760169Y-98163702D01* +X253753562Y-98152679D01* +X253748068Y-98141062D01* +X253743739Y-98128963D01* +X253740616Y-98116497D01* +X253738731Y-98103785D01* +X253738100Y-98090950D01* +X253738100Y-96729050D01* +X253738731Y-96716215D01* +X253740616Y-96703503D01* +X253743739Y-96691037D01* +X253748068Y-96678938D01* +X253753562Y-96667321D01* +X253760169Y-96656298D01* +X253767824Y-96645976D01* +X253776454Y-96636454D01* +X253785976Y-96627824D01* +X253796298Y-96620169D01* +X253807321Y-96613562D01* +X253818938Y-96608068D01* +X253831037Y-96603739D01* +X253843503Y-96600616D01* +X253856215Y-96598731D01* +X253869050Y-96598100D01* +X254130950Y-96598100D01* +X254143785Y-96598731D01* +X254143785Y-96598731D01* +G37* +D13* +X254000000Y-97410000D03* +D10* +G36* +X255413785Y-96598731D02* +G01* +X255426497Y-96600616D01* +X255438963Y-96603739D01* +X255451062Y-96608068D01* +X255462679Y-96613562D01* +X255473702Y-96620169D01* +X255484024Y-96627824D01* +X255493546Y-96636454D01* +X255502176Y-96645976D01* +X255509831Y-96656298D01* +X255516438Y-96667321D01* +X255521932Y-96678938D01* +X255526261Y-96691037D01* +X255529384Y-96703503D01* +X255531269Y-96716215D01* +X255531900Y-96729050D01* +X255531900Y-98090950D01* +X255531269Y-98103785D01* +X255529384Y-98116497D01* +X255526261Y-98128963D01* +X255521932Y-98141062D01* +X255516438Y-98152679D01* +X255509831Y-98163702D01* +X255502176Y-98174024D01* +X255493546Y-98183546D01* +X255484024Y-98192176D01* +X255473702Y-98199831D01* +X255462679Y-98206438D01* +X255451062Y-98211932D01* +X255438963Y-98216261D01* +X255426497Y-98219384D01* +X255413785Y-98221269D01* +X255400950Y-98221900D01* +X255139050Y-98221900D01* +X255126215Y-98221269D01* +X255113503Y-98219384D01* +X255101037Y-98216261D01* +X255088938Y-98211932D01* +X255077321Y-98206438D01* +X255066298Y-98199831D01* +X255055976Y-98192176D01* +X255046454Y-98183546D01* +X255037824Y-98174024D01* +X255030169Y-98163702D01* +X255023562Y-98152679D01* +X255018068Y-98141062D01* +X255013739Y-98128963D01* +X255010616Y-98116497D01* +X255008731Y-98103785D01* +X255008100Y-98090950D01* +X255008100Y-96729050D01* +X255008731Y-96716215D01* +X255010616Y-96703503D01* +X255013739Y-96691037D01* +X255018068Y-96678938D01* +X255023562Y-96667321D01* +X255030169Y-96656298D01* +X255037824Y-96645976D01* +X255046454Y-96636454D01* +X255055976Y-96627824D01* +X255066298Y-96620169D01* +X255077321Y-96613562D01* +X255088938Y-96608068D01* +X255101037Y-96603739D01* +X255113503Y-96600616D01* +X255126215Y-96598731D01* +X255139050Y-96598100D01* +X255400950Y-96598100D01* +X255413785Y-96598731D01* +X255413785Y-96598731D01* +G37* +D13* +X255270000Y-97410000D03* +D10* +G36* +X256683785Y-96598731D02* +G01* +X256696497Y-96600616D01* +X256708963Y-96603739D01* +X256721062Y-96608068D01* +X256732679Y-96613562D01* +X256743702Y-96620169D01* +X256754024Y-96627824D01* +X256763546Y-96636454D01* +X256772176Y-96645976D01* +X256779831Y-96656298D01* +X256786438Y-96667321D01* +X256791932Y-96678938D01* +X256796261Y-96691037D01* +X256799384Y-96703503D01* +X256801269Y-96716215D01* +X256801900Y-96729050D01* +X256801900Y-98090950D01* +X256801269Y-98103785D01* +X256799384Y-98116497D01* +X256796261Y-98128963D01* +X256791932Y-98141062D01* +X256786438Y-98152679D01* +X256779831Y-98163702D01* +X256772176Y-98174024D01* +X256763546Y-98183546D01* +X256754024Y-98192176D01* +X256743702Y-98199831D01* +X256732679Y-98206438D01* +X256721062Y-98211932D01* +X256708963Y-98216261D01* +X256696497Y-98219384D01* +X256683785Y-98221269D01* +X256670950Y-98221900D01* +X256409050Y-98221900D01* +X256396215Y-98221269D01* +X256383503Y-98219384D01* +X256371037Y-98216261D01* +X256358938Y-98211932D01* +X256347321Y-98206438D01* +X256336298Y-98199831D01* +X256325976Y-98192176D01* +X256316454Y-98183546D01* +X256307824Y-98174024D01* +X256300169Y-98163702D01* +X256293562Y-98152679D01* +X256288068Y-98141062D01* +X256283739Y-98128963D01* +X256280616Y-98116497D01* +X256278731Y-98103785D01* +X256278100Y-98090950D01* +X256278100Y-96729050D01* +X256278731Y-96716215D01* +X256280616Y-96703503D01* +X256283739Y-96691037D01* +X256288068Y-96678938D01* +X256293562Y-96667321D01* +X256300169Y-96656298D01* +X256307824Y-96645976D01* +X256316454Y-96636454D01* +X256325976Y-96627824D01* +X256336298Y-96620169D01* +X256347321Y-96613562D01* +X256358938Y-96608068D01* +X256371037Y-96603739D01* +X256383503Y-96600616D01* +X256396215Y-96598731D01* +X256409050Y-96598100D01* +X256670950Y-96598100D01* +X256683785Y-96598731D01* +X256683785Y-96598731D01* +G37* +D13* +X256540000Y-97410000D03* +D10* +G36* +X257953785Y-96598731D02* +G01* +X257966497Y-96600616D01* +X257978963Y-96603739D01* +X257991062Y-96608068D01* +X258002679Y-96613562D01* +X258013702Y-96620169D01* +X258024024Y-96627824D01* +X258033546Y-96636454D01* +X258042176Y-96645976D01* +X258049831Y-96656298D01* +X258056438Y-96667321D01* +X258061932Y-96678938D01* +X258066261Y-96691037D01* +X258069384Y-96703503D01* +X258071269Y-96716215D01* +X258071900Y-96729050D01* +X258071900Y-98090950D01* +X258071269Y-98103785D01* +X258069384Y-98116497D01* +X258066261Y-98128963D01* +X258061932Y-98141062D01* +X258056438Y-98152679D01* +X258049831Y-98163702D01* +X258042176Y-98174024D01* +X258033546Y-98183546D01* +X258024024Y-98192176D01* +X258013702Y-98199831D01* +X258002679Y-98206438D01* +X257991062Y-98211932D01* +X257978963Y-98216261D01* +X257966497Y-98219384D01* +X257953785Y-98221269D01* +X257940950Y-98221900D01* +X257679050Y-98221900D01* +X257666215Y-98221269D01* +X257653503Y-98219384D01* +X257641037Y-98216261D01* +X257628938Y-98211932D01* +X257617321Y-98206438D01* +X257606298Y-98199831D01* +X257595976Y-98192176D01* +X257586454Y-98183546D01* +X257577824Y-98174024D01* +X257570169Y-98163702D01* +X257563562Y-98152679D01* +X257558068Y-98141062D01* +X257553739Y-98128963D01* +X257550616Y-98116497D01* +X257548731Y-98103785D01* +X257548100Y-98090950D01* +X257548100Y-96729050D01* +X257548731Y-96716215D01* +X257550616Y-96703503D01* +X257553739Y-96691037D01* +X257558068Y-96678938D01* +X257563562Y-96667321D01* +X257570169Y-96656298D01* +X257577824Y-96645976D01* +X257586454Y-96636454D01* +X257595976Y-96627824D01* +X257606298Y-96620169D01* +X257617321Y-96613562D01* +X257628938Y-96608068D01* +X257641037Y-96603739D01* +X257653503Y-96600616D01* +X257666215Y-96598731D01* +X257679050Y-96598100D01* +X257940950Y-96598100D01* +X257953785Y-96598731D01* +X257953785Y-96598731D01* +G37* +D13* +X257810000Y-97410000D03* +D10* +G36* +X259223785Y-96598731D02* +G01* +X259236497Y-96600616D01* +X259248963Y-96603739D01* +X259261062Y-96608068D01* +X259272679Y-96613562D01* +X259283702Y-96620169D01* +X259294024Y-96627824D01* +X259303546Y-96636454D01* +X259312176Y-96645976D01* +X259319831Y-96656298D01* +X259326438Y-96667321D01* +X259331932Y-96678938D01* +X259336261Y-96691037D01* +X259339384Y-96703503D01* +X259341269Y-96716215D01* +X259341900Y-96729050D01* +X259341900Y-98090950D01* +X259341269Y-98103785D01* +X259339384Y-98116497D01* +X259336261Y-98128963D01* +X259331932Y-98141062D01* +X259326438Y-98152679D01* +X259319831Y-98163702D01* +X259312176Y-98174024D01* +X259303546Y-98183546D01* +X259294024Y-98192176D01* +X259283702Y-98199831D01* +X259272679Y-98206438D01* +X259261062Y-98211932D01* +X259248963Y-98216261D01* +X259236497Y-98219384D01* +X259223785Y-98221269D01* +X259210950Y-98221900D01* +X258949050Y-98221900D01* +X258936215Y-98221269D01* +X258923503Y-98219384D01* +X258911037Y-98216261D01* +X258898938Y-98211932D01* +X258887321Y-98206438D01* +X258876298Y-98199831D01* +X258865976Y-98192176D01* +X258856454Y-98183546D01* +X258847824Y-98174024D01* +X258840169Y-98163702D01* +X258833562Y-98152679D01* +X258828068Y-98141062D01* +X258823739Y-98128963D01* +X258820616Y-98116497D01* +X258818731Y-98103785D01* +X258818100Y-98090950D01* +X258818100Y-96729050D01* +X258818731Y-96716215D01* +X258820616Y-96703503D01* +X258823739Y-96691037D01* +X258828068Y-96678938D01* +X258833562Y-96667321D01* +X258840169Y-96656298D01* +X258847824Y-96645976D01* +X258856454Y-96636454D01* +X258865976Y-96627824D01* +X258876298Y-96620169D01* +X258887321Y-96613562D01* +X258898938Y-96608068D01* +X258911037Y-96603739D01* +X258923503Y-96600616D01* +X258936215Y-96598731D01* +X258949050Y-96598100D01* +X259210950Y-96598100D01* +X259223785Y-96598731D01* +X259223785Y-96598731D01* +G37* +D13* +X259080000Y-97410000D03* +D10* +G36* +X260493785Y-96598731D02* +G01* +X260506497Y-96600616D01* +X260518963Y-96603739D01* +X260531062Y-96608068D01* +X260542679Y-96613562D01* +X260553702Y-96620169D01* +X260564024Y-96627824D01* +X260573546Y-96636454D01* +X260582176Y-96645976D01* +X260589831Y-96656298D01* +X260596438Y-96667321D01* +X260601932Y-96678938D01* +X260606261Y-96691037D01* +X260609384Y-96703503D01* +X260611269Y-96716215D01* +X260611900Y-96729050D01* +X260611900Y-98090950D01* +X260611269Y-98103785D01* +X260609384Y-98116497D01* +X260606261Y-98128963D01* +X260601932Y-98141062D01* +X260596438Y-98152679D01* +X260589831Y-98163702D01* +X260582176Y-98174024D01* +X260573546Y-98183546D01* +X260564024Y-98192176D01* +X260553702Y-98199831D01* +X260542679Y-98206438D01* +X260531062Y-98211932D01* +X260518963Y-98216261D01* +X260506497Y-98219384D01* +X260493785Y-98221269D01* +X260480950Y-98221900D01* +X260219050Y-98221900D01* +X260206215Y-98221269D01* +X260193503Y-98219384D01* +X260181037Y-98216261D01* +X260168938Y-98211932D01* +X260157321Y-98206438D01* +X260146298Y-98199831D01* +X260135976Y-98192176D01* +X260126454Y-98183546D01* +X260117824Y-98174024D01* +X260110169Y-98163702D01* +X260103562Y-98152679D01* +X260098068Y-98141062D01* +X260093739Y-98128963D01* +X260090616Y-98116497D01* +X260088731Y-98103785D01* +X260088100Y-98090950D01* +X260088100Y-96729050D01* +X260088731Y-96716215D01* +X260090616Y-96703503D01* +X260093739Y-96691037D01* +X260098068Y-96678938D01* +X260103562Y-96667321D01* +X260110169Y-96656298D01* +X260117824Y-96645976D01* +X260126454Y-96636454D01* +X260135976Y-96627824D01* +X260146298Y-96620169D01* +X260157321Y-96613562D01* +X260168938Y-96608068D01* +X260181037Y-96603739D01* +X260193503Y-96600616D01* +X260206215Y-96598731D01* +X260219050Y-96598100D01* +X260480950Y-96598100D01* +X260493785Y-96598731D01* +X260493785Y-96598731D01* +G37* +D13* +X260350000Y-97410000D03* +D10* +G36* +X262693785Y-98798731D02* +G01* +X262706497Y-98800616D01* +X262718963Y-98803739D01* +X262731062Y-98808068D01* +X262742679Y-98813562D01* +X262753702Y-98820169D01* +X262764024Y-98827824D01* +X262773546Y-98836454D01* +X262782176Y-98845976D01* +X262789831Y-98856298D01* +X262796438Y-98867321D01* +X262801932Y-98878938D01* +X262806261Y-98891037D01* +X262809384Y-98903503D01* +X262811269Y-98916215D01* +X262811900Y-98929050D01* +X262811900Y-99190950D01* +X262811269Y-99203785D01* +X262809384Y-99216497D01* +X262806261Y-99228963D01* +X262801932Y-99241062D01* +X262796438Y-99252679D01* +X262789831Y-99263702D01* +X262782176Y-99274024D01* +X262773546Y-99283546D01* +X262764024Y-99292176D01* +X262753702Y-99299831D01* +X262742679Y-99306438D01* +X262731062Y-99311932D01* +X262718963Y-99316261D01* +X262706497Y-99319384D01* +X262693785Y-99321269D01* +X262680950Y-99321900D01* +X261319050Y-99321900D01* +X261306215Y-99321269D01* +X261293503Y-99319384D01* +X261281037Y-99316261D01* +X261268938Y-99311932D01* +X261257321Y-99306438D01* +X261246298Y-99299831D01* +X261235976Y-99292176D01* +X261226454Y-99283546D01* +X261217824Y-99274024D01* +X261210169Y-99263702D01* +X261203562Y-99252679D01* +X261198068Y-99241062D01* +X261193739Y-99228963D01* +X261190616Y-99216497D01* +X261188731Y-99203785D01* +X261188100Y-99190950D01* +X261188100Y-98929050D01* +X261188731Y-98916215D01* +X261190616Y-98903503D01* +X261193739Y-98891037D01* +X261198068Y-98878938D01* +X261203562Y-98867321D01* +X261210169Y-98856298D01* +X261217824Y-98845976D01* +X261226454Y-98836454D01* +X261235976Y-98827824D01* +X261246298Y-98820169D01* +X261257321Y-98813562D01* +X261268938Y-98808068D01* +X261281037Y-98803739D01* +X261293503Y-98800616D01* +X261306215Y-98798731D01* +X261319050Y-98798100D01* +X262680950Y-98798100D01* +X262693785Y-98798731D01* +X262693785Y-98798731D01* +G37* +D13* +X262000000Y-99060000D03* +D10* +G36* +X262693785Y-100068731D02* +G01* +X262706497Y-100070616D01* +X262718963Y-100073739D01* +X262731062Y-100078068D01* +X262742679Y-100083562D01* +X262753702Y-100090169D01* +X262764024Y-100097824D01* +X262773546Y-100106454D01* +X262782176Y-100115976D01* +X262789831Y-100126298D01* +X262796438Y-100137321D01* +X262801932Y-100148938D01* +X262806261Y-100161037D01* +X262809384Y-100173503D01* +X262811269Y-100186215D01* +X262811900Y-100199050D01* +X262811900Y-100460950D01* +X262811269Y-100473785D01* +X262809384Y-100486497D01* +X262806261Y-100498963D01* +X262801932Y-100511062D01* +X262796438Y-100522679D01* +X262789831Y-100533702D01* +X262782176Y-100544024D01* +X262773546Y-100553546D01* +X262764024Y-100562176D01* +X262753702Y-100569831D01* +X262742679Y-100576438D01* +X262731062Y-100581932D01* +X262718963Y-100586261D01* +X262706497Y-100589384D01* +X262693785Y-100591269D01* +X262680950Y-100591900D01* +X261319050Y-100591900D01* +X261306215Y-100591269D01* +X261293503Y-100589384D01* +X261281037Y-100586261D01* +X261268938Y-100581932D01* +X261257321Y-100576438D01* +X261246298Y-100569831D01* +X261235976Y-100562176D01* +X261226454Y-100553546D01* +X261217824Y-100544024D01* +X261210169Y-100533702D01* +X261203562Y-100522679D01* +X261198068Y-100511062D01* +X261193739Y-100498963D01* +X261190616Y-100486497D01* +X261188731Y-100473785D01* +X261188100Y-100460950D01* +X261188100Y-100199050D01* +X261188731Y-100186215D01* +X261190616Y-100173503D01* +X261193739Y-100161037D01* +X261198068Y-100148938D01* +X261203562Y-100137321D01* +X261210169Y-100126298D01* +X261217824Y-100115976D01* +X261226454Y-100106454D01* +X261235976Y-100097824D01* +X261246298Y-100090169D01* +X261257321Y-100083562D01* +X261268938Y-100078068D01* +X261281037Y-100073739D01* +X261293503Y-100070616D01* +X261306215Y-100068731D01* +X261319050Y-100068100D01* +X262680950Y-100068100D01* +X262693785Y-100068731D01* +X262693785Y-100068731D01* +G37* +D13* +X262000000Y-100330000D03* +D10* +G36* +X262693785Y-101338731D02* +G01* +X262706497Y-101340616D01* +X262718963Y-101343739D01* +X262731062Y-101348068D01* +X262742679Y-101353562D01* +X262753702Y-101360169D01* +X262764024Y-101367824D01* +X262773546Y-101376454D01* +X262782176Y-101385976D01* +X262789831Y-101396298D01* +X262796438Y-101407321D01* +X262801932Y-101418938D01* +X262806261Y-101431037D01* +X262809384Y-101443503D01* +X262811269Y-101456215D01* +X262811900Y-101469050D01* +X262811900Y-101730950D01* +X262811269Y-101743785D01* +X262809384Y-101756497D01* +X262806261Y-101768963D01* +X262801932Y-101781062D01* +X262796438Y-101792679D01* +X262789831Y-101803702D01* +X262782176Y-101814024D01* +X262773546Y-101823546D01* +X262764024Y-101832176D01* +X262753702Y-101839831D01* +X262742679Y-101846438D01* +X262731062Y-101851932D01* +X262718963Y-101856261D01* +X262706497Y-101859384D01* +X262693785Y-101861269D01* +X262680950Y-101861900D01* +X261319050Y-101861900D01* +X261306215Y-101861269D01* +X261293503Y-101859384D01* +X261281037Y-101856261D01* +X261268938Y-101851932D01* +X261257321Y-101846438D01* +X261246298Y-101839831D01* +X261235976Y-101832176D01* +X261226454Y-101823546D01* +X261217824Y-101814024D01* +X261210169Y-101803702D01* +X261203562Y-101792679D01* +X261198068Y-101781062D01* +X261193739Y-101768963D01* +X261190616Y-101756497D01* +X261188731Y-101743785D01* +X261188100Y-101730950D01* +X261188100Y-101469050D01* +X261188731Y-101456215D01* +X261190616Y-101443503D01* +X261193739Y-101431037D01* +X261198068Y-101418938D01* +X261203562Y-101407321D01* +X261210169Y-101396298D01* +X261217824Y-101385976D01* +X261226454Y-101376454D01* +X261235976Y-101367824D01* +X261246298Y-101360169D01* +X261257321Y-101353562D01* +X261268938Y-101348068D01* +X261281037Y-101343739D01* +X261293503Y-101340616D01* +X261306215Y-101338731D01* +X261319050Y-101338100D01* +X262680950Y-101338100D01* +X262693785Y-101338731D01* +X262693785Y-101338731D01* +G37* +D13* +X262000000Y-101600000D03* +D10* +G36* +X262693785Y-102608731D02* +G01* +X262706497Y-102610616D01* +X262718963Y-102613739D01* +X262731062Y-102618068D01* +X262742679Y-102623562D01* +X262753702Y-102630169D01* +X262764024Y-102637824D01* +X262773546Y-102646454D01* +X262782176Y-102655976D01* +X262789831Y-102666298D01* +X262796438Y-102677321D01* +X262801932Y-102688938D01* +X262806261Y-102701037D01* +X262809384Y-102713503D01* +X262811269Y-102726215D01* +X262811900Y-102739050D01* +X262811900Y-103000950D01* +X262811269Y-103013785D01* +X262809384Y-103026497D01* +X262806261Y-103038963D01* +X262801932Y-103051062D01* +X262796438Y-103062679D01* +X262789831Y-103073702D01* +X262782176Y-103084024D01* +X262773546Y-103093546D01* +X262764024Y-103102176D01* +X262753702Y-103109831D01* +X262742679Y-103116438D01* +X262731062Y-103121932D01* +X262718963Y-103126261D01* +X262706497Y-103129384D01* +X262693785Y-103131269D01* +X262680950Y-103131900D01* +X261319050Y-103131900D01* +X261306215Y-103131269D01* +X261293503Y-103129384D01* +X261281037Y-103126261D01* +X261268938Y-103121932D01* +X261257321Y-103116438D01* +X261246298Y-103109831D01* +X261235976Y-103102176D01* +X261226454Y-103093546D01* +X261217824Y-103084024D01* +X261210169Y-103073702D01* +X261203562Y-103062679D01* +X261198068Y-103051062D01* +X261193739Y-103038963D01* +X261190616Y-103026497D01* +X261188731Y-103013785D01* +X261188100Y-103000950D01* +X261188100Y-102739050D01* +X261188731Y-102726215D01* +X261190616Y-102713503D01* +X261193739Y-102701037D01* +X261198068Y-102688938D01* +X261203562Y-102677321D01* +X261210169Y-102666298D01* +X261217824Y-102655976D01* +X261226454Y-102646454D01* +X261235976Y-102637824D01* +X261246298Y-102630169D01* +X261257321Y-102623562D01* +X261268938Y-102618068D01* +X261281037Y-102613739D01* +X261293503Y-102610616D01* +X261306215Y-102608731D01* +X261319050Y-102608100D01* +X262680950Y-102608100D01* +X262693785Y-102608731D01* +X262693785Y-102608731D01* +G37* +D13* +X262000000Y-102870000D03* +D10* +G36* +X262693785Y-103878731D02* +G01* +X262706497Y-103880616D01* +X262718963Y-103883739D01* +X262731062Y-103888068D01* +X262742679Y-103893562D01* +X262753702Y-103900169D01* +X262764024Y-103907824D01* +X262773546Y-103916454D01* +X262782176Y-103925976D01* +X262789831Y-103936298D01* +X262796438Y-103947321D01* +X262801932Y-103958938D01* +X262806261Y-103971037D01* +X262809384Y-103983503D01* +X262811269Y-103996215D01* +X262811900Y-104009050D01* +X262811900Y-104270950D01* +X262811269Y-104283785D01* +X262809384Y-104296497D01* +X262806261Y-104308963D01* +X262801932Y-104321062D01* +X262796438Y-104332679D01* +X262789831Y-104343702D01* +X262782176Y-104354024D01* +X262773546Y-104363546D01* +X262764024Y-104372176D01* +X262753702Y-104379831D01* +X262742679Y-104386438D01* +X262731062Y-104391932D01* +X262718963Y-104396261D01* +X262706497Y-104399384D01* +X262693785Y-104401269D01* +X262680950Y-104401900D01* +X261319050Y-104401900D01* +X261306215Y-104401269D01* +X261293503Y-104399384D01* +X261281037Y-104396261D01* +X261268938Y-104391932D01* +X261257321Y-104386438D01* +X261246298Y-104379831D01* +X261235976Y-104372176D01* +X261226454Y-104363546D01* +X261217824Y-104354024D01* +X261210169Y-104343702D01* +X261203562Y-104332679D01* +X261198068Y-104321062D01* +X261193739Y-104308963D01* +X261190616Y-104296497D01* +X261188731Y-104283785D01* +X261188100Y-104270950D01* +X261188100Y-104009050D01* +X261188731Y-103996215D01* +X261190616Y-103983503D01* +X261193739Y-103971037D01* +X261198068Y-103958938D01* +X261203562Y-103947321D01* +X261210169Y-103936298D01* +X261217824Y-103925976D01* +X261226454Y-103916454D01* +X261235976Y-103907824D01* +X261246298Y-103900169D01* +X261257321Y-103893562D01* +X261268938Y-103888068D01* +X261281037Y-103883739D01* +X261293503Y-103880616D01* +X261306215Y-103878731D01* +X261319050Y-103878100D01* +X262680950Y-103878100D01* +X262693785Y-103878731D01* +X262693785Y-103878731D01* +G37* +D13* +X262000000Y-104140000D03* +D10* +G36* +X262693785Y-105148731D02* +G01* +X262706497Y-105150616D01* +X262718963Y-105153739D01* +X262731062Y-105158068D01* +X262742679Y-105163562D01* +X262753702Y-105170169D01* +X262764024Y-105177824D01* +X262773546Y-105186454D01* +X262782176Y-105195976D01* +X262789831Y-105206298D01* +X262796438Y-105217321D01* +X262801932Y-105228938D01* +X262806261Y-105241037D01* +X262809384Y-105253503D01* +X262811269Y-105266215D01* +X262811900Y-105279050D01* +X262811900Y-105540950D01* +X262811269Y-105553785D01* +X262809384Y-105566497D01* +X262806261Y-105578963D01* +X262801932Y-105591062D01* +X262796438Y-105602679D01* +X262789831Y-105613702D01* +X262782176Y-105624024D01* +X262773546Y-105633546D01* +X262764024Y-105642176D01* +X262753702Y-105649831D01* +X262742679Y-105656438D01* +X262731062Y-105661932D01* +X262718963Y-105666261D01* +X262706497Y-105669384D01* +X262693785Y-105671269D01* +X262680950Y-105671900D01* +X261319050Y-105671900D01* +X261306215Y-105671269D01* +X261293503Y-105669384D01* +X261281037Y-105666261D01* +X261268938Y-105661932D01* +X261257321Y-105656438D01* +X261246298Y-105649831D01* +X261235976Y-105642176D01* +X261226454Y-105633546D01* +X261217824Y-105624024D01* +X261210169Y-105613702D01* +X261203562Y-105602679D01* +X261198068Y-105591062D01* +X261193739Y-105578963D01* +X261190616Y-105566497D01* +X261188731Y-105553785D01* +X261188100Y-105540950D01* +X261188100Y-105279050D01* +X261188731Y-105266215D01* +X261190616Y-105253503D01* +X261193739Y-105241037D01* +X261198068Y-105228938D01* +X261203562Y-105217321D01* +X261210169Y-105206298D01* +X261217824Y-105195976D01* +X261226454Y-105186454D01* +X261235976Y-105177824D01* +X261246298Y-105170169D01* +X261257321Y-105163562D01* +X261268938Y-105158068D01* +X261281037Y-105153739D01* +X261293503Y-105150616D01* +X261306215Y-105148731D01* +X261319050Y-105148100D01* +X262680950Y-105148100D01* +X262693785Y-105148731D01* +X262693785Y-105148731D01* +G37* +D13* +X262000000Y-105410000D03* +D10* +G36* +X262693785Y-106418731D02* +G01* +X262706497Y-106420616D01* +X262718963Y-106423739D01* +X262731062Y-106428068D01* +X262742679Y-106433562D01* +X262753702Y-106440169D01* +X262764024Y-106447824D01* +X262773546Y-106456454D01* +X262782176Y-106465976D01* +X262789831Y-106476298D01* +X262796438Y-106487321D01* +X262801932Y-106498938D01* +X262806261Y-106511037D01* +X262809384Y-106523503D01* +X262811269Y-106536215D01* +X262811900Y-106549050D01* +X262811900Y-106810950D01* +X262811269Y-106823785D01* +X262809384Y-106836497D01* +X262806261Y-106848963D01* +X262801932Y-106861062D01* +X262796438Y-106872679D01* +X262789831Y-106883702D01* +X262782176Y-106894024D01* +X262773546Y-106903546D01* +X262764024Y-106912176D01* +X262753702Y-106919831D01* +X262742679Y-106926438D01* +X262731062Y-106931932D01* +X262718963Y-106936261D01* +X262706497Y-106939384D01* +X262693785Y-106941269D01* +X262680950Y-106941900D01* +X261319050Y-106941900D01* +X261306215Y-106941269D01* +X261293503Y-106939384D01* +X261281037Y-106936261D01* +X261268938Y-106931932D01* +X261257321Y-106926438D01* +X261246298Y-106919831D01* +X261235976Y-106912176D01* +X261226454Y-106903546D01* +X261217824Y-106894024D01* +X261210169Y-106883702D01* +X261203562Y-106872679D01* +X261198068Y-106861062D01* +X261193739Y-106848963D01* +X261190616Y-106836497D01* +X261188731Y-106823785D01* +X261188100Y-106810950D01* +X261188100Y-106549050D01* +X261188731Y-106536215D01* +X261190616Y-106523503D01* +X261193739Y-106511037D01* +X261198068Y-106498938D01* +X261203562Y-106487321D01* +X261210169Y-106476298D01* +X261217824Y-106465976D01* +X261226454Y-106456454D01* +X261235976Y-106447824D01* +X261246298Y-106440169D01* +X261257321Y-106433562D01* +X261268938Y-106428068D01* +X261281037Y-106423739D01* +X261293503Y-106420616D01* +X261306215Y-106418731D01* +X261319050Y-106418100D01* +X262680950Y-106418100D01* +X262693785Y-106418731D01* +X262693785Y-106418731D01* +G37* +D13* +X262000000Y-106680000D03* +D10* +G36* +X262693785Y-107688731D02* +G01* +X262706497Y-107690616D01* +X262718963Y-107693739D01* +X262731062Y-107698068D01* +X262742679Y-107703562D01* +X262753702Y-107710169D01* +X262764024Y-107717824D01* +X262773546Y-107726454D01* +X262782176Y-107735976D01* +X262789831Y-107746298D01* +X262796438Y-107757321D01* +X262801932Y-107768938D01* +X262806261Y-107781037D01* +X262809384Y-107793503D01* +X262811269Y-107806215D01* +X262811900Y-107819050D01* +X262811900Y-108080950D01* +X262811269Y-108093785D01* +X262809384Y-108106497D01* +X262806261Y-108118963D01* +X262801932Y-108131062D01* +X262796438Y-108142679D01* +X262789831Y-108153702D01* +X262782176Y-108164024D01* +X262773546Y-108173546D01* +X262764024Y-108182176D01* +X262753702Y-108189831D01* +X262742679Y-108196438D01* +X262731062Y-108201932D01* +X262718963Y-108206261D01* +X262706497Y-108209384D01* +X262693785Y-108211269D01* +X262680950Y-108211900D01* +X261319050Y-108211900D01* +X261306215Y-108211269D01* +X261293503Y-108209384D01* +X261281037Y-108206261D01* +X261268938Y-108201932D01* +X261257321Y-108196438D01* +X261246298Y-108189831D01* +X261235976Y-108182176D01* +X261226454Y-108173546D01* +X261217824Y-108164024D01* +X261210169Y-108153702D01* +X261203562Y-108142679D01* +X261198068Y-108131062D01* +X261193739Y-108118963D01* +X261190616Y-108106497D01* +X261188731Y-108093785D01* +X261188100Y-108080950D01* +X261188100Y-107819050D01* +X261188731Y-107806215D01* +X261190616Y-107793503D01* +X261193739Y-107781037D01* +X261198068Y-107768938D01* +X261203562Y-107757321D01* +X261210169Y-107746298D01* +X261217824Y-107735976D01* +X261226454Y-107726454D01* +X261235976Y-107717824D01* +X261246298Y-107710169D01* +X261257321Y-107703562D01* +X261268938Y-107698068D01* +X261281037Y-107693739D01* +X261293503Y-107690616D01* +X261306215Y-107688731D01* +X261319050Y-107688100D01* +X262680950Y-107688100D01* +X262693785Y-107688731D01* +X262693785Y-107688731D01* +G37* +D13* +X262000000Y-107950000D03* +D10* +G36* +X262693785Y-108958731D02* +G01* +X262706497Y-108960616D01* +X262718963Y-108963739D01* +X262731062Y-108968068D01* +X262742679Y-108973562D01* +X262753702Y-108980169D01* +X262764024Y-108987824D01* +X262773546Y-108996454D01* +X262782176Y-109005976D01* +X262789831Y-109016298D01* +X262796438Y-109027321D01* +X262801932Y-109038938D01* +X262806261Y-109051037D01* +X262809384Y-109063503D01* +X262811269Y-109076215D01* +X262811900Y-109089050D01* +X262811900Y-109350950D01* +X262811269Y-109363785D01* +X262809384Y-109376497D01* +X262806261Y-109388963D01* +X262801932Y-109401062D01* +X262796438Y-109412679D01* +X262789831Y-109423702D01* +X262782176Y-109434024D01* +X262773546Y-109443546D01* +X262764024Y-109452176D01* +X262753702Y-109459831D01* +X262742679Y-109466438D01* +X262731062Y-109471932D01* +X262718963Y-109476261D01* +X262706497Y-109479384D01* +X262693785Y-109481269D01* +X262680950Y-109481900D01* +X261319050Y-109481900D01* +X261306215Y-109481269D01* +X261293503Y-109479384D01* +X261281037Y-109476261D01* +X261268938Y-109471932D01* +X261257321Y-109466438D01* +X261246298Y-109459831D01* +X261235976Y-109452176D01* +X261226454Y-109443546D01* +X261217824Y-109434024D01* +X261210169Y-109423702D01* +X261203562Y-109412679D01* +X261198068Y-109401062D01* +X261193739Y-109388963D01* +X261190616Y-109376497D01* +X261188731Y-109363785D01* +X261188100Y-109350950D01* +X261188100Y-109089050D01* +X261188731Y-109076215D01* +X261190616Y-109063503D01* +X261193739Y-109051037D01* +X261198068Y-109038938D01* +X261203562Y-109027321D01* +X261210169Y-109016298D01* +X261217824Y-109005976D01* +X261226454Y-108996454D01* +X261235976Y-108987824D01* +X261246298Y-108980169D01* +X261257321Y-108973562D01* +X261268938Y-108968068D01* +X261281037Y-108963739D01* +X261293503Y-108960616D01* +X261306215Y-108958731D01* +X261319050Y-108958100D01* +X262680950Y-108958100D01* +X262693785Y-108958731D01* +X262693785Y-108958731D01* +G37* +D13* +X262000000Y-109220000D03* +D10* +G36* +X262693785Y-110228731D02* +G01* +X262706497Y-110230616D01* +X262718963Y-110233739D01* +X262731062Y-110238068D01* +X262742679Y-110243562D01* +X262753702Y-110250169D01* +X262764024Y-110257824D01* +X262773546Y-110266454D01* +X262782176Y-110275976D01* +X262789831Y-110286298D01* +X262796438Y-110297321D01* +X262801932Y-110308938D01* +X262806261Y-110321037D01* +X262809384Y-110333503D01* +X262811269Y-110346215D01* +X262811900Y-110359050D01* +X262811900Y-110620950D01* +X262811269Y-110633785D01* +X262809384Y-110646497D01* +X262806261Y-110658963D01* +X262801932Y-110671062D01* +X262796438Y-110682679D01* +X262789831Y-110693702D01* +X262782176Y-110704024D01* +X262773546Y-110713546D01* +X262764024Y-110722176D01* +X262753702Y-110729831D01* +X262742679Y-110736438D01* +X262731062Y-110741932D01* +X262718963Y-110746261D01* +X262706497Y-110749384D01* +X262693785Y-110751269D01* +X262680950Y-110751900D01* +X261319050Y-110751900D01* +X261306215Y-110751269D01* +X261293503Y-110749384D01* +X261281037Y-110746261D01* +X261268938Y-110741932D01* +X261257321Y-110736438D01* +X261246298Y-110729831D01* +X261235976Y-110722176D01* +X261226454Y-110713546D01* +X261217824Y-110704024D01* +X261210169Y-110693702D01* +X261203562Y-110682679D01* +X261198068Y-110671062D01* +X261193739Y-110658963D01* +X261190616Y-110646497D01* +X261188731Y-110633785D01* +X261188100Y-110620950D01* +X261188100Y-110359050D01* +X261188731Y-110346215D01* +X261190616Y-110333503D01* +X261193739Y-110321037D01* +X261198068Y-110308938D01* +X261203562Y-110297321D01* +X261210169Y-110286298D01* +X261217824Y-110275976D01* +X261226454Y-110266454D01* +X261235976Y-110257824D01* +X261246298Y-110250169D01* +X261257321Y-110243562D01* +X261268938Y-110238068D01* +X261281037Y-110233739D01* +X261293503Y-110230616D01* +X261306215Y-110228731D01* +X261319050Y-110228100D01* +X262680950Y-110228100D01* +X262693785Y-110228731D01* +X262693785Y-110228731D01* +G37* +D13* +X262000000Y-110490000D03* +D10* +G36* +X262693785Y-111498731D02* +G01* +X262706497Y-111500616D01* +X262718963Y-111503739D01* +X262731062Y-111508068D01* +X262742679Y-111513562D01* +X262753702Y-111520169D01* +X262764024Y-111527824D01* +X262773546Y-111536454D01* +X262782176Y-111545976D01* +X262789831Y-111556298D01* +X262796438Y-111567321D01* +X262801932Y-111578938D01* +X262806261Y-111591037D01* +X262809384Y-111603503D01* +X262811269Y-111616215D01* +X262811900Y-111629050D01* +X262811900Y-111890950D01* +X262811269Y-111903785D01* +X262809384Y-111916497D01* +X262806261Y-111928963D01* +X262801932Y-111941062D01* +X262796438Y-111952679D01* +X262789831Y-111963702D01* +X262782176Y-111974024D01* +X262773546Y-111983546D01* +X262764024Y-111992176D01* +X262753702Y-111999831D01* +X262742679Y-112006438D01* +X262731062Y-112011932D01* +X262718963Y-112016261D01* +X262706497Y-112019384D01* +X262693785Y-112021269D01* +X262680950Y-112021900D01* +X261319050Y-112021900D01* +X261306215Y-112021269D01* +X261293503Y-112019384D01* +X261281037Y-112016261D01* +X261268938Y-112011932D01* +X261257321Y-112006438D01* +X261246298Y-111999831D01* +X261235976Y-111992176D01* +X261226454Y-111983546D01* +X261217824Y-111974024D01* +X261210169Y-111963702D01* +X261203562Y-111952679D01* +X261198068Y-111941062D01* +X261193739Y-111928963D01* +X261190616Y-111916497D01* +X261188731Y-111903785D01* +X261188100Y-111890950D01* +X261188100Y-111629050D01* +X261188731Y-111616215D01* +X261190616Y-111603503D01* +X261193739Y-111591037D01* +X261198068Y-111578938D01* +X261203562Y-111567321D01* +X261210169Y-111556298D01* +X261217824Y-111545976D01* +X261226454Y-111536454D01* +X261235976Y-111527824D01* +X261246298Y-111520169D01* +X261257321Y-111513562D01* +X261268938Y-111508068D01* +X261281037Y-111503739D01* +X261293503Y-111500616D01* +X261306215Y-111498731D01* +X261319050Y-111498100D01* +X262680950Y-111498100D01* +X262693785Y-111498731D01* +X262693785Y-111498731D01* +G37* +D13* +X262000000Y-111760000D03* +D10* +G36* +X214389312Y-116814272D02* +G01* +X214412945Y-116817778D01* +X214436120Y-116823583D01* +X214458614Y-116831632D01* +X214480212Y-116841846D01* +X214500704Y-116854129D01* +X214519893Y-116868361D01* +X214537595Y-116884405D01* +X214553639Y-116902107D01* +X214567871Y-116921296D01* +X214580154Y-116941788D01* +X214590368Y-116963386D01* +X214598417Y-116985880D01* +X214604222Y-117009055D01* +X214607728Y-117032688D01* +X214608900Y-117056550D01* +X214608900Y-117893450D01* +X214607728Y-117917312D01* +X214604222Y-117940945D01* +X214598417Y-117964120D01* +X214590368Y-117986614D01* +X214580154Y-118008212D01* +X214567871Y-118028704D01* +X214553639Y-118047893D01* +X214537595Y-118065595D01* +X214519893Y-118081639D01* +X214500704Y-118095871D01* +X214480212Y-118108154D01* +X214458614Y-118118368D01* +X214436120Y-118126417D01* +X214412945Y-118132222D01* +X214389312Y-118135728D01* +X214365450Y-118136900D01* +X213878550Y-118136900D01* +X213854688Y-118135728D01* +X213831055Y-118132222D01* +X213807880Y-118126417D01* +X213785386Y-118118368D01* +X213763788Y-118108154D01* +X213743296Y-118095871D01* +X213724107Y-118081639D01* +X213706405Y-118065595D01* +X213690361Y-118047893D01* +X213676129Y-118028704D01* +X213663846Y-118008212D01* +X213653632Y-117986614D01* +X213645583Y-117964120D01* +X213639778Y-117940945D01* +X213636272Y-117917312D01* +X213635100Y-117893450D01* +X213635100Y-117056550D01* +X213636272Y-117032688D01* +X213639778Y-117009055D01* +X213645583Y-116985880D01* +X213653632Y-116963386D01* +X213663846Y-116941788D01* +X213676129Y-116921296D01* +X213690361Y-116902107D01* +X213706405Y-116884405D01* +X213724107Y-116868361D01* +X213743296Y-116854129D01* +X213763788Y-116841846D01* +X213785386Y-116831632D01* +X213807880Y-116823583D01* +X213831055Y-116817778D01* +X213854688Y-116814272D01* +X213878550Y-116813100D01* +X214365450Y-116813100D01* +X214389312Y-116814272D01* +X214389312Y-116814272D01* +G37* +D11* +X214122000Y-117475000D03* +D10* +G36* +X216089312Y-116814272D02* +G01* +X216112945Y-116817778D01* +X216136120Y-116823583D01* +X216158614Y-116831632D01* +X216180212Y-116841846D01* +X216200704Y-116854129D01* +X216219893Y-116868361D01* +X216237595Y-116884405D01* +X216253639Y-116902107D01* +X216267871Y-116921296D01* +X216280154Y-116941788D01* +X216290368Y-116963386D01* +X216298417Y-116985880D01* +X216304222Y-117009055D01* +X216307728Y-117032688D01* +X216308900Y-117056550D01* +X216308900Y-117893450D01* +X216307728Y-117917312D01* +X216304222Y-117940945D01* +X216298417Y-117964120D01* +X216290368Y-117986614D01* +X216280154Y-118008212D01* +X216267871Y-118028704D01* +X216253639Y-118047893D01* +X216237595Y-118065595D01* +X216219893Y-118081639D01* +X216200704Y-118095871D01* +X216180212Y-118108154D01* +X216158614Y-118118368D01* +X216136120Y-118126417D01* +X216112945Y-118132222D01* +X216089312Y-118135728D01* +X216065450Y-118136900D01* +X215578550Y-118136900D01* +X215554688Y-118135728D01* +X215531055Y-118132222D01* +X215507880Y-118126417D01* +X215485386Y-118118368D01* +X215463788Y-118108154D01* +X215443296Y-118095871D01* +X215424107Y-118081639D01* +X215406405Y-118065595D01* +X215390361Y-118047893D01* +X215376129Y-118028704D01* +X215363846Y-118008212D01* +X215353632Y-117986614D01* +X215345583Y-117964120D01* +X215339778Y-117940945D01* +X215336272Y-117917312D01* +X215335100Y-117893450D01* +X215335100Y-117056550D01* +X215336272Y-117032688D01* +X215339778Y-117009055D01* +X215345583Y-116985880D01* +X215353632Y-116963386D01* +X215363846Y-116941788D01* +X215376129Y-116921296D01* +X215390361Y-116902107D01* +X215406405Y-116884405D01* +X215424107Y-116868361D01* +X215443296Y-116854129D01* +X215463788Y-116841846D01* +X215485386Y-116831632D01* +X215507880Y-116823583D01* +X215531055Y-116817778D01* +X215554688Y-116814272D01* +X215578550Y-116813100D01* +X216065450Y-116813100D01* +X216089312Y-116814272D01* +X216089312Y-116814272D01* +G37* +D11* +X215822000Y-117475000D03* +D10* +G36* +X216089312Y-95224272D02* +G01* +X216112945Y-95227778D01* +X216136120Y-95233583D01* +X216158614Y-95241632D01* +X216180212Y-95251846D01* +X216200704Y-95264129D01* +X216219893Y-95278361D01* +X216237595Y-95294405D01* +X216253639Y-95312107D01* +X216267871Y-95331296D01* +X216280154Y-95351788D01* +X216290368Y-95373386D01* +X216298417Y-95395880D01* +X216304222Y-95419055D01* +X216307728Y-95442688D01* +X216308900Y-95466550D01* +X216308900Y-96303450D01* +X216307728Y-96327312D01* +X216304222Y-96350945D01* +X216298417Y-96374120D01* +X216290368Y-96396614D01* +X216280154Y-96418212D01* +X216267871Y-96438704D01* +X216253639Y-96457893D01* +X216237595Y-96475595D01* +X216219893Y-96491639D01* +X216200704Y-96505871D01* +X216180212Y-96518154D01* +X216158614Y-96528368D01* +X216136120Y-96536417D01* +X216112945Y-96542222D01* +X216089312Y-96545728D01* +X216065450Y-96546900D01* +X215578550Y-96546900D01* +X215554688Y-96545728D01* +X215531055Y-96542222D01* +X215507880Y-96536417D01* +X215485386Y-96528368D01* +X215463788Y-96518154D01* +X215443296Y-96505871D01* +X215424107Y-96491639D01* +X215406405Y-96475595D01* +X215390361Y-96457893D01* +X215376129Y-96438704D01* +X215363846Y-96418212D01* +X215353632Y-96396614D01* +X215345583Y-96374120D01* +X215339778Y-96350945D01* +X215336272Y-96327312D01* +X215335100Y-96303450D01* +X215335100Y-95466550D01* +X215336272Y-95442688D01* +X215339778Y-95419055D01* +X215345583Y-95395880D01* +X215353632Y-95373386D01* +X215363846Y-95351788D01* +X215376129Y-95331296D01* +X215390361Y-95312107D01* +X215406405Y-95294405D01* +X215424107Y-95278361D01* +X215443296Y-95264129D01* +X215463788Y-95251846D01* +X215485386Y-95241632D01* +X215507880Y-95233583D01* +X215531055Y-95227778D01* +X215554688Y-95224272D01* +X215578550Y-95223100D01* +X216065450Y-95223100D01* +X216089312Y-95224272D01* +X216089312Y-95224272D01* +G37* +D11* +X215822000Y-95885000D03* +D10* +G36* +X214389312Y-95224272D02* +G01* +X214412945Y-95227778D01* +X214436120Y-95233583D01* +X214458614Y-95241632D01* +X214480212Y-95251846D01* +X214500704Y-95264129D01* +X214519893Y-95278361D01* +X214537595Y-95294405D01* +X214553639Y-95312107D01* +X214567871Y-95331296D01* +X214580154Y-95351788D01* +X214590368Y-95373386D01* +X214598417Y-95395880D01* +X214604222Y-95419055D01* +X214607728Y-95442688D01* +X214608900Y-95466550D01* +X214608900Y-96303450D01* +X214607728Y-96327312D01* +X214604222Y-96350945D01* +X214598417Y-96374120D01* +X214590368Y-96396614D01* +X214580154Y-96418212D01* +X214567871Y-96438704D01* +X214553639Y-96457893D01* +X214537595Y-96475595D01* +X214519893Y-96491639D01* +X214500704Y-96505871D01* +X214480212Y-96518154D01* +X214458614Y-96528368D01* +X214436120Y-96536417D01* +X214412945Y-96542222D01* +X214389312Y-96545728D01* +X214365450Y-96546900D01* +X213878550Y-96546900D01* +X213854688Y-96545728D01* +X213831055Y-96542222D01* +X213807880Y-96536417D01* +X213785386Y-96528368D01* +X213763788Y-96518154D01* +X213743296Y-96505871D01* +X213724107Y-96491639D01* +X213706405Y-96475595D01* +X213690361Y-96457893D01* +X213676129Y-96438704D01* +X213663846Y-96418212D01* +X213653632Y-96396614D01* +X213645583Y-96374120D01* +X213639778Y-96350945D01* +X213636272Y-96327312D01* +X213635100Y-96303450D01* +X213635100Y-95466550D01* +X213636272Y-95442688D01* +X213639778Y-95419055D01* +X213645583Y-95395880D01* +X213653632Y-95373386D01* +X213663846Y-95351788D01* +X213676129Y-95331296D01* +X213690361Y-95312107D01* +X213706405Y-95294405D01* +X213724107Y-95278361D01* +X213743296Y-95264129D01* +X213763788Y-95251846D01* +X213785386Y-95241632D01* +X213807880Y-95233583D01* +X213831055Y-95227778D01* +X213854688Y-95224272D01* +X213878550Y-95223100D01* +X214365450Y-95223100D01* +X214389312Y-95224272D01* +X214389312Y-95224272D01* +G37* +D11* +X214122000Y-95885000D03* +D10* +G36* +X242837312Y-90779272D02* +G01* +X242860945Y-90782778D01* +X242884120Y-90788583D01* +X242906614Y-90796632D01* +X242928212Y-90806846D01* +X242948704Y-90819129D01* +X242967893Y-90833361D01* +X242985595Y-90849405D01* +X243001639Y-90867107D01* +X243015871Y-90886296D01* +X243028154Y-90906788D01* +X243038368Y-90928386D01* +X243046417Y-90950880D01* +X243052222Y-90974055D01* +X243055728Y-90997688D01* +X243056900Y-91021550D01* +X243056900Y-91858450D01* +X243055728Y-91882312D01* +X243052222Y-91905945D01* +X243046417Y-91929120D01* +X243038368Y-91951614D01* +X243028154Y-91973212D01* +X243015871Y-91993704D01* +X243001639Y-92012893D01* +X242985595Y-92030595D01* +X242967893Y-92046639D01* +X242948704Y-92060871D01* +X242928212Y-92073154D01* +X242906614Y-92083368D01* +X242884120Y-92091417D01* +X242860945Y-92097222D01* +X242837312Y-92100728D01* +X242813450Y-92101900D01* +X242326550Y-92101900D01* +X242302688Y-92100728D01* +X242279055Y-92097222D01* +X242255880Y-92091417D01* +X242233386Y-92083368D01* +X242211788Y-92073154D01* +X242191296Y-92060871D01* +X242172107Y-92046639D01* +X242154405Y-92030595D01* +X242138361Y-92012893D01* +X242124129Y-91993704D01* +X242111846Y-91973212D01* +X242101632Y-91951614D01* +X242093583Y-91929120D01* +X242087778Y-91905945D01* +X242084272Y-91882312D01* +X242083100Y-91858450D01* +X242083100Y-91021550D01* +X242084272Y-90997688D01* +X242087778Y-90974055D01* +X242093583Y-90950880D01* +X242101632Y-90928386D01* +X242111846Y-90906788D01* +X242124129Y-90886296D01* +X242138361Y-90867107D01* +X242154405Y-90849405D01* +X242172107Y-90833361D01* +X242191296Y-90819129D01* +X242211788Y-90806846D01* +X242233386Y-90796632D01* +X242255880Y-90788583D01* +X242279055Y-90782778D01* +X242302688Y-90779272D01* +X242326550Y-90778100D01* +X242813450Y-90778100D01* +X242837312Y-90779272D01* +X242837312Y-90779272D01* +G37* +D11* +X242570000Y-91440000D03* +D10* +G36* +X241137312Y-90779272D02* +G01* +X241160945Y-90782778D01* +X241184120Y-90788583D01* +X241206614Y-90796632D01* +X241228212Y-90806846D01* +X241248704Y-90819129D01* +X241267893Y-90833361D01* +X241285595Y-90849405D01* +X241301639Y-90867107D01* +X241315871Y-90886296D01* +X241328154Y-90906788D01* +X241338368Y-90928386D01* +X241346417Y-90950880D01* +X241352222Y-90974055D01* +X241355728Y-90997688D01* +X241356900Y-91021550D01* +X241356900Y-91858450D01* +X241355728Y-91882312D01* +X241352222Y-91905945D01* +X241346417Y-91929120D01* +X241338368Y-91951614D01* +X241328154Y-91973212D01* +X241315871Y-91993704D01* +X241301639Y-92012893D01* +X241285595Y-92030595D01* +X241267893Y-92046639D01* +X241248704Y-92060871D01* +X241228212Y-92073154D01* +X241206614Y-92083368D01* +X241184120Y-92091417D01* +X241160945Y-92097222D01* +X241137312Y-92100728D01* +X241113450Y-92101900D01* +X240626550Y-92101900D01* +X240602688Y-92100728D01* +X240579055Y-92097222D01* +X240555880Y-92091417D01* +X240533386Y-92083368D01* +X240511788Y-92073154D01* +X240491296Y-92060871D01* +X240472107Y-92046639D01* +X240454405Y-92030595D01* +X240438361Y-92012893D01* +X240424129Y-91993704D01* +X240411846Y-91973212D01* +X240401632Y-91951614D01* +X240393583Y-91929120D01* +X240387778Y-91905945D01* +X240384272Y-91882312D01* +X240383100Y-91858450D01* +X240383100Y-91021550D01* +X240384272Y-90997688D01* +X240387778Y-90974055D01* +X240393583Y-90950880D01* +X240401632Y-90928386D01* +X240411846Y-90906788D01* +X240424129Y-90886296D01* +X240438361Y-90867107D01* +X240454405Y-90849405D01* +X240472107Y-90833361D01* +X240491296Y-90819129D01* +X240511788Y-90806846D01* +X240533386Y-90796632D01* +X240555880Y-90788583D01* +X240579055Y-90782778D01* +X240602688Y-90779272D01* +X240626550Y-90778100D01* +X241113450Y-90778100D01* +X241137312Y-90779272D01* +X241137312Y-90779272D01* +G37* +D11* +X240870000Y-91440000D03* +D10* +G36* +X268412312Y-108734272D02* +G01* +X268435945Y-108737778D01* +X268459120Y-108743583D01* +X268481614Y-108751632D01* +X268503212Y-108761846D01* +X268523704Y-108774129D01* +X268542893Y-108788361D01* +X268560595Y-108804405D01* +X268576639Y-108822107D01* +X268590871Y-108841296D01* +X268603154Y-108861788D01* +X268613368Y-108883386D01* +X268621417Y-108905880D01* +X268627222Y-108929055D01* +X268630728Y-108952688D01* +X268631900Y-108976550D01* +X268631900Y-109463450D01* +X268630728Y-109487312D01* +X268627222Y-109510945D01* +X268621417Y-109534120D01* +X268613368Y-109556614D01* +X268603154Y-109578212D01* +X268590871Y-109598704D01* +X268576639Y-109617893D01* +X268560595Y-109635595D01* +X268542893Y-109651639D01* +X268523704Y-109665871D01* +X268503212Y-109678154D01* +X268481614Y-109688368D01* +X268459120Y-109696417D01* +X268435945Y-109702222D01* +X268412312Y-109705728D01* +X268388450Y-109706900D01* +X267551550Y-109706900D01* +X267527688Y-109705728D01* +X267504055Y-109702222D01* +X267480880Y-109696417D01* +X267458386Y-109688368D01* +X267436788Y-109678154D01* +X267416296Y-109665871D01* +X267397107Y-109651639D01* +X267379405Y-109635595D01* +X267363361Y-109617893D01* +X267349129Y-109598704D01* +X267336846Y-109578212D01* +X267326632Y-109556614D01* +X267318583Y-109534120D01* +X267312778Y-109510945D01* +X267309272Y-109487312D01* +X267308100Y-109463450D01* +X267308100Y-108976550D01* +X267309272Y-108952688D01* +X267312778Y-108929055D01* +X267318583Y-108905880D01* +X267326632Y-108883386D01* +X267336846Y-108861788D01* +X267349129Y-108841296D01* +X267363361Y-108822107D01* +X267379405Y-108804405D01* +X267397107Y-108788361D01* +X267416296Y-108774129D01* +X267436788Y-108761846D01* +X267458386Y-108751632D01* +X267480880Y-108743583D01* +X267504055Y-108737778D01* +X267527688Y-108734272D01* +X267551550Y-108733100D01* +X268388450Y-108733100D01* +X268412312Y-108734272D01* +X268412312Y-108734272D01* +G37* +D11* +X267970000Y-109220000D03* +D10* +G36* +X268412312Y-107034272D02* +G01* +X268435945Y-107037778D01* +X268459120Y-107043583D01* +X268481614Y-107051632D01* +X268503212Y-107061846D01* +X268523704Y-107074129D01* +X268542893Y-107088361D01* +X268560595Y-107104405D01* +X268576639Y-107122107D01* +X268590871Y-107141296D01* +X268603154Y-107161788D01* +X268613368Y-107183386D01* +X268621417Y-107205880D01* +X268627222Y-107229055D01* +X268630728Y-107252688D01* +X268631900Y-107276550D01* +X268631900Y-107763450D01* +X268630728Y-107787312D01* +X268627222Y-107810945D01* +X268621417Y-107834120D01* +X268613368Y-107856614D01* +X268603154Y-107878212D01* +X268590871Y-107898704D01* +X268576639Y-107917893D01* +X268560595Y-107935595D01* +X268542893Y-107951639D01* +X268523704Y-107965871D01* +X268503212Y-107978154D01* +X268481614Y-107988368D01* +X268459120Y-107996417D01* +X268435945Y-108002222D01* +X268412312Y-108005728D01* +X268388450Y-108006900D01* +X267551550Y-108006900D01* +X267527688Y-108005728D01* +X267504055Y-108002222D01* +X267480880Y-107996417D01* +X267458386Y-107988368D01* +X267436788Y-107978154D01* +X267416296Y-107965871D01* +X267397107Y-107951639D01* +X267379405Y-107935595D01* +X267363361Y-107917893D01* +X267349129Y-107898704D01* +X267336846Y-107878212D01* +X267326632Y-107856614D01* +X267318583Y-107834120D01* +X267312778Y-107810945D01* +X267309272Y-107787312D01* +X267308100Y-107763450D01* +X267308100Y-107276550D01* +X267309272Y-107252688D01* +X267312778Y-107229055D01* +X267318583Y-107205880D01* +X267326632Y-107183386D01* +X267336846Y-107161788D01* +X267349129Y-107141296D01* +X267363361Y-107122107D01* +X267379405Y-107104405D01* +X267397107Y-107088361D01* +X267416296Y-107074129D01* +X267436788Y-107061846D01* +X267458386Y-107051632D01* +X267480880Y-107043583D01* +X267504055Y-107037778D01* +X267527688Y-107034272D01* +X267551550Y-107033100D01* +X268388450Y-107033100D01* +X268412312Y-107034272D01* +X268412312Y-107034272D01* +G37* +D11* +X267970000Y-107520000D03* +D10* +G36* +X268412312Y-118464272D02* +G01* +X268435945Y-118467778D01* +X268459120Y-118473583D01* +X268481614Y-118481632D01* +X268503212Y-118491846D01* +X268523704Y-118504129D01* +X268542893Y-118518361D01* +X268560595Y-118534405D01* +X268576639Y-118552107D01* +X268590871Y-118571296D01* +X268603154Y-118591788D01* +X268613368Y-118613386D01* +X268621417Y-118635880D01* +X268627222Y-118659055D01* +X268630728Y-118682688D01* +X268631900Y-118706550D01* +X268631900Y-119193450D01* +X268630728Y-119217312D01* +X268627222Y-119240945D01* +X268621417Y-119264120D01* +X268613368Y-119286614D01* +X268603154Y-119308212D01* +X268590871Y-119328704D01* +X268576639Y-119347893D01* +X268560595Y-119365595D01* +X268542893Y-119381639D01* +X268523704Y-119395871D01* +X268503212Y-119408154D01* +X268481614Y-119418368D01* +X268459120Y-119426417D01* +X268435945Y-119432222D01* +X268412312Y-119435728D01* +X268388450Y-119436900D01* +X267551550Y-119436900D01* +X267527688Y-119435728D01* +X267504055Y-119432222D01* +X267480880Y-119426417D01* +X267458386Y-119418368D01* +X267436788Y-119408154D01* +X267416296Y-119395871D01* +X267397107Y-119381639D01* +X267379405Y-119365595D01* +X267363361Y-119347893D01* +X267349129Y-119328704D01* +X267336846Y-119308212D01* +X267326632Y-119286614D01* +X267318583Y-119264120D01* +X267312778Y-119240945D01* +X267309272Y-119217312D01* +X267308100Y-119193450D01* +X267308100Y-118706550D01* +X267309272Y-118682688D01* +X267312778Y-118659055D01* +X267318583Y-118635880D01* +X267326632Y-118613386D01* +X267336846Y-118591788D01* +X267349129Y-118571296D01* +X267363361Y-118552107D01* +X267379405Y-118534405D01* +X267397107Y-118518361D01* +X267416296Y-118504129D01* +X267436788Y-118491846D01* +X267458386Y-118481632D01* +X267480880Y-118473583D01* +X267504055Y-118467778D01* +X267527688Y-118464272D01* +X267551550Y-118463100D01* +X268388450Y-118463100D01* +X268412312Y-118464272D01* +X268412312Y-118464272D01* +G37* +D11* +X267970000Y-118950000D03* +D10* +G36* +X268412312Y-120164272D02* +G01* +X268435945Y-120167778D01* +X268459120Y-120173583D01* +X268481614Y-120181632D01* +X268503212Y-120191846D01* +X268523704Y-120204129D01* +X268542893Y-120218361D01* +X268560595Y-120234405D01* +X268576639Y-120252107D01* +X268590871Y-120271296D01* +X268603154Y-120291788D01* +X268613368Y-120313386D01* +X268621417Y-120335880D01* +X268627222Y-120359055D01* +X268630728Y-120382688D01* +X268631900Y-120406550D01* +X268631900Y-120893450D01* +X268630728Y-120917312D01* +X268627222Y-120940945D01* +X268621417Y-120964120D01* +X268613368Y-120986614D01* +X268603154Y-121008212D01* +X268590871Y-121028704D01* +X268576639Y-121047893D01* +X268560595Y-121065595D01* +X268542893Y-121081639D01* +X268523704Y-121095871D01* +X268503212Y-121108154D01* +X268481614Y-121118368D01* +X268459120Y-121126417D01* +X268435945Y-121132222D01* +X268412312Y-121135728D01* +X268388450Y-121136900D01* +X267551550Y-121136900D01* +X267527688Y-121135728D01* +X267504055Y-121132222D01* +X267480880Y-121126417D01* +X267458386Y-121118368D01* +X267436788Y-121108154D01* +X267416296Y-121095871D01* +X267397107Y-121081639D01* +X267379405Y-121065595D01* +X267363361Y-121047893D01* +X267349129Y-121028704D01* +X267336846Y-121008212D01* +X267326632Y-120986614D01* +X267318583Y-120964120D01* +X267312778Y-120940945D01* +X267309272Y-120917312D01* +X267308100Y-120893450D01* +X267308100Y-120406550D01* +X267309272Y-120382688D01* +X267312778Y-120359055D01* +X267318583Y-120335880D01* +X267326632Y-120313386D01* +X267336846Y-120291788D01* +X267349129Y-120271296D01* +X267363361Y-120252107D01* +X267379405Y-120234405D01* +X267397107Y-120218361D01* +X267416296Y-120204129D01* +X267436788Y-120191846D01* +X267458386Y-120181632D01* +X267480880Y-120173583D01* +X267504055Y-120167778D01* +X267527688Y-120164272D01* +X267551550Y-120163100D01* +X268388450Y-120163100D01* +X268412312Y-120164272D01* +X268412312Y-120164272D01* +G37* +D11* +X267970000Y-120650000D03* +D10* +G36* +X227772312Y-111274272D02* +G01* +X227795945Y-111277778D01* +X227819120Y-111283583D01* +X227841614Y-111291632D01* +X227863212Y-111301846D01* +X227883704Y-111314129D01* +X227902893Y-111328361D01* +X227920595Y-111344405D01* +X227936639Y-111362107D01* +X227950871Y-111381296D01* +X227963154Y-111401788D01* +X227973368Y-111423386D01* +X227981417Y-111445880D01* +X227987222Y-111469055D01* +X227990728Y-111492688D01* +X227991900Y-111516550D01* +X227991900Y-112003450D01* +X227990728Y-112027312D01* +X227987222Y-112050945D01* +X227981417Y-112074120D01* +X227973368Y-112096614D01* +X227963154Y-112118212D01* +X227950871Y-112138704D01* +X227936639Y-112157893D01* +X227920595Y-112175595D01* +X227902893Y-112191639D01* +X227883704Y-112205871D01* +X227863212Y-112218154D01* +X227841614Y-112228368D01* +X227819120Y-112236417D01* +X227795945Y-112242222D01* +X227772312Y-112245728D01* +X227748450Y-112246900D01* +X226911550Y-112246900D01* +X226887688Y-112245728D01* +X226864055Y-112242222D01* +X226840880Y-112236417D01* +X226818386Y-112228368D01* +X226796788Y-112218154D01* +X226776296Y-112205871D01* +X226757107Y-112191639D01* +X226739405Y-112175595D01* +X226723361Y-112157893D01* +X226709129Y-112138704D01* +X226696846Y-112118212D01* +X226686632Y-112096614D01* +X226678583Y-112074120D01* +X226672778Y-112050945D01* +X226669272Y-112027312D01* +X226668100Y-112003450D01* +X226668100Y-111516550D01* +X226669272Y-111492688D01* +X226672778Y-111469055D01* +X226678583Y-111445880D01* +X226686632Y-111423386D01* +X226696846Y-111401788D01* +X226709129Y-111381296D01* +X226723361Y-111362107D01* +X226739405Y-111344405D01* +X226757107Y-111328361D01* +X226776296Y-111314129D01* +X226796788Y-111301846D01* +X226818386Y-111291632D01* +X226840880Y-111283583D01* +X226864055Y-111277778D01* +X226887688Y-111274272D01* +X226911550Y-111273100D01* +X227748450Y-111273100D01* +X227772312Y-111274272D01* +X227772312Y-111274272D01* +G37* +D11* +X227330000Y-111760000D03* +D10* +G36* +X227772312Y-109574272D02* +G01* +X227795945Y-109577778D01* +X227819120Y-109583583D01* +X227841614Y-109591632D01* +X227863212Y-109601846D01* +X227883704Y-109614129D01* +X227902893Y-109628361D01* +X227920595Y-109644405D01* +X227936639Y-109662107D01* +X227950871Y-109681296D01* +X227963154Y-109701788D01* +X227973368Y-109723386D01* +X227981417Y-109745880D01* +X227987222Y-109769055D01* +X227990728Y-109792688D01* +X227991900Y-109816550D01* +X227991900Y-110303450D01* +X227990728Y-110327312D01* +X227987222Y-110350945D01* +X227981417Y-110374120D01* +X227973368Y-110396614D01* +X227963154Y-110418212D01* +X227950871Y-110438704D01* +X227936639Y-110457893D01* +X227920595Y-110475595D01* +X227902893Y-110491639D01* +X227883704Y-110505871D01* +X227863212Y-110518154D01* +X227841614Y-110528368D01* +X227819120Y-110536417D01* +X227795945Y-110542222D01* +X227772312Y-110545728D01* +X227748450Y-110546900D01* +X226911550Y-110546900D01* +X226887688Y-110545728D01* +X226864055Y-110542222D01* +X226840880Y-110536417D01* +X226818386Y-110528368D01* +X226796788Y-110518154D01* +X226776296Y-110505871D01* +X226757107Y-110491639D01* +X226739405Y-110475595D01* +X226723361Y-110457893D01* +X226709129Y-110438704D01* +X226696846Y-110418212D01* +X226686632Y-110396614D01* +X226678583Y-110374120D01* +X226672778Y-110350945D01* +X226669272Y-110327312D01* +X226668100Y-110303450D01* +X226668100Y-109816550D01* +X226669272Y-109792688D01* +X226672778Y-109769055D01* +X226678583Y-109745880D01* +X226686632Y-109723386D01* +X226696846Y-109701788D01* +X226709129Y-109681296D01* +X226723361Y-109662107D01* +X226739405Y-109644405D01* +X226757107Y-109628361D01* +X226776296Y-109614129D01* +X226796788Y-109601846D01* +X226818386Y-109591632D01* +X226840880Y-109583583D01* +X226864055Y-109577778D01* +X226887688Y-109574272D01* +X226911550Y-109573100D01* +X227748450Y-109573100D01* +X227772312Y-109574272D01* +X227772312Y-109574272D01* +G37* +D11* +X227330000Y-110060000D03* +D10* +G36* +X259386312Y-90779272D02* +G01* +X259409945Y-90782778D01* +X259433120Y-90788583D01* +X259455614Y-90796632D01* +X259477212Y-90806846D01* +X259497704Y-90819129D01* +X259516893Y-90833361D01* +X259534595Y-90849405D01* +X259550639Y-90867107D01* +X259564871Y-90886296D01* +X259577154Y-90906788D01* +X259587368Y-90928386D01* +X259595417Y-90950880D01* +X259601222Y-90974055D01* +X259604728Y-90997688D01* +X259605900Y-91021550D01* +X259605900Y-91858450D01* +X259604728Y-91882312D01* +X259601222Y-91905945D01* +X259595417Y-91929120D01* +X259587368Y-91951614D01* +X259577154Y-91973212D01* +X259564871Y-91993704D01* +X259550639Y-92012893D01* +X259534595Y-92030595D01* +X259516893Y-92046639D01* +X259497704Y-92060871D01* +X259477212Y-92073154D01* +X259455614Y-92083368D01* +X259433120Y-92091417D01* +X259409945Y-92097222D01* +X259386312Y-92100728D01* +X259362450Y-92101900D01* +X258875550Y-92101900D01* +X258851688Y-92100728D01* +X258828055Y-92097222D01* +X258804880Y-92091417D01* +X258782386Y-92083368D01* +X258760788Y-92073154D01* +X258740296Y-92060871D01* +X258721107Y-92046639D01* +X258703405Y-92030595D01* +X258687361Y-92012893D01* +X258673129Y-91993704D01* +X258660846Y-91973212D01* +X258650632Y-91951614D01* +X258642583Y-91929120D01* +X258636778Y-91905945D01* +X258633272Y-91882312D01* +X258632100Y-91858450D01* +X258632100Y-91021550D01* +X258633272Y-90997688D01* +X258636778Y-90974055D01* +X258642583Y-90950880D01* +X258650632Y-90928386D01* +X258660846Y-90906788D01* +X258673129Y-90886296D01* +X258687361Y-90867107D01* +X258703405Y-90849405D01* +X258721107Y-90833361D01* +X258740296Y-90819129D01* +X258760788Y-90806846D01* +X258782386Y-90796632D01* +X258804880Y-90788583D01* +X258828055Y-90782778D01* +X258851688Y-90779272D01* +X258875550Y-90778100D01* +X259362450Y-90778100D01* +X259386312Y-90779272D01* +X259386312Y-90779272D01* +G37* +D11* +X259119000Y-91440000D03* +D10* +G36* +X261086312Y-90779272D02* +G01* +X261109945Y-90782778D01* +X261133120Y-90788583D01* +X261155614Y-90796632D01* +X261177212Y-90806846D01* +X261197704Y-90819129D01* +X261216893Y-90833361D01* +X261234595Y-90849405D01* +X261250639Y-90867107D01* +X261264871Y-90886296D01* +X261277154Y-90906788D01* +X261287368Y-90928386D01* +X261295417Y-90950880D01* +X261301222Y-90974055D01* +X261304728Y-90997688D01* +X261305900Y-91021550D01* +X261305900Y-91858450D01* +X261304728Y-91882312D01* +X261301222Y-91905945D01* +X261295417Y-91929120D01* +X261287368Y-91951614D01* +X261277154Y-91973212D01* +X261264871Y-91993704D01* +X261250639Y-92012893D01* +X261234595Y-92030595D01* +X261216893Y-92046639D01* +X261197704Y-92060871D01* +X261177212Y-92073154D01* +X261155614Y-92083368D01* +X261133120Y-92091417D01* +X261109945Y-92097222D01* +X261086312Y-92100728D01* +X261062450Y-92101900D01* +X260575550Y-92101900D01* +X260551688Y-92100728D01* +X260528055Y-92097222D01* +X260504880Y-92091417D01* +X260482386Y-92083368D01* +X260460788Y-92073154D01* +X260440296Y-92060871D01* +X260421107Y-92046639D01* +X260403405Y-92030595D01* +X260387361Y-92012893D01* +X260373129Y-91993704D01* +X260360846Y-91973212D01* +X260350632Y-91951614D01* +X260342583Y-91929120D01* +X260336778Y-91905945D01* +X260333272Y-91882312D01* +X260332100Y-91858450D01* +X260332100Y-91021550D01* +X260333272Y-90997688D01* +X260336778Y-90974055D01* +X260342583Y-90950880D01* +X260350632Y-90928386D01* +X260360846Y-90906788D01* +X260373129Y-90886296D01* +X260387361Y-90867107D01* +X260403405Y-90849405D01* +X260421107Y-90833361D01* +X260440296Y-90819129D01* +X260460788Y-90806846D01* +X260482386Y-90796632D01* +X260504880Y-90788583D01* +X260528055Y-90782778D01* +X260551688Y-90779272D01* +X260575550Y-90778100D01* +X261062450Y-90778100D01* +X261086312Y-90779272D01* +X261086312Y-90779272D01* +G37* +D11* +X260819000Y-91440000D03* +D10* +G36* +X227772312Y-122274272D02* +G01* +X227795945Y-122277778D01* +X227819120Y-122283583D01* +X227841614Y-122291632D01* +X227863212Y-122301846D01* +X227883704Y-122314129D01* +X227902893Y-122328361D01* +X227920595Y-122344405D01* +X227936639Y-122362107D01* +X227950871Y-122381296D01* +X227963154Y-122401788D01* +X227973368Y-122423386D01* +X227981417Y-122445880D01* +X227987222Y-122469055D01* +X227990728Y-122492688D01* +X227991900Y-122516550D01* +X227991900Y-123003450D01* +X227990728Y-123027312D01* +X227987222Y-123050945D01* +X227981417Y-123074120D01* +X227973368Y-123096614D01* +X227963154Y-123118212D01* +X227950871Y-123138704D01* +X227936639Y-123157893D01* +X227920595Y-123175595D01* +X227902893Y-123191639D01* +X227883704Y-123205871D01* +X227863212Y-123218154D01* +X227841614Y-123228368D01* +X227819120Y-123236417D01* +X227795945Y-123242222D01* +X227772312Y-123245728D01* +X227748450Y-123246900D01* +X226911550Y-123246900D01* +X226887688Y-123245728D01* +X226864055Y-123242222D01* +X226840880Y-123236417D01* +X226818386Y-123228368D01* +X226796788Y-123218154D01* +X226776296Y-123205871D01* +X226757107Y-123191639D01* +X226739405Y-123175595D01* +X226723361Y-123157893D01* +X226709129Y-123138704D01* +X226696846Y-123118212D01* +X226686632Y-123096614D01* +X226678583Y-123074120D01* +X226672778Y-123050945D01* +X226669272Y-123027312D01* +X226668100Y-123003450D01* +X226668100Y-122516550D01* +X226669272Y-122492688D01* +X226672778Y-122469055D01* +X226678583Y-122445880D01* +X226686632Y-122423386D01* +X226696846Y-122401788D01* +X226709129Y-122381296D01* +X226723361Y-122362107D01* +X226739405Y-122344405D01* +X226757107Y-122328361D01* +X226776296Y-122314129D01* +X226796788Y-122301846D01* +X226818386Y-122291632D01* +X226840880Y-122283583D01* +X226864055Y-122277778D01* +X226887688Y-122274272D01* +X226911550Y-122273100D01* +X227748450Y-122273100D01* +X227772312Y-122274272D01* +X227772312Y-122274272D01* +G37* +D11* +X227330000Y-122760000D03* +D10* +G36* +X227772312Y-123974272D02* +G01* +X227795945Y-123977778D01* +X227819120Y-123983583D01* +X227841614Y-123991632D01* +X227863212Y-124001846D01* +X227883704Y-124014129D01* +X227902893Y-124028361D01* +X227920595Y-124044405D01* +X227936639Y-124062107D01* +X227950871Y-124081296D01* +X227963154Y-124101788D01* +X227973368Y-124123386D01* +X227981417Y-124145880D01* +X227987222Y-124169055D01* +X227990728Y-124192688D01* +X227991900Y-124216550D01* +X227991900Y-124703450D01* +X227990728Y-124727312D01* +X227987222Y-124750945D01* +X227981417Y-124774120D01* +X227973368Y-124796614D01* +X227963154Y-124818212D01* +X227950871Y-124838704D01* +X227936639Y-124857893D01* +X227920595Y-124875595D01* +X227902893Y-124891639D01* +X227883704Y-124905871D01* +X227863212Y-124918154D01* +X227841614Y-124928368D01* +X227819120Y-124936417D01* +X227795945Y-124942222D01* +X227772312Y-124945728D01* +X227748450Y-124946900D01* +X226911550Y-124946900D01* +X226887688Y-124945728D01* +X226864055Y-124942222D01* +X226840880Y-124936417D01* +X226818386Y-124928368D01* +X226796788Y-124918154D01* +X226776296Y-124905871D01* +X226757107Y-124891639D01* +X226739405Y-124875595D01* +X226723361Y-124857893D01* +X226709129Y-124838704D01* +X226696846Y-124818212D01* +X226686632Y-124796614D01* +X226678583Y-124774120D01* +X226672778Y-124750945D01* +X226669272Y-124727312D01* +X226668100Y-124703450D01* +X226668100Y-124216550D01* +X226669272Y-124192688D01* +X226672778Y-124169055D01* +X226678583Y-124145880D01* +X226686632Y-124123386D01* +X226696846Y-124101788D01* +X226709129Y-124081296D01* +X226723361Y-124062107D01* +X226739405Y-124044405D01* +X226757107Y-124028361D01* +X226776296Y-124014129D01* +X226796788Y-124001846D01* +X226818386Y-123991632D01* +X226840880Y-123983583D01* +X226864055Y-123977778D01* +X226887688Y-123974272D01* +X226911550Y-123973100D01* +X227748450Y-123973100D01* +X227772312Y-123974272D01* +X227772312Y-123974272D01* +G37* +D11* +X227330000Y-124460000D03* +D10* +G36* +X223348785Y-98163731D02* +G01* +X223361497Y-98165616D01* +X223373963Y-98168739D01* +X223386062Y-98173068D01* +X223397679Y-98178562D01* +X223408702Y-98185169D01* +X223419024Y-98192824D01* +X223428546Y-98201454D01* +X223437176Y-98210976D01* +X223444831Y-98221298D01* +X223451438Y-98232321D01* +X223456932Y-98243938D01* +X223461261Y-98256037D01* +X223464384Y-98268503D01* +X223466269Y-98281215D01* +X223466900Y-98294050D01* +X223466900Y-98555950D01* +X223466269Y-98568785D01* +X223464384Y-98581497D01* +X223461261Y-98593963D01* +X223456932Y-98606062D01* +X223451438Y-98617679D01* +X223444831Y-98628702D01* +X223437176Y-98639024D01* +X223428546Y-98648546D01* +X223419024Y-98657176D01* +X223408702Y-98664831D01* +X223397679Y-98671438D01* +X223386062Y-98676932D01* +X223373963Y-98681261D01* +X223361497Y-98684384D01* +X223348785Y-98686269D01* +X223335950Y-98686900D01* +X219674050Y-98686900D01* +X219661215Y-98686269D01* +X219648503Y-98684384D01* +X219636037Y-98681261D01* +X219623938Y-98676932D01* +X219612321Y-98671438D01* +X219601298Y-98664831D01* +X219590976Y-98657176D01* +X219581454Y-98648546D01* +X219572824Y-98639024D01* +X219565169Y-98628702D01* +X219558562Y-98617679D01* +X219553068Y-98606062D01* +X219548739Y-98593963D01* +X219545616Y-98581497D01* +X219543731Y-98568785D01* +X219543100Y-98555950D01* +X219543100Y-98294050D01* +X219543731Y-98281215D01* +X219545616Y-98268503D01* +X219548739Y-98256037D01* +X219553068Y-98243938D01* +X219558562Y-98232321D01* +X219565169Y-98221298D01* +X219572824Y-98210976D01* +X219581454Y-98201454D01* +X219590976Y-98192824D01* +X219601298Y-98185169D01* +X219612321Y-98178562D01* +X219623938Y-98173068D01* +X219636037Y-98168739D01* +X219648503Y-98165616D01* +X219661215Y-98163731D01* +X219674050Y-98163100D01* +X223335950Y-98163100D01* +X223348785Y-98163731D01* +X223348785Y-98163731D01* +G37* +D13* +X221505000Y-98425000D03* +D10* +G36* +X223348785Y-99433731D02* +G01* +X223361497Y-99435616D01* +X223373963Y-99438739D01* +X223386062Y-99443068D01* +X223397679Y-99448562D01* +X223408702Y-99455169D01* +X223419024Y-99462824D01* +X223428546Y-99471454D01* +X223437176Y-99480976D01* +X223444831Y-99491298D01* +X223451438Y-99502321D01* +X223456932Y-99513938D01* +X223461261Y-99526037D01* +X223464384Y-99538503D01* +X223466269Y-99551215D01* +X223466900Y-99564050D01* +X223466900Y-99825950D01* +X223466269Y-99838785D01* +X223464384Y-99851497D01* +X223461261Y-99863963D01* +X223456932Y-99876062D01* +X223451438Y-99887679D01* +X223444831Y-99898702D01* +X223437176Y-99909024D01* +X223428546Y-99918546D01* +X223419024Y-99927176D01* +X223408702Y-99934831D01* +X223397679Y-99941438D01* +X223386062Y-99946932D01* +X223373963Y-99951261D01* +X223361497Y-99954384D01* +X223348785Y-99956269D01* +X223335950Y-99956900D01* +X219674050Y-99956900D01* +X219661215Y-99956269D01* +X219648503Y-99954384D01* +X219636037Y-99951261D01* +X219623938Y-99946932D01* +X219612321Y-99941438D01* +X219601298Y-99934831D01* +X219590976Y-99927176D01* +X219581454Y-99918546D01* +X219572824Y-99909024D01* +X219565169Y-99898702D01* +X219558562Y-99887679D01* +X219553068Y-99876062D01* +X219548739Y-99863963D01* +X219545616Y-99851497D01* +X219543731Y-99838785D01* +X219543100Y-99825950D01* +X219543100Y-99564050D01* +X219543731Y-99551215D01* +X219545616Y-99538503D01* +X219548739Y-99526037D01* +X219553068Y-99513938D01* +X219558562Y-99502321D01* +X219565169Y-99491298D01* +X219572824Y-99480976D01* +X219581454Y-99471454D01* +X219590976Y-99462824D01* +X219601298Y-99455169D01* +X219612321Y-99448562D01* +X219623938Y-99443068D01* +X219636037Y-99438739D01* +X219648503Y-99435616D01* +X219661215Y-99433731D01* +X219674050Y-99433100D01* +X223335950Y-99433100D01* +X223348785Y-99433731D01* +X223348785Y-99433731D01* +G37* +D13* +X221505000Y-99695000D03* +D10* +G36* +X215948785Y-114673731D02* +G01* +X215961497Y-114675616D01* +X215973963Y-114678739D01* +X215986062Y-114683068D01* +X215997679Y-114688562D01* +X216008702Y-114695169D01* +X216019024Y-114702824D01* +X216028546Y-114711454D01* +X216037176Y-114720976D01* +X216044831Y-114731298D01* +X216051438Y-114742321D01* +X216056932Y-114753938D01* +X216061261Y-114766037D01* +X216064384Y-114778503D01* +X216066269Y-114791215D01* +X216066900Y-114804050D01* +X216066900Y-115065950D01* +X216066269Y-115078785D01* +X216064384Y-115091497D01* +X216061261Y-115103963D01* +X216056932Y-115116062D01* +X216051438Y-115127679D01* +X216044831Y-115138702D01* +X216037176Y-115149024D01* +X216028546Y-115158546D01* +X216019024Y-115167176D01* +X216008702Y-115174831D01* +X215997679Y-115181438D01* +X215986062Y-115186932D01* +X215973963Y-115191261D01* +X215961497Y-115194384D01* +X215948785Y-115196269D01* +X215935950Y-115196900D01* +X212274050Y-115196900D01* +X212261215Y-115196269D01* +X212248503Y-115194384D01* +X212236037Y-115191261D01* +X212223938Y-115186932D01* +X212212321Y-115181438D01* +X212201298Y-115174831D01* +X212190976Y-115167176D01* +X212181454Y-115158546D01* +X212172824Y-115149024D01* +X212165169Y-115138702D01* +X212158562Y-115127679D01* +X212153068Y-115116062D01* +X212148739Y-115103963D01* +X212145616Y-115091497D01* +X212143731Y-115078785D01* +X212143100Y-115065950D01* +X212143100Y-114804050D01* +X212143731Y-114791215D01* +X212145616Y-114778503D01* +X212148739Y-114766037D01* +X212153068Y-114753938D01* +X212158562Y-114742321D01* +X212165169Y-114731298D01* +X212172824Y-114720976D01* +X212181454Y-114711454D01* +X212190976Y-114702824D01* +X212201298Y-114695169D01* +X212212321Y-114688562D01* +X212223938Y-114683068D01* +X212236037Y-114678739D01* +X212248503Y-114675616D01* +X212261215Y-114673731D01* +X212274050Y-114673100D01* +X215935950Y-114673100D01* +X215948785Y-114673731D01* +X215948785Y-114673731D01* +G37* +D13* +X214105000Y-114935000D03* +D10* +G36* +X215948785Y-107053731D02* +G01* +X215961497Y-107055616D01* +X215973963Y-107058739D01* +X215986062Y-107063068D01* +X215997679Y-107068562D01* +X216008702Y-107075169D01* +X216019024Y-107082824D01* +X216028546Y-107091454D01* +X216037176Y-107100976D01* +X216044831Y-107111298D01* +X216051438Y-107122321D01* +X216056932Y-107133938D01* +X216061261Y-107146037D01* +X216064384Y-107158503D01* +X216066269Y-107171215D01* +X216066900Y-107184050D01* +X216066900Y-107445950D01* +X216066269Y-107458785D01* +X216064384Y-107471497D01* +X216061261Y-107483963D01* +X216056932Y-107496062D01* +X216051438Y-107507679D01* +X216044831Y-107518702D01* +X216037176Y-107529024D01* +X216028546Y-107538546D01* +X216019024Y-107547176D01* +X216008702Y-107554831D01* +X215997679Y-107561438D01* +X215986062Y-107566932D01* +X215973963Y-107571261D01* +X215961497Y-107574384D01* +X215948785Y-107576269D01* +X215935950Y-107576900D01* +X212274050Y-107576900D01* +X212261215Y-107576269D01* +X212248503Y-107574384D01* +X212236037Y-107571261D01* +X212223938Y-107566932D01* +X212212321Y-107561438D01* +X212201298Y-107554831D01* +X212190976Y-107547176D01* +X212181454Y-107538546D01* +X212172824Y-107529024D01* +X212165169Y-107518702D01* +X212158562Y-107507679D01* +X212153068Y-107496062D01* +X212148739Y-107483963D01* +X212145616Y-107471497D01* +X212143731Y-107458785D01* +X212143100Y-107445950D01* +X212143100Y-107184050D01* +X212143731Y-107171215D01* +X212145616Y-107158503D01* +X212148739Y-107146037D01* +X212153068Y-107133938D01* +X212158562Y-107122321D01* +X212165169Y-107111298D01* +X212172824Y-107100976D01* +X212181454Y-107091454D01* +X212190976Y-107082824D01* +X212201298Y-107075169D01* +X212212321Y-107068562D01* +X212223938Y-107063068D01* +X212236037Y-107058739D01* +X212248503Y-107055616D01* +X212261215Y-107053731D01* +X212274050Y-107053100D01* +X215935950Y-107053100D01* +X215948785Y-107053731D01* +X215948785Y-107053731D01* +G37* +D13* +X214105000Y-107315000D03* +D10* +G36* +X223348785Y-109593731D02* +G01* +X223361497Y-109595616D01* +X223373963Y-109598739D01* +X223386062Y-109603068D01* +X223397679Y-109608562D01* +X223408702Y-109615169D01* +X223419024Y-109622824D01* +X223428546Y-109631454D01* +X223437176Y-109640976D01* +X223444831Y-109651298D01* +X223451438Y-109662321D01* +X223456932Y-109673938D01* +X223461261Y-109686037D01* +X223464384Y-109698503D01* +X223466269Y-109711215D01* +X223466900Y-109724050D01* +X223466900Y-109985950D01* +X223466269Y-109998785D01* +X223464384Y-110011497D01* +X223461261Y-110023963D01* +X223456932Y-110036062D01* +X223451438Y-110047679D01* +X223444831Y-110058702D01* +X223437176Y-110069024D01* +X223428546Y-110078546D01* +X223419024Y-110087176D01* +X223408702Y-110094831D01* +X223397679Y-110101438D01* +X223386062Y-110106932D01* +X223373963Y-110111261D01* +X223361497Y-110114384D01* +X223348785Y-110116269D01* +X223335950Y-110116900D01* +X219674050Y-110116900D01* +X219661215Y-110116269D01* +X219648503Y-110114384D01* +X219636037Y-110111261D01* +X219623938Y-110106932D01* +X219612321Y-110101438D01* +X219601298Y-110094831D01* +X219590976Y-110087176D01* +X219581454Y-110078546D01* +X219572824Y-110069024D01* +X219565169Y-110058702D01* +X219558562Y-110047679D01* +X219553068Y-110036062D01* +X219548739Y-110023963D01* +X219545616Y-110011497D01* +X219543731Y-109998785D01* +X219543100Y-109985950D01* +X219543100Y-109724050D01* +X219543731Y-109711215D01* +X219545616Y-109698503D01* +X219548739Y-109686037D01* +X219553068Y-109673938D01* +X219558562Y-109662321D01* +X219565169Y-109651298D01* +X219572824Y-109640976D01* +X219581454Y-109631454D01* +X219590976Y-109622824D01* +X219601298Y-109615169D01* +X219612321Y-109608562D01* +X219623938Y-109603068D01* +X219636037Y-109598739D01* +X219648503Y-109595616D01* +X219661215Y-109593731D01* +X219674050Y-109593100D01* +X223335950Y-109593100D01* +X223348785Y-109593731D01* +X223348785Y-109593731D01* +G37* +D13* +X221505000Y-109855000D03* +D10* +G36* +X215948785Y-104513731D02* +G01* +X215961497Y-104515616D01* +X215973963Y-104518739D01* +X215986062Y-104523068D01* +X215997679Y-104528562D01* +X216008702Y-104535169D01* +X216019024Y-104542824D01* +X216028546Y-104551454D01* +X216037176Y-104560976D01* +X216044831Y-104571298D01* +X216051438Y-104582321D01* +X216056932Y-104593938D01* +X216061261Y-104606037D01* +X216064384Y-104618503D01* +X216066269Y-104631215D01* +X216066900Y-104644050D01* +X216066900Y-104905950D01* +X216066269Y-104918785D01* +X216064384Y-104931497D01* +X216061261Y-104943963D01* +X216056932Y-104956062D01* +X216051438Y-104967679D01* +X216044831Y-104978702D01* +X216037176Y-104989024D01* +X216028546Y-104998546D01* +X216019024Y-105007176D01* +X216008702Y-105014831D01* +X215997679Y-105021438D01* +X215986062Y-105026932D01* +X215973963Y-105031261D01* +X215961497Y-105034384D01* +X215948785Y-105036269D01* +X215935950Y-105036900D01* +X212274050Y-105036900D01* +X212261215Y-105036269D01* +X212248503Y-105034384D01* +X212236037Y-105031261D01* +X212223938Y-105026932D01* +X212212321Y-105021438D01* +X212201298Y-105014831D01* +X212190976Y-105007176D01* +X212181454Y-104998546D01* +X212172824Y-104989024D01* +X212165169Y-104978702D01* +X212158562Y-104967679D01* +X212153068Y-104956062D01* +X212148739Y-104943963D01* +X212145616Y-104931497D01* +X212143731Y-104918785D01* +X212143100Y-104905950D01* +X212143100Y-104644050D01* +X212143731Y-104631215D01* +X212145616Y-104618503D01* +X212148739Y-104606037D01* +X212153068Y-104593938D01* +X212158562Y-104582321D01* +X212165169Y-104571298D01* +X212172824Y-104560976D01* +X212181454Y-104551454D01* +X212190976Y-104542824D01* +X212201298Y-104535169D01* +X212212321Y-104528562D01* +X212223938Y-104523068D01* +X212236037Y-104518739D01* +X212248503Y-104515616D01* +X212261215Y-104513731D01* +X212274050Y-104513100D01* +X215935950Y-104513100D01* +X215948785Y-104513731D01* +X215948785Y-104513731D01* +G37* +D13* +X214105000Y-104775000D03* +D10* +G36* +X223348785Y-107053731D02* +G01* +X223361497Y-107055616D01* +X223373963Y-107058739D01* +X223386062Y-107063068D01* +X223397679Y-107068562D01* +X223408702Y-107075169D01* +X223419024Y-107082824D01* +X223428546Y-107091454D01* +X223437176Y-107100976D01* +X223444831Y-107111298D01* +X223451438Y-107122321D01* +X223456932Y-107133938D01* +X223461261Y-107146037D01* +X223464384Y-107158503D01* +X223466269Y-107171215D01* +X223466900Y-107184050D01* +X223466900Y-107445950D01* +X223466269Y-107458785D01* +X223464384Y-107471497D01* +X223461261Y-107483963D01* +X223456932Y-107496062D01* +X223451438Y-107507679D01* +X223444831Y-107518702D01* +X223437176Y-107529024D01* +X223428546Y-107538546D01* +X223419024Y-107547176D01* +X223408702Y-107554831D01* +X223397679Y-107561438D01* +X223386062Y-107566932D01* +X223373963Y-107571261D01* +X223361497Y-107574384D01* +X223348785Y-107576269D01* +X223335950Y-107576900D01* +X219674050Y-107576900D01* +X219661215Y-107576269D01* +X219648503Y-107574384D01* +X219636037Y-107571261D01* +X219623938Y-107566932D01* +X219612321Y-107561438D01* +X219601298Y-107554831D01* +X219590976Y-107547176D01* +X219581454Y-107538546D01* +X219572824Y-107529024D01* +X219565169Y-107518702D01* +X219558562Y-107507679D01* +X219553068Y-107496062D01* +X219548739Y-107483963D01* +X219545616Y-107471497D01* +X219543731Y-107458785D01* +X219543100Y-107445950D01* +X219543100Y-107184050D01* +X219543731Y-107171215D01* +X219545616Y-107158503D01* +X219548739Y-107146037D01* +X219553068Y-107133938D01* +X219558562Y-107122321D01* +X219565169Y-107111298D01* +X219572824Y-107100976D01* +X219581454Y-107091454D01* +X219590976Y-107082824D01* +X219601298Y-107075169D01* +X219612321Y-107068562D01* +X219623938Y-107063068D01* +X219636037Y-107058739D01* +X219648503Y-107055616D01* +X219661215Y-107053731D01* +X219674050Y-107053100D01* +X223335950Y-107053100D01* +X223348785Y-107053731D01* +X223348785Y-107053731D01* +G37* +D13* +X221505000Y-107315000D03* +D10* +G36* +X215948785Y-98163731D02* +G01* +X215961497Y-98165616D01* +X215973963Y-98168739D01* +X215986062Y-98173068D01* +X215997679Y-98178562D01* +X216008702Y-98185169D01* +X216019024Y-98192824D01* +X216028546Y-98201454D01* +X216037176Y-98210976D01* +X216044831Y-98221298D01* +X216051438Y-98232321D01* +X216056932Y-98243938D01* +X216061261Y-98256037D01* +X216064384Y-98268503D01* +X216066269Y-98281215D01* +X216066900Y-98294050D01* +X216066900Y-98555950D01* +X216066269Y-98568785D01* +X216064384Y-98581497D01* +X216061261Y-98593963D01* +X216056932Y-98606062D01* +X216051438Y-98617679D01* +X216044831Y-98628702D01* +X216037176Y-98639024D01* +X216028546Y-98648546D01* +X216019024Y-98657176D01* +X216008702Y-98664831D01* +X215997679Y-98671438D01* +X215986062Y-98676932D01* +X215973963Y-98681261D01* +X215961497Y-98684384D01* +X215948785Y-98686269D01* +X215935950Y-98686900D01* +X212274050Y-98686900D01* +X212261215Y-98686269D01* +X212248503Y-98684384D01* +X212236037Y-98681261D01* +X212223938Y-98676932D01* +X212212321Y-98671438D01* +X212201298Y-98664831D01* +X212190976Y-98657176D01* +X212181454Y-98648546D01* +X212172824Y-98639024D01* +X212165169Y-98628702D01* +X212158562Y-98617679D01* +X212153068Y-98606062D01* +X212148739Y-98593963D01* +X212145616Y-98581497D01* +X212143731Y-98568785D01* +X212143100Y-98555950D01* +X212143100Y-98294050D01* +X212143731Y-98281215D01* +X212145616Y-98268503D01* +X212148739Y-98256037D01* +X212153068Y-98243938D01* +X212158562Y-98232321D01* +X212165169Y-98221298D01* +X212172824Y-98210976D01* +X212181454Y-98201454D01* +X212190976Y-98192824D01* +X212201298Y-98185169D01* +X212212321Y-98178562D01* +X212223938Y-98173068D01* +X212236037Y-98168739D01* +X212248503Y-98165616D01* +X212261215Y-98163731D01* +X212274050Y-98163100D01* +X215935950Y-98163100D01* +X215948785Y-98163731D01* +X215948785Y-98163731D01* +G37* +D13* +X214105000Y-98425000D03* +D10* +G36* +X215948785Y-99433731D02* +G01* +X215961497Y-99435616D01* +X215973963Y-99438739D01* +X215986062Y-99443068D01* +X215997679Y-99448562D01* +X216008702Y-99455169D01* +X216019024Y-99462824D01* +X216028546Y-99471454D01* +X216037176Y-99480976D01* +X216044831Y-99491298D01* +X216051438Y-99502321D01* +X216056932Y-99513938D01* +X216061261Y-99526037D01* +X216064384Y-99538503D01* +X216066269Y-99551215D01* +X216066900Y-99564050D01* +X216066900Y-99825950D01* +X216066269Y-99838785D01* +X216064384Y-99851497D01* +X216061261Y-99863963D01* +X216056932Y-99876062D01* +X216051438Y-99887679D01* +X216044831Y-99898702D01* +X216037176Y-99909024D01* +X216028546Y-99918546D01* +X216019024Y-99927176D01* +X216008702Y-99934831D01* +X215997679Y-99941438D01* +X215986062Y-99946932D01* +X215973963Y-99951261D01* +X215961497Y-99954384D01* +X215948785Y-99956269D01* +X215935950Y-99956900D01* +X212274050Y-99956900D01* +X212261215Y-99956269D01* +X212248503Y-99954384D01* +X212236037Y-99951261D01* +X212223938Y-99946932D01* +X212212321Y-99941438D01* +X212201298Y-99934831D01* +X212190976Y-99927176D01* +X212181454Y-99918546D01* +X212172824Y-99909024D01* +X212165169Y-99898702D01* +X212158562Y-99887679D01* +X212153068Y-99876062D01* +X212148739Y-99863963D01* +X212145616Y-99851497D01* +X212143731Y-99838785D01* +X212143100Y-99825950D01* +X212143100Y-99564050D01* +X212143731Y-99551215D01* +X212145616Y-99538503D01* +X212148739Y-99526037D01* +X212153068Y-99513938D01* +X212158562Y-99502321D01* +X212165169Y-99491298D01* +X212172824Y-99480976D01* +X212181454Y-99471454D01* +X212190976Y-99462824D01* +X212201298Y-99455169D01* +X212212321Y-99448562D01* +X212223938Y-99443068D01* +X212236037Y-99438739D01* +X212248503Y-99435616D01* +X212261215Y-99433731D01* +X212274050Y-99433100D01* +X215935950Y-99433100D01* +X215948785Y-99433731D01* +X215948785Y-99433731D01* +G37* +D13* +X214105000Y-99695000D03* +D10* +G36* +X215948785Y-100703731D02* +G01* +X215961497Y-100705616D01* +X215973963Y-100708739D01* +X215986062Y-100713068D01* +X215997679Y-100718562D01* +X216008702Y-100725169D01* +X216019024Y-100732824D01* +X216028546Y-100741454D01* +X216037176Y-100750976D01* +X216044831Y-100761298D01* +X216051438Y-100772321D01* +X216056932Y-100783938D01* +X216061261Y-100796037D01* +X216064384Y-100808503D01* +X216066269Y-100821215D01* +X216066900Y-100834050D01* +X216066900Y-101095950D01* +X216066269Y-101108785D01* +X216064384Y-101121497D01* +X216061261Y-101133963D01* +X216056932Y-101146062D01* +X216051438Y-101157679D01* +X216044831Y-101168702D01* +X216037176Y-101179024D01* +X216028546Y-101188546D01* +X216019024Y-101197176D01* +X216008702Y-101204831D01* +X215997679Y-101211438D01* +X215986062Y-101216932D01* +X215973963Y-101221261D01* +X215961497Y-101224384D01* +X215948785Y-101226269D01* +X215935950Y-101226900D01* +X212274050Y-101226900D01* +X212261215Y-101226269D01* +X212248503Y-101224384D01* +X212236037Y-101221261D01* +X212223938Y-101216932D01* +X212212321Y-101211438D01* +X212201298Y-101204831D01* +X212190976Y-101197176D01* +X212181454Y-101188546D01* +X212172824Y-101179024D01* +X212165169Y-101168702D01* +X212158562Y-101157679D01* +X212153068Y-101146062D01* +X212148739Y-101133963D01* +X212145616Y-101121497D01* +X212143731Y-101108785D01* +X212143100Y-101095950D01* +X212143100Y-100834050D01* +X212143731Y-100821215D01* +X212145616Y-100808503D01* +X212148739Y-100796037D01* +X212153068Y-100783938D01* +X212158562Y-100772321D01* +X212165169Y-100761298D01* +X212172824Y-100750976D01* +X212181454Y-100741454D01* +X212190976Y-100732824D01* +X212201298Y-100725169D01* +X212212321Y-100718562D01* +X212223938Y-100713068D01* +X212236037Y-100708739D01* +X212248503Y-100705616D01* +X212261215Y-100703731D01* +X212274050Y-100703100D01* +X215935950Y-100703100D01* +X215948785Y-100703731D01* +X215948785Y-100703731D01* +G37* +D13* +X214105000Y-100965000D03* +D10* +G36* +X215948785Y-101973731D02* +G01* +X215961497Y-101975616D01* +X215973963Y-101978739D01* +X215986062Y-101983068D01* +X215997679Y-101988562D01* +X216008702Y-101995169D01* +X216019024Y-102002824D01* +X216028546Y-102011454D01* +X216037176Y-102020976D01* +X216044831Y-102031298D01* +X216051438Y-102042321D01* +X216056932Y-102053938D01* +X216061261Y-102066037D01* +X216064384Y-102078503D01* +X216066269Y-102091215D01* +X216066900Y-102104050D01* +X216066900Y-102365950D01* +X216066269Y-102378785D01* +X216064384Y-102391497D01* +X216061261Y-102403963D01* +X216056932Y-102416062D01* +X216051438Y-102427679D01* +X216044831Y-102438702D01* +X216037176Y-102449024D01* +X216028546Y-102458546D01* +X216019024Y-102467176D01* +X216008702Y-102474831D01* +X215997679Y-102481438D01* +X215986062Y-102486932D01* +X215973963Y-102491261D01* +X215961497Y-102494384D01* +X215948785Y-102496269D01* +X215935950Y-102496900D01* +X212274050Y-102496900D01* +X212261215Y-102496269D01* +X212248503Y-102494384D01* +X212236037Y-102491261D01* +X212223938Y-102486932D01* +X212212321Y-102481438D01* +X212201298Y-102474831D01* +X212190976Y-102467176D01* +X212181454Y-102458546D01* +X212172824Y-102449024D01* +X212165169Y-102438702D01* +X212158562Y-102427679D01* +X212153068Y-102416062D01* +X212148739Y-102403963D01* +X212145616Y-102391497D01* +X212143731Y-102378785D01* +X212143100Y-102365950D01* +X212143100Y-102104050D01* +X212143731Y-102091215D01* +X212145616Y-102078503D01* +X212148739Y-102066037D01* +X212153068Y-102053938D01* +X212158562Y-102042321D01* +X212165169Y-102031298D01* +X212172824Y-102020976D01* +X212181454Y-102011454D01* +X212190976Y-102002824D01* +X212201298Y-101995169D01* +X212212321Y-101988562D01* +X212223938Y-101983068D01* +X212236037Y-101978739D01* +X212248503Y-101975616D01* +X212261215Y-101973731D01* +X212274050Y-101973100D01* +X215935950Y-101973100D01* +X215948785Y-101973731D01* +X215948785Y-101973731D01* +G37* +D13* +X214105000Y-102235000D03* +D10* +G36* +X215948785Y-103243731D02* +G01* +X215961497Y-103245616D01* +X215973963Y-103248739D01* +X215986062Y-103253068D01* +X215997679Y-103258562D01* +X216008702Y-103265169D01* +X216019024Y-103272824D01* +X216028546Y-103281454D01* +X216037176Y-103290976D01* +X216044831Y-103301298D01* +X216051438Y-103312321D01* +X216056932Y-103323938D01* +X216061261Y-103336037D01* +X216064384Y-103348503D01* +X216066269Y-103361215D01* +X216066900Y-103374050D01* +X216066900Y-103635950D01* +X216066269Y-103648785D01* +X216064384Y-103661497D01* +X216061261Y-103673963D01* +X216056932Y-103686062D01* +X216051438Y-103697679D01* +X216044831Y-103708702D01* +X216037176Y-103719024D01* +X216028546Y-103728546D01* +X216019024Y-103737176D01* +X216008702Y-103744831D01* +X215997679Y-103751438D01* +X215986062Y-103756932D01* +X215973963Y-103761261D01* +X215961497Y-103764384D01* +X215948785Y-103766269D01* +X215935950Y-103766900D01* +X212274050Y-103766900D01* +X212261215Y-103766269D01* +X212248503Y-103764384D01* +X212236037Y-103761261D01* +X212223938Y-103756932D01* +X212212321Y-103751438D01* +X212201298Y-103744831D01* +X212190976Y-103737176D01* +X212181454Y-103728546D01* +X212172824Y-103719024D01* +X212165169Y-103708702D01* +X212158562Y-103697679D01* +X212153068Y-103686062D01* +X212148739Y-103673963D01* +X212145616Y-103661497D01* +X212143731Y-103648785D01* +X212143100Y-103635950D01* +X212143100Y-103374050D01* +X212143731Y-103361215D01* +X212145616Y-103348503D01* +X212148739Y-103336037D01* +X212153068Y-103323938D01* +X212158562Y-103312321D01* +X212165169Y-103301298D01* +X212172824Y-103290976D01* +X212181454Y-103281454D01* +X212190976Y-103272824D01* +X212201298Y-103265169D01* +X212212321Y-103258562D01* +X212223938Y-103253068D01* +X212236037Y-103248739D01* +X212248503Y-103245616D01* +X212261215Y-103243731D01* +X212274050Y-103243100D01* +X215935950Y-103243100D01* +X215948785Y-103243731D01* +X215948785Y-103243731D01* +G37* +D13* +X214105000Y-103505000D03* +D10* +G36* +X215948785Y-108323731D02* +G01* +X215961497Y-108325616D01* +X215973963Y-108328739D01* +X215986062Y-108333068D01* +X215997679Y-108338562D01* +X216008702Y-108345169D01* +X216019024Y-108352824D01* +X216028546Y-108361454D01* +X216037176Y-108370976D01* +X216044831Y-108381298D01* +X216051438Y-108392321D01* +X216056932Y-108403938D01* +X216061261Y-108416037D01* +X216064384Y-108428503D01* +X216066269Y-108441215D01* +X216066900Y-108454050D01* +X216066900Y-108715950D01* +X216066269Y-108728785D01* +X216064384Y-108741497D01* +X216061261Y-108753963D01* +X216056932Y-108766062D01* +X216051438Y-108777679D01* +X216044831Y-108788702D01* +X216037176Y-108799024D01* +X216028546Y-108808546D01* +X216019024Y-108817176D01* +X216008702Y-108824831D01* +X215997679Y-108831438D01* +X215986062Y-108836932D01* +X215973963Y-108841261D01* +X215961497Y-108844384D01* +X215948785Y-108846269D01* +X215935950Y-108846900D01* +X212274050Y-108846900D01* +X212261215Y-108846269D01* +X212248503Y-108844384D01* +X212236037Y-108841261D01* +X212223938Y-108836932D01* +X212212321Y-108831438D01* +X212201298Y-108824831D01* +X212190976Y-108817176D01* +X212181454Y-108808546D01* +X212172824Y-108799024D01* +X212165169Y-108788702D01* +X212158562Y-108777679D01* +X212153068Y-108766062D01* +X212148739Y-108753963D01* +X212145616Y-108741497D01* +X212143731Y-108728785D01* +X212143100Y-108715950D01* +X212143100Y-108454050D01* +X212143731Y-108441215D01* +X212145616Y-108428503D01* +X212148739Y-108416037D01* +X212153068Y-108403938D01* +X212158562Y-108392321D01* +X212165169Y-108381298D01* +X212172824Y-108370976D01* +X212181454Y-108361454D01* +X212190976Y-108352824D01* +X212201298Y-108345169D01* +X212212321Y-108338562D01* +X212223938Y-108333068D01* +X212236037Y-108328739D01* +X212248503Y-108325616D01* +X212261215Y-108323731D01* +X212274050Y-108323100D01* +X215935950Y-108323100D01* +X215948785Y-108323731D01* +X215948785Y-108323731D01* +G37* +D13* +X214105000Y-108585000D03* +D10* +G36* +X215948785Y-109593731D02* +G01* +X215961497Y-109595616D01* +X215973963Y-109598739D01* +X215986062Y-109603068D01* +X215997679Y-109608562D01* +X216008702Y-109615169D01* +X216019024Y-109622824D01* +X216028546Y-109631454D01* +X216037176Y-109640976D01* +X216044831Y-109651298D01* +X216051438Y-109662321D01* +X216056932Y-109673938D01* +X216061261Y-109686037D01* +X216064384Y-109698503D01* +X216066269Y-109711215D01* +X216066900Y-109724050D01* +X216066900Y-109985950D01* +X216066269Y-109998785D01* +X216064384Y-110011497D01* +X216061261Y-110023963D01* +X216056932Y-110036062D01* +X216051438Y-110047679D01* +X216044831Y-110058702D01* +X216037176Y-110069024D01* +X216028546Y-110078546D01* +X216019024Y-110087176D01* +X216008702Y-110094831D01* +X215997679Y-110101438D01* +X215986062Y-110106932D01* +X215973963Y-110111261D01* +X215961497Y-110114384D01* +X215948785Y-110116269D01* +X215935950Y-110116900D01* +X212274050Y-110116900D01* +X212261215Y-110116269D01* +X212248503Y-110114384D01* +X212236037Y-110111261D01* +X212223938Y-110106932D01* +X212212321Y-110101438D01* +X212201298Y-110094831D01* +X212190976Y-110087176D01* +X212181454Y-110078546D01* +X212172824Y-110069024D01* +X212165169Y-110058702D01* +X212158562Y-110047679D01* +X212153068Y-110036062D01* +X212148739Y-110023963D01* +X212145616Y-110011497D01* +X212143731Y-109998785D01* +X212143100Y-109985950D01* +X212143100Y-109724050D01* +X212143731Y-109711215D01* +X212145616Y-109698503D01* +X212148739Y-109686037D01* +X212153068Y-109673938D01* +X212158562Y-109662321D01* +X212165169Y-109651298D01* +X212172824Y-109640976D01* +X212181454Y-109631454D01* +X212190976Y-109622824D01* +X212201298Y-109615169D01* +X212212321Y-109608562D01* +X212223938Y-109603068D01* +X212236037Y-109598739D01* +X212248503Y-109595616D01* +X212261215Y-109593731D01* +X212274050Y-109593100D01* +X215935950Y-109593100D01* +X215948785Y-109593731D01* +X215948785Y-109593731D01* +G37* +D13* +X214105000Y-109855000D03* +D10* +G36* +X215948785Y-110863731D02* +G01* +X215961497Y-110865616D01* +X215973963Y-110868739D01* +X215986062Y-110873068D01* +X215997679Y-110878562D01* +X216008702Y-110885169D01* +X216019024Y-110892824D01* +X216028546Y-110901454D01* +X216037176Y-110910976D01* +X216044831Y-110921298D01* +X216051438Y-110932321D01* +X216056932Y-110943938D01* +X216061261Y-110956037D01* +X216064384Y-110968503D01* +X216066269Y-110981215D01* +X216066900Y-110994050D01* +X216066900Y-111255950D01* +X216066269Y-111268785D01* +X216064384Y-111281497D01* +X216061261Y-111293963D01* +X216056932Y-111306062D01* +X216051438Y-111317679D01* +X216044831Y-111328702D01* +X216037176Y-111339024D01* +X216028546Y-111348546D01* +X216019024Y-111357176D01* +X216008702Y-111364831D01* +X215997679Y-111371438D01* +X215986062Y-111376932D01* +X215973963Y-111381261D01* +X215961497Y-111384384D01* +X215948785Y-111386269D01* +X215935950Y-111386900D01* +X212274050Y-111386900D01* +X212261215Y-111386269D01* +X212248503Y-111384384D01* +X212236037Y-111381261D01* +X212223938Y-111376932D01* +X212212321Y-111371438D01* +X212201298Y-111364831D01* +X212190976Y-111357176D01* +X212181454Y-111348546D01* +X212172824Y-111339024D01* +X212165169Y-111328702D01* +X212158562Y-111317679D01* +X212153068Y-111306062D01* +X212148739Y-111293963D01* +X212145616Y-111281497D01* +X212143731Y-111268785D01* +X212143100Y-111255950D01* +X212143100Y-110994050D01* +X212143731Y-110981215D01* +X212145616Y-110968503D01* +X212148739Y-110956037D01* +X212153068Y-110943938D01* +X212158562Y-110932321D01* +X212165169Y-110921298D01* +X212172824Y-110910976D01* +X212181454Y-110901454D01* +X212190976Y-110892824D01* +X212201298Y-110885169D01* +X212212321Y-110878562D01* +X212223938Y-110873068D01* +X212236037Y-110868739D01* +X212248503Y-110865616D01* +X212261215Y-110863731D01* +X212274050Y-110863100D01* +X215935950Y-110863100D01* +X215948785Y-110863731D01* +X215948785Y-110863731D01* +G37* +D13* +X214105000Y-111125000D03* +D10* +G36* +X215948785Y-112133731D02* +G01* +X215961497Y-112135616D01* +X215973963Y-112138739D01* +X215986062Y-112143068D01* +X215997679Y-112148562D01* +X216008702Y-112155169D01* +X216019024Y-112162824D01* +X216028546Y-112171454D01* +X216037176Y-112180976D01* +X216044831Y-112191298D01* +X216051438Y-112202321D01* +X216056932Y-112213938D01* +X216061261Y-112226037D01* +X216064384Y-112238503D01* +X216066269Y-112251215D01* +X216066900Y-112264050D01* +X216066900Y-112525950D01* +X216066269Y-112538785D01* +X216064384Y-112551497D01* +X216061261Y-112563963D01* +X216056932Y-112576062D01* +X216051438Y-112587679D01* +X216044831Y-112598702D01* +X216037176Y-112609024D01* +X216028546Y-112618546D01* +X216019024Y-112627176D01* +X216008702Y-112634831D01* +X215997679Y-112641438D01* +X215986062Y-112646932D01* +X215973963Y-112651261D01* +X215961497Y-112654384D01* +X215948785Y-112656269D01* +X215935950Y-112656900D01* +X212274050Y-112656900D01* +X212261215Y-112656269D01* +X212248503Y-112654384D01* +X212236037Y-112651261D01* +X212223938Y-112646932D01* +X212212321Y-112641438D01* +X212201298Y-112634831D01* +X212190976Y-112627176D01* +X212181454Y-112618546D01* +X212172824Y-112609024D01* +X212165169Y-112598702D01* +X212158562Y-112587679D01* +X212153068Y-112576062D01* +X212148739Y-112563963D01* +X212145616Y-112551497D01* +X212143731Y-112538785D01* +X212143100Y-112525950D01* +X212143100Y-112264050D01* +X212143731Y-112251215D01* +X212145616Y-112238503D01* +X212148739Y-112226037D01* +X212153068Y-112213938D01* +X212158562Y-112202321D01* +X212165169Y-112191298D01* +X212172824Y-112180976D01* +X212181454Y-112171454D01* +X212190976Y-112162824D01* +X212201298Y-112155169D01* +X212212321Y-112148562D01* +X212223938Y-112143068D01* +X212236037Y-112138739D01* +X212248503Y-112135616D01* +X212261215Y-112133731D01* +X212274050Y-112133100D01* +X215935950Y-112133100D01* +X215948785Y-112133731D01* +X215948785Y-112133731D01* +G37* +D13* +X214105000Y-112395000D03* +D10* +G36* +X215948785Y-113403731D02* +G01* +X215961497Y-113405616D01* +X215973963Y-113408739D01* +X215986062Y-113413068D01* +X215997679Y-113418562D01* +X216008702Y-113425169D01* +X216019024Y-113432824D01* +X216028546Y-113441454D01* +X216037176Y-113450976D01* +X216044831Y-113461298D01* +X216051438Y-113472321D01* +X216056932Y-113483938D01* +X216061261Y-113496037D01* +X216064384Y-113508503D01* +X216066269Y-113521215D01* +X216066900Y-113534050D01* +X216066900Y-113795950D01* +X216066269Y-113808785D01* +X216064384Y-113821497D01* +X216061261Y-113833963D01* +X216056932Y-113846062D01* +X216051438Y-113857679D01* +X216044831Y-113868702D01* +X216037176Y-113879024D01* +X216028546Y-113888546D01* +X216019024Y-113897176D01* +X216008702Y-113904831D01* +X215997679Y-113911438D01* +X215986062Y-113916932D01* +X215973963Y-113921261D01* +X215961497Y-113924384D01* +X215948785Y-113926269D01* +X215935950Y-113926900D01* +X212274050Y-113926900D01* +X212261215Y-113926269D01* +X212248503Y-113924384D01* +X212236037Y-113921261D01* +X212223938Y-113916932D01* +X212212321Y-113911438D01* +X212201298Y-113904831D01* +X212190976Y-113897176D01* +X212181454Y-113888546D01* +X212172824Y-113879024D01* +X212165169Y-113868702D01* +X212158562Y-113857679D01* +X212153068Y-113846062D01* +X212148739Y-113833963D01* +X212145616Y-113821497D01* +X212143731Y-113808785D01* +X212143100Y-113795950D01* +X212143100Y-113534050D01* +X212143731Y-113521215D01* +X212145616Y-113508503D01* +X212148739Y-113496037D01* +X212153068Y-113483938D01* +X212158562Y-113472321D01* +X212165169Y-113461298D01* +X212172824Y-113450976D01* +X212181454Y-113441454D01* +X212190976Y-113432824D01* +X212201298Y-113425169D01* +X212212321Y-113418562D01* +X212223938Y-113413068D01* +X212236037Y-113408739D01* +X212248503Y-113405616D01* +X212261215Y-113403731D01* +X212274050Y-113403100D01* +X215935950Y-113403100D01* +X215948785Y-113403731D01* +X215948785Y-113403731D01* +G37* +D13* +X214105000Y-113665000D03* +D10* +G36* +X223348785Y-114673731D02* +G01* +X223361497Y-114675616D01* +X223373963Y-114678739D01* +X223386062Y-114683068D01* +X223397679Y-114688562D01* +X223408702Y-114695169D01* +X223419024Y-114702824D01* +X223428546Y-114711454D01* +X223437176Y-114720976D01* +X223444831Y-114731298D01* +X223451438Y-114742321D01* +X223456932Y-114753938D01* +X223461261Y-114766037D01* +X223464384Y-114778503D01* +X223466269Y-114791215D01* +X223466900Y-114804050D01* +X223466900Y-115065950D01* +X223466269Y-115078785D01* +X223464384Y-115091497D01* +X223461261Y-115103963D01* +X223456932Y-115116062D01* +X223451438Y-115127679D01* +X223444831Y-115138702D01* +X223437176Y-115149024D01* +X223428546Y-115158546D01* +X223419024Y-115167176D01* +X223408702Y-115174831D01* +X223397679Y-115181438D01* +X223386062Y-115186932D01* +X223373963Y-115191261D01* +X223361497Y-115194384D01* +X223348785Y-115196269D01* +X223335950Y-115196900D01* +X219674050Y-115196900D01* +X219661215Y-115196269D01* +X219648503Y-115194384D01* +X219636037Y-115191261D01* +X219623938Y-115186932D01* +X219612321Y-115181438D01* +X219601298Y-115174831D01* +X219590976Y-115167176D01* +X219581454Y-115158546D01* +X219572824Y-115149024D01* +X219565169Y-115138702D01* +X219558562Y-115127679D01* +X219553068Y-115116062D01* +X219548739Y-115103963D01* +X219545616Y-115091497D01* +X219543731Y-115078785D01* +X219543100Y-115065950D01* +X219543100Y-114804050D01* +X219543731Y-114791215D01* +X219545616Y-114778503D01* +X219548739Y-114766037D01* +X219553068Y-114753938D01* +X219558562Y-114742321D01* +X219565169Y-114731298D01* +X219572824Y-114720976D01* +X219581454Y-114711454D01* +X219590976Y-114702824D01* +X219601298Y-114695169D01* +X219612321Y-114688562D01* +X219623938Y-114683068D01* +X219636037Y-114678739D01* +X219648503Y-114675616D01* +X219661215Y-114673731D01* +X219674050Y-114673100D01* +X223335950Y-114673100D01* +X223348785Y-114673731D01* +X223348785Y-114673731D01* +G37* +D13* +X221505000Y-114935000D03* +D10* +G36* +X223348785Y-113403731D02* +G01* +X223361497Y-113405616D01* +X223373963Y-113408739D01* +X223386062Y-113413068D01* +X223397679Y-113418562D01* +X223408702Y-113425169D01* +X223419024Y-113432824D01* +X223428546Y-113441454D01* +X223437176Y-113450976D01* +X223444831Y-113461298D01* +X223451438Y-113472321D01* +X223456932Y-113483938D01* +X223461261Y-113496037D01* +X223464384Y-113508503D01* +X223466269Y-113521215D01* +X223466900Y-113534050D01* +X223466900Y-113795950D01* +X223466269Y-113808785D01* +X223464384Y-113821497D01* +X223461261Y-113833963D01* +X223456932Y-113846062D01* +X223451438Y-113857679D01* +X223444831Y-113868702D01* +X223437176Y-113879024D01* +X223428546Y-113888546D01* +X223419024Y-113897176D01* +X223408702Y-113904831D01* +X223397679Y-113911438D01* +X223386062Y-113916932D01* +X223373963Y-113921261D01* +X223361497Y-113924384D01* +X223348785Y-113926269D01* +X223335950Y-113926900D01* +X219674050Y-113926900D01* +X219661215Y-113926269D01* +X219648503Y-113924384D01* +X219636037Y-113921261D01* +X219623938Y-113916932D01* +X219612321Y-113911438D01* +X219601298Y-113904831D01* +X219590976Y-113897176D01* +X219581454Y-113888546D01* +X219572824Y-113879024D01* +X219565169Y-113868702D01* +X219558562Y-113857679D01* +X219553068Y-113846062D01* +X219548739Y-113833963D01* +X219545616Y-113821497D01* +X219543731Y-113808785D01* +X219543100Y-113795950D01* +X219543100Y-113534050D01* +X219543731Y-113521215D01* +X219545616Y-113508503D01* +X219548739Y-113496037D01* +X219553068Y-113483938D01* +X219558562Y-113472321D01* +X219565169Y-113461298D01* +X219572824Y-113450976D01* +X219581454Y-113441454D01* +X219590976Y-113432824D01* +X219601298Y-113425169D01* +X219612321Y-113418562D01* +X219623938Y-113413068D01* +X219636037Y-113408739D01* +X219648503Y-113405616D01* +X219661215Y-113403731D01* +X219674050Y-113403100D01* +X223335950Y-113403100D01* +X223348785Y-113403731D01* +X223348785Y-113403731D01* +G37* +D13* +X221505000Y-113665000D03* +D10* +G36* +X223348785Y-112133731D02* +G01* +X223361497Y-112135616D01* +X223373963Y-112138739D01* +X223386062Y-112143068D01* +X223397679Y-112148562D01* +X223408702Y-112155169D01* +X223419024Y-112162824D01* +X223428546Y-112171454D01* +X223437176Y-112180976D01* +X223444831Y-112191298D01* +X223451438Y-112202321D01* +X223456932Y-112213938D01* +X223461261Y-112226037D01* +X223464384Y-112238503D01* +X223466269Y-112251215D01* +X223466900Y-112264050D01* +X223466900Y-112525950D01* +X223466269Y-112538785D01* +X223464384Y-112551497D01* +X223461261Y-112563963D01* +X223456932Y-112576062D01* +X223451438Y-112587679D01* +X223444831Y-112598702D01* +X223437176Y-112609024D01* +X223428546Y-112618546D01* +X223419024Y-112627176D01* +X223408702Y-112634831D01* +X223397679Y-112641438D01* +X223386062Y-112646932D01* +X223373963Y-112651261D01* +X223361497Y-112654384D01* +X223348785Y-112656269D01* +X223335950Y-112656900D01* +X219674050Y-112656900D01* +X219661215Y-112656269D01* +X219648503Y-112654384D01* +X219636037Y-112651261D01* +X219623938Y-112646932D01* +X219612321Y-112641438D01* +X219601298Y-112634831D01* +X219590976Y-112627176D01* +X219581454Y-112618546D01* +X219572824Y-112609024D01* +X219565169Y-112598702D01* +X219558562Y-112587679D01* +X219553068Y-112576062D01* +X219548739Y-112563963D01* +X219545616Y-112551497D01* +X219543731Y-112538785D01* +X219543100Y-112525950D01* +X219543100Y-112264050D01* +X219543731Y-112251215D01* +X219545616Y-112238503D01* +X219548739Y-112226037D01* +X219553068Y-112213938D01* +X219558562Y-112202321D01* +X219565169Y-112191298D01* +X219572824Y-112180976D01* +X219581454Y-112171454D01* +X219590976Y-112162824D01* +X219601298Y-112155169D01* +X219612321Y-112148562D01* +X219623938Y-112143068D01* +X219636037Y-112138739D01* +X219648503Y-112135616D01* +X219661215Y-112133731D01* +X219674050Y-112133100D01* +X223335950Y-112133100D01* +X223348785Y-112133731D01* +X223348785Y-112133731D01* +G37* +D13* +X221505000Y-112395000D03* +D10* +G36* +X223348785Y-110863731D02* +G01* +X223361497Y-110865616D01* +X223373963Y-110868739D01* +X223386062Y-110873068D01* +X223397679Y-110878562D01* +X223408702Y-110885169D01* +X223419024Y-110892824D01* +X223428546Y-110901454D01* +X223437176Y-110910976D01* +X223444831Y-110921298D01* +X223451438Y-110932321D01* +X223456932Y-110943938D01* +X223461261Y-110956037D01* +X223464384Y-110968503D01* +X223466269Y-110981215D01* +X223466900Y-110994050D01* +X223466900Y-111255950D01* +X223466269Y-111268785D01* +X223464384Y-111281497D01* +X223461261Y-111293963D01* +X223456932Y-111306062D01* +X223451438Y-111317679D01* +X223444831Y-111328702D01* +X223437176Y-111339024D01* +X223428546Y-111348546D01* +X223419024Y-111357176D01* +X223408702Y-111364831D01* +X223397679Y-111371438D01* +X223386062Y-111376932D01* +X223373963Y-111381261D01* +X223361497Y-111384384D01* +X223348785Y-111386269D01* +X223335950Y-111386900D01* +X219674050Y-111386900D01* +X219661215Y-111386269D01* +X219648503Y-111384384D01* +X219636037Y-111381261D01* +X219623938Y-111376932D01* +X219612321Y-111371438D01* +X219601298Y-111364831D01* +X219590976Y-111357176D01* +X219581454Y-111348546D01* +X219572824Y-111339024D01* +X219565169Y-111328702D01* +X219558562Y-111317679D01* +X219553068Y-111306062D01* +X219548739Y-111293963D01* +X219545616Y-111281497D01* +X219543731Y-111268785D01* +X219543100Y-111255950D01* +X219543100Y-110994050D01* +X219543731Y-110981215D01* +X219545616Y-110968503D01* +X219548739Y-110956037D01* +X219553068Y-110943938D01* +X219558562Y-110932321D01* +X219565169Y-110921298D01* +X219572824Y-110910976D01* +X219581454Y-110901454D01* +X219590976Y-110892824D01* +X219601298Y-110885169D01* +X219612321Y-110878562D01* +X219623938Y-110873068D01* +X219636037Y-110868739D01* +X219648503Y-110865616D01* +X219661215Y-110863731D01* +X219674050Y-110863100D01* +X223335950Y-110863100D01* +X223348785Y-110863731D01* +X223348785Y-110863731D01* +G37* +D13* +X221505000Y-111125000D03* +D10* +G36* +X223348785Y-105783731D02* +G01* +X223361497Y-105785616D01* +X223373963Y-105788739D01* +X223386062Y-105793068D01* +X223397679Y-105798562D01* +X223408702Y-105805169D01* +X223419024Y-105812824D01* +X223428546Y-105821454D01* +X223437176Y-105830976D01* +X223444831Y-105841298D01* +X223451438Y-105852321D01* +X223456932Y-105863938D01* +X223461261Y-105876037D01* +X223464384Y-105888503D01* +X223466269Y-105901215D01* +X223466900Y-105914050D01* +X223466900Y-106175950D01* +X223466269Y-106188785D01* +X223464384Y-106201497D01* +X223461261Y-106213963D01* +X223456932Y-106226062D01* +X223451438Y-106237679D01* +X223444831Y-106248702D01* +X223437176Y-106259024D01* +X223428546Y-106268546D01* +X223419024Y-106277176D01* +X223408702Y-106284831D01* +X223397679Y-106291438D01* +X223386062Y-106296932D01* +X223373963Y-106301261D01* +X223361497Y-106304384D01* +X223348785Y-106306269D01* +X223335950Y-106306900D01* +X219674050Y-106306900D01* +X219661215Y-106306269D01* +X219648503Y-106304384D01* +X219636037Y-106301261D01* +X219623938Y-106296932D01* +X219612321Y-106291438D01* +X219601298Y-106284831D01* +X219590976Y-106277176D01* +X219581454Y-106268546D01* +X219572824Y-106259024D01* +X219565169Y-106248702D01* +X219558562Y-106237679D01* +X219553068Y-106226062D01* +X219548739Y-106213963D01* +X219545616Y-106201497D01* +X219543731Y-106188785D01* +X219543100Y-106175950D01* +X219543100Y-105914050D01* +X219543731Y-105901215D01* +X219545616Y-105888503D01* +X219548739Y-105876037D01* +X219553068Y-105863938D01* +X219558562Y-105852321D01* +X219565169Y-105841298D01* +X219572824Y-105830976D01* +X219581454Y-105821454D01* +X219590976Y-105812824D01* +X219601298Y-105805169D01* +X219612321Y-105798562D01* +X219623938Y-105793068D01* +X219636037Y-105788739D01* +X219648503Y-105785616D01* +X219661215Y-105783731D01* +X219674050Y-105783100D01* +X223335950Y-105783100D01* +X223348785Y-105783731D01* +X223348785Y-105783731D01* +G37* +D13* +X221505000Y-106045000D03* +D10* +G36* +X223348785Y-104513731D02* +G01* +X223361497Y-104515616D01* +X223373963Y-104518739D01* +X223386062Y-104523068D01* +X223397679Y-104528562D01* +X223408702Y-104535169D01* +X223419024Y-104542824D01* +X223428546Y-104551454D01* +X223437176Y-104560976D01* +X223444831Y-104571298D01* +X223451438Y-104582321D01* +X223456932Y-104593938D01* +X223461261Y-104606037D01* +X223464384Y-104618503D01* +X223466269Y-104631215D01* +X223466900Y-104644050D01* +X223466900Y-104905950D01* +X223466269Y-104918785D01* +X223464384Y-104931497D01* +X223461261Y-104943963D01* +X223456932Y-104956062D01* +X223451438Y-104967679D01* +X223444831Y-104978702D01* +X223437176Y-104989024D01* +X223428546Y-104998546D01* +X223419024Y-105007176D01* +X223408702Y-105014831D01* +X223397679Y-105021438D01* +X223386062Y-105026932D01* +X223373963Y-105031261D01* +X223361497Y-105034384D01* +X223348785Y-105036269D01* +X223335950Y-105036900D01* +X219674050Y-105036900D01* +X219661215Y-105036269D01* +X219648503Y-105034384D01* +X219636037Y-105031261D01* +X219623938Y-105026932D01* +X219612321Y-105021438D01* +X219601298Y-105014831D01* +X219590976Y-105007176D01* +X219581454Y-104998546D01* +X219572824Y-104989024D01* +X219565169Y-104978702D01* +X219558562Y-104967679D01* +X219553068Y-104956062D01* +X219548739Y-104943963D01* +X219545616Y-104931497D01* +X219543731Y-104918785D01* +X219543100Y-104905950D01* +X219543100Y-104644050D01* +X219543731Y-104631215D01* +X219545616Y-104618503D01* +X219548739Y-104606037D01* +X219553068Y-104593938D01* +X219558562Y-104582321D01* +X219565169Y-104571298D01* +X219572824Y-104560976D01* +X219581454Y-104551454D01* +X219590976Y-104542824D01* +X219601298Y-104535169D01* +X219612321Y-104528562D01* +X219623938Y-104523068D01* +X219636037Y-104518739D01* +X219648503Y-104515616D01* +X219661215Y-104513731D01* +X219674050Y-104513100D01* +X223335950Y-104513100D01* +X223348785Y-104513731D01* +X223348785Y-104513731D01* +G37* +D13* +X221505000Y-104775000D03* +D10* +G36* +X223348785Y-103243731D02* +G01* +X223361497Y-103245616D01* +X223373963Y-103248739D01* +X223386062Y-103253068D01* +X223397679Y-103258562D01* +X223408702Y-103265169D01* +X223419024Y-103272824D01* +X223428546Y-103281454D01* +X223437176Y-103290976D01* +X223444831Y-103301298D01* +X223451438Y-103312321D01* +X223456932Y-103323938D01* +X223461261Y-103336037D01* +X223464384Y-103348503D01* +X223466269Y-103361215D01* +X223466900Y-103374050D01* +X223466900Y-103635950D01* +X223466269Y-103648785D01* +X223464384Y-103661497D01* +X223461261Y-103673963D01* +X223456932Y-103686062D01* +X223451438Y-103697679D01* +X223444831Y-103708702D01* +X223437176Y-103719024D01* +X223428546Y-103728546D01* +X223419024Y-103737176D01* +X223408702Y-103744831D01* +X223397679Y-103751438D01* +X223386062Y-103756932D01* +X223373963Y-103761261D01* +X223361497Y-103764384D01* +X223348785Y-103766269D01* +X223335950Y-103766900D01* +X219674050Y-103766900D01* +X219661215Y-103766269D01* +X219648503Y-103764384D01* +X219636037Y-103761261D01* +X219623938Y-103756932D01* +X219612321Y-103751438D01* +X219601298Y-103744831D01* +X219590976Y-103737176D01* +X219581454Y-103728546D01* +X219572824Y-103719024D01* +X219565169Y-103708702D01* +X219558562Y-103697679D01* +X219553068Y-103686062D01* +X219548739Y-103673963D01* +X219545616Y-103661497D01* +X219543731Y-103648785D01* +X219543100Y-103635950D01* +X219543100Y-103374050D01* +X219543731Y-103361215D01* +X219545616Y-103348503D01* +X219548739Y-103336037D01* +X219553068Y-103323938D01* +X219558562Y-103312321D01* +X219565169Y-103301298D01* +X219572824Y-103290976D01* +X219581454Y-103281454D01* +X219590976Y-103272824D01* +X219601298Y-103265169D01* +X219612321Y-103258562D01* +X219623938Y-103253068D01* +X219636037Y-103248739D01* +X219648503Y-103245616D01* +X219661215Y-103243731D01* +X219674050Y-103243100D01* +X223335950Y-103243100D01* +X223348785Y-103243731D01* +X223348785Y-103243731D01* +G37* +D13* +X221505000Y-103505000D03* +D10* +G36* +X223348785Y-101973731D02* +G01* +X223361497Y-101975616D01* +X223373963Y-101978739D01* +X223386062Y-101983068D01* +X223397679Y-101988562D01* +X223408702Y-101995169D01* +X223419024Y-102002824D01* +X223428546Y-102011454D01* +X223437176Y-102020976D01* +X223444831Y-102031298D01* +X223451438Y-102042321D01* +X223456932Y-102053938D01* +X223461261Y-102066037D01* +X223464384Y-102078503D01* +X223466269Y-102091215D01* +X223466900Y-102104050D01* +X223466900Y-102365950D01* +X223466269Y-102378785D01* +X223464384Y-102391497D01* +X223461261Y-102403963D01* +X223456932Y-102416062D01* +X223451438Y-102427679D01* +X223444831Y-102438702D01* +X223437176Y-102449024D01* +X223428546Y-102458546D01* +X223419024Y-102467176D01* +X223408702Y-102474831D01* +X223397679Y-102481438D01* +X223386062Y-102486932D01* +X223373963Y-102491261D01* +X223361497Y-102494384D01* +X223348785Y-102496269D01* +X223335950Y-102496900D01* +X219674050Y-102496900D01* +X219661215Y-102496269D01* +X219648503Y-102494384D01* +X219636037Y-102491261D01* +X219623938Y-102486932D01* +X219612321Y-102481438D01* +X219601298Y-102474831D01* +X219590976Y-102467176D01* +X219581454Y-102458546D01* +X219572824Y-102449024D01* +X219565169Y-102438702D01* +X219558562Y-102427679D01* +X219553068Y-102416062D01* +X219548739Y-102403963D01* +X219545616Y-102391497D01* +X219543731Y-102378785D01* +X219543100Y-102365950D01* +X219543100Y-102104050D01* +X219543731Y-102091215D01* +X219545616Y-102078503D01* +X219548739Y-102066037D01* +X219553068Y-102053938D01* +X219558562Y-102042321D01* +X219565169Y-102031298D01* +X219572824Y-102020976D01* +X219581454Y-102011454D01* +X219590976Y-102002824D01* +X219601298Y-101995169D01* +X219612321Y-101988562D01* +X219623938Y-101983068D01* +X219636037Y-101978739D01* +X219648503Y-101975616D01* +X219661215Y-101973731D01* +X219674050Y-101973100D01* +X223335950Y-101973100D01* +X223348785Y-101973731D01* +X223348785Y-101973731D01* +G37* +D13* +X221505000Y-102235000D03* +D10* +G36* +X223348785Y-100703731D02* +G01* +X223361497Y-100705616D01* +X223373963Y-100708739D01* +X223386062Y-100713068D01* +X223397679Y-100718562D01* +X223408702Y-100725169D01* +X223419024Y-100732824D01* +X223428546Y-100741454D01* +X223437176Y-100750976D01* +X223444831Y-100761298D01* +X223451438Y-100772321D01* +X223456932Y-100783938D01* +X223461261Y-100796037D01* +X223464384Y-100808503D01* +X223466269Y-100821215D01* +X223466900Y-100834050D01* +X223466900Y-101095950D01* +X223466269Y-101108785D01* +X223464384Y-101121497D01* +X223461261Y-101133963D01* +X223456932Y-101146062D01* +X223451438Y-101157679D01* +X223444831Y-101168702D01* +X223437176Y-101179024D01* +X223428546Y-101188546D01* +X223419024Y-101197176D01* +X223408702Y-101204831D01* +X223397679Y-101211438D01* +X223386062Y-101216932D01* +X223373963Y-101221261D01* +X223361497Y-101224384D01* +X223348785Y-101226269D01* +X223335950Y-101226900D01* +X219674050Y-101226900D01* +X219661215Y-101226269D01* +X219648503Y-101224384D01* +X219636037Y-101221261D01* +X219623938Y-101216932D01* +X219612321Y-101211438D01* +X219601298Y-101204831D01* +X219590976Y-101197176D01* +X219581454Y-101188546D01* +X219572824Y-101179024D01* +X219565169Y-101168702D01* +X219558562Y-101157679D01* +X219553068Y-101146062D01* +X219548739Y-101133963D01* +X219545616Y-101121497D01* +X219543731Y-101108785D01* +X219543100Y-101095950D01* +X219543100Y-100834050D01* +X219543731Y-100821215D01* +X219545616Y-100808503D01* +X219548739Y-100796037D01* +X219553068Y-100783938D01* +X219558562Y-100772321D01* +X219565169Y-100761298D01* +X219572824Y-100750976D01* +X219581454Y-100741454D01* +X219590976Y-100732824D01* +X219601298Y-100725169D01* +X219612321Y-100718562D01* +X219623938Y-100713068D01* +X219636037Y-100708739D01* +X219648503Y-100705616D01* +X219661215Y-100703731D01* +X219674050Y-100703100D01* +X223335950Y-100703100D01* +X223348785Y-100703731D01* +X223348785Y-100703731D01* +G37* +D13* +X221505000Y-100965000D03* +D10* +G36* +X215948785Y-105783731D02* +G01* +X215961497Y-105785616D01* +X215973963Y-105788739D01* +X215986062Y-105793068D01* +X215997679Y-105798562D01* +X216008702Y-105805169D01* +X216019024Y-105812824D01* +X216028546Y-105821454D01* +X216037176Y-105830976D01* +X216044831Y-105841298D01* +X216051438Y-105852321D01* +X216056932Y-105863938D01* +X216061261Y-105876037D01* +X216064384Y-105888503D01* +X216066269Y-105901215D01* +X216066900Y-105914050D01* +X216066900Y-106175950D01* +X216066269Y-106188785D01* +X216064384Y-106201497D01* +X216061261Y-106213963D01* +X216056932Y-106226062D01* +X216051438Y-106237679D01* +X216044831Y-106248702D01* +X216037176Y-106259024D01* +X216028546Y-106268546D01* +X216019024Y-106277176D01* +X216008702Y-106284831D01* +X215997679Y-106291438D01* +X215986062Y-106296932D01* +X215973963Y-106301261D01* +X215961497Y-106304384D01* +X215948785Y-106306269D01* +X215935950Y-106306900D01* +X212274050Y-106306900D01* +X212261215Y-106306269D01* +X212248503Y-106304384D01* +X212236037Y-106301261D01* +X212223938Y-106296932D01* +X212212321Y-106291438D01* +X212201298Y-106284831D01* +X212190976Y-106277176D01* +X212181454Y-106268546D01* +X212172824Y-106259024D01* +X212165169Y-106248702D01* +X212158562Y-106237679D01* +X212153068Y-106226062D01* +X212148739Y-106213963D01* +X212145616Y-106201497D01* +X212143731Y-106188785D01* +X212143100Y-106175950D01* +X212143100Y-105914050D01* +X212143731Y-105901215D01* +X212145616Y-105888503D01* +X212148739Y-105876037D01* +X212153068Y-105863938D01* +X212158562Y-105852321D01* +X212165169Y-105841298D01* +X212172824Y-105830976D01* +X212181454Y-105821454D01* +X212190976Y-105812824D01* +X212201298Y-105805169D01* +X212212321Y-105798562D01* +X212223938Y-105793068D01* +X212236037Y-105788739D01* +X212248503Y-105785616D01* +X212261215Y-105783731D01* +X212274050Y-105783100D01* +X215935950Y-105783100D01* +X215948785Y-105783731D01* +X215948785Y-105783731D01* +G37* +D13* +X214105000Y-106045000D03* +D10* +G36* +X223348785Y-108323731D02* +G01* +X223361497Y-108325616D01* +X223373963Y-108328739D01* +X223386062Y-108333068D01* +X223397679Y-108338562D01* +X223408702Y-108345169D01* +X223419024Y-108352824D01* +X223428546Y-108361454D01* +X223437176Y-108370976D01* +X223444831Y-108381298D01* +X223451438Y-108392321D01* +X223456932Y-108403938D01* +X223461261Y-108416037D01* +X223464384Y-108428503D01* +X223466269Y-108441215D01* +X223466900Y-108454050D01* +X223466900Y-108715950D01* +X223466269Y-108728785D01* +X223464384Y-108741497D01* +X223461261Y-108753963D01* +X223456932Y-108766062D01* +X223451438Y-108777679D01* +X223444831Y-108788702D01* +X223437176Y-108799024D01* +X223428546Y-108808546D01* +X223419024Y-108817176D01* +X223408702Y-108824831D01* +X223397679Y-108831438D01* +X223386062Y-108836932D01* +X223373963Y-108841261D01* +X223361497Y-108844384D01* +X223348785Y-108846269D01* +X223335950Y-108846900D01* +X219674050Y-108846900D01* +X219661215Y-108846269D01* +X219648503Y-108844384D01* +X219636037Y-108841261D01* +X219623938Y-108836932D01* +X219612321Y-108831438D01* +X219601298Y-108824831D01* +X219590976Y-108817176D01* +X219581454Y-108808546D01* +X219572824Y-108799024D01* +X219565169Y-108788702D01* +X219558562Y-108777679D01* +X219553068Y-108766062D01* +X219548739Y-108753963D01* +X219545616Y-108741497D01* +X219543731Y-108728785D01* +X219543100Y-108715950D01* +X219543100Y-108454050D01* +X219543731Y-108441215D01* +X219545616Y-108428503D01* +X219548739Y-108416037D01* +X219553068Y-108403938D01* +X219558562Y-108392321D01* +X219565169Y-108381298D01* +X219572824Y-108370976D01* +X219581454Y-108361454D01* +X219590976Y-108352824D01* +X219601298Y-108345169D01* +X219612321Y-108338562D01* +X219623938Y-108333068D01* +X219636037Y-108328739D01* +X219648503Y-108325616D01* +X219661215Y-108323731D01* +X219674050Y-108323100D01* +X223335950Y-108323100D01* +X223348785Y-108323731D01* +X223348785Y-108323731D01* +G37* +D13* +X221505000Y-108585000D03* +M02* diff --git a/gerber/RAM2E-F_SilkS.gto b/gerber/RAM2E-F_SilkS.gto new file mode 100644 index 0000000..220224b --- /dev/null +++ b/gerber/RAM2E-F_SilkS.gto @@ -0,0 +1,13053 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.2-1)-1* +G04 #@! TF.CreationDate,2019-07-30T17:06:57-04:00* +G04 #@! TF.ProjectId,RAM2E,52414d32-452e-46b6-9963-61645f706362,rev?* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Legend,Top* +G04 #@! TF.FilePolarity,Positive* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW (5.1.2-1)-1) date 2019-07-30 17:06:57* +%MOMM*% +%LPD*% +G04 APERTURE LIST* +%ADD10C,0.300000*% +%ADD11C,0.203200*% +%ADD12C,0.225000*% +%ADD13C,0.152400*% +%ADD14C,0.200000*% +%ADD15C,0.100000*% +%ADD16C,1.202400*% +%ADD17C,1.002400*% +%ADD18C,0.752400*% +%ADD19C,2.000000*% +%ADD20C,2.152400*% +%ADD21C,1.676400*% +G04 APERTURE END LIST* +D10* +X245309571Y-90877571D02* +X245382142Y-90805000D01* +X245527285Y-90732428D01* +X245890142Y-90732428D01* +X246035285Y-90805000D01* +X246107857Y-90877571D01* +X246180428Y-91022714D01* +X246180428Y-91167857D01* +X246107857Y-91385571D01* +X245237000Y-92256428D01* +X246180428Y-92256428D01* +X247994714Y-92256428D02* +X247994714Y-90732428D01* +X248502714Y-91821000D01* +X249010714Y-90732428D01* +X249010714Y-92256428D01* +X250244428Y-91458142D02* +X250462142Y-91530714D01* +X250534714Y-91603285D01* +X250607285Y-91748428D01* +X250607285Y-91966142D01* +X250534714Y-92111285D01* +X250462142Y-92183857D01* +X250317000Y-92256428D01* +X249736428Y-92256428D01* +X249736428Y-90732428D01* +X250244428Y-90732428D01* +X250389571Y-90805000D01* +X250462142Y-90877571D01* +X250534714Y-91022714D01* +X250534714Y-91167857D01* +X250462142Y-91313000D01* +X250389571Y-91385571D01* +X250244428Y-91458142D01* +X249736428Y-91458142D01* +X253292428Y-92256428D02* +X252784428Y-91530714D01* +X252421571Y-92256428D02* +X252421571Y-90732428D01* +X253002142Y-90732428D01* +X253147285Y-90805000D01* +X253219857Y-90877571D01* +X253292428Y-91022714D01* +X253292428Y-91240428D01* +X253219857Y-91385571D01* +X253147285Y-91458142D01* +X253002142Y-91530714D01* +X252421571Y-91530714D01* +X253873000Y-91821000D02* +X254598714Y-91821000D01* +X253727857Y-92256428D02* +X254235857Y-90732428D01* +X254743857Y-92256428D01* +X255251857Y-92256428D02* +X255251857Y-90732428D01* +X255759857Y-91821000D01* +X256267857Y-90732428D01* +X256267857Y-92256428D01* +D11* +X246107857Y-93635285D02* +X246494904Y-93635285D01* +X246253000Y-94312619D02* +X246253000Y-93441761D01* +X246301380Y-93345000D01* +X246398142Y-93296619D01* +X246494904Y-93296619D01* +X246978714Y-94312619D02* +X246881952Y-94264238D01* +X246833571Y-94215857D01* +X246785190Y-94119095D01* +X246785190Y-93828809D01* +X246833571Y-93732047D01* +X246881952Y-93683666D01* +X246978714Y-93635285D01* +X247123857Y-93635285D01* +X247220619Y-93683666D01* +X247269000Y-93732047D01* +X247317380Y-93828809D01* +X247317380Y-94119095D01* +X247269000Y-94215857D01* +X247220619Y-94264238D01* +X247123857Y-94312619D01* +X246978714Y-94312619D01* +X247752809Y-94312619D02* +X247752809Y-93635285D01* +X247752809Y-93828809D02* +X247801190Y-93732047D01* +X247849571Y-93683666D01* +X247946333Y-93635285D01* +X248043095Y-93635285D01* +X249107476Y-94022333D02* +X249591285Y-94022333D01* +X249010714Y-94312619D02* +X249349380Y-93296619D01* +X249688047Y-94312619D01* +X250026714Y-93635285D02* +X250026714Y-94651285D01* +X250026714Y-93683666D02* +X250123476Y-93635285D01* +X250317000Y-93635285D01* +X250413761Y-93683666D01* +X250462142Y-93732047D01* +X250510523Y-93828809D01* +X250510523Y-94119095D01* +X250462142Y-94215857D01* +X250413761Y-94264238D01* +X250317000Y-94312619D01* +X250123476Y-94312619D01* +X250026714Y-94264238D01* +X250945952Y-93635285D02* +X250945952Y-94651285D01* +X250945952Y-93683666D02* +X251042714Y-93635285D01* +X251236238Y-93635285D01* +X251333000Y-93683666D01* +X251381380Y-93732047D01* +X251429761Y-93828809D01* +X251429761Y-94119095D01* +X251381380Y-94215857D01* +X251333000Y-94264238D01* +X251236238Y-94312619D01* +X251042714Y-94312619D01* +X250945952Y-94264238D01* +X252010333Y-94312619D02* +X251913571Y-94264238D01* +X251865190Y-94167476D01* +X251865190Y-93296619D01* +X252784428Y-94264238D02* +X252687666Y-94312619D01* +X252494142Y-94312619D01* +X252397380Y-94264238D01* +X252349000Y-94167476D01* +X252349000Y-93780428D01* +X252397380Y-93683666D01* +X252494142Y-93635285D01* +X252687666Y-93635285D01* +X252784428Y-93683666D01* +X252832809Y-93780428D01* +X252832809Y-93877190D01* +X252349000Y-93973952D01* +X254042333Y-94312619D02* +X254042333Y-93296619D01* +X254526142Y-94312619D02* +X254526142Y-93296619D01* +X255397000Y-94264238D02* +X255300238Y-94312619D01* +X255106714Y-94312619D01* +X255009952Y-94264238D01* +X254961571Y-94167476D01* +X254961571Y-93780428D01* +X255009952Y-93683666D01* +X255106714Y-93635285D01* +X255300238Y-93635285D01* +X255397000Y-93683666D01* +X255445380Y-93780428D01* +X255445380Y-93877190D01* +X254961571Y-93973952D01* +D12* +X217932000Y-90551000D02* +X217786857Y-90478428D01* +X217569142Y-90478428D01* +X217351428Y-90551000D01* +X217206285Y-90696142D01* +X217133714Y-90841285D01* +X217061142Y-91131571D01* +X217061142Y-91349285D01* +X217133714Y-91639571D01* +X217206285Y-91784714D01* +X217351428Y-91929857D01* +X217569142Y-92002428D01* +X217714285Y-92002428D01* +X217932000Y-91929857D01* +X218004571Y-91857285D01* +X218004571Y-91349285D01* +X217714285Y-91349285D01* +X219310857Y-92002428D02* +X219310857Y-91204142D01* +X219238285Y-91059000D01* +X219093142Y-90986428D01* +X218802857Y-90986428D01* +X218657714Y-91059000D01* +X219310857Y-91929857D02* +X219165714Y-92002428D01* +X218802857Y-92002428D01* +X218657714Y-91929857D01* +X218585142Y-91784714D01* +X218585142Y-91639571D01* +X218657714Y-91494428D01* +X218802857Y-91421857D01* +X219165714Y-91421857D01* +X219310857Y-91349285D01* +X220036571Y-92002428D02* +X220036571Y-90986428D01* +X220036571Y-91276714D02* +X220109142Y-91131571D01* +X220181714Y-91059000D01* +X220326857Y-90986428D01* +X220472000Y-90986428D01* +X220980000Y-92002428D02* +X220980000Y-90986428D01* +X220980000Y-91276714D02* +X221052571Y-91131571D01* +X221125142Y-91059000D01* +X221270285Y-90986428D01* +X221415428Y-90986428D01* +X222504000Y-91929857D02* +X222358857Y-92002428D01* +X222068571Y-92002428D01* +X221923428Y-91929857D01* +X221850857Y-91784714D01* +X221850857Y-91204142D01* +X221923428Y-91059000D01* +X222068571Y-90986428D01* +X222358857Y-90986428D01* +X222504000Y-91059000D01* +X222576571Y-91204142D01* +X222576571Y-91349285D01* +X221850857Y-91494428D01* +X223012000Y-90986428D02* +X223592571Y-90986428D01* +X223229714Y-90478428D02* +X223229714Y-91784714D01* +X223302285Y-91929857D01* +X223447428Y-92002428D01* +X223592571Y-92002428D01* +X223882857Y-90986428D02* +X224463428Y-90986428D01* +X224100571Y-90478428D02* +X224100571Y-91784714D01* +X224173142Y-91929857D01* +X224318285Y-92002428D01* +X224463428Y-92002428D01* +X225044000Y-90478428D02* +X225044000Y-90551000D01* +X224971428Y-90696142D01* +X224898857Y-90768714D01* +X225624571Y-91929857D02* +X225769714Y-92002428D01* +X226060000Y-92002428D01* +X226205142Y-91929857D01* +X226277714Y-91784714D01* +X226277714Y-91712142D01* +X226205142Y-91567000D01* +X226060000Y-91494428D01* +X225842285Y-91494428D01* +X225697142Y-91421857D01* +X225624571Y-91276714D01* +X225624571Y-91204142D01* +X225697142Y-91059000D01* +X225842285Y-90986428D01* +X226060000Y-90986428D01* +X226205142Y-91059000D01* +X227946857Y-90478428D02* +X228309714Y-92002428D01* +X228600000Y-90913857D01* +X228890285Y-92002428D01* +X229253142Y-90478428D01* +X230051428Y-92002428D02* +X229906285Y-91929857D01* +X229833714Y-91857285D01* +X229761142Y-91712142D01* +X229761142Y-91276714D01* +X229833714Y-91131571D01* +X229906285Y-91059000D01* +X230051428Y-90986428D01* +X230269142Y-90986428D01* +X230414285Y-91059000D01* +X230486857Y-91131571D01* +X230559428Y-91276714D01* +X230559428Y-91712142D01* +X230486857Y-91857285D01* +X230414285Y-91929857D01* +X230269142Y-92002428D01* +X230051428Y-92002428D01* +X231212571Y-92002428D02* +X231212571Y-90986428D01* +X231212571Y-91276714D02* +X231285142Y-91131571D01* +X231357714Y-91059000D01* +X231502857Y-90986428D01* +X231648000Y-90986428D01* +X232156000Y-92002428D02* +X232156000Y-90478428D01* +X232301142Y-91421857D02* +X232736571Y-92002428D01* +X232736571Y-90986428D02* +X232156000Y-91567000D01* +X233317142Y-91929857D02* +X233462285Y-92002428D01* +X233752571Y-92002428D01* +X233897714Y-91929857D01* +X233970285Y-91784714D01* +X233970285Y-91712142D01* +X233897714Y-91567000D01* +X233752571Y-91494428D01* +X233534857Y-91494428D01* +X233389714Y-91421857D01* +X233317142Y-91276714D01* +X233317142Y-91204142D01* +X233389714Y-91059000D01* +X233534857Y-90986428D01* +X233752571Y-90986428D01* +X233897714Y-91059000D01* +X234623428Y-92002428D02* +X234623428Y-90478428D01* +X235276571Y-92002428D02* +X235276571Y-91204142D01* +X235204000Y-91059000D01* +X235058857Y-90986428D01* +X234841142Y-90986428D01* +X234696000Y-91059000D01* +X234623428Y-91131571D01* +X236220000Y-92002428D02* +X236074857Y-91929857D01* +X236002285Y-91857285D01* +X235929714Y-91712142D01* +X235929714Y-91276714D01* +X236002285Y-91131571D01* +X236074857Y-91059000D01* +X236220000Y-90986428D01* +X236437714Y-90986428D01* +X236582857Y-91059000D01* +X236655428Y-91131571D01* +X236728000Y-91276714D01* +X236728000Y-91712142D01* +X236655428Y-91857285D01* +X236582857Y-91929857D01* +X236437714Y-92002428D01* +X236220000Y-92002428D01* +X237381142Y-90986428D02* +X237381142Y-92510428D01* +X237381142Y-91059000D02* +X237526285Y-90986428D01* +X237816571Y-90986428D01* +X237961714Y-91059000D01* +X238034285Y-91131571D01* +X238106857Y-91276714D01* +X238106857Y-91712142D01* +X238034285Y-91857285D01* +X237961714Y-91929857D01* +X237816571Y-92002428D01* +X237526285Y-92002428D01* +X237381142Y-91929857D01* +D10* +X226874000Y-93785571D02* +X226874000Y-94785571D01* +X226516857Y-93214142D02* +X226159714Y-94285571D01* +X227088285Y-94285571D01* +X227588285Y-93428428D02* +X227659714Y-93357000D01* +X227802571Y-93285571D01* +X228159714Y-93285571D01* +X228302571Y-93357000D01* +X228374000Y-93428428D01* +X228445428Y-93571285D01* +X228445428Y-93714142D01* +X228374000Y-93928428D01* +X227516857Y-94785571D01* +X228445428Y-94785571D01* +X229374000Y-93285571D02* +X229516857Y-93285571D01* +X229659714Y-93357000D01* +X229731142Y-93428428D01* +X229802571Y-93571285D01* +X229874000Y-93857000D01* +X229874000Y-94214142D01* +X229802571Y-94499857D01* +X229731142Y-94642714D01* +X229659714Y-94714142D01* +X229516857Y-94785571D01* +X229374000Y-94785571D01* +X229231142Y-94714142D01* +X229159714Y-94642714D01* +X229088285Y-94499857D01* +X229016857Y-94214142D01* +X229016857Y-93857000D01* +X229088285Y-93571285D01* +X229159714Y-93428428D01* +X229231142Y-93357000D01* +X229374000Y-93285571D01* +X230374000Y-93285571D02* +X231302571Y-93285571D01* +X230802571Y-93857000D01* +X231016857Y-93857000D01* +X231159714Y-93928428D01* +X231231142Y-93999857D01* +X231302571Y-94142714D01* +X231302571Y-94499857D01* +X231231142Y-94642714D01* +X231159714Y-94714142D01* +X231016857Y-94785571D01* +X230588285Y-94785571D01* +X230445428Y-94714142D01* +X230374000Y-94642714D01* +X231874000Y-94357000D02* +X232588285Y-94357000D01* +X231731142Y-94785571D02* +X232231142Y-93285571D01* +X232731142Y-94785571D01* +X223412571Y-93357000D02* +X223269714Y-93285571D01* +X223055428Y-93285571D01* +X222841142Y-93357000D01* +X222698285Y-93499857D01* +X222626857Y-93642714D01* +X222555428Y-93928428D01* +X222555428Y-94142714D01* +X222626857Y-94428428D01* +X222698285Y-94571285D01* +X222841142Y-94714142D01* +X223055428Y-94785571D01* +X223198285Y-94785571D01* +X223412571Y-94714142D01* +X223484000Y-94642714D01* +X223484000Y-94142714D01* +X223198285Y-94142714D01* +X223984000Y-93285571D02* +X224341142Y-94785571D01* +X224626857Y-93714142D01* +X224912571Y-94785571D01* +X225269714Y-93285571D01* +D13* +X204788400Y-114211000D02* +X203975600Y-114211000D01* +X204788400Y-112611000D02* +X203975600Y-112611000D01* +X267170000Y-99503600D02* +X267170000Y-100316400D01* +X268770000Y-99503600D02* +X268770000Y-100316400D01* +X268770000Y-95925600D02* +X268770000Y-96738400D01* +X267170000Y-95925600D02* +X267170000Y-96738400D01* +X261240582Y-96994200D02* +X260910000Y-96994200D01* +X262415800Y-98169418D02* +X261240582Y-96994200D01* +X262415800Y-98500000D02* +X262415800Y-98169418D01* +X232884200Y-126525800D02* +X234390000Y-126525800D01* +X232884200Y-125020000D02* +X232884200Y-126525800D01* +X232884200Y-96994200D02* +X234390000Y-96994200D01* +X232884200Y-98500000D02* +X232884200Y-96994200D01* +X262415800Y-126525800D02* +X260910000Y-126525800D01* +X262415800Y-125020000D02* +X262415800Y-126525800D01* +X214565600Y-116675000D02* +X215378400Y-116675000D01* +X214565600Y-118275000D02* +X215378400Y-118275000D01* +X214565600Y-96685000D02* +X215378400Y-96685000D01* +X214565600Y-95085000D02* +X215378400Y-95085000D01* +X242126400Y-92240000D02* +X241313600Y-92240000D01* +X242126400Y-90640000D02* +X241313600Y-90640000D01* +X267170000Y-108776400D02* +X267170000Y-107963600D01* +X268770000Y-108776400D02* +X268770000Y-107963600D01* +X268770000Y-120206400D02* +X268770000Y-119393600D01* +X267170000Y-120206400D02* +X267170000Y-119393600D01* +X226530000Y-111316400D02* +X226530000Y-110503600D01* +X228130000Y-111316400D02* +X228130000Y-110503600D01* +X259562600Y-90640000D02* +X260375400Y-90640000D01* +X259562600Y-92240000D02* +X260375400Y-92240000D01* +X228130000Y-124016400D02* +X228130000Y-123203600D01* +X226530000Y-124016400D02* +X226530000Y-123203600D01* +D14* +X213930000Y-97155000D02* +X213930000Y-97805000D01* +X221680000Y-97155000D02* +X221680000Y-97900000D01* +X221680000Y-116205000D02* +X221680000Y-115460000D01* +X213930000Y-116205000D02* +X213930000Y-115460000D01* +X213930000Y-97155000D02* +X221680000Y-97155000D01* +X213930000Y-116205000D02* +X221680000Y-116205000D01* +X213930000Y-97805000D02* +X212105000Y-97805000D01* +D11* +X247204895Y-112379276D02* +X247862876Y-112379276D01* +X247940285Y-112340571D01* +X247978990Y-112301866D01* +X248017695Y-112224457D01* +X248017695Y-112069638D01* +X247978990Y-111992228D01* +X247940285Y-111953523D01* +X247862876Y-111914819D01* +X247204895Y-111914819D01* +X248017695Y-111102019D02* +X248017695Y-111566476D01* +X248017695Y-111334247D02* +X247204895Y-111334247D01* +X247321009Y-111411657D01* +X247398419Y-111489066D01* +X247437123Y-111566476D01* +X217359895Y-106664276D02* +X218017876Y-106664276D01* +X218095285Y-106625571D01* +X218133990Y-106586866D01* +X218172695Y-106509457D01* +X218172695Y-106354638D01* +X218133990Y-106277228D01* +X218095285Y-106238523D01* +X218017876Y-106199819D01* +X217359895Y-106199819D01* +X217437304Y-105851476D02* +X217398600Y-105812771D01* +X217359895Y-105735361D01* +X217359895Y-105541838D01* +X217398600Y-105464428D01* +X217437304Y-105425723D01* +X217514714Y-105387019D01* +X217592123Y-105387019D01* +X217708238Y-105425723D01* +X218172695Y-105890180D01* +X218172695Y-105387019D01* +%LPC*% +D15* +G36* +X276860000Y-139446000D02* +G01* +X276352000Y-139954000D01* +X198628000Y-139954000D01* +X198120000Y-139446000D01* +X198120000Y-132080000D01* +X276860000Y-132080000D01* +X276860000Y-139446000D01* +G37* +G36* +X205562064Y-112636247D02* +G01* +X205591244Y-112640576D01* +X205619860Y-112647744D01* +X205647635Y-112657682D01* +X205674302Y-112670294D01* +X205699604Y-112685460D01* +X205723299Y-112703033D01* +X205745156Y-112722844D01* +X205764967Y-112744701D01* +X205782540Y-112768396D01* +X205797706Y-112793698D01* +X205810318Y-112820365D01* +X205820256Y-112848140D01* +X205827424Y-112876756D01* +X205831753Y-112905936D01* +X205833200Y-112935400D01* +X205833200Y-113886600D01* +X205831753Y-113916064D01* +X205827424Y-113945244D01* +X205820256Y-113973860D01* +X205810318Y-114001635D01* +X205797706Y-114028302D01* +X205782540Y-114053604D01* +X205764967Y-114077299D01* +X205745156Y-114099156D01* +X205723299Y-114118967D01* +X205699604Y-114136540D01* +X205674302Y-114151706D01* +X205647635Y-114164318D01* +X205619860Y-114174256D01* +X205591244Y-114181424D01* +X205562064Y-114185753D01* +X205532600Y-114187200D01* +X204931400Y-114187200D01* +X204901936Y-114185753D01* +X204872756Y-114181424D01* +X204844140Y-114174256D01* +X204816365Y-114164318D01* +X204789698Y-114151706D01* +X204764396Y-114136540D01* +X204740701Y-114118967D01* +X204718844Y-114099156D01* +X204699033Y-114077299D01* +X204681460Y-114053604D01* +X204666294Y-114028302D01* +X204653682Y-114001635D01* +X204643744Y-113973860D01* +X204636576Y-113945244D01* +X204632247Y-113916064D01* +X204630800Y-113886600D01* +X204630800Y-112935400D01* +X204632247Y-112905936D01* +X204636576Y-112876756D01* +X204643744Y-112848140D01* +X204653682Y-112820365D01* +X204666294Y-112793698D01* +X204681460Y-112768396D01* +X204699033Y-112744701D01* +X204718844Y-112722844D01* +X204740701Y-112703033D01* +X204764396Y-112685460D01* +X204789698Y-112670294D01* +X204816365Y-112657682D01* +X204844140Y-112647744D01* +X204872756Y-112640576D01* +X204901936Y-112636247D01* +X204931400Y-112634800D01* +X205532600Y-112634800D01* +X205562064Y-112636247D01* +X205562064Y-112636247D01* +G37* +D16* +X205232000Y-113411000D03* +D15* +G36* +X203862064Y-112636247D02* +G01* +X203891244Y-112640576D01* +X203919860Y-112647744D01* +X203947635Y-112657682D01* +X203974302Y-112670294D01* +X203999604Y-112685460D01* +X204023299Y-112703033D01* +X204045156Y-112722844D01* +X204064967Y-112744701D01* +X204082540Y-112768396D01* +X204097706Y-112793698D01* +X204110318Y-112820365D01* +X204120256Y-112848140D01* +X204127424Y-112876756D01* +X204131753Y-112905936D01* +X204133200Y-112935400D01* +X204133200Y-113886600D01* +X204131753Y-113916064D01* +X204127424Y-113945244D01* +X204120256Y-113973860D01* +X204110318Y-114001635D01* +X204097706Y-114028302D01* +X204082540Y-114053604D01* +X204064967Y-114077299D01* +X204045156Y-114099156D01* +X204023299Y-114118967D01* +X203999604Y-114136540D01* +X203974302Y-114151706D01* +X203947635Y-114164318D01* +X203919860Y-114174256D01* +X203891244Y-114181424D01* +X203862064Y-114185753D01* +X203832600Y-114187200D01* +X203231400Y-114187200D01* +X203201936Y-114185753D01* +X203172756Y-114181424D01* +X203144140Y-114174256D01* +X203116365Y-114164318D01* +X203089698Y-114151706D01* +X203064396Y-114136540D01* +X203040701Y-114118967D01* +X203018844Y-114099156D01* +X202999033Y-114077299D01* +X202981460Y-114053604D01* +X202966294Y-114028302D01* +X202953682Y-114001635D01* +X202943744Y-113973860D01* +X202936576Y-113945244D01* +X202932247Y-113916064D01* +X202930800Y-113886600D01* +X202930800Y-112935400D01* +X202932247Y-112905936D01* +X202936576Y-112876756D01* +X202943744Y-112848140D01* +X202953682Y-112820365D01* +X202966294Y-112793698D01* +X202981460Y-112768396D01* +X202999033Y-112744701D01* +X203018844Y-112722844D01* +X203040701Y-112703033D01* +X203064396Y-112685460D01* +X203089698Y-112670294D01* +X203116365Y-112657682D01* +X203144140Y-112647744D01* +X203172756Y-112640576D01* +X203201936Y-112636247D01* +X203231400Y-112634800D01* +X203832600Y-112634800D01* +X203862064Y-112636247D01* +X203862064Y-112636247D01* +G37* +D16* +X203532000Y-113411000D03* +D15* +G36* +X268475064Y-100160247D02* +G01* +X268504244Y-100164576D01* +X268532860Y-100171744D01* +X268560635Y-100181682D01* +X268587302Y-100194294D01* +X268612604Y-100209460D01* +X268636299Y-100227033D01* +X268658156Y-100246844D01* +X268677967Y-100268701D01* +X268695540Y-100292396D01* +X268710706Y-100317698D01* +X268723318Y-100344365D01* +X268733256Y-100372140D01* +X268740424Y-100400756D01* +X268744753Y-100429936D01* +X268746200Y-100459400D01* +X268746200Y-101060600D01* +X268744753Y-101090064D01* +X268740424Y-101119244D01* +X268733256Y-101147860D01* +X268723318Y-101175635D01* +X268710706Y-101202302D01* +X268695540Y-101227604D01* +X268677967Y-101251299D01* +X268658156Y-101273156D01* +X268636299Y-101292967D01* +X268612604Y-101310540D01* +X268587302Y-101325706D01* +X268560635Y-101338318D01* +X268532860Y-101348256D01* +X268504244Y-101355424D01* +X268475064Y-101359753D01* +X268445600Y-101361200D01* +X267494400Y-101361200D01* +X267464936Y-101359753D01* +X267435756Y-101355424D01* +X267407140Y-101348256D01* +X267379365Y-101338318D01* +X267352698Y-101325706D01* +X267327396Y-101310540D01* +X267303701Y-101292967D01* +X267281844Y-101273156D01* +X267262033Y-101251299D01* +X267244460Y-101227604D01* +X267229294Y-101202302D01* +X267216682Y-101175635D01* +X267206744Y-101147860D01* +X267199576Y-101119244D01* +X267195247Y-101090064D01* +X267193800Y-101060600D01* +X267193800Y-100459400D01* +X267195247Y-100429936D01* +X267199576Y-100400756D01* +X267206744Y-100372140D01* +X267216682Y-100344365D01* +X267229294Y-100317698D01* +X267244460Y-100292396D01* +X267262033Y-100268701D01* +X267281844Y-100246844D01* +X267303701Y-100227033D01* +X267327396Y-100209460D01* +X267352698Y-100194294D01* +X267379365Y-100181682D01* +X267407140Y-100171744D01* +X267435756Y-100164576D01* +X267464936Y-100160247D01* +X267494400Y-100158800D01* +X268445600Y-100158800D01* +X268475064Y-100160247D01* +X268475064Y-100160247D01* +G37* +D16* +X267970000Y-100760000D03* +D15* +G36* +X268475064Y-98460247D02* +G01* +X268504244Y-98464576D01* +X268532860Y-98471744D01* +X268560635Y-98481682D01* +X268587302Y-98494294D01* +X268612604Y-98509460D01* +X268636299Y-98527033D01* +X268658156Y-98546844D01* +X268677967Y-98568701D01* +X268695540Y-98592396D01* +X268710706Y-98617698D01* +X268723318Y-98644365D01* +X268733256Y-98672140D01* +X268740424Y-98700756D01* +X268744753Y-98729936D01* +X268746200Y-98759400D01* +X268746200Y-99360600D01* +X268744753Y-99390064D01* +X268740424Y-99419244D01* +X268733256Y-99447860D01* +X268723318Y-99475635D01* +X268710706Y-99502302D01* +X268695540Y-99527604D01* +X268677967Y-99551299D01* +X268658156Y-99573156D01* +X268636299Y-99592967D01* +X268612604Y-99610540D01* +X268587302Y-99625706D01* +X268560635Y-99638318D01* +X268532860Y-99648256D01* +X268504244Y-99655424D01* +X268475064Y-99659753D01* +X268445600Y-99661200D01* +X267494400Y-99661200D01* +X267464936Y-99659753D01* +X267435756Y-99655424D01* +X267407140Y-99648256D01* +X267379365Y-99638318D01* +X267352698Y-99625706D01* +X267327396Y-99610540D01* +X267303701Y-99592967D01* +X267281844Y-99573156D01* +X267262033Y-99551299D01* +X267244460Y-99527604D01* +X267229294Y-99502302D01* +X267216682Y-99475635D01* +X267206744Y-99447860D01* +X267199576Y-99419244D01* +X267195247Y-99390064D01* +X267193800Y-99360600D01* +X267193800Y-98759400D01* +X267195247Y-98729936D01* +X267199576Y-98700756D01* +X267206744Y-98672140D01* +X267216682Y-98644365D01* +X267229294Y-98617698D01* +X267244460Y-98592396D01* +X267262033Y-98568701D01* +X267281844Y-98546844D01* +X267303701Y-98527033D01* +X267327396Y-98509460D01* +X267352698Y-98494294D01* +X267379365Y-98481682D01* +X267407140Y-98471744D01* +X267435756Y-98464576D01* +X267464936Y-98460247D01* +X267494400Y-98458800D01* +X268445600Y-98458800D01* +X268475064Y-98460247D01* +X268475064Y-98460247D01* +G37* +D16* +X267970000Y-99060000D03* +D15* +G36* +X268520163Y-94882007D02* +G01* +X268544490Y-94885615D01* +X268568345Y-94891591D01* +X268591500Y-94899876D01* +X268613732Y-94910391D01* +X268634826Y-94923034D01* +X268654579Y-94937684D01* +X268672801Y-94954199D01* +X268689316Y-94972421D01* +X268703966Y-94992174D01* +X268716609Y-95013268D01* +X268727124Y-95035500D01* +X268735409Y-95058655D01* +X268741385Y-95082510D01* +X268744993Y-95106837D01* +X268746200Y-95131400D01* +X268746200Y-95632600D01* +X268744993Y-95657163D01* +X268741385Y-95681490D01* +X268735409Y-95705345D01* +X268727124Y-95728500D01* +X268716609Y-95750732D01* +X268703966Y-95771826D01* +X268689316Y-95791579D01* +X268672801Y-95809801D01* +X268654579Y-95826316D01* +X268634826Y-95840966D01* +X268613732Y-95853609D01* +X268591500Y-95864124D01* +X268568345Y-95872409D01* +X268544490Y-95878385D01* +X268520163Y-95881993D01* +X268495600Y-95883200D01* +X267444400Y-95883200D01* +X267419837Y-95881993D01* +X267395510Y-95878385D01* +X267371655Y-95872409D01* +X267348500Y-95864124D01* +X267326268Y-95853609D01* +X267305174Y-95840966D01* +X267285421Y-95826316D01* +X267267199Y-95809801D01* +X267250684Y-95791579D01* +X267236034Y-95771826D01* +X267223391Y-95750732D01* +X267212876Y-95728500D01* +X267204591Y-95705345D01* +X267198615Y-95681490D01* +X267195007Y-95657163D01* +X267193800Y-95632600D01* +X267193800Y-95131400D01* +X267195007Y-95106837D01* +X267198615Y-95082510D01* +X267204591Y-95058655D01* +X267212876Y-95035500D01* +X267223391Y-95013268D01* +X267236034Y-94992174D01* +X267250684Y-94972421D01* +X267267199Y-94954199D01* +X267285421Y-94937684D01* +X267305174Y-94923034D01* +X267326268Y-94910391D01* +X267348500Y-94899876D01* +X267371655Y-94891591D01* +X267395510Y-94885615D01* +X267419837Y-94882007D01* +X267444400Y-94880800D01* +X268495600Y-94880800D01* +X268520163Y-94882007D01* +X268520163Y-94882007D01* +G37* +D17* +X267970000Y-95382000D03* +D15* +G36* +X268520163Y-96782007D02* +G01* +X268544490Y-96785615D01* +X268568345Y-96791591D01* +X268591500Y-96799876D01* +X268613732Y-96810391D01* +X268634826Y-96823034D01* +X268654579Y-96837684D01* +X268672801Y-96854199D01* +X268689316Y-96872421D01* +X268703966Y-96892174D01* +X268716609Y-96913268D01* +X268727124Y-96935500D01* +X268735409Y-96958655D01* +X268741385Y-96982510D01* +X268744993Y-97006837D01* +X268746200Y-97031400D01* +X268746200Y-97532600D01* +X268744993Y-97557163D01* +X268741385Y-97581490D01* +X268735409Y-97605345D01* +X268727124Y-97628500D01* +X268716609Y-97650732D01* +X268703966Y-97671826D01* +X268689316Y-97691579D01* +X268672801Y-97709801D01* +X268654579Y-97726316D01* +X268634826Y-97740966D01* +X268613732Y-97753609D01* +X268591500Y-97764124D01* +X268568345Y-97772409D01* +X268544490Y-97778385D01* +X268520163Y-97781993D01* +X268495600Y-97783200D01* +X267444400Y-97783200D01* +X267419837Y-97781993D01* +X267395510Y-97778385D01* +X267371655Y-97772409D01* +X267348500Y-97764124D01* +X267326268Y-97753609D01* +X267305174Y-97740966D01* +X267285421Y-97726316D01* +X267267199Y-97709801D01* +X267250684Y-97691579D01* +X267236034Y-97671826D01* +X267223391Y-97650732D01* +X267212876Y-97628500D01* +X267204591Y-97605345D01* +X267198615Y-97581490D01* +X267195007Y-97557163D01* +X267193800Y-97532600D01* +X267193800Y-97031400D01* +X267195007Y-97006837D01* +X267198615Y-96982510D01* +X267204591Y-96958655D01* +X267212876Y-96935500D01* +X267223391Y-96913268D01* +X267236034Y-96892174D01* +X267250684Y-96872421D01* +X267267199Y-96854199D01* +X267285421Y-96837684D01* +X267305174Y-96823034D01* +X267326268Y-96810391D01* +X267348500Y-96799876D01* +X267371655Y-96791591D01* +X267395510Y-96785615D01* +X267419837Y-96782007D01* +X267444400Y-96780800D01* +X268495600Y-96780800D01* +X268520163Y-96782007D01* +X268520163Y-96782007D01* +G37* +D17* +X267970000Y-97282000D03* +D15* +G36* +X262756537Y-112654706D02* +G01* +X262774796Y-112657414D01* +X262792703Y-112661900D01* +X262810083Y-112668118D01* +X262826770Y-112676011D01* +X262842603Y-112685501D01* +X262857429Y-112696497D01* +X262871107Y-112708893D01* +X262883503Y-112722571D01* +X262894499Y-112737397D01* +X262903989Y-112753230D01* +X262911882Y-112769917D01* +X262918100Y-112787297D01* +X262922586Y-112805204D01* +X262925294Y-112823463D01* +X262926200Y-112841900D01* +X262926200Y-113218100D01* +X262925294Y-113236537D01* +X262922586Y-113254796D01* +X262918100Y-113272703D01* +X262911882Y-113290083D01* +X262903989Y-113306770D01* +X262894499Y-113322603D01* +X262883503Y-113337429D01* +X262871107Y-113351107D01* +X262857429Y-113363503D01* +X262842603Y-113374499D01* +X262826770Y-113383989D01* +X262810083Y-113391882D01* +X262792703Y-113398100D01* +X262774796Y-113402586D01* +X262756537Y-113405294D01* +X262738100Y-113406200D01* +X261261900Y-113406200D01* +X261243463Y-113405294D01* +X261225204Y-113402586D01* +X261207297Y-113398100D01* +X261189917Y-113391882D01* +X261173230Y-113383989D01* +X261157397Y-113374499D01* +X261142571Y-113363503D01* +X261128893Y-113351107D01* +X261116497Y-113337429D01* +X261105501Y-113322603D01* +X261096011Y-113306770D01* +X261088118Y-113290083D01* +X261081900Y-113272703D01* +X261077414Y-113254796D01* +X261074706Y-113236537D01* +X261073800Y-113218100D01* +X261073800Y-112841900D01* +X261074706Y-112823463D01* +X261077414Y-112805204D01* +X261081900Y-112787297D01* +X261088118Y-112769917D01* +X261096011Y-112753230D01* +X261105501Y-112737397D01* +X261116497Y-112722571D01* +X261128893Y-112708893D01* +X261142571Y-112696497D01* +X261157397Y-112685501D01* +X261173230Y-112676011D01* +X261189917Y-112668118D01* +X261207297Y-112661900D01* +X261225204Y-112657414D01* +X261243463Y-112654706D01* +X261261900Y-112653800D01* +X262738100Y-112653800D01* +X262756537Y-112654706D01* +X262756537Y-112654706D01* +G37* +D18* +X262000000Y-113030000D03* +D15* +G36* +X262756537Y-113924706D02* +G01* +X262774796Y-113927414D01* +X262792703Y-113931900D01* +X262810083Y-113938118D01* +X262826770Y-113946011D01* +X262842603Y-113955501D01* +X262857429Y-113966497D01* +X262871107Y-113978893D01* +X262883503Y-113992571D01* +X262894499Y-114007397D01* +X262903989Y-114023230D01* +X262911882Y-114039917D01* +X262918100Y-114057297D01* +X262922586Y-114075204D01* +X262925294Y-114093463D01* +X262926200Y-114111900D01* +X262926200Y-114488100D01* +X262925294Y-114506537D01* +X262922586Y-114524796D01* +X262918100Y-114542703D01* +X262911882Y-114560083D01* +X262903989Y-114576770D01* +X262894499Y-114592603D01* +X262883503Y-114607429D01* +X262871107Y-114621107D01* +X262857429Y-114633503D01* +X262842603Y-114644499D01* +X262826770Y-114653989D01* +X262810083Y-114661882D01* +X262792703Y-114668100D01* +X262774796Y-114672586D01* +X262756537Y-114675294D01* +X262738100Y-114676200D01* +X261261900Y-114676200D01* +X261243463Y-114675294D01* +X261225204Y-114672586D01* +X261207297Y-114668100D01* +X261189917Y-114661882D01* +X261173230Y-114653989D01* +X261157397Y-114644499D01* +X261142571Y-114633503D01* +X261128893Y-114621107D01* +X261116497Y-114607429D01* +X261105501Y-114592603D01* +X261096011Y-114576770D01* +X261088118Y-114560083D01* +X261081900Y-114542703D01* +X261077414Y-114524796D01* +X261074706Y-114506537D01* +X261073800Y-114488100D01* +X261073800Y-114111900D01* +X261074706Y-114093463D01* +X261077414Y-114075204D01* +X261081900Y-114057297D01* +X261088118Y-114039917D01* +X261096011Y-114023230D01* +X261105501Y-114007397D01* +X261116497Y-113992571D01* +X261128893Y-113978893D01* +X261142571Y-113966497D01* +X261157397Y-113955501D01* +X261173230Y-113946011D01* +X261189917Y-113938118D01* +X261207297Y-113931900D01* +X261225204Y-113927414D01* +X261243463Y-113924706D01* +X261261900Y-113923800D01* +X262738100Y-113923800D01* +X262756537Y-113924706D01* +X262756537Y-113924706D01* +G37* +D18* +X262000000Y-114300000D03* +D15* +G36* +X262756537Y-115194706D02* +G01* +X262774796Y-115197414D01* +X262792703Y-115201900D01* +X262810083Y-115208118D01* +X262826770Y-115216011D01* +X262842603Y-115225501D01* +X262857429Y-115236497D01* +X262871107Y-115248893D01* +X262883503Y-115262571D01* +X262894499Y-115277397D01* +X262903989Y-115293230D01* +X262911882Y-115309917D01* +X262918100Y-115327297D01* +X262922586Y-115345204D01* +X262925294Y-115363463D01* +X262926200Y-115381900D01* +X262926200Y-115758100D01* +X262925294Y-115776537D01* +X262922586Y-115794796D01* +X262918100Y-115812703D01* +X262911882Y-115830083D01* +X262903989Y-115846770D01* +X262894499Y-115862603D01* +X262883503Y-115877429D01* +X262871107Y-115891107D01* +X262857429Y-115903503D01* +X262842603Y-115914499D01* +X262826770Y-115923989D01* +X262810083Y-115931882D01* +X262792703Y-115938100D01* +X262774796Y-115942586D01* +X262756537Y-115945294D01* +X262738100Y-115946200D01* +X261261900Y-115946200D01* +X261243463Y-115945294D01* +X261225204Y-115942586D01* +X261207297Y-115938100D01* +X261189917Y-115931882D01* +X261173230Y-115923989D01* +X261157397Y-115914499D01* +X261142571Y-115903503D01* +X261128893Y-115891107D01* +X261116497Y-115877429D01* +X261105501Y-115862603D01* +X261096011Y-115846770D01* +X261088118Y-115830083D01* +X261081900Y-115812703D01* +X261077414Y-115794796D01* +X261074706Y-115776537D01* +X261073800Y-115758100D01* +X261073800Y-115381900D01* +X261074706Y-115363463D01* +X261077414Y-115345204D01* +X261081900Y-115327297D01* +X261088118Y-115309917D01* +X261096011Y-115293230D01* +X261105501Y-115277397D01* +X261116497Y-115262571D01* +X261128893Y-115248893D01* +X261142571Y-115236497D01* +X261157397Y-115225501D01* +X261173230Y-115216011D01* +X261189917Y-115208118D01* +X261207297Y-115201900D01* +X261225204Y-115197414D01* +X261243463Y-115194706D01* +X261261900Y-115193800D01* +X262738100Y-115193800D01* +X262756537Y-115194706D01* +X262756537Y-115194706D01* +G37* +D18* +X262000000Y-115570000D03* +D15* +G36* +X262756537Y-116464706D02* +G01* +X262774796Y-116467414D01* +X262792703Y-116471900D01* +X262810083Y-116478118D01* +X262826770Y-116486011D01* +X262842603Y-116495501D01* +X262857429Y-116506497D01* +X262871107Y-116518893D01* +X262883503Y-116532571D01* +X262894499Y-116547397D01* +X262903989Y-116563230D01* +X262911882Y-116579917D01* +X262918100Y-116597297D01* +X262922586Y-116615204D01* +X262925294Y-116633463D01* +X262926200Y-116651900D01* +X262926200Y-117028100D01* +X262925294Y-117046537D01* +X262922586Y-117064796D01* +X262918100Y-117082703D01* +X262911882Y-117100083D01* +X262903989Y-117116770D01* +X262894499Y-117132603D01* +X262883503Y-117147429D01* +X262871107Y-117161107D01* +X262857429Y-117173503D01* +X262842603Y-117184499D01* +X262826770Y-117193989D01* +X262810083Y-117201882D01* +X262792703Y-117208100D01* +X262774796Y-117212586D01* +X262756537Y-117215294D01* +X262738100Y-117216200D01* +X261261900Y-117216200D01* +X261243463Y-117215294D01* +X261225204Y-117212586D01* +X261207297Y-117208100D01* +X261189917Y-117201882D01* +X261173230Y-117193989D01* +X261157397Y-117184499D01* +X261142571Y-117173503D01* +X261128893Y-117161107D01* +X261116497Y-117147429D01* +X261105501Y-117132603D01* +X261096011Y-117116770D01* +X261088118Y-117100083D01* +X261081900Y-117082703D01* +X261077414Y-117064796D01* +X261074706Y-117046537D01* +X261073800Y-117028100D01* +X261073800Y-116651900D01* +X261074706Y-116633463D01* +X261077414Y-116615204D01* +X261081900Y-116597297D01* +X261088118Y-116579917D01* +X261096011Y-116563230D01* +X261105501Y-116547397D01* +X261116497Y-116532571D01* +X261128893Y-116518893D01* +X261142571Y-116506497D01* +X261157397Y-116495501D01* +X261173230Y-116486011D01* +X261189917Y-116478118D01* +X261207297Y-116471900D01* +X261225204Y-116467414D01* +X261243463Y-116464706D01* +X261261900Y-116463800D01* +X262738100Y-116463800D01* +X262756537Y-116464706D01* +X262756537Y-116464706D01* +G37* +D18* +X262000000Y-116840000D03* +D15* +G36* +X262756537Y-117734706D02* +G01* +X262774796Y-117737414D01* +X262792703Y-117741900D01* +X262810083Y-117748118D01* +X262826770Y-117756011D01* +X262842603Y-117765501D01* +X262857429Y-117776497D01* +X262871107Y-117788893D01* +X262883503Y-117802571D01* +X262894499Y-117817397D01* +X262903989Y-117833230D01* +X262911882Y-117849917D01* +X262918100Y-117867297D01* +X262922586Y-117885204D01* +X262925294Y-117903463D01* +X262926200Y-117921900D01* +X262926200Y-118298100D01* +X262925294Y-118316537D01* +X262922586Y-118334796D01* +X262918100Y-118352703D01* +X262911882Y-118370083D01* +X262903989Y-118386770D01* +X262894499Y-118402603D01* +X262883503Y-118417429D01* +X262871107Y-118431107D01* +X262857429Y-118443503D01* +X262842603Y-118454499D01* +X262826770Y-118463989D01* +X262810083Y-118471882D01* +X262792703Y-118478100D01* +X262774796Y-118482586D01* +X262756537Y-118485294D01* +X262738100Y-118486200D01* +X261261900Y-118486200D01* +X261243463Y-118485294D01* +X261225204Y-118482586D01* +X261207297Y-118478100D01* +X261189917Y-118471882D01* +X261173230Y-118463989D01* +X261157397Y-118454499D01* +X261142571Y-118443503D01* +X261128893Y-118431107D01* +X261116497Y-118417429D01* +X261105501Y-118402603D01* +X261096011Y-118386770D01* +X261088118Y-118370083D01* +X261081900Y-118352703D01* +X261077414Y-118334796D01* +X261074706Y-118316537D01* +X261073800Y-118298100D01* +X261073800Y-117921900D01* +X261074706Y-117903463D01* +X261077414Y-117885204D01* +X261081900Y-117867297D01* +X261088118Y-117849917D01* +X261096011Y-117833230D01* +X261105501Y-117817397D01* +X261116497Y-117802571D01* +X261128893Y-117788893D01* +X261142571Y-117776497D01* +X261157397Y-117765501D01* +X261173230Y-117756011D01* +X261189917Y-117748118D01* +X261207297Y-117741900D01* +X261225204Y-117737414D01* +X261243463Y-117734706D01* +X261261900Y-117733800D01* +X262738100Y-117733800D01* +X262756537Y-117734706D01* +X262756537Y-117734706D01* +G37* +D18* +X262000000Y-118110000D03* +D15* +G36* +X262756537Y-119004706D02* +G01* +X262774796Y-119007414D01* +X262792703Y-119011900D01* +X262810083Y-119018118D01* +X262826770Y-119026011D01* +X262842603Y-119035501D01* +X262857429Y-119046497D01* +X262871107Y-119058893D01* +X262883503Y-119072571D01* +X262894499Y-119087397D01* +X262903989Y-119103230D01* +X262911882Y-119119917D01* +X262918100Y-119137297D01* +X262922586Y-119155204D01* +X262925294Y-119173463D01* +X262926200Y-119191900D01* +X262926200Y-119568100D01* +X262925294Y-119586537D01* +X262922586Y-119604796D01* +X262918100Y-119622703D01* +X262911882Y-119640083D01* +X262903989Y-119656770D01* +X262894499Y-119672603D01* +X262883503Y-119687429D01* +X262871107Y-119701107D01* +X262857429Y-119713503D01* +X262842603Y-119724499D01* +X262826770Y-119733989D01* +X262810083Y-119741882D01* +X262792703Y-119748100D01* +X262774796Y-119752586D01* +X262756537Y-119755294D01* +X262738100Y-119756200D01* +X261261900Y-119756200D01* +X261243463Y-119755294D01* +X261225204Y-119752586D01* +X261207297Y-119748100D01* +X261189917Y-119741882D01* +X261173230Y-119733989D01* +X261157397Y-119724499D01* +X261142571Y-119713503D01* +X261128893Y-119701107D01* +X261116497Y-119687429D01* +X261105501Y-119672603D01* +X261096011Y-119656770D01* +X261088118Y-119640083D01* +X261081900Y-119622703D01* +X261077414Y-119604796D01* +X261074706Y-119586537D01* +X261073800Y-119568100D01* +X261073800Y-119191900D01* +X261074706Y-119173463D01* +X261077414Y-119155204D01* +X261081900Y-119137297D01* +X261088118Y-119119917D01* +X261096011Y-119103230D01* +X261105501Y-119087397D01* +X261116497Y-119072571D01* +X261128893Y-119058893D01* +X261142571Y-119046497D01* +X261157397Y-119035501D01* +X261173230Y-119026011D01* +X261189917Y-119018118D01* +X261207297Y-119011900D01* +X261225204Y-119007414D01* +X261243463Y-119004706D01* +X261261900Y-119003800D01* +X262738100Y-119003800D01* +X262756537Y-119004706D01* +X262756537Y-119004706D01* +G37* +D18* +X262000000Y-119380000D03* +D15* +G36* +X262756537Y-120274706D02* +G01* +X262774796Y-120277414D01* +X262792703Y-120281900D01* +X262810083Y-120288118D01* +X262826770Y-120296011D01* +X262842603Y-120305501D01* +X262857429Y-120316497D01* +X262871107Y-120328893D01* +X262883503Y-120342571D01* +X262894499Y-120357397D01* +X262903989Y-120373230D01* +X262911882Y-120389917D01* +X262918100Y-120407297D01* +X262922586Y-120425204D01* +X262925294Y-120443463D01* +X262926200Y-120461900D01* +X262926200Y-120838100D01* +X262925294Y-120856537D01* +X262922586Y-120874796D01* +X262918100Y-120892703D01* +X262911882Y-120910083D01* +X262903989Y-120926770D01* +X262894499Y-120942603D01* +X262883503Y-120957429D01* +X262871107Y-120971107D01* +X262857429Y-120983503D01* +X262842603Y-120994499D01* +X262826770Y-121003989D01* +X262810083Y-121011882D01* +X262792703Y-121018100D01* +X262774796Y-121022586D01* +X262756537Y-121025294D01* +X262738100Y-121026200D01* +X261261900Y-121026200D01* +X261243463Y-121025294D01* +X261225204Y-121022586D01* +X261207297Y-121018100D01* +X261189917Y-121011882D01* +X261173230Y-121003989D01* +X261157397Y-120994499D01* +X261142571Y-120983503D01* +X261128893Y-120971107D01* +X261116497Y-120957429D01* +X261105501Y-120942603D01* +X261096011Y-120926770D01* +X261088118Y-120910083D01* +X261081900Y-120892703D01* +X261077414Y-120874796D01* +X261074706Y-120856537D01* +X261073800Y-120838100D01* +X261073800Y-120461900D01* +X261074706Y-120443463D01* +X261077414Y-120425204D01* +X261081900Y-120407297D01* +X261088118Y-120389917D01* +X261096011Y-120373230D01* +X261105501Y-120357397D01* +X261116497Y-120342571D01* +X261128893Y-120328893D01* +X261142571Y-120316497D01* +X261157397Y-120305501D01* +X261173230Y-120296011D01* +X261189917Y-120288118D01* +X261207297Y-120281900D01* +X261225204Y-120277414D01* +X261243463Y-120274706D01* +X261261900Y-120273800D01* +X262738100Y-120273800D01* +X262756537Y-120274706D01* +X262756537Y-120274706D01* +G37* +D18* +X262000000Y-120650000D03* +D15* +G36* +X262756537Y-121544706D02* +G01* +X262774796Y-121547414D01* +X262792703Y-121551900D01* +X262810083Y-121558118D01* +X262826770Y-121566011D01* +X262842603Y-121575501D01* +X262857429Y-121586497D01* +X262871107Y-121598893D01* +X262883503Y-121612571D01* +X262894499Y-121627397D01* +X262903989Y-121643230D01* +X262911882Y-121659917D01* +X262918100Y-121677297D01* +X262922586Y-121695204D01* +X262925294Y-121713463D01* +X262926200Y-121731900D01* +X262926200Y-122108100D01* +X262925294Y-122126537D01* +X262922586Y-122144796D01* +X262918100Y-122162703D01* +X262911882Y-122180083D01* +X262903989Y-122196770D01* +X262894499Y-122212603D01* +X262883503Y-122227429D01* +X262871107Y-122241107D01* +X262857429Y-122253503D01* +X262842603Y-122264499D01* +X262826770Y-122273989D01* +X262810083Y-122281882D01* +X262792703Y-122288100D01* +X262774796Y-122292586D01* +X262756537Y-122295294D01* +X262738100Y-122296200D01* +X261261900Y-122296200D01* +X261243463Y-122295294D01* +X261225204Y-122292586D01* +X261207297Y-122288100D01* +X261189917Y-122281882D01* +X261173230Y-122273989D01* +X261157397Y-122264499D01* +X261142571Y-122253503D01* +X261128893Y-122241107D01* +X261116497Y-122227429D01* +X261105501Y-122212603D01* +X261096011Y-122196770D01* +X261088118Y-122180083D01* +X261081900Y-122162703D01* +X261077414Y-122144796D01* +X261074706Y-122126537D01* +X261073800Y-122108100D01* +X261073800Y-121731900D01* +X261074706Y-121713463D01* +X261077414Y-121695204D01* +X261081900Y-121677297D01* +X261088118Y-121659917D01* +X261096011Y-121643230D01* +X261105501Y-121627397D01* +X261116497Y-121612571D01* +X261128893Y-121598893D01* +X261142571Y-121586497D01* +X261157397Y-121575501D01* +X261173230Y-121566011D01* +X261189917Y-121558118D01* +X261207297Y-121551900D01* +X261225204Y-121547414D01* +X261243463Y-121544706D01* +X261261900Y-121543800D01* +X262738100Y-121543800D01* +X262756537Y-121544706D01* +X262756537Y-121544706D01* +G37* +D18* +X262000000Y-121920000D03* +D15* +G36* +X262756537Y-122814706D02* +G01* +X262774796Y-122817414D01* +X262792703Y-122821900D01* +X262810083Y-122828118D01* +X262826770Y-122836011D01* +X262842603Y-122845501D01* +X262857429Y-122856497D01* +X262871107Y-122868893D01* +X262883503Y-122882571D01* +X262894499Y-122897397D01* +X262903989Y-122913230D01* +X262911882Y-122929917D01* +X262918100Y-122947297D01* +X262922586Y-122965204D01* +X262925294Y-122983463D01* +X262926200Y-123001900D01* +X262926200Y-123378100D01* +X262925294Y-123396537D01* +X262922586Y-123414796D01* +X262918100Y-123432703D01* +X262911882Y-123450083D01* +X262903989Y-123466770D01* +X262894499Y-123482603D01* +X262883503Y-123497429D01* +X262871107Y-123511107D01* +X262857429Y-123523503D01* +X262842603Y-123534499D01* +X262826770Y-123543989D01* +X262810083Y-123551882D01* +X262792703Y-123558100D01* +X262774796Y-123562586D01* +X262756537Y-123565294D01* +X262738100Y-123566200D01* +X261261900Y-123566200D01* +X261243463Y-123565294D01* +X261225204Y-123562586D01* +X261207297Y-123558100D01* +X261189917Y-123551882D01* +X261173230Y-123543989D01* +X261157397Y-123534499D01* +X261142571Y-123523503D01* +X261128893Y-123511107D01* +X261116497Y-123497429D01* +X261105501Y-123482603D01* +X261096011Y-123466770D01* +X261088118Y-123450083D01* +X261081900Y-123432703D01* +X261077414Y-123414796D01* +X261074706Y-123396537D01* +X261073800Y-123378100D01* +X261073800Y-123001900D01* +X261074706Y-122983463D01* +X261077414Y-122965204D01* +X261081900Y-122947297D01* +X261088118Y-122929917D01* +X261096011Y-122913230D01* +X261105501Y-122897397D01* +X261116497Y-122882571D01* +X261128893Y-122868893D01* +X261142571Y-122856497D01* +X261157397Y-122845501D01* +X261173230Y-122836011D01* +X261189917Y-122828118D01* +X261207297Y-122821900D01* +X261225204Y-122817414D01* +X261243463Y-122814706D01* +X261261900Y-122813800D01* +X262738100Y-122813800D01* +X262756537Y-122814706D01* +X262756537Y-122814706D01* +G37* +D18* +X262000000Y-123190000D03* +D15* +G36* +X262756537Y-124084706D02* +G01* +X262774796Y-124087414D01* +X262792703Y-124091900D01* +X262810083Y-124098118D01* +X262826770Y-124106011D01* +X262842603Y-124115501D01* +X262857429Y-124126497D01* +X262871107Y-124138893D01* +X262883503Y-124152571D01* +X262894499Y-124167397D01* +X262903989Y-124183230D01* +X262911882Y-124199917D01* +X262918100Y-124217297D01* +X262922586Y-124235204D01* +X262925294Y-124253463D01* +X262926200Y-124271900D01* +X262926200Y-124648100D01* +X262925294Y-124666537D01* +X262922586Y-124684796D01* +X262918100Y-124702703D01* +X262911882Y-124720083D01* +X262903989Y-124736770D01* +X262894499Y-124752603D01* +X262883503Y-124767429D01* +X262871107Y-124781107D01* +X262857429Y-124793503D01* +X262842603Y-124804499D01* +X262826770Y-124813989D01* +X262810083Y-124821882D01* +X262792703Y-124828100D01* +X262774796Y-124832586D01* +X262756537Y-124835294D01* +X262738100Y-124836200D01* +X261261900Y-124836200D01* +X261243463Y-124835294D01* +X261225204Y-124832586D01* +X261207297Y-124828100D01* +X261189917Y-124821882D01* +X261173230Y-124813989D01* +X261157397Y-124804499D01* +X261142571Y-124793503D01* +X261128893Y-124781107D01* +X261116497Y-124767429D01* +X261105501Y-124752603D01* +X261096011Y-124736770D01* +X261088118Y-124720083D01* +X261081900Y-124702703D01* +X261077414Y-124684796D01* +X261074706Y-124666537D01* +X261073800Y-124648100D01* +X261073800Y-124271900D01* +X261074706Y-124253463D01* +X261077414Y-124235204D01* +X261081900Y-124217297D01* +X261088118Y-124199917D01* +X261096011Y-124183230D01* +X261105501Y-124167397D01* +X261116497Y-124152571D01* +X261128893Y-124138893D01* +X261142571Y-124126497D01* +X261157397Y-124115501D01* +X261173230Y-124106011D01* +X261189917Y-124098118D01* +X261207297Y-124091900D01* +X261225204Y-124087414D01* +X261243463Y-124084706D01* +X261261900Y-124083800D01* +X262738100Y-124083800D01* +X262756537Y-124084706D01* +X262756537Y-124084706D01* +G37* +D18* +X262000000Y-124460000D03* +D15* +G36* +X260556537Y-125184706D02* +G01* +X260574796Y-125187414D01* +X260592703Y-125191900D01* +X260610083Y-125198118D01* +X260626770Y-125206011D01* +X260642603Y-125215501D01* +X260657429Y-125226497D01* +X260671107Y-125238893D01* +X260683503Y-125252571D01* +X260694499Y-125267397D01* +X260703989Y-125283230D01* +X260711882Y-125299917D01* +X260718100Y-125317297D01* +X260722586Y-125335204D01* +X260725294Y-125353463D01* +X260726200Y-125371900D01* +X260726200Y-126848100D01* +X260725294Y-126866537D01* +X260722586Y-126884796D01* +X260718100Y-126902703D01* +X260711882Y-126920083D01* +X260703989Y-126936770D01* +X260694499Y-126952603D01* +X260683503Y-126967429D01* +X260671107Y-126981107D01* +X260657429Y-126993503D01* +X260642603Y-127004499D01* +X260626770Y-127013989D01* +X260610083Y-127021882D01* +X260592703Y-127028100D01* +X260574796Y-127032586D01* +X260556537Y-127035294D01* +X260538100Y-127036200D01* +X260161900Y-127036200D01* +X260143463Y-127035294D01* +X260125204Y-127032586D01* +X260107297Y-127028100D01* +X260089917Y-127021882D01* +X260073230Y-127013989D01* +X260057397Y-127004499D01* +X260042571Y-126993503D01* +X260028893Y-126981107D01* +X260016497Y-126967429D01* +X260005501Y-126952603D01* +X259996011Y-126936770D01* +X259988118Y-126920083D01* +X259981900Y-126902703D01* +X259977414Y-126884796D01* +X259974706Y-126866537D01* +X259973800Y-126848100D01* +X259973800Y-125371900D01* +X259974706Y-125353463D01* +X259977414Y-125335204D01* +X259981900Y-125317297D01* +X259988118Y-125299917D01* +X259996011Y-125283230D01* +X260005501Y-125267397D01* +X260016497Y-125252571D01* +X260028893Y-125238893D01* +X260042571Y-125226497D01* +X260057397Y-125215501D01* +X260073230Y-125206011D01* +X260089917Y-125198118D01* +X260107297Y-125191900D01* +X260125204Y-125187414D01* +X260143463Y-125184706D01* +X260161900Y-125183800D01* +X260538100Y-125183800D01* +X260556537Y-125184706D01* +X260556537Y-125184706D01* +G37* +D18* +X260350000Y-126110000D03* +D15* +G36* +X259286537Y-125184706D02* +G01* +X259304796Y-125187414D01* +X259322703Y-125191900D01* +X259340083Y-125198118D01* +X259356770Y-125206011D01* +X259372603Y-125215501D01* +X259387429Y-125226497D01* +X259401107Y-125238893D01* +X259413503Y-125252571D01* +X259424499Y-125267397D01* +X259433989Y-125283230D01* +X259441882Y-125299917D01* +X259448100Y-125317297D01* +X259452586Y-125335204D01* +X259455294Y-125353463D01* +X259456200Y-125371900D01* +X259456200Y-126848100D01* +X259455294Y-126866537D01* +X259452586Y-126884796D01* +X259448100Y-126902703D01* +X259441882Y-126920083D01* +X259433989Y-126936770D01* +X259424499Y-126952603D01* +X259413503Y-126967429D01* +X259401107Y-126981107D01* +X259387429Y-126993503D01* +X259372603Y-127004499D01* +X259356770Y-127013989D01* +X259340083Y-127021882D01* +X259322703Y-127028100D01* +X259304796Y-127032586D01* +X259286537Y-127035294D01* +X259268100Y-127036200D01* +X258891900Y-127036200D01* +X258873463Y-127035294D01* +X258855204Y-127032586D01* +X258837297Y-127028100D01* +X258819917Y-127021882D01* +X258803230Y-127013989D01* +X258787397Y-127004499D01* +X258772571Y-126993503D01* +X258758893Y-126981107D01* +X258746497Y-126967429D01* +X258735501Y-126952603D01* +X258726011Y-126936770D01* +X258718118Y-126920083D01* +X258711900Y-126902703D01* +X258707414Y-126884796D01* +X258704706Y-126866537D01* +X258703800Y-126848100D01* +X258703800Y-125371900D01* +X258704706Y-125353463D01* +X258707414Y-125335204D01* +X258711900Y-125317297D01* +X258718118Y-125299917D01* +X258726011Y-125283230D01* +X258735501Y-125267397D01* +X258746497Y-125252571D01* +X258758893Y-125238893D01* +X258772571Y-125226497D01* +X258787397Y-125215501D01* +X258803230Y-125206011D01* +X258819917Y-125198118D01* +X258837297Y-125191900D01* +X258855204Y-125187414D01* +X258873463Y-125184706D01* +X258891900Y-125183800D01* +X259268100Y-125183800D01* +X259286537Y-125184706D01* +X259286537Y-125184706D01* +G37* +D18* +X259080000Y-126110000D03* +D15* +G36* +X258016537Y-125184706D02* +G01* +X258034796Y-125187414D01* +X258052703Y-125191900D01* +X258070083Y-125198118D01* +X258086770Y-125206011D01* +X258102603Y-125215501D01* +X258117429Y-125226497D01* +X258131107Y-125238893D01* +X258143503Y-125252571D01* +X258154499Y-125267397D01* +X258163989Y-125283230D01* +X258171882Y-125299917D01* +X258178100Y-125317297D01* +X258182586Y-125335204D01* +X258185294Y-125353463D01* +X258186200Y-125371900D01* +X258186200Y-126848100D01* +X258185294Y-126866537D01* +X258182586Y-126884796D01* +X258178100Y-126902703D01* +X258171882Y-126920083D01* +X258163989Y-126936770D01* +X258154499Y-126952603D01* +X258143503Y-126967429D01* +X258131107Y-126981107D01* +X258117429Y-126993503D01* +X258102603Y-127004499D01* +X258086770Y-127013989D01* +X258070083Y-127021882D01* +X258052703Y-127028100D01* +X258034796Y-127032586D01* +X258016537Y-127035294D01* +X257998100Y-127036200D01* +X257621900Y-127036200D01* +X257603463Y-127035294D01* +X257585204Y-127032586D01* +X257567297Y-127028100D01* +X257549917Y-127021882D01* +X257533230Y-127013989D01* +X257517397Y-127004499D01* +X257502571Y-126993503D01* +X257488893Y-126981107D01* +X257476497Y-126967429D01* +X257465501Y-126952603D01* +X257456011Y-126936770D01* +X257448118Y-126920083D01* +X257441900Y-126902703D01* +X257437414Y-126884796D01* +X257434706Y-126866537D01* +X257433800Y-126848100D01* +X257433800Y-125371900D01* +X257434706Y-125353463D01* +X257437414Y-125335204D01* +X257441900Y-125317297D01* +X257448118Y-125299917D01* +X257456011Y-125283230D01* +X257465501Y-125267397D01* +X257476497Y-125252571D01* +X257488893Y-125238893D01* +X257502571Y-125226497D01* +X257517397Y-125215501D01* +X257533230Y-125206011D01* +X257549917Y-125198118D01* +X257567297Y-125191900D01* +X257585204Y-125187414D01* +X257603463Y-125184706D01* +X257621900Y-125183800D01* +X257998100Y-125183800D01* +X258016537Y-125184706D01* +X258016537Y-125184706D01* +G37* +D18* +X257810000Y-126110000D03* +D15* +G36* +X256746537Y-125184706D02* +G01* +X256764796Y-125187414D01* +X256782703Y-125191900D01* +X256800083Y-125198118D01* +X256816770Y-125206011D01* +X256832603Y-125215501D01* +X256847429Y-125226497D01* +X256861107Y-125238893D01* +X256873503Y-125252571D01* +X256884499Y-125267397D01* +X256893989Y-125283230D01* +X256901882Y-125299917D01* +X256908100Y-125317297D01* +X256912586Y-125335204D01* +X256915294Y-125353463D01* +X256916200Y-125371900D01* +X256916200Y-126848100D01* +X256915294Y-126866537D01* +X256912586Y-126884796D01* +X256908100Y-126902703D01* +X256901882Y-126920083D01* +X256893989Y-126936770D01* +X256884499Y-126952603D01* +X256873503Y-126967429D01* +X256861107Y-126981107D01* +X256847429Y-126993503D01* +X256832603Y-127004499D01* +X256816770Y-127013989D01* +X256800083Y-127021882D01* +X256782703Y-127028100D01* +X256764796Y-127032586D01* +X256746537Y-127035294D01* +X256728100Y-127036200D01* +X256351900Y-127036200D01* +X256333463Y-127035294D01* +X256315204Y-127032586D01* +X256297297Y-127028100D01* +X256279917Y-127021882D01* +X256263230Y-127013989D01* +X256247397Y-127004499D01* +X256232571Y-126993503D01* +X256218893Y-126981107D01* +X256206497Y-126967429D01* +X256195501Y-126952603D01* +X256186011Y-126936770D01* +X256178118Y-126920083D01* +X256171900Y-126902703D01* +X256167414Y-126884796D01* +X256164706Y-126866537D01* +X256163800Y-126848100D01* +X256163800Y-125371900D01* +X256164706Y-125353463D01* +X256167414Y-125335204D01* +X256171900Y-125317297D01* +X256178118Y-125299917D01* +X256186011Y-125283230D01* +X256195501Y-125267397D01* +X256206497Y-125252571D01* +X256218893Y-125238893D01* +X256232571Y-125226497D01* +X256247397Y-125215501D01* +X256263230Y-125206011D01* +X256279917Y-125198118D01* +X256297297Y-125191900D01* +X256315204Y-125187414D01* +X256333463Y-125184706D01* +X256351900Y-125183800D01* +X256728100Y-125183800D01* +X256746537Y-125184706D01* +X256746537Y-125184706D01* +G37* +D18* +X256540000Y-126110000D03* +D15* +G36* +X255476537Y-125184706D02* +G01* +X255494796Y-125187414D01* +X255512703Y-125191900D01* +X255530083Y-125198118D01* +X255546770Y-125206011D01* +X255562603Y-125215501D01* +X255577429Y-125226497D01* +X255591107Y-125238893D01* +X255603503Y-125252571D01* +X255614499Y-125267397D01* +X255623989Y-125283230D01* +X255631882Y-125299917D01* +X255638100Y-125317297D01* +X255642586Y-125335204D01* +X255645294Y-125353463D01* +X255646200Y-125371900D01* +X255646200Y-126848100D01* +X255645294Y-126866537D01* +X255642586Y-126884796D01* +X255638100Y-126902703D01* +X255631882Y-126920083D01* +X255623989Y-126936770D01* +X255614499Y-126952603D01* +X255603503Y-126967429D01* +X255591107Y-126981107D01* +X255577429Y-126993503D01* +X255562603Y-127004499D01* +X255546770Y-127013989D01* +X255530083Y-127021882D01* +X255512703Y-127028100D01* +X255494796Y-127032586D01* +X255476537Y-127035294D01* +X255458100Y-127036200D01* +X255081900Y-127036200D01* +X255063463Y-127035294D01* +X255045204Y-127032586D01* +X255027297Y-127028100D01* +X255009917Y-127021882D01* +X254993230Y-127013989D01* +X254977397Y-127004499D01* +X254962571Y-126993503D01* +X254948893Y-126981107D01* +X254936497Y-126967429D01* +X254925501Y-126952603D01* +X254916011Y-126936770D01* +X254908118Y-126920083D01* +X254901900Y-126902703D01* +X254897414Y-126884796D01* +X254894706Y-126866537D01* +X254893800Y-126848100D01* +X254893800Y-125371900D01* +X254894706Y-125353463D01* +X254897414Y-125335204D01* +X254901900Y-125317297D01* +X254908118Y-125299917D01* +X254916011Y-125283230D01* +X254925501Y-125267397D01* +X254936497Y-125252571D01* +X254948893Y-125238893D01* +X254962571Y-125226497D01* +X254977397Y-125215501D01* +X254993230Y-125206011D01* +X255009917Y-125198118D01* +X255027297Y-125191900D01* +X255045204Y-125187414D01* +X255063463Y-125184706D01* +X255081900Y-125183800D01* +X255458100Y-125183800D01* +X255476537Y-125184706D01* +X255476537Y-125184706D01* +G37* +D18* +X255270000Y-126110000D03* +D15* +G36* +X254206537Y-125184706D02* +G01* +X254224796Y-125187414D01* +X254242703Y-125191900D01* +X254260083Y-125198118D01* +X254276770Y-125206011D01* +X254292603Y-125215501D01* +X254307429Y-125226497D01* +X254321107Y-125238893D01* +X254333503Y-125252571D01* +X254344499Y-125267397D01* +X254353989Y-125283230D01* +X254361882Y-125299917D01* +X254368100Y-125317297D01* +X254372586Y-125335204D01* +X254375294Y-125353463D01* +X254376200Y-125371900D01* +X254376200Y-126848100D01* +X254375294Y-126866537D01* +X254372586Y-126884796D01* +X254368100Y-126902703D01* +X254361882Y-126920083D01* +X254353989Y-126936770D01* +X254344499Y-126952603D01* +X254333503Y-126967429D01* +X254321107Y-126981107D01* +X254307429Y-126993503D01* +X254292603Y-127004499D01* +X254276770Y-127013989D01* +X254260083Y-127021882D01* +X254242703Y-127028100D01* +X254224796Y-127032586D01* +X254206537Y-127035294D01* +X254188100Y-127036200D01* +X253811900Y-127036200D01* +X253793463Y-127035294D01* +X253775204Y-127032586D01* +X253757297Y-127028100D01* +X253739917Y-127021882D01* +X253723230Y-127013989D01* +X253707397Y-127004499D01* +X253692571Y-126993503D01* +X253678893Y-126981107D01* +X253666497Y-126967429D01* +X253655501Y-126952603D01* +X253646011Y-126936770D01* +X253638118Y-126920083D01* +X253631900Y-126902703D01* +X253627414Y-126884796D01* +X253624706Y-126866537D01* +X253623800Y-126848100D01* +X253623800Y-125371900D01* +X253624706Y-125353463D01* +X253627414Y-125335204D01* +X253631900Y-125317297D01* +X253638118Y-125299917D01* +X253646011Y-125283230D01* +X253655501Y-125267397D01* +X253666497Y-125252571D01* +X253678893Y-125238893D01* +X253692571Y-125226497D01* +X253707397Y-125215501D01* +X253723230Y-125206011D01* +X253739917Y-125198118D01* +X253757297Y-125191900D01* +X253775204Y-125187414D01* +X253793463Y-125184706D01* +X253811900Y-125183800D01* +X254188100Y-125183800D01* +X254206537Y-125184706D01* +X254206537Y-125184706D01* +G37* +D18* +X254000000Y-126110000D03* +D15* +G36* +X252936537Y-125184706D02* +G01* +X252954796Y-125187414D01* +X252972703Y-125191900D01* +X252990083Y-125198118D01* +X253006770Y-125206011D01* +X253022603Y-125215501D01* +X253037429Y-125226497D01* +X253051107Y-125238893D01* +X253063503Y-125252571D01* +X253074499Y-125267397D01* +X253083989Y-125283230D01* +X253091882Y-125299917D01* +X253098100Y-125317297D01* +X253102586Y-125335204D01* +X253105294Y-125353463D01* +X253106200Y-125371900D01* +X253106200Y-126848100D01* +X253105294Y-126866537D01* +X253102586Y-126884796D01* +X253098100Y-126902703D01* +X253091882Y-126920083D01* +X253083989Y-126936770D01* +X253074499Y-126952603D01* +X253063503Y-126967429D01* +X253051107Y-126981107D01* +X253037429Y-126993503D01* +X253022603Y-127004499D01* +X253006770Y-127013989D01* +X252990083Y-127021882D01* +X252972703Y-127028100D01* +X252954796Y-127032586D01* +X252936537Y-127035294D01* +X252918100Y-127036200D01* +X252541900Y-127036200D01* +X252523463Y-127035294D01* +X252505204Y-127032586D01* +X252487297Y-127028100D01* +X252469917Y-127021882D01* +X252453230Y-127013989D01* +X252437397Y-127004499D01* +X252422571Y-126993503D01* +X252408893Y-126981107D01* +X252396497Y-126967429D01* +X252385501Y-126952603D01* +X252376011Y-126936770D01* +X252368118Y-126920083D01* +X252361900Y-126902703D01* +X252357414Y-126884796D01* +X252354706Y-126866537D01* +X252353800Y-126848100D01* +X252353800Y-125371900D01* +X252354706Y-125353463D01* +X252357414Y-125335204D01* +X252361900Y-125317297D01* +X252368118Y-125299917D01* +X252376011Y-125283230D01* +X252385501Y-125267397D01* +X252396497Y-125252571D01* +X252408893Y-125238893D01* +X252422571Y-125226497D01* +X252437397Y-125215501D01* +X252453230Y-125206011D01* +X252469917Y-125198118D01* +X252487297Y-125191900D01* +X252505204Y-125187414D01* +X252523463Y-125184706D01* +X252541900Y-125183800D01* +X252918100Y-125183800D01* +X252936537Y-125184706D01* +X252936537Y-125184706D01* +G37* +D18* +X252730000Y-126110000D03* +D15* +G36* +X251666537Y-125184706D02* +G01* +X251684796Y-125187414D01* +X251702703Y-125191900D01* +X251720083Y-125198118D01* +X251736770Y-125206011D01* +X251752603Y-125215501D01* +X251767429Y-125226497D01* +X251781107Y-125238893D01* +X251793503Y-125252571D01* +X251804499Y-125267397D01* +X251813989Y-125283230D01* +X251821882Y-125299917D01* +X251828100Y-125317297D01* +X251832586Y-125335204D01* +X251835294Y-125353463D01* +X251836200Y-125371900D01* +X251836200Y-126848100D01* +X251835294Y-126866537D01* +X251832586Y-126884796D01* +X251828100Y-126902703D01* +X251821882Y-126920083D01* +X251813989Y-126936770D01* +X251804499Y-126952603D01* +X251793503Y-126967429D01* +X251781107Y-126981107D01* +X251767429Y-126993503D01* +X251752603Y-127004499D01* +X251736770Y-127013989D01* +X251720083Y-127021882D01* +X251702703Y-127028100D01* +X251684796Y-127032586D01* +X251666537Y-127035294D01* +X251648100Y-127036200D01* +X251271900Y-127036200D01* +X251253463Y-127035294D01* +X251235204Y-127032586D01* +X251217297Y-127028100D01* +X251199917Y-127021882D01* +X251183230Y-127013989D01* +X251167397Y-127004499D01* +X251152571Y-126993503D01* +X251138893Y-126981107D01* +X251126497Y-126967429D01* +X251115501Y-126952603D01* +X251106011Y-126936770D01* +X251098118Y-126920083D01* +X251091900Y-126902703D01* +X251087414Y-126884796D01* +X251084706Y-126866537D01* +X251083800Y-126848100D01* +X251083800Y-125371900D01* +X251084706Y-125353463D01* +X251087414Y-125335204D01* +X251091900Y-125317297D01* +X251098118Y-125299917D01* +X251106011Y-125283230D01* +X251115501Y-125267397D01* +X251126497Y-125252571D01* +X251138893Y-125238893D01* +X251152571Y-125226497D01* +X251167397Y-125215501D01* +X251183230Y-125206011D01* +X251199917Y-125198118D01* +X251217297Y-125191900D01* +X251235204Y-125187414D01* +X251253463Y-125184706D01* +X251271900Y-125183800D01* +X251648100Y-125183800D01* +X251666537Y-125184706D01* +X251666537Y-125184706D01* +G37* +D18* +X251460000Y-126110000D03* +D15* +G36* +X250396537Y-125184706D02* +G01* +X250414796Y-125187414D01* +X250432703Y-125191900D01* +X250450083Y-125198118D01* +X250466770Y-125206011D01* +X250482603Y-125215501D01* +X250497429Y-125226497D01* +X250511107Y-125238893D01* +X250523503Y-125252571D01* +X250534499Y-125267397D01* +X250543989Y-125283230D01* +X250551882Y-125299917D01* +X250558100Y-125317297D01* +X250562586Y-125335204D01* +X250565294Y-125353463D01* +X250566200Y-125371900D01* +X250566200Y-126848100D01* +X250565294Y-126866537D01* +X250562586Y-126884796D01* +X250558100Y-126902703D01* +X250551882Y-126920083D01* +X250543989Y-126936770D01* +X250534499Y-126952603D01* +X250523503Y-126967429D01* +X250511107Y-126981107D01* +X250497429Y-126993503D01* +X250482603Y-127004499D01* +X250466770Y-127013989D01* +X250450083Y-127021882D01* +X250432703Y-127028100D01* +X250414796Y-127032586D01* +X250396537Y-127035294D01* +X250378100Y-127036200D01* +X250001900Y-127036200D01* +X249983463Y-127035294D01* +X249965204Y-127032586D01* +X249947297Y-127028100D01* +X249929917Y-127021882D01* +X249913230Y-127013989D01* +X249897397Y-127004499D01* +X249882571Y-126993503D01* +X249868893Y-126981107D01* +X249856497Y-126967429D01* +X249845501Y-126952603D01* +X249836011Y-126936770D01* +X249828118Y-126920083D01* +X249821900Y-126902703D01* +X249817414Y-126884796D01* +X249814706Y-126866537D01* +X249813800Y-126848100D01* +X249813800Y-125371900D01* +X249814706Y-125353463D01* +X249817414Y-125335204D01* +X249821900Y-125317297D01* +X249828118Y-125299917D01* +X249836011Y-125283230D01* +X249845501Y-125267397D01* +X249856497Y-125252571D01* +X249868893Y-125238893D01* +X249882571Y-125226497D01* +X249897397Y-125215501D01* +X249913230Y-125206011D01* +X249929917Y-125198118D01* +X249947297Y-125191900D01* +X249965204Y-125187414D01* +X249983463Y-125184706D01* +X250001900Y-125183800D01* +X250378100Y-125183800D01* +X250396537Y-125184706D01* +X250396537Y-125184706D01* +G37* +D18* +X250190000Y-126110000D03* +D15* +G36* +X249126537Y-125184706D02* +G01* +X249144796Y-125187414D01* +X249162703Y-125191900D01* +X249180083Y-125198118D01* +X249196770Y-125206011D01* +X249212603Y-125215501D01* +X249227429Y-125226497D01* +X249241107Y-125238893D01* +X249253503Y-125252571D01* +X249264499Y-125267397D01* +X249273989Y-125283230D01* +X249281882Y-125299917D01* +X249288100Y-125317297D01* +X249292586Y-125335204D01* +X249295294Y-125353463D01* +X249296200Y-125371900D01* +X249296200Y-126848100D01* +X249295294Y-126866537D01* +X249292586Y-126884796D01* +X249288100Y-126902703D01* +X249281882Y-126920083D01* +X249273989Y-126936770D01* +X249264499Y-126952603D01* +X249253503Y-126967429D01* +X249241107Y-126981107D01* +X249227429Y-126993503D01* +X249212603Y-127004499D01* +X249196770Y-127013989D01* +X249180083Y-127021882D01* +X249162703Y-127028100D01* +X249144796Y-127032586D01* +X249126537Y-127035294D01* +X249108100Y-127036200D01* +X248731900Y-127036200D01* +X248713463Y-127035294D01* +X248695204Y-127032586D01* +X248677297Y-127028100D01* +X248659917Y-127021882D01* +X248643230Y-127013989D01* +X248627397Y-127004499D01* +X248612571Y-126993503D01* +X248598893Y-126981107D01* +X248586497Y-126967429D01* +X248575501Y-126952603D01* +X248566011Y-126936770D01* +X248558118Y-126920083D01* +X248551900Y-126902703D01* +X248547414Y-126884796D01* +X248544706Y-126866537D01* +X248543800Y-126848100D01* +X248543800Y-125371900D01* +X248544706Y-125353463D01* +X248547414Y-125335204D01* +X248551900Y-125317297D01* +X248558118Y-125299917D01* +X248566011Y-125283230D01* +X248575501Y-125267397D01* +X248586497Y-125252571D01* +X248598893Y-125238893D01* +X248612571Y-125226497D01* +X248627397Y-125215501D01* +X248643230Y-125206011D01* +X248659917Y-125198118D01* +X248677297Y-125191900D01* +X248695204Y-125187414D01* +X248713463Y-125184706D01* +X248731900Y-125183800D01* +X249108100Y-125183800D01* +X249126537Y-125184706D01* +X249126537Y-125184706D01* +G37* +D18* +X248920000Y-126110000D03* +D15* +G36* +X247856537Y-125184706D02* +G01* +X247874796Y-125187414D01* +X247892703Y-125191900D01* +X247910083Y-125198118D01* +X247926770Y-125206011D01* +X247942603Y-125215501D01* +X247957429Y-125226497D01* +X247971107Y-125238893D01* +X247983503Y-125252571D01* +X247994499Y-125267397D01* +X248003989Y-125283230D01* +X248011882Y-125299917D01* +X248018100Y-125317297D01* +X248022586Y-125335204D01* +X248025294Y-125353463D01* +X248026200Y-125371900D01* +X248026200Y-126848100D01* +X248025294Y-126866537D01* +X248022586Y-126884796D01* +X248018100Y-126902703D01* +X248011882Y-126920083D01* +X248003989Y-126936770D01* +X247994499Y-126952603D01* +X247983503Y-126967429D01* +X247971107Y-126981107D01* +X247957429Y-126993503D01* +X247942603Y-127004499D01* +X247926770Y-127013989D01* +X247910083Y-127021882D01* +X247892703Y-127028100D01* +X247874796Y-127032586D01* +X247856537Y-127035294D01* +X247838100Y-127036200D01* +X247461900Y-127036200D01* +X247443463Y-127035294D01* +X247425204Y-127032586D01* +X247407297Y-127028100D01* +X247389917Y-127021882D01* +X247373230Y-127013989D01* +X247357397Y-127004499D01* +X247342571Y-126993503D01* +X247328893Y-126981107D01* +X247316497Y-126967429D01* +X247305501Y-126952603D01* +X247296011Y-126936770D01* +X247288118Y-126920083D01* +X247281900Y-126902703D01* +X247277414Y-126884796D01* +X247274706Y-126866537D01* +X247273800Y-126848100D01* +X247273800Y-125371900D01* +X247274706Y-125353463D01* +X247277414Y-125335204D01* +X247281900Y-125317297D01* +X247288118Y-125299917D01* +X247296011Y-125283230D01* +X247305501Y-125267397D01* +X247316497Y-125252571D01* +X247328893Y-125238893D01* +X247342571Y-125226497D01* +X247357397Y-125215501D01* +X247373230Y-125206011D01* +X247389917Y-125198118D01* +X247407297Y-125191900D01* +X247425204Y-125187414D01* +X247443463Y-125184706D01* +X247461900Y-125183800D01* +X247838100Y-125183800D01* +X247856537Y-125184706D01* +X247856537Y-125184706D01* +G37* +D18* +X247650000Y-126110000D03* +D15* +G36* +X246586537Y-125184706D02* +G01* +X246604796Y-125187414D01* +X246622703Y-125191900D01* +X246640083Y-125198118D01* +X246656770Y-125206011D01* +X246672603Y-125215501D01* +X246687429Y-125226497D01* +X246701107Y-125238893D01* +X246713503Y-125252571D01* +X246724499Y-125267397D01* +X246733989Y-125283230D01* +X246741882Y-125299917D01* +X246748100Y-125317297D01* +X246752586Y-125335204D01* +X246755294Y-125353463D01* +X246756200Y-125371900D01* +X246756200Y-126848100D01* +X246755294Y-126866537D01* +X246752586Y-126884796D01* +X246748100Y-126902703D01* +X246741882Y-126920083D01* +X246733989Y-126936770D01* +X246724499Y-126952603D01* +X246713503Y-126967429D01* +X246701107Y-126981107D01* +X246687429Y-126993503D01* +X246672603Y-127004499D01* +X246656770Y-127013989D01* +X246640083Y-127021882D01* +X246622703Y-127028100D01* +X246604796Y-127032586D01* +X246586537Y-127035294D01* +X246568100Y-127036200D01* +X246191900Y-127036200D01* +X246173463Y-127035294D01* +X246155204Y-127032586D01* +X246137297Y-127028100D01* +X246119917Y-127021882D01* +X246103230Y-127013989D01* +X246087397Y-127004499D01* +X246072571Y-126993503D01* +X246058893Y-126981107D01* +X246046497Y-126967429D01* +X246035501Y-126952603D01* +X246026011Y-126936770D01* +X246018118Y-126920083D01* +X246011900Y-126902703D01* +X246007414Y-126884796D01* +X246004706Y-126866537D01* +X246003800Y-126848100D01* +X246003800Y-125371900D01* +X246004706Y-125353463D01* +X246007414Y-125335204D01* +X246011900Y-125317297D01* +X246018118Y-125299917D01* +X246026011Y-125283230D01* +X246035501Y-125267397D01* +X246046497Y-125252571D01* +X246058893Y-125238893D01* +X246072571Y-125226497D01* +X246087397Y-125215501D01* +X246103230Y-125206011D01* +X246119917Y-125198118D01* +X246137297Y-125191900D01* +X246155204Y-125187414D01* +X246173463Y-125184706D01* +X246191900Y-125183800D01* +X246568100Y-125183800D01* +X246586537Y-125184706D01* +X246586537Y-125184706D01* +G37* +D18* +X246380000Y-126110000D03* +D15* +G36* +X245316537Y-125184706D02* +G01* +X245334796Y-125187414D01* +X245352703Y-125191900D01* +X245370083Y-125198118D01* +X245386770Y-125206011D01* +X245402603Y-125215501D01* +X245417429Y-125226497D01* +X245431107Y-125238893D01* +X245443503Y-125252571D01* +X245454499Y-125267397D01* +X245463989Y-125283230D01* +X245471882Y-125299917D01* +X245478100Y-125317297D01* +X245482586Y-125335204D01* +X245485294Y-125353463D01* +X245486200Y-125371900D01* +X245486200Y-126848100D01* +X245485294Y-126866537D01* +X245482586Y-126884796D01* +X245478100Y-126902703D01* +X245471882Y-126920083D01* +X245463989Y-126936770D01* +X245454499Y-126952603D01* +X245443503Y-126967429D01* +X245431107Y-126981107D01* +X245417429Y-126993503D01* +X245402603Y-127004499D01* +X245386770Y-127013989D01* +X245370083Y-127021882D01* +X245352703Y-127028100D01* +X245334796Y-127032586D01* +X245316537Y-127035294D01* +X245298100Y-127036200D01* +X244921900Y-127036200D01* +X244903463Y-127035294D01* +X244885204Y-127032586D01* +X244867297Y-127028100D01* +X244849917Y-127021882D01* +X244833230Y-127013989D01* +X244817397Y-127004499D01* +X244802571Y-126993503D01* +X244788893Y-126981107D01* +X244776497Y-126967429D01* +X244765501Y-126952603D01* +X244756011Y-126936770D01* +X244748118Y-126920083D01* +X244741900Y-126902703D01* +X244737414Y-126884796D01* +X244734706Y-126866537D01* +X244733800Y-126848100D01* +X244733800Y-125371900D01* +X244734706Y-125353463D01* +X244737414Y-125335204D01* +X244741900Y-125317297D01* +X244748118Y-125299917D01* +X244756011Y-125283230D01* +X244765501Y-125267397D01* +X244776497Y-125252571D01* +X244788893Y-125238893D01* +X244802571Y-125226497D01* +X244817397Y-125215501D01* +X244833230Y-125206011D01* +X244849917Y-125198118D01* +X244867297Y-125191900D01* +X244885204Y-125187414D01* +X244903463Y-125184706D01* +X244921900Y-125183800D01* +X245298100Y-125183800D01* +X245316537Y-125184706D01* +X245316537Y-125184706D01* +G37* +D18* +X245110000Y-126110000D03* +D15* +G36* +X244046537Y-125184706D02* +G01* +X244064796Y-125187414D01* +X244082703Y-125191900D01* +X244100083Y-125198118D01* +X244116770Y-125206011D01* +X244132603Y-125215501D01* +X244147429Y-125226497D01* +X244161107Y-125238893D01* +X244173503Y-125252571D01* +X244184499Y-125267397D01* +X244193989Y-125283230D01* +X244201882Y-125299917D01* +X244208100Y-125317297D01* +X244212586Y-125335204D01* +X244215294Y-125353463D01* +X244216200Y-125371900D01* +X244216200Y-126848100D01* +X244215294Y-126866537D01* +X244212586Y-126884796D01* +X244208100Y-126902703D01* +X244201882Y-126920083D01* +X244193989Y-126936770D01* +X244184499Y-126952603D01* +X244173503Y-126967429D01* +X244161107Y-126981107D01* +X244147429Y-126993503D01* +X244132603Y-127004499D01* +X244116770Y-127013989D01* +X244100083Y-127021882D01* +X244082703Y-127028100D01* +X244064796Y-127032586D01* +X244046537Y-127035294D01* +X244028100Y-127036200D01* +X243651900Y-127036200D01* +X243633463Y-127035294D01* +X243615204Y-127032586D01* +X243597297Y-127028100D01* +X243579917Y-127021882D01* +X243563230Y-127013989D01* +X243547397Y-127004499D01* +X243532571Y-126993503D01* +X243518893Y-126981107D01* +X243506497Y-126967429D01* +X243495501Y-126952603D01* +X243486011Y-126936770D01* +X243478118Y-126920083D01* +X243471900Y-126902703D01* +X243467414Y-126884796D01* +X243464706Y-126866537D01* +X243463800Y-126848100D01* +X243463800Y-125371900D01* +X243464706Y-125353463D01* +X243467414Y-125335204D01* +X243471900Y-125317297D01* +X243478118Y-125299917D01* +X243486011Y-125283230D01* +X243495501Y-125267397D01* +X243506497Y-125252571D01* +X243518893Y-125238893D01* +X243532571Y-125226497D01* +X243547397Y-125215501D01* +X243563230Y-125206011D01* +X243579917Y-125198118D01* +X243597297Y-125191900D01* +X243615204Y-125187414D01* +X243633463Y-125184706D01* +X243651900Y-125183800D01* +X244028100Y-125183800D01* +X244046537Y-125184706D01* +X244046537Y-125184706D01* +G37* +D18* +X243840000Y-126110000D03* +D15* +G36* +X242776537Y-125184706D02* +G01* +X242794796Y-125187414D01* +X242812703Y-125191900D01* +X242830083Y-125198118D01* +X242846770Y-125206011D01* +X242862603Y-125215501D01* +X242877429Y-125226497D01* +X242891107Y-125238893D01* +X242903503Y-125252571D01* +X242914499Y-125267397D01* +X242923989Y-125283230D01* +X242931882Y-125299917D01* +X242938100Y-125317297D01* +X242942586Y-125335204D01* +X242945294Y-125353463D01* +X242946200Y-125371900D01* +X242946200Y-126848100D01* +X242945294Y-126866537D01* +X242942586Y-126884796D01* +X242938100Y-126902703D01* +X242931882Y-126920083D01* +X242923989Y-126936770D01* +X242914499Y-126952603D01* +X242903503Y-126967429D01* +X242891107Y-126981107D01* +X242877429Y-126993503D01* +X242862603Y-127004499D01* +X242846770Y-127013989D01* +X242830083Y-127021882D01* +X242812703Y-127028100D01* +X242794796Y-127032586D01* +X242776537Y-127035294D01* +X242758100Y-127036200D01* +X242381900Y-127036200D01* +X242363463Y-127035294D01* +X242345204Y-127032586D01* +X242327297Y-127028100D01* +X242309917Y-127021882D01* +X242293230Y-127013989D01* +X242277397Y-127004499D01* +X242262571Y-126993503D01* +X242248893Y-126981107D01* +X242236497Y-126967429D01* +X242225501Y-126952603D01* +X242216011Y-126936770D01* +X242208118Y-126920083D01* +X242201900Y-126902703D01* +X242197414Y-126884796D01* +X242194706Y-126866537D01* +X242193800Y-126848100D01* +X242193800Y-125371900D01* +X242194706Y-125353463D01* +X242197414Y-125335204D01* +X242201900Y-125317297D01* +X242208118Y-125299917D01* +X242216011Y-125283230D01* +X242225501Y-125267397D01* +X242236497Y-125252571D01* +X242248893Y-125238893D01* +X242262571Y-125226497D01* +X242277397Y-125215501D01* +X242293230Y-125206011D01* +X242309917Y-125198118D01* +X242327297Y-125191900D01* +X242345204Y-125187414D01* +X242363463Y-125184706D01* +X242381900Y-125183800D01* +X242758100Y-125183800D01* +X242776537Y-125184706D01* +X242776537Y-125184706D01* +G37* +D18* +X242570000Y-126110000D03* +D15* +G36* +X241506537Y-125184706D02* +G01* +X241524796Y-125187414D01* +X241542703Y-125191900D01* +X241560083Y-125198118D01* +X241576770Y-125206011D01* +X241592603Y-125215501D01* +X241607429Y-125226497D01* +X241621107Y-125238893D01* +X241633503Y-125252571D01* +X241644499Y-125267397D01* +X241653989Y-125283230D01* +X241661882Y-125299917D01* +X241668100Y-125317297D01* +X241672586Y-125335204D01* +X241675294Y-125353463D01* +X241676200Y-125371900D01* +X241676200Y-126848100D01* +X241675294Y-126866537D01* +X241672586Y-126884796D01* +X241668100Y-126902703D01* +X241661882Y-126920083D01* +X241653989Y-126936770D01* +X241644499Y-126952603D01* +X241633503Y-126967429D01* +X241621107Y-126981107D01* +X241607429Y-126993503D01* +X241592603Y-127004499D01* +X241576770Y-127013989D01* +X241560083Y-127021882D01* +X241542703Y-127028100D01* +X241524796Y-127032586D01* +X241506537Y-127035294D01* +X241488100Y-127036200D01* +X241111900Y-127036200D01* +X241093463Y-127035294D01* +X241075204Y-127032586D01* +X241057297Y-127028100D01* +X241039917Y-127021882D01* +X241023230Y-127013989D01* +X241007397Y-127004499D01* +X240992571Y-126993503D01* +X240978893Y-126981107D01* +X240966497Y-126967429D01* +X240955501Y-126952603D01* +X240946011Y-126936770D01* +X240938118Y-126920083D01* +X240931900Y-126902703D01* +X240927414Y-126884796D01* +X240924706Y-126866537D01* +X240923800Y-126848100D01* +X240923800Y-125371900D01* +X240924706Y-125353463D01* +X240927414Y-125335204D01* +X240931900Y-125317297D01* +X240938118Y-125299917D01* +X240946011Y-125283230D01* +X240955501Y-125267397D01* +X240966497Y-125252571D01* +X240978893Y-125238893D01* +X240992571Y-125226497D01* +X241007397Y-125215501D01* +X241023230Y-125206011D01* +X241039917Y-125198118D01* +X241057297Y-125191900D01* +X241075204Y-125187414D01* +X241093463Y-125184706D01* +X241111900Y-125183800D01* +X241488100Y-125183800D01* +X241506537Y-125184706D01* +X241506537Y-125184706D01* +G37* +D18* +X241300000Y-126110000D03* +D15* +G36* +X240236537Y-125184706D02* +G01* +X240254796Y-125187414D01* +X240272703Y-125191900D01* +X240290083Y-125198118D01* +X240306770Y-125206011D01* +X240322603Y-125215501D01* +X240337429Y-125226497D01* +X240351107Y-125238893D01* +X240363503Y-125252571D01* +X240374499Y-125267397D01* +X240383989Y-125283230D01* +X240391882Y-125299917D01* +X240398100Y-125317297D01* +X240402586Y-125335204D01* +X240405294Y-125353463D01* +X240406200Y-125371900D01* +X240406200Y-126848100D01* +X240405294Y-126866537D01* +X240402586Y-126884796D01* +X240398100Y-126902703D01* +X240391882Y-126920083D01* +X240383989Y-126936770D01* +X240374499Y-126952603D01* +X240363503Y-126967429D01* +X240351107Y-126981107D01* +X240337429Y-126993503D01* +X240322603Y-127004499D01* +X240306770Y-127013989D01* +X240290083Y-127021882D01* +X240272703Y-127028100D01* +X240254796Y-127032586D01* +X240236537Y-127035294D01* +X240218100Y-127036200D01* +X239841900Y-127036200D01* +X239823463Y-127035294D01* +X239805204Y-127032586D01* +X239787297Y-127028100D01* +X239769917Y-127021882D01* +X239753230Y-127013989D01* +X239737397Y-127004499D01* +X239722571Y-126993503D01* +X239708893Y-126981107D01* +X239696497Y-126967429D01* +X239685501Y-126952603D01* +X239676011Y-126936770D01* +X239668118Y-126920083D01* +X239661900Y-126902703D01* +X239657414Y-126884796D01* +X239654706Y-126866537D01* +X239653800Y-126848100D01* +X239653800Y-125371900D01* +X239654706Y-125353463D01* +X239657414Y-125335204D01* +X239661900Y-125317297D01* +X239668118Y-125299917D01* +X239676011Y-125283230D01* +X239685501Y-125267397D01* +X239696497Y-125252571D01* +X239708893Y-125238893D01* +X239722571Y-125226497D01* +X239737397Y-125215501D01* +X239753230Y-125206011D01* +X239769917Y-125198118D01* +X239787297Y-125191900D01* +X239805204Y-125187414D01* +X239823463Y-125184706D01* +X239841900Y-125183800D01* +X240218100Y-125183800D01* +X240236537Y-125184706D01* +X240236537Y-125184706D01* +G37* +D18* +X240030000Y-126110000D03* +D15* +G36* +X238966537Y-125184706D02* +G01* +X238984796Y-125187414D01* +X239002703Y-125191900D01* +X239020083Y-125198118D01* +X239036770Y-125206011D01* +X239052603Y-125215501D01* +X239067429Y-125226497D01* +X239081107Y-125238893D01* +X239093503Y-125252571D01* +X239104499Y-125267397D01* +X239113989Y-125283230D01* +X239121882Y-125299917D01* +X239128100Y-125317297D01* +X239132586Y-125335204D01* +X239135294Y-125353463D01* +X239136200Y-125371900D01* +X239136200Y-126848100D01* +X239135294Y-126866537D01* +X239132586Y-126884796D01* +X239128100Y-126902703D01* +X239121882Y-126920083D01* +X239113989Y-126936770D01* +X239104499Y-126952603D01* +X239093503Y-126967429D01* +X239081107Y-126981107D01* +X239067429Y-126993503D01* +X239052603Y-127004499D01* +X239036770Y-127013989D01* +X239020083Y-127021882D01* +X239002703Y-127028100D01* +X238984796Y-127032586D01* +X238966537Y-127035294D01* +X238948100Y-127036200D01* +X238571900Y-127036200D01* +X238553463Y-127035294D01* +X238535204Y-127032586D01* +X238517297Y-127028100D01* +X238499917Y-127021882D01* +X238483230Y-127013989D01* +X238467397Y-127004499D01* +X238452571Y-126993503D01* +X238438893Y-126981107D01* +X238426497Y-126967429D01* +X238415501Y-126952603D01* +X238406011Y-126936770D01* +X238398118Y-126920083D01* +X238391900Y-126902703D01* +X238387414Y-126884796D01* +X238384706Y-126866537D01* +X238383800Y-126848100D01* +X238383800Y-125371900D01* +X238384706Y-125353463D01* +X238387414Y-125335204D01* +X238391900Y-125317297D01* +X238398118Y-125299917D01* +X238406011Y-125283230D01* +X238415501Y-125267397D01* +X238426497Y-125252571D01* +X238438893Y-125238893D01* +X238452571Y-125226497D01* +X238467397Y-125215501D01* +X238483230Y-125206011D01* +X238499917Y-125198118D01* +X238517297Y-125191900D01* +X238535204Y-125187414D01* +X238553463Y-125184706D01* +X238571900Y-125183800D01* +X238948100Y-125183800D01* +X238966537Y-125184706D01* +X238966537Y-125184706D01* +G37* +D18* +X238760000Y-126110000D03* +D15* +G36* +X237696537Y-125184706D02* +G01* +X237714796Y-125187414D01* +X237732703Y-125191900D01* +X237750083Y-125198118D01* +X237766770Y-125206011D01* +X237782603Y-125215501D01* +X237797429Y-125226497D01* +X237811107Y-125238893D01* +X237823503Y-125252571D01* +X237834499Y-125267397D01* +X237843989Y-125283230D01* +X237851882Y-125299917D01* +X237858100Y-125317297D01* +X237862586Y-125335204D01* +X237865294Y-125353463D01* +X237866200Y-125371900D01* +X237866200Y-126848100D01* +X237865294Y-126866537D01* +X237862586Y-126884796D01* +X237858100Y-126902703D01* +X237851882Y-126920083D01* +X237843989Y-126936770D01* +X237834499Y-126952603D01* +X237823503Y-126967429D01* +X237811107Y-126981107D01* +X237797429Y-126993503D01* +X237782603Y-127004499D01* +X237766770Y-127013989D01* +X237750083Y-127021882D01* +X237732703Y-127028100D01* +X237714796Y-127032586D01* +X237696537Y-127035294D01* +X237678100Y-127036200D01* +X237301900Y-127036200D01* +X237283463Y-127035294D01* +X237265204Y-127032586D01* +X237247297Y-127028100D01* +X237229917Y-127021882D01* +X237213230Y-127013989D01* +X237197397Y-127004499D01* +X237182571Y-126993503D01* +X237168893Y-126981107D01* +X237156497Y-126967429D01* +X237145501Y-126952603D01* +X237136011Y-126936770D01* +X237128118Y-126920083D01* +X237121900Y-126902703D01* +X237117414Y-126884796D01* +X237114706Y-126866537D01* +X237113800Y-126848100D01* +X237113800Y-125371900D01* +X237114706Y-125353463D01* +X237117414Y-125335204D01* +X237121900Y-125317297D01* +X237128118Y-125299917D01* +X237136011Y-125283230D01* +X237145501Y-125267397D01* +X237156497Y-125252571D01* +X237168893Y-125238893D01* +X237182571Y-125226497D01* +X237197397Y-125215501D01* +X237213230Y-125206011D01* +X237229917Y-125198118D01* +X237247297Y-125191900D01* +X237265204Y-125187414D01* +X237283463Y-125184706D01* +X237301900Y-125183800D01* +X237678100Y-125183800D01* +X237696537Y-125184706D01* +X237696537Y-125184706D01* +G37* +D18* +X237490000Y-126110000D03* +D15* +G36* +X236426537Y-125184706D02* +G01* +X236444796Y-125187414D01* +X236462703Y-125191900D01* +X236480083Y-125198118D01* +X236496770Y-125206011D01* +X236512603Y-125215501D01* +X236527429Y-125226497D01* +X236541107Y-125238893D01* +X236553503Y-125252571D01* +X236564499Y-125267397D01* +X236573989Y-125283230D01* +X236581882Y-125299917D01* +X236588100Y-125317297D01* +X236592586Y-125335204D01* +X236595294Y-125353463D01* +X236596200Y-125371900D01* +X236596200Y-126848100D01* +X236595294Y-126866537D01* +X236592586Y-126884796D01* +X236588100Y-126902703D01* +X236581882Y-126920083D01* +X236573989Y-126936770D01* +X236564499Y-126952603D01* +X236553503Y-126967429D01* +X236541107Y-126981107D01* +X236527429Y-126993503D01* +X236512603Y-127004499D01* +X236496770Y-127013989D01* +X236480083Y-127021882D01* +X236462703Y-127028100D01* +X236444796Y-127032586D01* +X236426537Y-127035294D01* +X236408100Y-127036200D01* +X236031900Y-127036200D01* +X236013463Y-127035294D01* +X235995204Y-127032586D01* +X235977297Y-127028100D01* +X235959917Y-127021882D01* +X235943230Y-127013989D01* +X235927397Y-127004499D01* +X235912571Y-126993503D01* +X235898893Y-126981107D01* +X235886497Y-126967429D01* +X235875501Y-126952603D01* +X235866011Y-126936770D01* +X235858118Y-126920083D01* +X235851900Y-126902703D01* +X235847414Y-126884796D01* +X235844706Y-126866537D01* +X235843800Y-126848100D01* +X235843800Y-125371900D01* +X235844706Y-125353463D01* +X235847414Y-125335204D01* +X235851900Y-125317297D01* +X235858118Y-125299917D01* +X235866011Y-125283230D01* +X235875501Y-125267397D01* +X235886497Y-125252571D01* +X235898893Y-125238893D01* +X235912571Y-125226497D01* +X235927397Y-125215501D01* +X235943230Y-125206011D01* +X235959917Y-125198118D01* +X235977297Y-125191900D01* +X235995204Y-125187414D01* +X236013463Y-125184706D01* +X236031900Y-125183800D01* +X236408100Y-125183800D01* +X236426537Y-125184706D01* +X236426537Y-125184706D01* +G37* +D18* +X236220000Y-126110000D03* +D15* +G36* +X235156537Y-125184706D02* +G01* +X235174796Y-125187414D01* +X235192703Y-125191900D01* +X235210083Y-125198118D01* +X235226770Y-125206011D01* +X235242603Y-125215501D01* +X235257429Y-125226497D01* +X235271107Y-125238893D01* +X235283503Y-125252571D01* +X235294499Y-125267397D01* +X235303989Y-125283230D01* +X235311882Y-125299917D01* +X235318100Y-125317297D01* +X235322586Y-125335204D01* +X235325294Y-125353463D01* +X235326200Y-125371900D01* +X235326200Y-126848100D01* +X235325294Y-126866537D01* +X235322586Y-126884796D01* +X235318100Y-126902703D01* +X235311882Y-126920083D01* +X235303989Y-126936770D01* +X235294499Y-126952603D01* +X235283503Y-126967429D01* +X235271107Y-126981107D01* +X235257429Y-126993503D01* +X235242603Y-127004499D01* +X235226770Y-127013989D01* +X235210083Y-127021882D01* +X235192703Y-127028100D01* +X235174796Y-127032586D01* +X235156537Y-127035294D01* +X235138100Y-127036200D01* +X234761900Y-127036200D01* +X234743463Y-127035294D01* +X234725204Y-127032586D01* +X234707297Y-127028100D01* +X234689917Y-127021882D01* +X234673230Y-127013989D01* +X234657397Y-127004499D01* +X234642571Y-126993503D01* +X234628893Y-126981107D01* +X234616497Y-126967429D01* +X234605501Y-126952603D01* +X234596011Y-126936770D01* +X234588118Y-126920083D01* +X234581900Y-126902703D01* +X234577414Y-126884796D01* +X234574706Y-126866537D01* +X234573800Y-126848100D01* +X234573800Y-125371900D01* +X234574706Y-125353463D01* +X234577414Y-125335204D01* +X234581900Y-125317297D01* +X234588118Y-125299917D01* +X234596011Y-125283230D01* +X234605501Y-125267397D01* +X234616497Y-125252571D01* +X234628893Y-125238893D01* +X234642571Y-125226497D01* +X234657397Y-125215501D01* +X234673230Y-125206011D01* +X234689917Y-125198118D01* +X234707297Y-125191900D01* +X234725204Y-125187414D01* +X234743463Y-125184706D01* +X234761900Y-125183800D01* +X235138100Y-125183800D01* +X235156537Y-125184706D01* +X235156537Y-125184706D01* +G37* +D18* +X234950000Y-126110000D03* +D15* +G36* +X234056537Y-124084706D02* +G01* +X234074796Y-124087414D01* +X234092703Y-124091900D01* +X234110083Y-124098118D01* +X234126770Y-124106011D01* +X234142603Y-124115501D01* +X234157429Y-124126497D01* +X234171107Y-124138893D01* +X234183503Y-124152571D01* +X234194499Y-124167397D01* +X234203989Y-124183230D01* +X234211882Y-124199917D01* +X234218100Y-124217297D01* +X234222586Y-124235204D01* +X234225294Y-124253463D01* +X234226200Y-124271900D01* +X234226200Y-124648100D01* +X234225294Y-124666537D01* +X234222586Y-124684796D01* +X234218100Y-124702703D01* +X234211882Y-124720083D01* +X234203989Y-124736770D01* +X234194499Y-124752603D01* +X234183503Y-124767429D01* +X234171107Y-124781107D01* +X234157429Y-124793503D01* +X234142603Y-124804499D01* +X234126770Y-124813989D01* +X234110083Y-124821882D01* +X234092703Y-124828100D01* +X234074796Y-124832586D01* +X234056537Y-124835294D01* +X234038100Y-124836200D01* +X232561900Y-124836200D01* +X232543463Y-124835294D01* +X232525204Y-124832586D01* +X232507297Y-124828100D01* +X232489917Y-124821882D01* +X232473230Y-124813989D01* +X232457397Y-124804499D01* +X232442571Y-124793503D01* +X232428893Y-124781107D01* +X232416497Y-124767429D01* +X232405501Y-124752603D01* +X232396011Y-124736770D01* +X232388118Y-124720083D01* +X232381900Y-124702703D01* +X232377414Y-124684796D01* +X232374706Y-124666537D01* +X232373800Y-124648100D01* +X232373800Y-124271900D01* +X232374706Y-124253463D01* +X232377414Y-124235204D01* +X232381900Y-124217297D01* +X232388118Y-124199917D01* +X232396011Y-124183230D01* +X232405501Y-124167397D01* +X232416497Y-124152571D01* +X232428893Y-124138893D01* +X232442571Y-124126497D01* +X232457397Y-124115501D01* +X232473230Y-124106011D01* +X232489917Y-124098118D01* +X232507297Y-124091900D01* +X232525204Y-124087414D01* +X232543463Y-124084706D01* +X232561900Y-124083800D01* +X234038100Y-124083800D01* +X234056537Y-124084706D01* +X234056537Y-124084706D01* +G37* +D18* +X233300000Y-124460000D03* +D15* +G36* +X234056537Y-122814706D02* +G01* +X234074796Y-122817414D01* +X234092703Y-122821900D01* +X234110083Y-122828118D01* +X234126770Y-122836011D01* +X234142603Y-122845501D01* +X234157429Y-122856497D01* +X234171107Y-122868893D01* +X234183503Y-122882571D01* +X234194499Y-122897397D01* +X234203989Y-122913230D01* +X234211882Y-122929917D01* +X234218100Y-122947297D01* +X234222586Y-122965204D01* +X234225294Y-122983463D01* +X234226200Y-123001900D01* +X234226200Y-123378100D01* +X234225294Y-123396537D01* +X234222586Y-123414796D01* +X234218100Y-123432703D01* +X234211882Y-123450083D01* +X234203989Y-123466770D01* +X234194499Y-123482603D01* +X234183503Y-123497429D01* +X234171107Y-123511107D01* +X234157429Y-123523503D01* +X234142603Y-123534499D01* +X234126770Y-123543989D01* +X234110083Y-123551882D01* +X234092703Y-123558100D01* +X234074796Y-123562586D01* +X234056537Y-123565294D01* +X234038100Y-123566200D01* +X232561900Y-123566200D01* +X232543463Y-123565294D01* +X232525204Y-123562586D01* +X232507297Y-123558100D01* +X232489917Y-123551882D01* +X232473230Y-123543989D01* +X232457397Y-123534499D01* +X232442571Y-123523503D01* +X232428893Y-123511107D01* +X232416497Y-123497429D01* +X232405501Y-123482603D01* +X232396011Y-123466770D01* +X232388118Y-123450083D01* +X232381900Y-123432703D01* +X232377414Y-123414796D01* +X232374706Y-123396537D01* +X232373800Y-123378100D01* +X232373800Y-123001900D01* +X232374706Y-122983463D01* +X232377414Y-122965204D01* +X232381900Y-122947297D01* +X232388118Y-122929917D01* +X232396011Y-122913230D01* +X232405501Y-122897397D01* +X232416497Y-122882571D01* +X232428893Y-122868893D01* +X232442571Y-122856497D01* +X232457397Y-122845501D01* +X232473230Y-122836011D01* +X232489917Y-122828118D01* +X232507297Y-122821900D01* +X232525204Y-122817414D01* +X232543463Y-122814706D01* +X232561900Y-122813800D01* +X234038100Y-122813800D01* +X234056537Y-122814706D01* +X234056537Y-122814706D01* +G37* +D18* +X233300000Y-123190000D03* +D15* +G36* +X234056537Y-121544706D02* +G01* +X234074796Y-121547414D01* +X234092703Y-121551900D01* +X234110083Y-121558118D01* +X234126770Y-121566011D01* +X234142603Y-121575501D01* +X234157429Y-121586497D01* +X234171107Y-121598893D01* +X234183503Y-121612571D01* +X234194499Y-121627397D01* +X234203989Y-121643230D01* +X234211882Y-121659917D01* +X234218100Y-121677297D01* +X234222586Y-121695204D01* +X234225294Y-121713463D01* +X234226200Y-121731900D01* +X234226200Y-122108100D01* +X234225294Y-122126537D01* +X234222586Y-122144796D01* +X234218100Y-122162703D01* +X234211882Y-122180083D01* +X234203989Y-122196770D01* +X234194499Y-122212603D01* +X234183503Y-122227429D01* +X234171107Y-122241107D01* +X234157429Y-122253503D01* +X234142603Y-122264499D01* +X234126770Y-122273989D01* +X234110083Y-122281882D01* +X234092703Y-122288100D01* +X234074796Y-122292586D01* +X234056537Y-122295294D01* +X234038100Y-122296200D01* +X232561900Y-122296200D01* +X232543463Y-122295294D01* +X232525204Y-122292586D01* +X232507297Y-122288100D01* +X232489917Y-122281882D01* +X232473230Y-122273989D01* +X232457397Y-122264499D01* +X232442571Y-122253503D01* +X232428893Y-122241107D01* +X232416497Y-122227429D01* +X232405501Y-122212603D01* +X232396011Y-122196770D01* +X232388118Y-122180083D01* +X232381900Y-122162703D01* +X232377414Y-122144796D01* +X232374706Y-122126537D01* +X232373800Y-122108100D01* +X232373800Y-121731900D01* +X232374706Y-121713463D01* +X232377414Y-121695204D01* +X232381900Y-121677297D01* +X232388118Y-121659917D01* +X232396011Y-121643230D01* +X232405501Y-121627397D01* +X232416497Y-121612571D01* +X232428893Y-121598893D01* +X232442571Y-121586497D01* +X232457397Y-121575501D01* +X232473230Y-121566011D01* +X232489917Y-121558118D01* +X232507297Y-121551900D01* +X232525204Y-121547414D01* +X232543463Y-121544706D01* +X232561900Y-121543800D01* +X234038100Y-121543800D01* +X234056537Y-121544706D01* +X234056537Y-121544706D01* +G37* +D18* +X233300000Y-121920000D03* +D15* +G36* +X234056537Y-120274706D02* +G01* +X234074796Y-120277414D01* +X234092703Y-120281900D01* +X234110083Y-120288118D01* +X234126770Y-120296011D01* +X234142603Y-120305501D01* +X234157429Y-120316497D01* +X234171107Y-120328893D01* +X234183503Y-120342571D01* +X234194499Y-120357397D01* +X234203989Y-120373230D01* +X234211882Y-120389917D01* +X234218100Y-120407297D01* +X234222586Y-120425204D01* +X234225294Y-120443463D01* +X234226200Y-120461900D01* +X234226200Y-120838100D01* +X234225294Y-120856537D01* +X234222586Y-120874796D01* +X234218100Y-120892703D01* +X234211882Y-120910083D01* +X234203989Y-120926770D01* +X234194499Y-120942603D01* +X234183503Y-120957429D01* +X234171107Y-120971107D01* +X234157429Y-120983503D01* +X234142603Y-120994499D01* +X234126770Y-121003989D01* +X234110083Y-121011882D01* +X234092703Y-121018100D01* +X234074796Y-121022586D01* +X234056537Y-121025294D01* +X234038100Y-121026200D01* +X232561900Y-121026200D01* +X232543463Y-121025294D01* +X232525204Y-121022586D01* +X232507297Y-121018100D01* +X232489917Y-121011882D01* +X232473230Y-121003989D01* +X232457397Y-120994499D01* +X232442571Y-120983503D01* +X232428893Y-120971107D01* +X232416497Y-120957429D01* +X232405501Y-120942603D01* +X232396011Y-120926770D01* +X232388118Y-120910083D01* +X232381900Y-120892703D01* +X232377414Y-120874796D01* +X232374706Y-120856537D01* +X232373800Y-120838100D01* +X232373800Y-120461900D01* +X232374706Y-120443463D01* +X232377414Y-120425204D01* +X232381900Y-120407297D01* +X232388118Y-120389917D01* +X232396011Y-120373230D01* +X232405501Y-120357397D01* +X232416497Y-120342571D01* +X232428893Y-120328893D01* +X232442571Y-120316497D01* +X232457397Y-120305501D01* +X232473230Y-120296011D01* +X232489917Y-120288118D01* +X232507297Y-120281900D01* +X232525204Y-120277414D01* +X232543463Y-120274706D01* +X232561900Y-120273800D01* +X234038100Y-120273800D01* +X234056537Y-120274706D01* +X234056537Y-120274706D01* +G37* +D18* +X233300000Y-120650000D03* +D15* +G36* +X234056537Y-119004706D02* +G01* +X234074796Y-119007414D01* +X234092703Y-119011900D01* +X234110083Y-119018118D01* +X234126770Y-119026011D01* +X234142603Y-119035501D01* +X234157429Y-119046497D01* +X234171107Y-119058893D01* +X234183503Y-119072571D01* +X234194499Y-119087397D01* +X234203989Y-119103230D01* +X234211882Y-119119917D01* +X234218100Y-119137297D01* +X234222586Y-119155204D01* +X234225294Y-119173463D01* +X234226200Y-119191900D01* +X234226200Y-119568100D01* +X234225294Y-119586537D01* +X234222586Y-119604796D01* +X234218100Y-119622703D01* +X234211882Y-119640083D01* +X234203989Y-119656770D01* +X234194499Y-119672603D01* +X234183503Y-119687429D01* +X234171107Y-119701107D01* +X234157429Y-119713503D01* +X234142603Y-119724499D01* +X234126770Y-119733989D01* +X234110083Y-119741882D01* +X234092703Y-119748100D01* +X234074796Y-119752586D01* +X234056537Y-119755294D01* +X234038100Y-119756200D01* +X232561900Y-119756200D01* +X232543463Y-119755294D01* +X232525204Y-119752586D01* +X232507297Y-119748100D01* +X232489917Y-119741882D01* +X232473230Y-119733989D01* +X232457397Y-119724499D01* +X232442571Y-119713503D01* +X232428893Y-119701107D01* +X232416497Y-119687429D01* +X232405501Y-119672603D01* +X232396011Y-119656770D01* +X232388118Y-119640083D01* +X232381900Y-119622703D01* +X232377414Y-119604796D01* +X232374706Y-119586537D01* +X232373800Y-119568100D01* +X232373800Y-119191900D01* +X232374706Y-119173463D01* +X232377414Y-119155204D01* +X232381900Y-119137297D01* +X232388118Y-119119917D01* +X232396011Y-119103230D01* +X232405501Y-119087397D01* +X232416497Y-119072571D01* +X232428893Y-119058893D01* +X232442571Y-119046497D01* +X232457397Y-119035501D01* +X232473230Y-119026011D01* +X232489917Y-119018118D01* +X232507297Y-119011900D01* +X232525204Y-119007414D01* +X232543463Y-119004706D01* +X232561900Y-119003800D01* +X234038100Y-119003800D01* +X234056537Y-119004706D01* +X234056537Y-119004706D01* +G37* +D18* +X233300000Y-119380000D03* +D15* +G36* +X234056537Y-117734706D02* +G01* +X234074796Y-117737414D01* +X234092703Y-117741900D01* +X234110083Y-117748118D01* +X234126770Y-117756011D01* +X234142603Y-117765501D01* +X234157429Y-117776497D01* +X234171107Y-117788893D01* +X234183503Y-117802571D01* +X234194499Y-117817397D01* +X234203989Y-117833230D01* +X234211882Y-117849917D01* +X234218100Y-117867297D01* +X234222586Y-117885204D01* +X234225294Y-117903463D01* +X234226200Y-117921900D01* +X234226200Y-118298100D01* +X234225294Y-118316537D01* +X234222586Y-118334796D01* +X234218100Y-118352703D01* +X234211882Y-118370083D01* +X234203989Y-118386770D01* +X234194499Y-118402603D01* +X234183503Y-118417429D01* +X234171107Y-118431107D01* +X234157429Y-118443503D01* +X234142603Y-118454499D01* +X234126770Y-118463989D01* +X234110083Y-118471882D01* +X234092703Y-118478100D01* +X234074796Y-118482586D01* +X234056537Y-118485294D01* +X234038100Y-118486200D01* +X232561900Y-118486200D01* +X232543463Y-118485294D01* +X232525204Y-118482586D01* +X232507297Y-118478100D01* +X232489917Y-118471882D01* +X232473230Y-118463989D01* +X232457397Y-118454499D01* +X232442571Y-118443503D01* +X232428893Y-118431107D01* +X232416497Y-118417429D01* +X232405501Y-118402603D01* +X232396011Y-118386770D01* +X232388118Y-118370083D01* +X232381900Y-118352703D01* +X232377414Y-118334796D01* +X232374706Y-118316537D01* +X232373800Y-118298100D01* +X232373800Y-117921900D01* +X232374706Y-117903463D01* +X232377414Y-117885204D01* +X232381900Y-117867297D01* +X232388118Y-117849917D01* +X232396011Y-117833230D01* +X232405501Y-117817397D01* +X232416497Y-117802571D01* +X232428893Y-117788893D01* +X232442571Y-117776497D01* +X232457397Y-117765501D01* +X232473230Y-117756011D01* +X232489917Y-117748118D01* +X232507297Y-117741900D01* +X232525204Y-117737414D01* +X232543463Y-117734706D01* +X232561900Y-117733800D01* +X234038100Y-117733800D01* +X234056537Y-117734706D01* +X234056537Y-117734706D01* +G37* +D18* +X233300000Y-118110000D03* +D15* +G36* +X234056537Y-116464706D02* +G01* +X234074796Y-116467414D01* +X234092703Y-116471900D01* +X234110083Y-116478118D01* +X234126770Y-116486011D01* +X234142603Y-116495501D01* +X234157429Y-116506497D01* +X234171107Y-116518893D01* +X234183503Y-116532571D01* +X234194499Y-116547397D01* +X234203989Y-116563230D01* +X234211882Y-116579917D01* +X234218100Y-116597297D01* +X234222586Y-116615204D01* +X234225294Y-116633463D01* +X234226200Y-116651900D01* +X234226200Y-117028100D01* +X234225294Y-117046537D01* +X234222586Y-117064796D01* +X234218100Y-117082703D01* +X234211882Y-117100083D01* +X234203989Y-117116770D01* +X234194499Y-117132603D01* +X234183503Y-117147429D01* +X234171107Y-117161107D01* +X234157429Y-117173503D01* +X234142603Y-117184499D01* +X234126770Y-117193989D01* +X234110083Y-117201882D01* +X234092703Y-117208100D01* +X234074796Y-117212586D01* +X234056537Y-117215294D01* +X234038100Y-117216200D01* +X232561900Y-117216200D01* +X232543463Y-117215294D01* +X232525204Y-117212586D01* +X232507297Y-117208100D01* +X232489917Y-117201882D01* +X232473230Y-117193989D01* +X232457397Y-117184499D01* +X232442571Y-117173503D01* +X232428893Y-117161107D01* +X232416497Y-117147429D01* +X232405501Y-117132603D01* +X232396011Y-117116770D01* +X232388118Y-117100083D01* +X232381900Y-117082703D01* +X232377414Y-117064796D01* +X232374706Y-117046537D01* +X232373800Y-117028100D01* +X232373800Y-116651900D01* +X232374706Y-116633463D01* +X232377414Y-116615204D01* +X232381900Y-116597297D01* +X232388118Y-116579917D01* +X232396011Y-116563230D01* +X232405501Y-116547397D01* +X232416497Y-116532571D01* +X232428893Y-116518893D01* +X232442571Y-116506497D01* +X232457397Y-116495501D01* +X232473230Y-116486011D01* +X232489917Y-116478118D01* +X232507297Y-116471900D01* +X232525204Y-116467414D01* +X232543463Y-116464706D01* +X232561900Y-116463800D01* +X234038100Y-116463800D01* +X234056537Y-116464706D01* +X234056537Y-116464706D01* +G37* +D18* +X233300000Y-116840000D03* +D15* +G36* +X234056537Y-115194706D02* +G01* +X234074796Y-115197414D01* +X234092703Y-115201900D01* +X234110083Y-115208118D01* +X234126770Y-115216011D01* +X234142603Y-115225501D01* +X234157429Y-115236497D01* +X234171107Y-115248893D01* +X234183503Y-115262571D01* +X234194499Y-115277397D01* +X234203989Y-115293230D01* +X234211882Y-115309917D01* +X234218100Y-115327297D01* +X234222586Y-115345204D01* +X234225294Y-115363463D01* +X234226200Y-115381900D01* +X234226200Y-115758100D01* +X234225294Y-115776537D01* +X234222586Y-115794796D01* +X234218100Y-115812703D01* +X234211882Y-115830083D01* +X234203989Y-115846770D01* +X234194499Y-115862603D01* +X234183503Y-115877429D01* +X234171107Y-115891107D01* +X234157429Y-115903503D01* +X234142603Y-115914499D01* +X234126770Y-115923989D01* +X234110083Y-115931882D01* +X234092703Y-115938100D01* +X234074796Y-115942586D01* +X234056537Y-115945294D01* +X234038100Y-115946200D01* +X232561900Y-115946200D01* +X232543463Y-115945294D01* +X232525204Y-115942586D01* +X232507297Y-115938100D01* +X232489917Y-115931882D01* +X232473230Y-115923989D01* +X232457397Y-115914499D01* +X232442571Y-115903503D01* +X232428893Y-115891107D01* +X232416497Y-115877429D01* +X232405501Y-115862603D01* +X232396011Y-115846770D01* +X232388118Y-115830083D01* +X232381900Y-115812703D01* +X232377414Y-115794796D01* +X232374706Y-115776537D01* +X232373800Y-115758100D01* +X232373800Y-115381900D01* +X232374706Y-115363463D01* +X232377414Y-115345204D01* +X232381900Y-115327297D01* +X232388118Y-115309917D01* +X232396011Y-115293230D01* +X232405501Y-115277397D01* +X232416497Y-115262571D01* +X232428893Y-115248893D01* +X232442571Y-115236497D01* +X232457397Y-115225501D01* +X232473230Y-115216011D01* +X232489917Y-115208118D01* +X232507297Y-115201900D01* +X232525204Y-115197414D01* +X232543463Y-115194706D01* +X232561900Y-115193800D01* +X234038100Y-115193800D01* +X234056537Y-115194706D01* +X234056537Y-115194706D01* +G37* +D18* +X233300000Y-115570000D03* +D15* +G36* +X234056537Y-113924706D02* +G01* +X234074796Y-113927414D01* +X234092703Y-113931900D01* +X234110083Y-113938118D01* +X234126770Y-113946011D01* +X234142603Y-113955501D01* +X234157429Y-113966497D01* +X234171107Y-113978893D01* +X234183503Y-113992571D01* +X234194499Y-114007397D01* +X234203989Y-114023230D01* +X234211882Y-114039917D01* +X234218100Y-114057297D01* +X234222586Y-114075204D01* +X234225294Y-114093463D01* +X234226200Y-114111900D01* +X234226200Y-114488100D01* +X234225294Y-114506537D01* +X234222586Y-114524796D01* +X234218100Y-114542703D01* +X234211882Y-114560083D01* +X234203989Y-114576770D01* +X234194499Y-114592603D01* +X234183503Y-114607429D01* +X234171107Y-114621107D01* +X234157429Y-114633503D01* +X234142603Y-114644499D01* +X234126770Y-114653989D01* +X234110083Y-114661882D01* +X234092703Y-114668100D01* +X234074796Y-114672586D01* +X234056537Y-114675294D01* +X234038100Y-114676200D01* +X232561900Y-114676200D01* +X232543463Y-114675294D01* +X232525204Y-114672586D01* +X232507297Y-114668100D01* +X232489917Y-114661882D01* +X232473230Y-114653989D01* +X232457397Y-114644499D01* +X232442571Y-114633503D01* +X232428893Y-114621107D01* +X232416497Y-114607429D01* +X232405501Y-114592603D01* +X232396011Y-114576770D01* +X232388118Y-114560083D01* +X232381900Y-114542703D01* +X232377414Y-114524796D01* +X232374706Y-114506537D01* +X232373800Y-114488100D01* +X232373800Y-114111900D01* +X232374706Y-114093463D01* +X232377414Y-114075204D01* +X232381900Y-114057297D01* +X232388118Y-114039917D01* +X232396011Y-114023230D01* +X232405501Y-114007397D01* +X232416497Y-113992571D01* +X232428893Y-113978893D01* +X232442571Y-113966497D01* +X232457397Y-113955501D01* +X232473230Y-113946011D01* +X232489917Y-113938118D01* +X232507297Y-113931900D01* +X232525204Y-113927414D01* +X232543463Y-113924706D01* +X232561900Y-113923800D01* +X234038100Y-113923800D01* +X234056537Y-113924706D01* +X234056537Y-113924706D01* +G37* +D18* +X233300000Y-114300000D03* +D15* +G36* +X234056537Y-112654706D02* +G01* +X234074796Y-112657414D01* +X234092703Y-112661900D01* +X234110083Y-112668118D01* +X234126770Y-112676011D01* +X234142603Y-112685501D01* +X234157429Y-112696497D01* +X234171107Y-112708893D01* +X234183503Y-112722571D01* +X234194499Y-112737397D01* +X234203989Y-112753230D01* +X234211882Y-112769917D01* +X234218100Y-112787297D01* +X234222586Y-112805204D01* +X234225294Y-112823463D01* +X234226200Y-112841900D01* +X234226200Y-113218100D01* +X234225294Y-113236537D01* +X234222586Y-113254796D01* +X234218100Y-113272703D01* +X234211882Y-113290083D01* +X234203989Y-113306770D01* +X234194499Y-113322603D01* +X234183503Y-113337429D01* +X234171107Y-113351107D01* +X234157429Y-113363503D01* +X234142603Y-113374499D01* +X234126770Y-113383989D01* +X234110083Y-113391882D01* +X234092703Y-113398100D01* +X234074796Y-113402586D01* +X234056537Y-113405294D01* +X234038100Y-113406200D01* +X232561900Y-113406200D01* +X232543463Y-113405294D01* +X232525204Y-113402586D01* +X232507297Y-113398100D01* +X232489917Y-113391882D01* +X232473230Y-113383989D01* +X232457397Y-113374499D01* +X232442571Y-113363503D01* +X232428893Y-113351107D01* +X232416497Y-113337429D01* +X232405501Y-113322603D01* +X232396011Y-113306770D01* +X232388118Y-113290083D01* +X232381900Y-113272703D01* +X232377414Y-113254796D01* +X232374706Y-113236537D01* +X232373800Y-113218100D01* +X232373800Y-112841900D01* +X232374706Y-112823463D01* +X232377414Y-112805204D01* +X232381900Y-112787297D01* +X232388118Y-112769917D01* +X232396011Y-112753230D01* +X232405501Y-112737397D01* +X232416497Y-112722571D01* +X232428893Y-112708893D01* +X232442571Y-112696497D01* +X232457397Y-112685501D01* +X232473230Y-112676011D01* +X232489917Y-112668118D01* +X232507297Y-112661900D01* +X232525204Y-112657414D01* +X232543463Y-112654706D01* +X232561900Y-112653800D01* +X234038100Y-112653800D01* +X234056537Y-112654706D01* +X234056537Y-112654706D01* +G37* +D18* +X233300000Y-113030000D03* +D15* +G36* +X234056537Y-111384706D02* +G01* +X234074796Y-111387414D01* +X234092703Y-111391900D01* +X234110083Y-111398118D01* +X234126770Y-111406011D01* +X234142603Y-111415501D01* +X234157429Y-111426497D01* +X234171107Y-111438893D01* +X234183503Y-111452571D01* +X234194499Y-111467397D01* +X234203989Y-111483230D01* +X234211882Y-111499917D01* +X234218100Y-111517297D01* +X234222586Y-111535204D01* +X234225294Y-111553463D01* +X234226200Y-111571900D01* +X234226200Y-111948100D01* +X234225294Y-111966537D01* +X234222586Y-111984796D01* +X234218100Y-112002703D01* +X234211882Y-112020083D01* +X234203989Y-112036770D01* +X234194499Y-112052603D01* +X234183503Y-112067429D01* +X234171107Y-112081107D01* +X234157429Y-112093503D01* +X234142603Y-112104499D01* +X234126770Y-112113989D01* +X234110083Y-112121882D01* +X234092703Y-112128100D01* +X234074796Y-112132586D01* +X234056537Y-112135294D01* +X234038100Y-112136200D01* +X232561900Y-112136200D01* +X232543463Y-112135294D01* +X232525204Y-112132586D01* +X232507297Y-112128100D01* +X232489917Y-112121882D01* +X232473230Y-112113989D01* +X232457397Y-112104499D01* +X232442571Y-112093503D01* +X232428893Y-112081107D01* +X232416497Y-112067429D01* +X232405501Y-112052603D01* +X232396011Y-112036770D01* +X232388118Y-112020083D01* +X232381900Y-112002703D01* +X232377414Y-111984796D01* +X232374706Y-111966537D01* +X232373800Y-111948100D01* +X232373800Y-111571900D01* +X232374706Y-111553463D01* +X232377414Y-111535204D01* +X232381900Y-111517297D01* +X232388118Y-111499917D01* +X232396011Y-111483230D01* +X232405501Y-111467397D01* +X232416497Y-111452571D01* +X232428893Y-111438893D01* +X232442571Y-111426497D01* +X232457397Y-111415501D01* +X232473230Y-111406011D01* +X232489917Y-111398118D01* +X232507297Y-111391900D01* +X232525204Y-111387414D01* +X232543463Y-111384706D01* +X232561900Y-111383800D01* +X234038100Y-111383800D01* +X234056537Y-111384706D01* +X234056537Y-111384706D01* +G37* +D18* +X233300000Y-111760000D03* +D15* +G36* +X234056537Y-110114706D02* +G01* +X234074796Y-110117414D01* +X234092703Y-110121900D01* +X234110083Y-110128118D01* +X234126770Y-110136011D01* +X234142603Y-110145501D01* +X234157429Y-110156497D01* +X234171107Y-110168893D01* +X234183503Y-110182571D01* +X234194499Y-110197397D01* +X234203989Y-110213230D01* +X234211882Y-110229917D01* +X234218100Y-110247297D01* +X234222586Y-110265204D01* +X234225294Y-110283463D01* +X234226200Y-110301900D01* +X234226200Y-110678100D01* +X234225294Y-110696537D01* +X234222586Y-110714796D01* +X234218100Y-110732703D01* +X234211882Y-110750083D01* +X234203989Y-110766770D01* +X234194499Y-110782603D01* +X234183503Y-110797429D01* +X234171107Y-110811107D01* +X234157429Y-110823503D01* +X234142603Y-110834499D01* +X234126770Y-110843989D01* +X234110083Y-110851882D01* +X234092703Y-110858100D01* +X234074796Y-110862586D01* +X234056537Y-110865294D01* +X234038100Y-110866200D01* +X232561900Y-110866200D01* +X232543463Y-110865294D01* +X232525204Y-110862586D01* +X232507297Y-110858100D01* +X232489917Y-110851882D01* +X232473230Y-110843989D01* +X232457397Y-110834499D01* +X232442571Y-110823503D01* +X232428893Y-110811107D01* +X232416497Y-110797429D01* +X232405501Y-110782603D01* +X232396011Y-110766770D01* +X232388118Y-110750083D01* +X232381900Y-110732703D01* +X232377414Y-110714796D01* +X232374706Y-110696537D01* +X232373800Y-110678100D01* +X232373800Y-110301900D01* +X232374706Y-110283463D01* +X232377414Y-110265204D01* +X232381900Y-110247297D01* +X232388118Y-110229917D01* +X232396011Y-110213230D01* +X232405501Y-110197397D01* +X232416497Y-110182571D01* +X232428893Y-110168893D01* +X232442571Y-110156497D01* +X232457397Y-110145501D01* +X232473230Y-110136011D01* +X232489917Y-110128118D01* +X232507297Y-110121900D01* +X232525204Y-110117414D01* +X232543463Y-110114706D01* +X232561900Y-110113800D01* +X234038100Y-110113800D01* +X234056537Y-110114706D01* +X234056537Y-110114706D01* +G37* +D18* +X233300000Y-110490000D03* +D15* +G36* +X234056537Y-108844706D02* +G01* +X234074796Y-108847414D01* +X234092703Y-108851900D01* +X234110083Y-108858118D01* +X234126770Y-108866011D01* +X234142603Y-108875501D01* +X234157429Y-108886497D01* +X234171107Y-108898893D01* +X234183503Y-108912571D01* +X234194499Y-108927397D01* +X234203989Y-108943230D01* +X234211882Y-108959917D01* +X234218100Y-108977297D01* +X234222586Y-108995204D01* +X234225294Y-109013463D01* +X234226200Y-109031900D01* +X234226200Y-109408100D01* +X234225294Y-109426537D01* +X234222586Y-109444796D01* +X234218100Y-109462703D01* +X234211882Y-109480083D01* +X234203989Y-109496770D01* +X234194499Y-109512603D01* +X234183503Y-109527429D01* +X234171107Y-109541107D01* +X234157429Y-109553503D01* +X234142603Y-109564499D01* +X234126770Y-109573989D01* +X234110083Y-109581882D01* +X234092703Y-109588100D01* +X234074796Y-109592586D01* +X234056537Y-109595294D01* +X234038100Y-109596200D01* +X232561900Y-109596200D01* +X232543463Y-109595294D01* +X232525204Y-109592586D01* +X232507297Y-109588100D01* +X232489917Y-109581882D01* +X232473230Y-109573989D01* +X232457397Y-109564499D01* +X232442571Y-109553503D01* +X232428893Y-109541107D01* +X232416497Y-109527429D01* +X232405501Y-109512603D01* +X232396011Y-109496770D01* +X232388118Y-109480083D01* +X232381900Y-109462703D01* +X232377414Y-109444796D01* +X232374706Y-109426537D01* +X232373800Y-109408100D01* +X232373800Y-109031900D01* +X232374706Y-109013463D01* +X232377414Y-108995204D01* +X232381900Y-108977297D01* +X232388118Y-108959917D01* +X232396011Y-108943230D01* +X232405501Y-108927397D01* +X232416497Y-108912571D01* +X232428893Y-108898893D01* +X232442571Y-108886497D01* +X232457397Y-108875501D01* +X232473230Y-108866011D01* +X232489917Y-108858118D01* +X232507297Y-108851900D01* +X232525204Y-108847414D01* +X232543463Y-108844706D01* +X232561900Y-108843800D01* +X234038100Y-108843800D01* +X234056537Y-108844706D01* +X234056537Y-108844706D01* +G37* +D18* +X233300000Y-109220000D03* +D15* +G36* +X234056537Y-107574706D02* +G01* +X234074796Y-107577414D01* +X234092703Y-107581900D01* +X234110083Y-107588118D01* +X234126770Y-107596011D01* +X234142603Y-107605501D01* +X234157429Y-107616497D01* +X234171107Y-107628893D01* +X234183503Y-107642571D01* +X234194499Y-107657397D01* +X234203989Y-107673230D01* +X234211882Y-107689917D01* +X234218100Y-107707297D01* +X234222586Y-107725204D01* +X234225294Y-107743463D01* +X234226200Y-107761900D01* +X234226200Y-108138100D01* +X234225294Y-108156537D01* +X234222586Y-108174796D01* +X234218100Y-108192703D01* +X234211882Y-108210083D01* +X234203989Y-108226770D01* +X234194499Y-108242603D01* +X234183503Y-108257429D01* +X234171107Y-108271107D01* +X234157429Y-108283503D01* +X234142603Y-108294499D01* +X234126770Y-108303989D01* +X234110083Y-108311882D01* +X234092703Y-108318100D01* +X234074796Y-108322586D01* +X234056537Y-108325294D01* +X234038100Y-108326200D01* +X232561900Y-108326200D01* +X232543463Y-108325294D01* +X232525204Y-108322586D01* +X232507297Y-108318100D01* +X232489917Y-108311882D01* +X232473230Y-108303989D01* +X232457397Y-108294499D01* +X232442571Y-108283503D01* +X232428893Y-108271107D01* +X232416497Y-108257429D01* +X232405501Y-108242603D01* +X232396011Y-108226770D01* +X232388118Y-108210083D01* +X232381900Y-108192703D01* +X232377414Y-108174796D01* +X232374706Y-108156537D01* +X232373800Y-108138100D01* +X232373800Y-107761900D01* +X232374706Y-107743463D01* +X232377414Y-107725204D01* +X232381900Y-107707297D01* +X232388118Y-107689917D01* +X232396011Y-107673230D01* +X232405501Y-107657397D01* +X232416497Y-107642571D01* +X232428893Y-107628893D01* +X232442571Y-107616497D01* +X232457397Y-107605501D01* +X232473230Y-107596011D01* +X232489917Y-107588118D01* +X232507297Y-107581900D01* +X232525204Y-107577414D01* +X232543463Y-107574706D01* +X232561900Y-107573800D01* +X234038100Y-107573800D01* +X234056537Y-107574706D01* +X234056537Y-107574706D01* +G37* +D18* +X233300000Y-107950000D03* +D15* +G36* +X234056537Y-106304706D02* +G01* +X234074796Y-106307414D01* +X234092703Y-106311900D01* +X234110083Y-106318118D01* +X234126770Y-106326011D01* +X234142603Y-106335501D01* +X234157429Y-106346497D01* +X234171107Y-106358893D01* +X234183503Y-106372571D01* +X234194499Y-106387397D01* +X234203989Y-106403230D01* +X234211882Y-106419917D01* +X234218100Y-106437297D01* +X234222586Y-106455204D01* +X234225294Y-106473463D01* +X234226200Y-106491900D01* +X234226200Y-106868100D01* +X234225294Y-106886537D01* +X234222586Y-106904796D01* +X234218100Y-106922703D01* +X234211882Y-106940083D01* +X234203989Y-106956770D01* +X234194499Y-106972603D01* +X234183503Y-106987429D01* +X234171107Y-107001107D01* +X234157429Y-107013503D01* +X234142603Y-107024499D01* +X234126770Y-107033989D01* +X234110083Y-107041882D01* +X234092703Y-107048100D01* +X234074796Y-107052586D01* +X234056537Y-107055294D01* +X234038100Y-107056200D01* +X232561900Y-107056200D01* +X232543463Y-107055294D01* +X232525204Y-107052586D01* +X232507297Y-107048100D01* +X232489917Y-107041882D01* +X232473230Y-107033989D01* +X232457397Y-107024499D01* +X232442571Y-107013503D01* +X232428893Y-107001107D01* +X232416497Y-106987429D01* +X232405501Y-106972603D01* +X232396011Y-106956770D01* +X232388118Y-106940083D01* +X232381900Y-106922703D01* +X232377414Y-106904796D01* +X232374706Y-106886537D01* +X232373800Y-106868100D01* +X232373800Y-106491900D01* +X232374706Y-106473463D01* +X232377414Y-106455204D01* +X232381900Y-106437297D01* +X232388118Y-106419917D01* +X232396011Y-106403230D01* +X232405501Y-106387397D01* +X232416497Y-106372571D01* +X232428893Y-106358893D01* +X232442571Y-106346497D01* +X232457397Y-106335501D01* +X232473230Y-106326011D01* +X232489917Y-106318118D01* +X232507297Y-106311900D01* +X232525204Y-106307414D01* +X232543463Y-106304706D01* +X232561900Y-106303800D01* +X234038100Y-106303800D01* +X234056537Y-106304706D01* +X234056537Y-106304706D01* +G37* +D18* +X233300000Y-106680000D03* +D15* +G36* +X234056537Y-105034706D02* +G01* +X234074796Y-105037414D01* +X234092703Y-105041900D01* +X234110083Y-105048118D01* +X234126770Y-105056011D01* +X234142603Y-105065501D01* +X234157429Y-105076497D01* +X234171107Y-105088893D01* +X234183503Y-105102571D01* +X234194499Y-105117397D01* +X234203989Y-105133230D01* +X234211882Y-105149917D01* +X234218100Y-105167297D01* +X234222586Y-105185204D01* +X234225294Y-105203463D01* +X234226200Y-105221900D01* +X234226200Y-105598100D01* +X234225294Y-105616537D01* +X234222586Y-105634796D01* +X234218100Y-105652703D01* +X234211882Y-105670083D01* +X234203989Y-105686770D01* +X234194499Y-105702603D01* +X234183503Y-105717429D01* +X234171107Y-105731107D01* +X234157429Y-105743503D01* +X234142603Y-105754499D01* +X234126770Y-105763989D01* +X234110083Y-105771882D01* +X234092703Y-105778100D01* +X234074796Y-105782586D01* +X234056537Y-105785294D01* +X234038100Y-105786200D01* +X232561900Y-105786200D01* +X232543463Y-105785294D01* +X232525204Y-105782586D01* +X232507297Y-105778100D01* +X232489917Y-105771882D01* +X232473230Y-105763989D01* +X232457397Y-105754499D01* +X232442571Y-105743503D01* +X232428893Y-105731107D01* +X232416497Y-105717429D01* +X232405501Y-105702603D01* +X232396011Y-105686770D01* +X232388118Y-105670083D01* +X232381900Y-105652703D01* +X232377414Y-105634796D01* +X232374706Y-105616537D01* +X232373800Y-105598100D01* +X232373800Y-105221900D01* +X232374706Y-105203463D01* +X232377414Y-105185204D01* +X232381900Y-105167297D01* +X232388118Y-105149917D01* +X232396011Y-105133230D01* +X232405501Y-105117397D01* +X232416497Y-105102571D01* +X232428893Y-105088893D01* +X232442571Y-105076497D01* +X232457397Y-105065501D01* +X232473230Y-105056011D01* +X232489917Y-105048118D01* +X232507297Y-105041900D01* +X232525204Y-105037414D01* +X232543463Y-105034706D01* +X232561900Y-105033800D01* +X234038100Y-105033800D01* +X234056537Y-105034706D01* +X234056537Y-105034706D01* +G37* +D18* +X233300000Y-105410000D03* +D15* +G36* +X234056537Y-103764706D02* +G01* +X234074796Y-103767414D01* +X234092703Y-103771900D01* +X234110083Y-103778118D01* +X234126770Y-103786011D01* +X234142603Y-103795501D01* +X234157429Y-103806497D01* +X234171107Y-103818893D01* +X234183503Y-103832571D01* +X234194499Y-103847397D01* +X234203989Y-103863230D01* +X234211882Y-103879917D01* +X234218100Y-103897297D01* +X234222586Y-103915204D01* +X234225294Y-103933463D01* +X234226200Y-103951900D01* +X234226200Y-104328100D01* +X234225294Y-104346537D01* +X234222586Y-104364796D01* +X234218100Y-104382703D01* +X234211882Y-104400083D01* +X234203989Y-104416770D01* +X234194499Y-104432603D01* +X234183503Y-104447429D01* +X234171107Y-104461107D01* +X234157429Y-104473503D01* +X234142603Y-104484499D01* +X234126770Y-104493989D01* +X234110083Y-104501882D01* +X234092703Y-104508100D01* +X234074796Y-104512586D01* +X234056537Y-104515294D01* +X234038100Y-104516200D01* +X232561900Y-104516200D01* +X232543463Y-104515294D01* +X232525204Y-104512586D01* +X232507297Y-104508100D01* +X232489917Y-104501882D01* +X232473230Y-104493989D01* +X232457397Y-104484499D01* +X232442571Y-104473503D01* +X232428893Y-104461107D01* +X232416497Y-104447429D01* +X232405501Y-104432603D01* +X232396011Y-104416770D01* +X232388118Y-104400083D01* +X232381900Y-104382703D01* +X232377414Y-104364796D01* +X232374706Y-104346537D01* +X232373800Y-104328100D01* +X232373800Y-103951900D01* +X232374706Y-103933463D01* +X232377414Y-103915204D01* +X232381900Y-103897297D01* +X232388118Y-103879917D01* +X232396011Y-103863230D01* +X232405501Y-103847397D01* +X232416497Y-103832571D01* +X232428893Y-103818893D01* +X232442571Y-103806497D01* +X232457397Y-103795501D01* +X232473230Y-103786011D01* +X232489917Y-103778118D01* +X232507297Y-103771900D01* +X232525204Y-103767414D01* +X232543463Y-103764706D01* +X232561900Y-103763800D01* +X234038100Y-103763800D01* +X234056537Y-103764706D01* +X234056537Y-103764706D01* +G37* +D18* +X233300000Y-104140000D03* +D15* +G36* +X234056537Y-102494706D02* +G01* +X234074796Y-102497414D01* +X234092703Y-102501900D01* +X234110083Y-102508118D01* +X234126770Y-102516011D01* +X234142603Y-102525501D01* +X234157429Y-102536497D01* +X234171107Y-102548893D01* +X234183503Y-102562571D01* +X234194499Y-102577397D01* +X234203989Y-102593230D01* +X234211882Y-102609917D01* +X234218100Y-102627297D01* +X234222586Y-102645204D01* +X234225294Y-102663463D01* +X234226200Y-102681900D01* +X234226200Y-103058100D01* +X234225294Y-103076537D01* +X234222586Y-103094796D01* +X234218100Y-103112703D01* +X234211882Y-103130083D01* +X234203989Y-103146770D01* +X234194499Y-103162603D01* +X234183503Y-103177429D01* +X234171107Y-103191107D01* +X234157429Y-103203503D01* +X234142603Y-103214499D01* +X234126770Y-103223989D01* +X234110083Y-103231882D01* +X234092703Y-103238100D01* +X234074796Y-103242586D01* +X234056537Y-103245294D01* +X234038100Y-103246200D01* +X232561900Y-103246200D01* +X232543463Y-103245294D01* +X232525204Y-103242586D01* +X232507297Y-103238100D01* +X232489917Y-103231882D01* +X232473230Y-103223989D01* +X232457397Y-103214499D01* +X232442571Y-103203503D01* +X232428893Y-103191107D01* +X232416497Y-103177429D01* +X232405501Y-103162603D01* +X232396011Y-103146770D01* +X232388118Y-103130083D01* +X232381900Y-103112703D01* +X232377414Y-103094796D01* +X232374706Y-103076537D01* +X232373800Y-103058100D01* +X232373800Y-102681900D01* +X232374706Y-102663463D01* +X232377414Y-102645204D01* +X232381900Y-102627297D01* +X232388118Y-102609917D01* +X232396011Y-102593230D01* +X232405501Y-102577397D01* +X232416497Y-102562571D01* +X232428893Y-102548893D01* +X232442571Y-102536497D01* +X232457397Y-102525501D01* +X232473230Y-102516011D01* +X232489917Y-102508118D01* +X232507297Y-102501900D01* +X232525204Y-102497414D01* +X232543463Y-102494706D01* +X232561900Y-102493800D01* +X234038100Y-102493800D01* +X234056537Y-102494706D01* +X234056537Y-102494706D01* +G37* +D18* +X233300000Y-102870000D03* +D15* +G36* +X234056537Y-101224706D02* +G01* +X234074796Y-101227414D01* +X234092703Y-101231900D01* +X234110083Y-101238118D01* +X234126770Y-101246011D01* +X234142603Y-101255501D01* +X234157429Y-101266497D01* +X234171107Y-101278893D01* +X234183503Y-101292571D01* +X234194499Y-101307397D01* +X234203989Y-101323230D01* +X234211882Y-101339917D01* +X234218100Y-101357297D01* +X234222586Y-101375204D01* +X234225294Y-101393463D01* +X234226200Y-101411900D01* +X234226200Y-101788100D01* +X234225294Y-101806537D01* +X234222586Y-101824796D01* +X234218100Y-101842703D01* +X234211882Y-101860083D01* +X234203989Y-101876770D01* +X234194499Y-101892603D01* +X234183503Y-101907429D01* +X234171107Y-101921107D01* +X234157429Y-101933503D01* +X234142603Y-101944499D01* +X234126770Y-101953989D01* +X234110083Y-101961882D01* +X234092703Y-101968100D01* +X234074796Y-101972586D01* +X234056537Y-101975294D01* +X234038100Y-101976200D01* +X232561900Y-101976200D01* +X232543463Y-101975294D01* +X232525204Y-101972586D01* +X232507297Y-101968100D01* +X232489917Y-101961882D01* +X232473230Y-101953989D01* +X232457397Y-101944499D01* +X232442571Y-101933503D01* +X232428893Y-101921107D01* +X232416497Y-101907429D01* +X232405501Y-101892603D01* +X232396011Y-101876770D01* +X232388118Y-101860083D01* +X232381900Y-101842703D01* +X232377414Y-101824796D01* +X232374706Y-101806537D01* +X232373800Y-101788100D01* +X232373800Y-101411900D01* +X232374706Y-101393463D01* +X232377414Y-101375204D01* +X232381900Y-101357297D01* +X232388118Y-101339917D01* +X232396011Y-101323230D01* +X232405501Y-101307397D01* +X232416497Y-101292571D01* +X232428893Y-101278893D01* +X232442571Y-101266497D01* +X232457397Y-101255501D01* +X232473230Y-101246011D01* +X232489917Y-101238118D01* +X232507297Y-101231900D01* +X232525204Y-101227414D01* +X232543463Y-101224706D01* +X232561900Y-101223800D01* +X234038100Y-101223800D01* +X234056537Y-101224706D01* +X234056537Y-101224706D01* +G37* +D18* +X233300000Y-101600000D03* +D15* +G36* +X234056537Y-99954706D02* +G01* +X234074796Y-99957414D01* +X234092703Y-99961900D01* +X234110083Y-99968118D01* +X234126770Y-99976011D01* +X234142603Y-99985501D01* +X234157429Y-99996497D01* +X234171107Y-100008893D01* +X234183503Y-100022571D01* +X234194499Y-100037397D01* +X234203989Y-100053230D01* +X234211882Y-100069917D01* +X234218100Y-100087297D01* +X234222586Y-100105204D01* +X234225294Y-100123463D01* +X234226200Y-100141900D01* +X234226200Y-100518100D01* +X234225294Y-100536537D01* +X234222586Y-100554796D01* +X234218100Y-100572703D01* +X234211882Y-100590083D01* +X234203989Y-100606770D01* +X234194499Y-100622603D01* +X234183503Y-100637429D01* +X234171107Y-100651107D01* +X234157429Y-100663503D01* +X234142603Y-100674499D01* +X234126770Y-100683989D01* +X234110083Y-100691882D01* +X234092703Y-100698100D01* +X234074796Y-100702586D01* +X234056537Y-100705294D01* +X234038100Y-100706200D01* +X232561900Y-100706200D01* +X232543463Y-100705294D01* +X232525204Y-100702586D01* +X232507297Y-100698100D01* +X232489917Y-100691882D01* +X232473230Y-100683989D01* +X232457397Y-100674499D01* +X232442571Y-100663503D01* +X232428893Y-100651107D01* +X232416497Y-100637429D01* +X232405501Y-100622603D01* +X232396011Y-100606770D01* +X232388118Y-100590083D01* +X232381900Y-100572703D01* +X232377414Y-100554796D01* +X232374706Y-100536537D01* +X232373800Y-100518100D01* +X232373800Y-100141900D01* +X232374706Y-100123463D01* +X232377414Y-100105204D01* +X232381900Y-100087297D01* +X232388118Y-100069917D01* +X232396011Y-100053230D01* +X232405501Y-100037397D01* +X232416497Y-100022571D01* +X232428893Y-100008893D01* +X232442571Y-99996497D01* +X232457397Y-99985501D01* +X232473230Y-99976011D01* +X232489917Y-99968118D01* +X232507297Y-99961900D01* +X232525204Y-99957414D01* +X232543463Y-99954706D01* +X232561900Y-99953800D01* +X234038100Y-99953800D01* +X234056537Y-99954706D01* +X234056537Y-99954706D01* +G37* +D18* +X233300000Y-100330000D03* +D15* +G36* +X234056537Y-98684706D02* +G01* +X234074796Y-98687414D01* +X234092703Y-98691900D01* +X234110083Y-98698118D01* +X234126770Y-98706011D01* +X234142603Y-98715501D01* +X234157429Y-98726497D01* +X234171107Y-98738893D01* +X234183503Y-98752571D01* +X234194499Y-98767397D01* +X234203989Y-98783230D01* +X234211882Y-98799917D01* +X234218100Y-98817297D01* +X234222586Y-98835204D01* +X234225294Y-98853463D01* +X234226200Y-98871900D01* +X234226200Y-99248100D01* +X234225294Y-99266537D01* +X234222586Y-99284796D01* +X234218100Y-99302703D01* +X234211882Y-99320083D01* +X234203989Y-99336770D01* +X234194499Y-99352603D01* +X234183503Y-99367429D01* +X234171107Y-99381107D01* +X234157429Y-99393503D01* +X234142603Y-99404499D01* +X234126770Y-99413989D01* +X234110083Y-99421882D01* +X234092703Y-99428100D01* +X234074796Y-99432586D01* +X234056537Y-99435294D01* +X234038100Y-99436200D01* +X232561900Y-99436200D01* +X232543463Y-99435294D01* +X232525204Y-99432586D01* +X232507297Y-99428100D01* +X232489917Y-99421882D01* +X232473230Y-99413989D01* +X232457397Y-99404499D01* +X232442571Y-99393503D01* +X232428893Y-99381107D01* +X232416497Y-99367429D01* +X232405501Y-99352603D01* +X232396011Y-99336770D01* +X232388118Y-99320083D01* +X232381900Y-99302703D01* +X232377414Y-99284796D01* +X232374706Y-99266537D01* +X232373800Y-99248100D01* +X232373800Y-98871900D01* +X232374706Y-98853463D01* +X232377414Y-98835204D01* +X232381900Y-98817297D01* +X232388118Y-98799917D01* +X232396011Y-98783230D01* +X232405501Y-98767397D01* +X232416497Y-98752571D01* +X232428893Y-98738893D01* +X232442571Y-98726497D01* +X232457397Y-98715501D01* +X232473230Y-98706011D01* +X232489917Y-98698118D01* +X232507297Y-98691900D01* +X232525204Y-98687414D01* +X232543463Y-98684706D01* +X232561900Y-98683800D01* +X234038100Y-98683800D01* +X234056537Y-98684706D01* +X234056537Y-98684706D01* +G37* +D18* +X233300000Y-99060000D03* +D15* +G36* +X235156537Y-96484706D02* +G01* +X235174796Y-96487414D01* +X235192703Y-96491900D01* +X235210083Y-96498118D01* +X235226770Y-96506011D01* +X235242603Y-96515501D01* +X235257429Y-96526497D01* +X235271107Y-96538893D01* +X235283503Y-96552571D01* +X235294499Y-96567397D01* +X235303989Y-96583230D01* +X235311882Y-96599917D01* +X235318100Y-96617297D01* +X235322586Y-96635204D01* +X235325294Y-96653463D01* +X235326200Y-96671900D01* +X235326200Y-98148100D01* +X235325294Y-98166537D01* +X235322586Y-98184796D01* +X235318100Y-98202703D01* +X235311882Y-98220083D01* +X235303989Y-98236770D01* +X235294499Y-98252603D01* +X235283503Y-98267429D01* +X235271107Y-98281107D01* +X235257429Y-98293503D01* +X235242603Y-98304499D01* +X235226770Y-98313989D01* +X235210083Y-98321882D01* +X235192703Y-98328100D01* +X235174796Y-98332586D01* +X235156537Y-98335294D01* +X235138100Y-98336200D01* +X234761900Y-98336200D01* +X234743463Y-98335294D01* +X234725204Y-98332586D01* +X234707297Y-98328100D01* +X234689917Y-98321882D01* +X234673230Y-98313989D01* +X234657397Y-98304499D01* +X234642571Y-98293503D01* +X234628893Y-98281107D01* +X234616497Y-98267429D01* +X234605501Y-98252603D01* +X234596011Y-98236770D01* +X234588118Y-98220083D01* +X234581900Y-98202703D01* +X234577414Y-98184796D01* +X234574706Y-98166537D01* +X234573800Y-98148100D01* +X234573800Y-96671900D01* +X234574706Y-96653463D01* +X234577414Y-96635204D01* +X234581900Y-96617297D01* +X234588118Y-96599917D01* +X234596011Y-96583230D01* +X234605501Y-96567397D01* +X234616497Y-96552571D01* +X234628893Y-96538893D01* +X234642571Y-96526497D01* +X234657397Y-96515501D01* +X234673230Y-96506011D01* +X234689917Y-96498118D01* +X234707297Y-96491900D01* +X234725204Y-96487414D01* +X234743463Y-96484706D01* +X234761900Y-96483800D01* +X235138100Y-96483800D01* +X235156537Y-96484706D01* +X235156537Y-96484706D01* +G37* +D18* +X234950000Y-97410000D03* +D15* +G36* +X236426537Y-96484706D02* +G01* +X236444796Y-96487414D01* +X236462703Y-96491900D01* +X236480083Y-96498118D01* +X236496770Y-96506011D01* +X236512603Y-96515501D01* +X236527429Y-96526497D01* +X236541107Y-96538893D01* +X236553503Y-96552571D01* +X236564499Y-96567397D01* +X236573989Y-96583230D01* +X236581882Y-96599917D01* +X236588100Y-96617297D01* +X236592586Y-96635204D01* +X236595294Y-96653463D01* +X236596200Y-96671900D01* +X236596200Y-98148100D01* +X236595294Y-98166537D01* +X236592586Y-98184796D01* +X236588100Y-98202703D01* +X236581882Y-98220083D01* +X236573989Y-98236770D01* +X236564499Y-98252603D01* +X236553503Y-98267429D01* +X236541107Y-98281107D01* +X236527429Y-98293503D01* +X236512603Y-98304499D01* +X236496770Y-98313989D01* +X236480083Y-98321882D01* +X236462703Y-98328100D01* +X236444796Y-98332586D01* +X236426537Y-98335294D01* +X236408100Y-98336200D01* +X236031900Y-98336200D01* +X236013463Y-98335294D01* +X235995204Y-98332586D01* +X235977297Y-98328100D01* +X235959917Y-98321882D01* +X235943230Y-98313989D01* +X235927397Y-98304499D01* +X235912571Y-98293503D01* +X235898893Y-98281107D01* +X235886497Y-98267429D01* +X235875501Y-98252603D01* +X235866011Y-98236770D01* +X235858118Y-98220083D01* +X235851900Y-98202703D01* +X235847414Y-98184796D01* +X235844706Y-98166537D01* +X235843800Y-98148100D01* +X235843800Y-96671900D01* +X235844706Y-96653463D01* +X235847414Y-96635204D01* +X235851900Y-96617297D01* +X235858118Y-96599917D01* +X235866011Y-96583230D01* +X235875501Y-96567397D01* +X235886497Y-96552571D01* +X235898893Y-96538893D01* +X235912571Y-96526497D01* +X235927397Y-96515501D01* +X235943230Y-96506011D01* +X235959917Y-96498118D01* +X235977297Y-96491900D01* +X235995204Y-96487414D01* +X236013463Y-96484706D01* +X236031900Y-96483800D01* +X236408100Y-96483800D01* +X236426537Y-96484706D01* +X236426537Y-96484706D01* +G37* +D18* +X236220000Y-97410000D03* +D15* +G36* +X237696537Y-96484706D02* +G01* +X237714796Y-96487414D01* +X237732703Y-96491900D01* +X237750083Y-96498118D01* +X237766770Y-96506011D01* +X237782603Y-96515501D01* +X237797429Y-96526497D01* +X237811107Y-96538893D01* +X237823503Y-96552571D01* +X237834499Y-96567397D01* +X237843989Y-96583230D01* +X237851882Y-96599917D01* +X237858100Y-96617297D01* +X237862586Y-96635204D01* +X237865294Y-96653463D01* +X237866200Y-96671900D01* +X237866200Y-98148100D01* +X237865294Y-98166537D01* +X237862586Y-98184796D01* +X237858100Y-98202703D01* +X237851882Y-98220083D01* +X237843989Y-98236770D01* +X237834499Y-98252603D01* +X237823503Y-98267429D01* +X237811107Y-98281107D01* +X237797429Y-98293503D01* +X237782603Y-98304499D01* +X237766770Y-98313989D01* +X237750083Y-98321882D01* +X237732703Y-98328100D01* +X237714796Y-98332586D01* +X237696537Y-98335294D01* +X237678100Y-98336200D01* +X237301900Y-98336200D01* +X237283463Y-98335294D01* +X237265204Y-98332586D01* +X237247297Y-98328100D01* +X237229917Y-98321882D01* +X237213230Y-98313989D01* +X237197397Y-98304499D01* +X237182571Y-98293503D01* +X237168893Y-98281107D01* +X237156497Y-98267429D01* +X237145501Y-98252603D01* +X237136011Y-98236770D01* +X237128118Y-98220083D01* +X237121900Y-98202703D01* +X237117414Y-98184796D01* +X237114706Y-98166537D01* +X237113800Y-98148100D01* +X237113800Y-96671900D01* +X237114706Y-96653463D01* +X237117414Y-96635204D01* +X237121900Y-96617297D01* +X237128118Y-96599917D01* +X237136011Y-96583230D01* +X237145501Y-96567397D01* +X237156497Y-96552571D01* +X237168893Y-96538893D01* +X237182571Y-96526497D01* +X237197397Y-96515501D01* +X237213230Y-96506011D01* +X237229917Y-96498118D01* +X237247297Y-96491900D01* +X237265204Y-96487414D01* +X237283463Y-96484706D01* +X237301900Y-96483800D01* +X237678100Y-96483800D01* +X237696537Y-96484706D01* +X237696537Y-96484706D01* +G37* +D18* +X237490000Y-97410000D03* +D15* +G36* +X238966537Y-96484706D02* +G01* +X238984796Y-96487414D01* +X239002703Y-96491900D01* +X239020083Y-96498118D01* +X239036770Y-96506011D01* +X239052603Y-96515501D01* +X239067429Y-96526497D01* +X239081107Y-96538893D01* +X239093503Y-96552571D01* +X239104499Y-96567397D01* +X239113989Y-96583230D01* +X239121882Y-96599917D01* +X239128100Y-96617297D01* +X239132586Y-96635204D01* +X239135294Y-96653463D01* +X239136200Y-96671900D01* +X239136200Y-98148100D01* +X239135294Y-98166537D01* +X239132586Y-98184796D01* +X239128100Y-98202703D01* +X239121882Y-98220083D01* +X239113989Y-98236770D01* +X239104499Y-98252603D01* +X239093503Y-98267429D01* +X239081107Y-98281107D01* +X239067429Y-98293503D01* +X239052603Y-98304499D01* +X239036770Y-98313989D01* +X239020083Y-98321882D01* +X239002703Y-98328100D01* +X238984796Y-98332586D01* +X238966537Y-98335294D01* +X238948100Y-98336200D01* +X238571900Y-98336200D01* +X238553463Y-98335294D01* +X238535204Y-98332586D01* +X238517297Y-98328100D01* +X238499917Y-98321882D01* +X238483230Y-98313989D01* +X238467397Y-98304499D01* +X238452571Y-98293503D01* +X238438893Y-98281107D01* +X238426497Y-98267429D01* +X238415501Y-98252603D01* +X238406011Y-98236770D01* +X238398118Y-98220083D01* +X238391900Y-98202703D01* +X238387414Y-98184796D01* +X238384706Y-98166537D01* +X238383800Y-98148100D01* +X238383800Y-96671900D01* +X238384706Y-96653463D01* +X238387414Y-96635204D01* +X238391900Y-96617297D01* +X238398118Y-96599917D01* +X238406011Y-96583230D01* +X238415501Y-96567397D01* +X238426497Y-96552571D01* +X238438893Y-96538893D01* +X238452571Y-96526497D01* +X238467397Y-96515501D01* +X238483230Y-96506011D01* +X238499917Y-96498118D01* +X238517297Y-96491900D01* +X238535204Y-96487414D01* +X238553463Y-96484706D01* +X238571900Y-96483800D01* +X238948100Y-96483800D01* +X238966537Y-96484706D01* +X238966537Y-96484706D01* +G37* +D18* +X238760000Y-97410000D03* +D15* +G36* +X240236537Y-96484706D02* +G01* +X240254796Y-96487414D01* +X240272703Y-96491900D01* +X240290083Y-96498118D01* +X240306770Y-96506011D01* +X240322603Y-96515501D01* +X240337429Y-96526497D01* +X240351107Y-96538893D01* +X240363503Y-96552571D01* +X240374499Y-96567397D01* +X240383989Y-96583230D01* +X240391882Y-96599917D01* +X240398100Y-96617297D01* +X240402586Y-96635204D01* +X240405294Y-96653463D01* +X240406200Y-96671900D01* +X240406200Y-98148100D01* +X240405294Y-98166537D01* +X240402586Y-98184796D01* +X240398100Y-98202703D01* +X240391882Y-98220083D01* +X240383989Y-98236770D01* +X240374499Y-98252603D01* +X240363503Y-98267429D01* +X240351107Y-98281107D01* +X240337429Y-98293503D01* +X240322603Y-98304499D01* +X240306770Y-98313989D01* +X240290083Y-98321882D01* +X240272703Y-98328100D01* +X240254796Y-98332586D01* +X240236537Y-98335294D01* +X240218100Y-98336200D01* +X239841900Y-98336200D01* +X239823463Y-98335294D01* +X239805204Y-98332586D01* +X239787297Y-98328100D01* +X239769917Y-98321882D01* +X239753230Y-98313989D01* +X239737397Y-98304499D01* +X239722571Y-98293503D01* +X239708893Y-98281107D01* +X239696497Y-98267429D01* +X239685501Y-98252603D01* +X239676011Y-98236770D01* +X239668118Y-98220083D01* +X239661900Y-98202703D01* +X239657414Y-98184796D01* +X239654706Y-98166537D01* +X239653800Y-98148100D01* +X239653800Y-96671900D01* +X239654706Y-96653463D01* +X239657414Y-96635204D01* +X239661900Y-96617297D01* +X239668118Y-96599917D01* +X239676011Y-96583230D01* +X239685501Y-96567397D01* +X239696497Y-96552571D01* +X239708893Y-96538893D01* +X239722571Y-96526497D01* +X239737397Y-96515501D01* +X239753230Y-96506011D01* +X239769917Y-96498118D01* +X239787297Y-96491900D01* +X239805204Y-96487414D01* +X239823463Y-96484706D01* +X239841900Y-96483800D01* +X240218100Y-96483800D01* +X240236537Y-96484706D01* +X240236537Y-96484706D01* +G37* +D18* +X240030000Y-97410000D03* +D15* +G36* +X241506537Y-96484706D02* +G01* +X241524796Y-96487414D01* +X241542703Y-96491900D01* +X241560083Y-96498118D01* +X241576770Y-96506011D01* +X241592603Y-96515501D01* +X241607429Y-96526497D01* +X241621107Y-96538893D01* +X241633503Y-96552571D01* +X241644499Y-96567397D01* +X241653989Y-96583230D01* +X241661882Y-96599917D01* +X241668100Y-96617297D01* +X241672586Y-96635204D01* +X241675294Y-96653463D01* +X241676200Y-96671900D01* +X241676200Y-98148100D01* +X241675294Y-98166537D01* +X241672586Y-98184796D01* +X241668100Y-98202703D01* +X241661882Y-98220083D01* +X241653989Y-98236770D01* +X241644499Y-98252603D01* +X241633503Y-98267429D01* +X241621107Y-98281107D01* +X241607429Y-98293503D01* +X241592603Y-98304499D01* +X241576770Y-98313989D01* +X241560083Y-98321882D01* +X241542703Y-98328100D01* +X241524796Y-98332586D01* +X241506537Y-98335294D01* +X241488100Y-98336200D01* +X241111900Y-98336200D01* +X241093463Y-98335294D01* +X241075204Y-98332586D01* +X241057297Y-98328100D01* +X241039917Y-98321882D01* +X241023230Y-98313989D01* +X241007397Y-98304499D01* +X240992571Y-98293503D01* +X240978893Y-98281107D01* +X240966497Y-98267429D01* +X240955501Y-98252603D01* +X240946011Y-98236770D01* +X240938118Y-98220083D01* +X240931900Y-98202703D01* +X240927414Y-98184796D01* +X240924706Y-98166537D01* +X240923800Y-98148100D01* +X240923800Y-96671900D01* +X240924706Y-96653463D01* +X240927414Y-96635204D01* +X240931900Y-96617297D01* +X240938118Y-96599917D01* +X240946011Y-96583230D01* +X240955501Y-96567397D01* +X240966497Y-96552571D01* +X240978893Y-96538893D01* +X240992571Y-96526497D01* +X241007397Y-96515501D01* +X241023230Y-96506011D01* +X241039917Y-96498118D01* +X241057297Y-96491900D01* +X241075204Y-96487414D01* +X241093463Y-96484706D01* +X241111900Y-96483800D01* +X241488100Y-96483800D01* +X241506537Y-96484706D01* +X241506537Y-96484706D01* +G37* +D18* +X241300000Y-97410000D03* +D15* +G36* +X242776537Y-96484706D02* +G01* +X242794796Y-96487414D01* +X242812703Y-96491900D01* +X242830083Y-96498118D01* +X242846770Y-96506011D01* +X242862603Y-96515501D01* +X242877429Y-96526497D01* +X242891107Y-96538893D01* +X242903503Y-96552571D01* +X242914499Y-96567397D01* +X242923989Y-96583230D01* +X242931882Y-96599917D01* +X242938100Y-96617297D01* +X242942586Y-96635204D01* +X242945294Y-96653463D01* +X242946200Y-96671900D01* +X242946200Y-98148100D01* +X242945294Y-98166537D01* +X242942586Y-98184796D01* +X242938100Y-98202703D01* +X242931882Y-98220083D01* +X242923989Y-98236770D01* +X242914499Y-98252603D01* +X242903503Y-98267429D01* +X242891107Y-98281107D01* +X242877429Y-98293503D01* +X242862603Y-98304499D01* +X242846770Y-98313989D01* +X242830083Y-98321882D01* +X242812703Y-98328100D01* +X242794796Y-98332586D01* +X242776537Y-98335294D01* +X242758100Y-98336200D01* +X242381900Y-98336200D01* +X242363463Y-98335294D01* +X242345204Y-98332586D01* +X242327297Y-98328100D01* +X242309917Y-98321882D01* +X242293230Y-98313989D01* +X242277397Y-98304499D01* +X242262571Y-98293503D01* +X242248893Y-98281107D01* +X242236497Y-98267429D01* +X242225501Y-98252603D01* +X242216011Y-98236770D01* +X242208118Y-98220083D01* +X242201900Y-98202703D01* +X242197414Y-98184796D01* +X242194706Y-98166537D01* +X242193800Y-98148100D01* +X242193800Y-96671900D01* +X242194706Y-96653463D01* +X242197414Y-96635204D01* +X242201900Y-96617297D01* +X242208118Y-96599917D01* +X242216011Y-96583230D01* +X242225501Y-96567397D01* +X242236497Y-96552571D01* +X242248893Y-96538893D01* +X242262571Y-96526497D01* +X242277397Y-96515501D01* +X242293230Y-96506011D01* +X242309917Y-96498118D01* +X242327297Y-96491900D01* +X242345204Y-96487414D01* +X242363463Y-96484706D01* +X242381900Y-96483800D01* +X242758100Y-96483800D01* +X242776537Y-96484706D01* +X242776537Y-96484706D01* +G37* +D18* +X242570000Y-97410000D03* +D15* +G36* +X244046537Y-96484706D02* +G01* +X244064796Y-96487414D01* +X244082703Y-96491900D01* +X244100083Y-96498118D01* +X244116770Y-96506011D01* +X244132603Y-96515501D01* +X244147429Y-96526497D01* +X244161107Y-96538893D01* +X244173503Y-96552571D01* +X244184499Y-96567397D01* +X244193989Y-96583230D01* +X244201882Y-96599917D01* +X244208100Y-96617297D01* +X244212586Y-96635204D01* +X244215294Y-96653463D01* +X244216200Y-96671900D01* +X244216200Y-98148100D01* +X244215294Y-98166537D01* +X244212586Y-98184796D01* +X244208100Y-98202703D01* +X244201882Y-98220083D01* +X244193989Y-98236770D01* +X244184499Y-98252603D01* +X244173503Y-98267429D01* +X244161107Y-98281107D01* +X244147429Y-98293503D01* +X244132603Y-98304499D01* +X244116770Y-98313989D01* +X244100083Y-98321882D01* +X244082703Y-98328100D01* +X244064796Y-98332586D01* +X244046537Y-98335294D01* +X244028100Y-98336200D01* +X243651900Y-98336200D01* +X243633463Y-98335294D01* +X243615204Y-98332586D01* +X243597297Y-98328100D01* +X243579917Y-98321882D01* +X243563230Y-98313989D01* +X243547397Y-98304499D01* +X243532571Y-98293503D01* +X243518893Y-98281107D01* +X243506497Y-98267429D01* +X243495501Y-98252603D01* +X243486011Y-98236770D01* +X243478118Y-98220083D01* +X243471900Y-98202703D01* +X243467414Y-98184796D01* +X243464706Y-98166537D01* +X243463800Y-98148100D01* +X243463800Y-96671900D01* +X243464706Y-96653463D01* +X243467414Y-96635204D01* +X243471900Y-96617297D01* +X243478118Y-96599917D01* +X243486011Y-96583230D01* +X243495501Y-96567397D01* +X243506497Y-96552571D01* +X243518893Y-96538893D01* +X243532571Y-96526497D01* +X243547397Y-96515501D01* +X243563230Y-96506011D01* +X243579917Y-96498118D01* +X243597297Y-96491900D01* +X243615204Y-96487414D01* +X243633463Y-96484706D01* +X243651900Y-96483800D01* +X244028100Y-96483800D01* +X244046537Y-96484706D01* +X244046537Y-96484706D01* +G37* +D18* +X243840000Y-97410000D03* +D15* +G36* +X245316537Y-96484706D02* +G01* +X245334796Y-96487414D01* +X245352703Y-96491900D01* +X245370083Y-96498118D01* +X245386770Y-96506011D01* +X245402603Y-96515501D01* +X245417429Y-96526497D01* +X245431107Y-96538893D01* +X245443503Y-96552571D01* +X245454499Y-96567397D01* +X245463989Y-96583230D01* +X245471882Y-96599917D01* +X245478100Y-96617297D01* +X245482586Y-96635204D01* +X245485294Y-96653463D01* +X245486200Y-96671900D01* +X245486200Y-98148100D01* +X245485294Y-98166537D01* +X245482586Y-98184796D01* +X245478100Y-98202703D01* +X245471882Y-98220083D01* +X245463989Y-98236770D01* +X245454499Y-98252603D01* +X245443503Y-98267429D01* +X245431107Y-98281107D01* +X245417429Y-98293503D01* +X245402603Y-98304499D01* +X245386770Y-98313989D01* +X245370083Y-98321882D01* +X245352703Y-98328100D01* +X245334796Y-98332586D01* +X245316537Y-98335294D01* +X245298100Y-98336200D01* +X244921900Y-98336200D01* +X244903463Y-98335294D01* +X244885204Y-98332586D01* +X244867297Y-98328100D01* +X244849917Y-98321882D01* +X244833230Y-98313989D01* +X244817397Y-98304499D01* +X244802571Y-98293503D01* +X244788893Y-98281107D01* +X244776497Y-98267429D01* +X244765501Y-98252603D01* +X244756011Y-98236770D01* +X244748118Y-98220083D01* +X244741900Y-98202703D01* +X244737414Y-98184796D01* +X244734706Y-98166537D01* +X244733800Y-98148100D01* +X244733800Y-96671900D01* +X244734706Y-96653463D01* +X244737414Y-96635204D01* +X244741900Y-96617297D01* +X244748118Y-96599917D01* +X244756011Y-96583230D01* +X244765501Y-96567397D01* +X244776497Y-96552571D01* +X244788893Y-96538893D01* +X244802571Y-96526497D01* +X244817397Y-96515501D01* +X244833230Y-96506011D01* +X244849917Y-96498118D01* +X244867297Y-96491900D01* +X244885204Y-96487414D01* +X244903463Y-96484706D01* +X244921900Y-96483800D01* +X245298100Y-96483800D01* +X245316537Y-96484706D01* +X245316537Y-96484706D01* +G37* +D18* +X245110000Y-97410000D03* +D15* +G36* +X246586537Y-96484706D02* +G01* +X246604796Y-96487414D01* +X246622703Y-96491900D01* +X246640083Y-96498118D01* +X246656770Y-96506011D01* +X246672603Y-96515501D01* +X246687429Y-96526497D01* +X246701107Y-96538893D01* +X246713503Y-96552571D01* +X246724499Y-96567397D01* +X246733989Y-96583230D01* +X246741882Y-96599917D01* +X246748100Y-96617297D01* +X246752586Y-96635204D01* +X246755294Y-96653463D01* +X246756200Y-96671900D01* +X246756200Y-98148100D01* +X246755294Y-98166537D01* +X246752586Y-98184796D01* +X246748100Y-98202703D01* +X246741882Y-98220083D01* +X246733989Y-98236770D01* +X246724499Y-98252603D01* +X246713503Y-98267429D01* +X246701107Y-98281107D01* +X246687429Y-98293503D01* +X246672603Y-98304499D01* +X246656770Y-98313989D01* +X246640083Y-98321882D01* +X246622703Y-98328100D01* +X246604796Y-98332586D01* +X246586537Y-98335294D01* +X246568100Y-98336200D01* +X246191900Y-98336200D01* +X246173463Y-98335294D01* +X246155204Y-98332586D01* +X246137297Y-98328100D01* +X246119917Y-98321882D01* +X246103230Y-98313989D01* +X246087397Y-98304499D01* +X246072571Y-98293503D01* +X246058893Y-98281107D01* +X246046497Y-98267429D01* +X246035501Y-98252603D01* +X246026011Y-98236770D01* +X246018118Y-98220083D01* +X246011900Y-98202703D01* +X246007414Y-98184796D01* +X246004706Y-98166537D01* +X246003800Y-98148100D01* +X246003800Y-96671900D01* +X246004706Y-96653463D01* +X246007414Y-96635204D01* +X246011900Y-96617297D01* +X246018118Y-96599917D01* +X246026011Y-96583230D01* +X246035501Y-96567397D01* +X246046497Y-96552571D01* +X246058893Y-96538893D01* +X246072571Y-96526497D01* +X246087397Y-96515501D01* +X246103230Y-96506011D01* +X246119917Y-96498118D01* +X246137297Y-96491900D01* +X246155204Y-96487414D01* +X246173463Y-96484706D01* +X246191900Y-96483800D01* +X246568100Y-96483800D01* +X246586537Y-96484706D01* +X246586537Y-96484706D01* +G37* +D18* +X246380000Y-97410000D03* +D15* +G36* +X247856537Y-96484706D02* +G01* +X247874796Y-96487414D01* +X247892703Y-96491900D01* +X247910083Y-96498118D01* +X247926770Y-96506011D01* +X247942603Y-96515501D01* +X247957429Y-96526497D01* +X247971107Y-96538893D01* +X247983503Y-96552571D01* +X247994499Y-96567397D01* +X248003989Y-96583230D01* +X248011882Y-96599917D01* +X248018100Y-96617297D01* +X248022586Y-96635204D01* +X248025294Y-96653463D01* +X248026200Y-96671900D01* +X248026200Y-98148100D01* +X248025294Y-98166537D01* +X248022586Y-98184796D01* +X248018100Y-98202703D01* +X248011882Y-98220083D01* +X248003989Y-98236770D01* +X247994499Y-98252603D01* +X247983503Y-98267429D01* +X247971107Y-98281107D01* +X247957429Y-98293503D01* +X247942603Y-98304499D01* +X247926770Y-98313989D01* +X247910083Y-98321882D01* +X247892703Y-98328100D01* +X247874796Y-98332586D01* +X247856537Y-98335294D01* +X247838100Y-98336200D01* +X247461900Y-98336200D01* +X247443463Y-98335294D01* +X247425204Y-98332586D01* +X247407297Y-98328100D01* +X247389917Y-98321882D01* +X247373230Y-98313989D01* +X247357397Y-98304499D01* +X247342571Y-98293503D01* +X247328893Y-98281107D01* +X247316497Y-98267429D01* +X247305501Y-98252603D01* +X247296011Y-98236770D01* +X247288118Y-98220083D01* +X247281900Y-98202703D01* +X247277414Y-98184796D01* +X247274706Y-98166537D01* +X247273800Y-98148100D01* +X247273800Y-96671900D01* +X247274706Y-96653463D01* +X247277414Y-96635204D01* +X247281900Y-96617297D01* +X247288118Y-96599917D01* +X247296011Y-96583230D01* +X247305501Y-96567397D01* +X247316497Y-96552571D01* +X247328893Y-96538893D01* +X247342571Y-96526497D01* +X247357397Y-96515501D01* +X247373230Y-96506011D01* +X247389917Y-96498118D01* +X247407297Y-96491900D01* +X247425204Y-96487414D01* +X247443463Y-96484706D01* +X247461900Y-96483800D01* +X247838100Y-96483800D01* +X247856537Y-96484706D01* +X247856537Y-96484706D01* +G37* +D18* +X247650000Y-97410000D03* +D15* +G36* +X249126537Y-96484706D02* +G01* +X249144796Y-96487414D01* +X249162703Y-96491900D01* +X249180083Y-96498118D01* +X249196770Y-96506011D01* +X249212603Y-96515501D01* +X249227429Y-96526497D01* +X249241107Y-96538893D01* +X249253503Y-96552571D01* +X249264499Y-96567397D01* +X249273989Y-96583230D01* +X249281882Y-96599917D01* +X249288100Y-96617297D01* +X249292586Y-96635204D01* +X249295294Y-96653463D01* +X249296200Y-96671900D01* +X249296200Y-98148100D01* +X249295294Y-98166537D01* +X249292586Y-98184796D01* +X249288100Y-98202703D01* +X249281882Y-98220083D01* +X249273989Y-98236770D01* +X249264499Y-98252603D01* +X249253503Y-98267429D01* +X249241107Y-98281107D01* +X249227429Y-98293503D01* +X249212603Y-98304499D01* +X249196770Y-98313989D01* +X249180083Y-98321882D01* +X249162703Y-98328100D01* +X249144796Y-98332586D01* +X249126537Y-98335294D01* +X249108100Y-98336200D01* +X248731900Y-98336200D01* +X248713463Y-98335294D01* +X248695204Y-98332586D01* +X248677297Y-98328100D01* +X248659917Y-98321882D01* +X248643230Y-98313989D01* +X248627397Y-98304499D01* +X248612571Y-98293503D01* +X248598893Y-98281107D01* +X248586497Y-98267429D01* +X248575501Y-98252603D01* +X248566011Y-98236770D01* +X248558118Y-98220083D01* +X248551900Y-98202703D01* +X248547414Y-98184796D01* +X248544706Y-98166537D01* +X248543800Y-98148100D01* +X248543800Y-96671900D01* +X248544706Y-96653463D01* +X248547414Y-96635204D01* +X248551900Y-96617297D01* +X248558118Y-96599917D01* +X248566011Y-96583230D01* +X248575501Y-96567397D01* +X248586497Y-96552571D01* +X248598893Y-96538893D01* +X248612571Y-96526497D01* +X248627397Y-96515501D01* +X248643230Y-96506011D01* +X248659917Y-96498118D01* +X248677297Y-96491900D01* +X248695204Y-96487414D01* +X248713463Y-96484706D01* +X248731900Y-96483800D01* +X249108100Y-96483800D01* +X249126537Y-96484706D01* +X249126537Y-96484706D01* +G37* +D18* +X248920000Y-97410000D03* +D15* +G36* +X250396537Y-96484706D02* +G01* +X250414796Y-96487414D01* +X250432703Y-96491900D01* +X250450083Y-96498118D01* +X250466770Y-96506011D01* +X250482603Y-96515501D01* +X250497429Y-96526497D01* +X250511107Y-96538893D01* +X250523503Y-96552571D01* +X250534499Y-96567397D01* +X250543989Y-96583230D01* +X250551882Y-96599917D01* +X250558100Y-96617297D01* +X250562586Y-96635204D01* +X250565294Y-96653463D01* +X250566200Y-96671900D01* +X250566200Y-98148100D01* +X250565294Y-98166537D01* +X250562586Y-98184796D01* +X250558100Y-98202703D01* +X250551882Y-98220083D01* +X250543989Y-98236770D01* +X250534499Y-98252603D01* +X250523503Y-98267429D01* +X250511107Y-98281107D01* +X250497429Y-98293503D01* +X250482603Y-98304499D01* +X250466770Y-98313989D01* +X250450083Y-98321882D01* +X250432703Y-98328100D01* +X250414796Y-98332586D01* +X250396537Y-98335294D01* +X250378100Y-98336200D01* +X250001900Y-98336200D01* +X249983463Y-98335294D01* +X249965204Y-98332586D01* +X249947297Y-98328100D01* +X249929917Y-98321882D01* +X249913230Y-98313989D01* +X249897397Y-98304499D01* +X249882571Y-98293503D01* +X249868893Y-98281107D01* +X249856497Y-98267429D01* +X249845501Y-98252603D01* +X249836011Y-98236770D01* +X249828118Y-98220083D01* +X249821900Y-98202703D01* +X249817414Y-98184796D01* +X249814706Y-98166537D01* +X249813800Y-98148100D01* +X249813800Y-96671900D01* +X249814706Y-96653463D01* +X249817414Y-96635204D01* +X249821900Y-96617297D01* +X249828118Y-96599917D01* +X249836011Y-96583230D01* +X249845501Y-96567397D01* +X249856497Y-96552571D01* +X249868893Y-96538893D01* +X249882571Y-96526497D01* +X249897397Y-96515501D01* +X249913230Y-96506011D01* +X249929917Y-96498118D01* +X249947297Y-96491900D01* +X249965204Y-96487414D01* +X249983463Y-96484706D01* +X250001900Y-96483800D01* +X250378100Y-96483800D01* +X250396537Y-96484706D01* +X250396537Y-96484706D01* +G37* +D18* +X250190000Y-97410000D03* +D15* +G36* +X251666537Y-96484706D02* +G01* +X251684796Y-96487414D01* +X251702703Y-96491900D01* +X251720083Y-96498118D01* +X251736770Y-96506011D01* +X251752603Y-96515501D01* +X251767429Y-96526497D01* +X251781107Y-96538893D01* +X251793503Y-96552571D01* +X251804499Y-96567397D01* +X251813989Y-96583230D01* +X251821882Y-96599917D01* +X251828100Y-96617297D01* +X251832586Y-96635204D01* +X251835294Y-96653463D01* +X251836200Y-96671900D01* +X251836200Y-98148100D01* +X251835294Y-98166537D01* +X251832586Y-98184796D01* +X251828100Y-98202703D01* +X251821882Y-98220083D01* +X251813989Y-98236770D01* +X251804499Y-98252603D01* +X251793503Y-98267429D01* +X251781107Y-98281107D01* +X251767429Y-98293503D01* +X251752603Y-98304499D01* +X251736770Y-98313989D01* +X251720083Y-98321882D01* +X251702703Y-98328100D01* +X251684796Y-98332586D01* +X251666537Y-98335294D01* +X251648100Y-98336200D01* +X251271900Y-98336200D01* +X251253463Y-98335294D01* +X251235204Y-98332586D01* +X251217297Y-98328100D01* +X251199917Y-98321882D01* +X251183230Y-98313989D01* +X251167397Y-98304499D01* +X251152571Y-98293503D01* +X251138893Y-98281107D01* +X251126497Y-98267429D01* +X251115501Y-98252603D01* +X251106011Y-98236770D01* +X251098118Y-98220083D01* +X251091900Y-98202703D01* +X251087414Y-98184796D01* +X251084706Y-98166537D01* +X251083800Y-98148100D01* +X251083800Y-96671900D01* +X251084706Y-96653463D01* +X251087414Y-96635204D01* +X251091900Y-96617297D01* +X251098118Y-96599917D01* +X251106011Y-96583230D01* +X251115501Y-96567397D01* +X251126497Y-96552571D01* +X251138893Y-96538893D01* +X251152571Y-96526497D01* +X251167397Y-96515501D01* +X251183230Y-96506011D01* +X251199917Y-96498118D01* +X251217297Y-96491900D01* +X251235204Y-96487414D01* +X251253463Y-96484706D01* +X251271900Y-96483800D01* +X251648100Y-96483800D01* +X251666537Y-96484706D01* +X251666537Y-96484706D01* +G37* +D18* +X251460000Y-97410000D03* +D15* +G36* +X252936537Y-96484706D02* +G01* +X252954796Y-96487414D01* +X252972703Y-96491900D01* +X252990083Y-96498118D01* +X253006770Y-96506011D01* +X253022603Y-96515501D01* +X253037429Y-96526497D01* +X253051107Y-96538893D01* +X253063503Y-96552571D01* +X253074499Y-96567397D01* +X253083989Y-96583230D01* +X253091882Y-96599917D01* +X253098100Y-96617297D01* +X253102586Y-96635204D01* +X253105294Y-96653463D01* +X253106200Y-96671900D01* +X253106200Y-98148100D01* +X253105294Y-98166537D01* +X253102586Y-98184796D01* +X253098100Y-98202703D01* +X253091882Y-98220083D01* +X253083989Y-98236770D01* +X253074499Y-98252603D01* +X253063503Y-98267429D01* +X253051107Y-98281107D01* +X253037429Y-98293503D01* +X253022603Y-98304499D01* +X253006770Y-98313989D01* +X252990083Y-98321882D01* +X252972703Y-98328100D01* +X252954796Y-98332586D01* +X252936537Y-98335294D01* +X252918100Y-98336200D01* +X252541900Y-98336200D01* +X252523463Y-98335294D01* +X252505204Y-98332586D01* +X252487297Y-98328100D01* +X252469917Y-98321882D01* +X252453230Y-98313989D01* +X252437397Y-98304499D01* +X252422571Y-98293503D01* +X252408893Y-98281107D01* +X252396497Y-98267429D01* +X252385501Y-98252603D01* +X252376011Y-98236770D01* +X252368118Y-98220083D01* +X252361900Y-98202703D01* +X252357414Y-98184796D01* +X252354706Y-98166537D01* +X252353800Y-98148100D01* +X252353800Y-96671900D01* +X252354706Y-96653463D01* +X252357414Y-96635204D01* +X252361900Y-96617297D01* +X252368118Y-96599917D01* +X252376011Y-96583230D01* +X252385501Y-96567397D01* +X252396497Y-96552571D01* +X252408893Y-96538893D01* +X252422571Y-96526497D01* +X252437397Y-96515501D01* +X252453230Y-96506011D01* +X252469917Y-96498118D01* +X252487297Y-96491900D01* +X252505204Y-96487414D01* +X252523463Y-96484706D01* +X252541900Y-96483800D01* +X252918100Y-96483800D01* +X252936537Y-96484706D01* +X252936537Y-96484706D01* +G37* +D18* +X252730000Y-97410000D03* +D15* +G36* +X254206537Y-96484706D02* +G01* +X254224796Y-96487414D01* +X254242703Y-96491900D01* +X254260083Y-96498118D01* +X254276770Y-96506011D01* +X254292603Y-96515501D01* +X254307429Y-96526497D01* +X254321107Y-96538893D01* +X254333503Y-96552571D01* +X254344499Y-96567397D01* +X254353989Y-96583230D01* +X254361882Y-96599917D01* +X254368100Y-96617297D01* +X254372586Y-96635204D01* +X254375294Y-96653463D01* +X254376200Y-96671900D01* +X254376200Y-98148100D01* +X254375294Y-98166537D01* +X254372586Y-98184796D01* +X254368100Y-98202703D01* +X254361882Y-98220083D01* +X254353989Y-98236770D01* +X254344499Y-98252603D01* +X254333503Y-98267429D01* +X254321107Y-98281107D01* +X254307429Y-98293503D01* +X254292603Y-98304499D01* +X254276770Y-98313989D01* +X254260083Y-98321882D01* +X254242703Y-98328100D01* +X254224796Y-98332586D01* +X254206537Y-98335294D01* +X254188100Y-98336200D01* +X253811900Y-98336200D01* +X253793463Y-98335294D01* +X253775204Y-98332586D01* +X253757297Y-98328100D01* +X253739917Y-98321882D01* +X253723230Y-98313989D01* +X253707397Y-98304499D01* +X253692571Y-98293503D01* +X253678893Y-98281107D01* +X253666497Y-98267429D01* +X253655501Y-98252603D01* +X253646011Y-98236770D01* +X253638118Y-98220083D01* +X253631900Y-98202703D01* +X253627414Y-98184796D01* +X253624706Y-98166537D01* +X253623800Y-98148100D01* +X253623800Y-96671900D01* +X253624706Y-96653463D01* +X253627414Y-96635204D01* +X253631900Y-96617297D01* +X253638118Y-96599917D01* +X253646011Y-96583230D01* +X253655501Y-96567397D01* +X253666497Y-96552571D01* +X253678893Y-96538893D01* +X253692571Y-96526497D01* +X253707397Y-96515501D01* +X253723230Y-96506011D01* +X253739917Y-96498118D01* +X253757297Y-96491900D01* +X253775204Y-96487414D01* +X253793463Y-96484706D01* +X253811900Y-96483800D01* +X254188100Y-96483800D01* +X254206537Y-96484706D01* +X254206537Y-96484706D01* +G37* +D18* +X254000000Y-97410000D03* +D15* +G36* +X255476537Y-96484706D02* +G01* +X255494796Y-96487414D01* +X255512703Y-96491900D01* +X255530083Y-96498118D01* +X255546770Y-96506011D01* +X255562603Y-96515501D01* +X255577429Y-96526497D01* +X255591107Y-96538893D01* +X255603503Y-96552571D01* +X255614499Y-96567397D01* +X255623989Y-96583230D01* +X255631882Y-96599917D01* +X255638100Y-96617297D01* +X255642586Y-96635204D01* +X255645294Y-96653463D01* +X255646200Y-96671900D01* +X255646200Y-98148100D01* +X255645294Y-98166537D01* +X255642586Y-98184796D01* +X255638100Y-98202703D01* +X255631882Y-98220083D01* +X255623989Y-98236770D01* +X255614499Y-98252603D01* +X255603503Y-98267429D01* +X255591107Y-98281107D01* +X255577429Y-98293503D01* +X255562603Y-98304499D01* +X255546770Y-98313989D01* +X255530083Y-98321882D01* +X255512703Y-98328100D01* +X255494796Y-98332586D01* +X255476537Y-98335294D01* +X255458100Y-98336200D01* +X255081900Y-98336200D01* +X255063463Y-98335294D01* +X255045204Y-98332586D01* +X255027297Y-98328100D01* +X255009917Y-98321882D01* +X254993230Y-98313989D01* +X254977397Y-98304499D01* +X254962571Y-98293503D01* +X254948893Y-98281107D01* +X254936497Y-98267429D01* +X254925501Y-98252603D01* +X254916011Y-98236770D01* +X254908118Y-98220083D01* +X254901900Y-98202703D01* +X254897414Y-98184796D01* +X254894706Y-98166537D01* +X254893800Y-98148100D01* +X254893800Y-96671900D01* +X254894706Y-96653463D01* +X254897414Y-96635204D01* +X254901900Y-96617297D01* +X254908118Y-96599917D01* +X254916011Y-96583230D01* +X254925501Y-96567397D01* +X254936497Y-96552571D01* +X254948893Y-96538893D01* +X254962571Y-96526497D01* +X254977397Y-96515501D01* +X254993230Y-96506011D01* +X255009917Y-96498118D01* +X255027297Y-96491900D01* +X255045204Y-96487414D01* +X255063463Y-96484706D01* +X255081900Y-96483800D01* +X255458100Y-96483800D01* +X255476537Y-96484706D01* +X255476537Y-96484706D01* +G37* +D18* +X255270000Y-97410000D03* +D15* +G36* +X256746537Y-96484706D02* +G01* +X256764796Y-96487414D01* +X256782703Y-96491900D01* +X256800083Y-96498118D01* +X256816770Y-96506011D01* +X256832603Y-96515501D01* +X256847429Y-96526497D01* +X256861107Y-96538893D01* +X256873503Y-96552571D01* +X256884499Y-96567397D01* +X256893989Y-96583230D01* +X256901882Y-96599917D01* +X256908100Y-96617297D01* +X256912586Y-96635204D01* +X256915294Y-96653463D01* +X256916200Y-96671900D01* +X256916200Y-98148100D01* +X256915294Y-98166537D01* +X256912586Y-98184796D01* +X256908100Y-98202703D01* +X256901882Y-98220083D01* +X256893989Y-98236770D01* +X256884499Y-98252603D01* +X256873503Y-98267429D01* +X256861107Y-98281107D01* +X256847429Y-98293503D01* +X256832603Y-98304499D01* +X256816770Y-98313989D01* +X256800083Y-98321882D01* +X256782703Y-98328100D01* +X256764796Y-98332586D01* +X256746537Y-98335294D01* +X256728100Y-98336200D01* +X256351900Y-98336200D01* +X256333463Y-98335294D01* +X256315204Y-98332586D01* +X256297297Y-98328100D01* +X256279917Y-98321882D01* +X256263230Y-98313989D01* +X256247397Y-98304499D01* +X256232571Y-98293503D01* +X256218893Y-98281107D01* +X256206497Y-98267429D01* +X256195501Y-98252603D01* +X256186011Y-98236770D01* +X256178118Y-98220083D01* +X256171900Y-98202703D01* +X256167414Y-98184796D01* +X256164706Y-98166537D01* +X256163800Y-98148100D01* +X256163800Y-96671900D01* +X256164706Y-96653463D01* +X256167414Y-96635204D01* +X256171900Y-96617297D01* +X256178118Y-96599917D01* +X256186011Y-96583230D01* +X256195501Y-96567397D01* +X256206497Y-96552571D01* +X256218893Y-96538893D01* +X256232571Y-96526497D01* +X256247397Y-96515501D01* +X256263230Y-96506011D01* +X256279917Y-96498118D01* +X256297297Y-96491900D01* +X256315204Y-96487414D01* +X256333463Y-96484706D01* +X256351900Y-96483800D01* +X256728100Y-96483800D01* +X256746537Y-96484706D01* +X256746537Y-96484706D01* +G37* +D18* +X256540000Y-97410000D03* +D15* +G36* +X258016537Y-96484706D02* +G01* +X258034796Y-96487414D01* +X258052703Y-96491900D01* +X258070083Y-96498118D01* +X258086770Y-96506011D01* +X258102603Y-96515501D01* +X258117429Y-96526497D01* +X258131107Y-96538893D01* +X258143503Y-96552571D01* +X258154499Y-96567397D01* +X258163989Y-96583230D01* +X258171882Y-96599917D01* +X258178100Y-96617297D01* +X258182586Y-96635204D01* +X258185294Y-96653463D01* +X258186200Y-96671900D01* +X258186200Y-98148100D01* +X258185294Y-98166537D01* +X258182586Y-98184796D01* +X258178100Y-98202703D01* +X258171882Y-98220083D01* +X258163989Y-98236770D01* +X258154499Y-98252603D01* +X258143503Y-98267429D01* +X258131107Y-98281107D01* +X258117429Y-98293503D01* +X258102603Y-98304499D01* +X258086770Y-98313989D01* +X258070083Y-98321882D01* +X258052703Y-98328100D01* +X258034796Y-98332586D01* +X258016537Y-98335294D01* +X257998100Y-98336200D01* +X257621900Y-98336200D01* +X257603463Y-98335294D01* +X257585204Y-98332586D01* +X257567297Y-98328100D01* +X257549917Y-98321882D01* +X257533230Y-98313989D01* +X257517397Y-98304499D01* +X257502571Y-98293503D01* +X257488893Y-98281107D01* +X257476497Y-98267429D01* +X257465501Y-98252603D01* +X257456011Y-98236770D01* +X257448118Y-98220083D01* +X257441900Y-98202703D01* +X257437414Y-98184796D01* +X257434706Y-98166537D01* +X257433800Y-98148100D01* +X257433800Y-96671900D01* +X257434706Y-96653463D01* +X257437414Y-96635204D01* +X257441900Y-96617297D01* +X257448118Y-96599917D01* +X257456011Y-96583230D01* +X257465501Y-96567397D01* +X257476497Y-96552571D01* +X257488893Y-96538893D01* +X257502571Y-96526497D01* +X257517397Y-96515501D01* +X257533230Y-96506011D01* +X257549917Y-96498118D01* +X257567297Y-96491900D01* +X257585204Y-96487414D01* +X257603463Y-96484706D01* +X257621900Y-96483800D01* +X257998100Y-96483800D01* +X258016537Y-96484706D01* +X258016537Y-96484706D01* +G37* +D18* +X257810000Y-97410000D03* +D15* +G36* +X259286537Y-96484706D02* +G01* +X259304796Y-96487414D01* +X259322703Y-96491900D01* +X259340083Y-96498118D01* +X259356770Y-96506011D01* +X259372603Y-96515501D01* +X259387429Y-96526497D01* +X259401107Y-96538893D01* +X259413503Y-96552571D01* +X259424499Y-96567397D01* +X259433989Y-96583230D01* +X259441882Y-96599917D01* +X259448100Y-96617297D01* +X259452586Y-96635204D01* +X259455294Y-96653463D01* +X259456200Y-96671900D01* +X259456200Y-98148100D01* +X259455294Y-98166537D01* +X259452586Y-98184796D01* +X259448100Y-98202703D01* +X259441882Y-98220083D01* +X259433989Y-98236770D01* +X259424499Y-98252603D01* +X259413503Y-98267429D01* +X259401107Y-98281107D01* +X259387429Y-98293503D01* +X259372603Y-98304499D01* +X259356770Y-98313989D01* +X259340083Y-98321882D01* +X259322703Y-98328100D01* +X259304796Y-98332586D01* +X259286537Y-98335294D01* +X259268100Y-98336200D01* +X258891900Y-98336200D01* +X258873463Y-98335294D01* +X258855204Y-98332586D01* +X258837297Y-98328100D01* +X258819917Y-98321882D01* +X258803230Y-98313989D01* +X258787397Y-98304499D01* +X258772571Y-98293503D01* +X258758893Y-98281107D01* +X258746497Y-98267429D01* +X258735501Y-98252603D01* +X258726011Y-98236770D01* +X258718118Y-98220083D01* +X258711900Y-98202703D01* +X258707414Y-98184796D01* +X258704706Y-98166537D01* +X258703800Y-98148100D01* +X258703800Y-96671900D01* +X258704706Y-96653463D01* +X258707414Y-96635204D01* +X258711900Y-96617297D01* +X258718118Y-96599917D01* +X258726011Y-96583230D01* +X258735501Y-96567397D01* +X258746497Y-96552571D01* +X258758893Y-96538893D01* +X258772571Y-96526497D01* +X258787397Y-96515501D01* +X258803230Y-96506011D01* +X258819917Y-96498118D01* +X258837297Y-96491900D01* +X258855204Y-96487414D01* +X258873463Y-96484706D01* +X258891900Y-96483800D01* +X259268100Y-96483800D01* +X259286537Y-96484706D01* +X259286537Y-96484706D01* +G37* +D18* +X259080000Y-97410000D03* +D15* +G36* +X260556537Y-96484706D02* +G01* +X260574796Y-96487414D01* +X260592703Y-96491900D01* +X260610083Y-96498118D01* +X260626770Y-96506011D01* +X260642603Y-96515501D01* +X260657429Y-96526497D01* +X260671107Y-96538893D01* +X260683503Y-96552571D01* +X260694499Y-96567397D01* +X260703989Y-96583230D01* +X260711882Y-96599917D01* +X260718100Y-96617297D01* +X260722586Y-96635204D01* +X260725294Y-96653463D01* +X260726200Y-96671900D01* +X260726200Y-98148100D01* +X260725294Y-98166537D01* +X260722586Y-98184796D01* +X260718100Y-98202703D01* +X260711882Y-98220083D01* +X260703989Y-98236770D01* +X260694499Y-98252603D01* +X260683503Y-98267429D01* +X260671107Y-98281107D01* +X260657429Y-98293503D01* +X260642603Y-98304499D01* +X260626770Y-98313989D01* +X260610083Y-98321882D01* +X260592703Y-98328100D01* +X260574796Y-98332586D01* +X260556537Y-98335294D01* +X260538100Y-98336200D01* +X260161900Y-98336200D01* +X260143463Y-98335294D01* +X260125204Y-98332586D01* +X260107297Y-98328100D01* +X260089917Y-98321882D01* +X260073230Y-98313989D01* +X260057397Y-98304499D01* +X260042571Y-98293503D01* +X260028893Y-98281107D01* +X260016497Y-98267429D01* +X260005501Y-98252603D01* +X259996011Y-98236770D01* +X259988118Y-98220083D01* +X259981900Y-98202703D01* +X259977414Y-98184796D01* +X259974706Y-98166537D01* +X259973800Y-98148100D01* +X259973800Y-96671900D01* +X259974706Y-96653463D01* +X259977414Y-96635204D01* +X259981900Y-96617297D01* +X259988118Y-96599917D01* +X259996011Y-96583230D01* +X260005501Y-96567397D01* +X260016497Y-96552571D01* +X260028893Y-96538893D01* +X260042571Y-96526497D01* +X260057397Y-96515501D01* +X260073230Y-96506011D01* +X260089917Y-96498118D01* +X260107297Y-96491900D01* +X260125204Y-96487414D01* +X260143463Y-96484706D01* +X260161900Y-96483800D01* +X260538100Y-96483800D01* +X260556537Y-96484706D01* +X260556537Y-96484706D01* +G37* +D18* +X260350000Y-97410000D03* +D15* +G36* +X262756537Y-98684706D02* +G01* +X262774796Y-98687414D01* +X262792703Y-98691900D01* +X262810083Y-98698118D01* +X262826770Y-98706011D01* +X262842603Y-98715501D01* +X262857429Y-98726497D01* +X262871107Y-98738893D01* +X262883503Y-98752571D01* +X262894499Y-98767397D01* +X262903989Y-98783230D01* +X262911882Y-98799917D01* +X262918100Y-98817297D01* +X262922586Y-98835204D01* +X262925294Y-98853463D01* +X262926200Y-98871900D01* +X262926200Y-99248100D01* +X262925294Y-99266537D01* +X262922586Y-99284796D01* +X262918100Y-99302703D01* +X262911882Y-99320083D01* +X262903989Y-99336770D01* +X262894499Y-99352603D01* +X262883503Y-99367429D01* +X262871107Y-99381107D01* +X262857429Y-99393503D01* +X262842603Y-99404499D01* +X262826770Y-99413989D01* +X262810083Y-99421882D01* +X262792703Y-99428100D01* +X262774796Y-99432586D01* +X262756537Y-99435294D01* +X262738100Y-99436200D01* +X261261900Y-99436200D01* +X261243463Y-99435294D01* +X261225204Y-99432586D01* +X261207297Y-99428100D01* +X261189917Y-99421882D01* +X261173230Y-99413989D01* +X261157397Y-99404499D01* +X261142571Y-99393503D01* +X261128893Y-99381107D01* +X261116497Y-99367429D01* +X261105501Y-99352603D01* +X261096011Y-99336770D01* +X261088118Y-99320083D01* +X261081900Y-99302703D01* +X261077414Y-99284796D01* +X261074706Y-99266537D01* +X261073800Y-99248100D01* +X261073800Y-98871900D01* +X261074706Y-98853463D01* +X261077414Y-98835204D01* +X261081900Y-98817297D01* +X261088118Y-98799917D01* +X261096011Y-98783230D01* +X261105501Y-98767397D01* +X261116497Y-98752571D01* +X261128893Y-98738893D01* +X261142571Y-98726497D01* +X261157397Y-98715501D01* +X261173230Y-98706011D01* +X261189917Y-98698118D01* +X261207297Y-98691900D01* +X261225204Y-98687414D01* +X261243463Y-98684706D01* +X261261900Y-98683800D01* +X262738100Y-98683800D01* +X262756537Y-98684706D01* +X262756537Y-98684706D01* +G37* +D18* +X262000000Y-99060000D03* +D15* +G36* +X262756537Y-99954706D02* +G01* +X262774796Y-99957414D01* +X262792703Y-99961900D01* +X262810083Y-99968118D01* +X262826770Y-99976011D01* +X262842603Y-99985501D01* +X262857429Y-99996497D01* +X262871107Y-100008893D01* +X262883503Y-100022571D01* +X262894499Y-100037397D01* +X262903989Y-100053230D01* +X262911882Y-100069917D01* +X262918100Y-100087297D01* +X262922586Y-100105204D01* +X262925294Y-100123463D01* +X262926200Y-100141900D01* +X262926200Y-100518100D01* +X262925294Y-100536537D01* +X262922586Y-100554796D01* +X262918100Y-100572703D01* +X262911882Y-100590083D01* +X262903989Y-100606770D01* +X262894499Y-100622603D01* +X262883503Y-100637429D01* +X262871107Y-100651107D01* +X262857429Y-100663503D01* +X262842603Y-100674499D01* +X262826770Y-100683989D01* +X262810083Y-100691882D01* +X262792703Y-100698100D01* +X262774796Y-100702586D01* +X262756537Y-100705294D01* +X262738100Y-100706200D01* +X261261900Y-100706200D01* +X261243463Y-100705294D01* +X261225204Y-100702586D01* +X261207297Y-100698100D01* +X261189917Y-100691882D01* +X261173230Y-100683989D01* +X261157397Y-100674499D01* +X261142571Y-100663503D01* +X261128893Y-100651107D01* +X261116497Y-100637429D01* +X261105501Y-100622603D01* +X261096011Y-100606770D01* +X261088118Y-100590083D01* +X261081900Y-100572703D01* +X261077414Y-100554796D01* +X261074706Y-100536537D01* +X261073800Y-100518100D01* +X261073800Y-100141900D01* +X261074706Y-100123463D01* +X261077414Y-100105204D01* +X261081900Y-100087297D01* +X261088118Y-100069917D01* +X261096011Y-100053230D01* +X261105501Y-100037397D01* +X261116497Y-100022571D01* +X261128893Y-100008893D01* +X261142571Y-99996497D01* +X261157397Y-99985501D01* +X261173230Y-99976011D01* +X261189917Y-99968118D01* +X261207297Y-99961900D01* +X261225204Y-99957414D01* +X261243463Y-99954706D01* +X261261900Y-99953800D01* +X262738100Y-99953800D01* +X262756537Y-99954706D01* +X262756537Y-99954706D01* +G37* +D18* +X262000000Y-100330000D03* +D15* +G36* +X262756537Y-101224706D02* +G01* +X262774796Y-101227414D01* +X262792703Y-101231900D01* +X262810083Y-101238118D01* +X262826770Y-101246011D01* +X262842603Y-101255501D01* +X262857429Y-101266497D01* +X262871107Y-101278893D01* +X262883503Y-101292571D01* +X262894499Y-101307397D01* +X262903989Y-101323230D01* +X262911882Y-101339917D01* +X262918100Y-101357297D01* +X262922586Y-101375204D01* +X262925294Y-101393463D01* +X262926200Y-101411900D01* +X262926200Y-101788100D01* +X262925294Y-101806537D01* +X262922586Y-101824796D01* +X262918100Y-101842703D01* +X262911882Y-101860083D01* +X262903989Y-101876770D01* +X262894499Y-101892603D01* +X262883503Y-101907429D01* +X262871107Y-101921107D01* +X262857429Y-101933503D01* +X262842603Y-101944499D01* +X262826770Y-101953989D01* +X262810083Y-101961882D01* +X262792703Y-101968100D01* +X262774796Y-101972586D01* +X262756537Y-101975294D01* +X262738100Y-101976200D01* +X261261900Y-101976200D01* +X261243463Y-101975294D01* +X261225204Y-101972586D01* +X261207297Y-101968100D01* +X261189917Y-101961882D01* +X261173230Y-101953989D01* +X261157397Y-101944499D01* +X261142571Y-101933503D01* +X261128893Y-101921107D01* +X261116497Y-101907429D01* +X261105501Y-101892603D01* +X261096011Y-101876770D01* +X261088118Y-101860083D01* +X261081900Y-101842703D01* +X261077414Y-101824796D01* +X261074706Y-101806537D01* +X261073800Y-101788100D01* +X261073800Y-101411900D01* +X261074706Y-101393463D01* +X261077414Y-101375204D01* +X261081900Y-101357297D01* +X261088118Y-101339917D01* +X261096011Y-101323230D01* +X261105501Y-101307397D01* +X261116497Y-101292571D01* +X261128893Y-101278893D01* +X261142571Y-101266497D01* +X261157397Y-101255501D01* +X261173230Y-101246011D01* +X261189917Y-101238118D01* +X261207297Y-101231900D01* +X261225204Y-101227414D01* +X261243463Y-101224706D01* +X261261900Y-101223800D01* +X262738100Y-101223800D01* +X262756537Y-101224706D01* +X262756537Y-101224706D01* +G37* +D18* +X262000000Y-101600000D03* +D15* +G36* +X262756537Y-102494706D02* +G01* +X262774796Y-102497414D01* +X262792703Y-102501900D01* +X262810083Y-102508118D01* +X262826770Y-102516011D01* +X262842603Y-102525501D01* +X262857429Y-102536497D01* +X262871107Y-102548893D01* +X262883503Y-102562571D01* +X262894499Y-102577397D01* +X262903989Y-102593230D01* +X262911882Y-102609917D01* +X262918100Y-102627297D01* +X262922586Y-102645204D01* +X262925294Y-102663463D01* +X262926200Y-102681900D01* +X262926200Y-103058100D01* +X262925294Y-103076537D01* +X262922586Y-103094796D01* +X262918100Y-103112703D01* +X262911882Y-103130083D01* +X262903989Y-103146770D01* +X262894499Y-103162603D01* +X262883503Y-103177429D01* +X262871107Y-103191107D01* +X262857429Y-103203503D01* +X262842603Y-103214499D01* +X262826770Y-103223989D01* +X262810083Y-103231882D01* +X262792703Y-103238100D01* +X262774796Y-103242586D01* +X262756537Y-103245294D01* +X262738100Y-103246200D01* +X261261900Y-103246200D01* +X261243463Y-103245294D01* +X261225204Y-103242586D01* +X261207297Y-103238100D01* +X261189917Y-103231882D01* +X261173230Y-103223989D01* +X261157397Y-103214499D01* +X261142571Y-103203503D01* +X261128893Y-103191107D01* +X261116497Y-103177429D01* +X261105501Y-103162603D01* +X261096011Y-103146770D01* +X261088118Y-103130083D01* +X261081900Y-103112703D01* +X261077414Y-103094796D01* +X261074706Y-103076537D01* +X261073800Y-103058100D01* +X261073800Y-102681900D01* +X261074706Y-102663463D01* +X261077414Y-102645204D01* +X261081900Y-102627297D01* +X261088118Y-102609917D01* +X261096011Y-102593230D01* +X261105501Y-102577397D01* +X261116497Y-102562571D01* +X261128893Y-102548893D01* +X261142571Y-102536497D01* +X261157397Y-102525501D01* +X261173230Y-102516011D01* +X261189917Y-102508118D01* +X261207297Y-102501900D01* +X261225204Y-102497414D01* +X261243463Y-102494706D01* +X261261900Y-102493800D01* +X262738100Y-102493800D01* +X262756537Y-102494706D01* +X262756537Y-102494706D01* +G37* +D18* +X262000000Y-102870000D03* +D15* +G36* +X262756537Y-103764706D02* +G01* +X262774796Y-103767414D01* +X262792703Y-103771900D01* +X262810083Y-103778118D01* +X262826770Y-103786011D01* +X262842603Y-103795501D01* +X262857429Y-103806497D01* +X262871107Y-103818893D01* +X262883503Y-103832571D01* +X262894499Y-103847397D01* +X262903989Y-103863230D01* +X262911882Y-103879917D01* +X262918100Y-103897297D01* +X262922586Y-103915204D01* +X262925294Y-103933463D01* +X262926200Y-103951900D01* +X262926200Y-104328100D01* +X262925294Y-104346537D01* +X262922586Y-104364796D01* +X262918100Y-104382703D01* +X262911882Y-104400083D01* +X262903989Y-104416770D01* +X262894499Y-104432603D01* +X262883503Y-104447429D01* +X262871107Y-104461107D01* +X262857429Y-104473503D01* +X262842603Y-104484499D01* +X262826770Y-104493989D01* +X262810083Y-104501882D01* +X262792703Y-104508100D01* +X262774796Y-104512586D01* +X262756537Y-104515294D01* +X262738100Y-104516200D01* +X261261900Y-104516200D01* +X261243463Y-104515294D01* +X261225204Y-104512586D01* +X261207297Y-104508100D01* +X261189917Y-104501882D01* +X261173230Y-104493989D01* +X261157397Y-104484499D01* +X261142571Y-104473503D01* +X261128893Y-104461107D01* +X261116497Y-104447429D01* +X261105501Y-104432603D01* +X261096011Y-104416770D01* +X261088118Y-104400083D01* +X261081900Y-104382703D01* +X261077414Y-104364796D01* +X261074706Y-104346537D01* +X261073800Y-104328100D01* +X261073800Y-103951900D01* +X261074706Y-103933463D01* +X261077414Y-103915204D01* +X261081900Y-103897297D01* +X261088118Y-103879917D01* +X261096011Y-103863230D01* +X261105501Y-103847397D01* +X261116497Y-103832571D01* +X261128893Y-103818893D01* +X261142571Y-103806497D01* +X261157397Y-103795501D01* +X261173230Y-103786011D01* +X261189917Y-103778118D01* +X261207297Y-103771900D01* +X261225204Y-103767414D01* +X261243463Y-103764706D01* +X261261900Y-103763800D01* +X262738100Y-103763800D01* +X262756537Y-103764706D01* +X262756537Y-103764706D01* +G37* +D18* +X262000000Y-104140000D03* +D15* +G36* +X262756537Y-105034706D02* +G01* +X262774796Y-105037414D01* +X262792703Y-105041900D01* +X262810083Y-105048118D01* +X262826770Y-105056011D01* +X262842603Y-105065501D01* +X262857429Y-105076497D01* +X262871107Y-105088893D01* +X262883503Y-105102571D01* +X262894499Y-105117397D01* +X262903989Y-105133230D01* +X262911882Y-105149917D01* +X262918100Y-105167297D01* +X262922586Y-105185204D01* +X262925294Y-105203463D01* +X262926200Y-105221900D01* +X262926200Y-105598100D01* +X262925294Y-105616537D01* +X262922586Y-105634796D01* +X262918100Y-105652703D01* +X262911882Y-105670083D01* +X262903989Y-105686770D01* +X262894499Y-105702603D01* +X262883503Y-105717429D01* +X262871107Y-105731107D01* +X262857429Y-105743503D01* +X262842603Y-105754499D01* +X262826770Y-105763989D01* +X262810083Y-105771882D01* +X262792703Y-105778100D01* +X262774796Y-105782586D01* +X262756537Y-105785294D01* +X262738100Y-105786200D01* +X261261900Y-105786200D01* +X261243463Y-105785294D01* +X261225204Y-105782586D01* +X261207297Y-105778100D01* +X261189917Y-105771882D01* +X261173230Y-105763989D01* +X261157397Y-105754499D01* +X261142571Y-105743503D01* +X261128893Y-105731107D01* +X261116497Y-105717429D01* +X261105501Y-105702603D01* +X261096011Y-105686770D01* +X261088118Y-105670083D01* +X261081900Y-105652703D01* +X261077414Y-105634796D01* +X261074706Y-105616537D01* +X261073800Y-105598100D01* +X261073800Y-105221900D01* +X261074706Y-105203463D01* +X261077414Y-105185204D01* +X261081900Y-105167297D01* +X261088118Y-105149917D01* +X261096011Y-105133230D01* +X261105501Y-105117397D01* +X261116497Y-105102571D01* +X261128893Y-105088893D01* +X261142571Y-105076497D01* +X261157397Y-105065501D01* +X261173230Y-105056011D01* +X261189917Y-105048118D01* +X261207297Y-105041900D01* +X261225204Y-105037414D01* +X261243463Y-105034706D01* +X261261900Y-105033800D01* +X262738100Y-105033800D01* +X262756537Y-105034706D01* +X262756537Y-105034706D01* +G37* +D18* +X262000000Y-105410000D03* +D15* +G36* +X262756537Y-106304706D02* +G01* +X262774796Y-106307414D01* +X262792703Y-106311900D01* +X262810083Y-106318118D01* +X262826770Y-106326011D01* +X262842603Y-106335501D01* +X262857429Y-106346497D01* +X262871107Y-106358893D01* +X262883503Y-106372571D01* +X262894499Y-106387397D01* +X262903989Y-106403230D01* +X262911882Y-106419917D01* +X262918100Y-106437297D01* +X262922586Y-106455204D01* +X262925294Y-106473463D01* +X262926200Y-106491900D01* +X262926200Y-106868100D01* +X262925294Y-106886537D01* +X262922586Y-106904796D01* +X262918100Y-106922703D01* +X262911882Y-106940083D01* +X262903989Y-106956770D01* +X262894499Y-106972603D01* +X262883503Y-106987429D01* +X262871107Y-107001107D01* +X262857429Y-107013503D01* +X262842603Y-107024499D01* +X262826770Y-107033989D01* +X262810083Y-107041882D01* +X262792703Y-107048100D01* +X262774796Y-107052586D01* +X262756537Y-107055294D01* +X262738100Y-107056200D01* +X261261900Y-107056200D01* +X261243463Y-107055294D01* +X261225204Y-107052586D01* +X261207297Y-107048100D01* +X261189917Y-107041882D01* +X261173230Y-107033989D01* +X261157397Y-107024499D01* +X261142571Y-107013503D01* +X261128893Y-107001107D01* +X261116497Y-106987429D01* +X261105501Y-106972603D01* +X261096011Y-106956770D01* +X261088118Y-106940083D01* +X261081900Y-106922703D01* +X261077414Y-106904796D01* +X261074706Y-106886537D01* +X261073800Y-106868100D01* +X261073800Y-106491900D01* +X261074706Y-106473463D01* +X261077414Y-106455204D01* +X261081900Y-106437297D01* +X261088118Y-106419917D01* +X261096011Y-106403230D01* +X261105501Y-106387397D01* +X261116497Y-106372571D01* +X261128893Y-106358893D01* +X261142571Y-106346497D01* +X261157397Y-106335501D01* +X261173230Y-106326011D01* +X261189917Y-106318118D01* +X261207297Y-106311900D01* +X261225204Y-106307414D01* +X261243463Y-106304706D01* +X261261900Y-106303800D01* +X262738100Y-106303800D01* +X262756537Y-106304706D01* +X262756537Y-106304706D01* +G37* +D18* +X262000000Y-106680000D03* +D15* +G36* +X262756537Y-107574706D02* +G01* +X262774796Y-107577414D01* +X262792703Y-107581900D01* +X262810083Y-107588118D01* +X262826770Y-107596011D01* +X262842603Y-107605501D01* +X262857429Y-107616497D01* +X262871107Y-107628893D01* +X262883503Y-107642571D01* +X262894499Y-107657397D01* +X262903989Y-107673230D01* +X262911882Y-107689917D01* +X262918100Y-107707297D01* +X262922586Y-107725204D01* +X262925294Y-107743463D01* +X262926200Y-107761900D01* +X262926200Y-108138100D01* +X262925294Y-108156537D01* +X262922586Y-108174796D01* +X262918100Y-108192703D01* +X262911882Y-108210083D01* +X262903989Y-108226770D01* +X262894499Y-108242603D01* +X262883503Y-108257429D01* +X262871107Y-108271107D01* +X262857429Y-108283503D01* +X262842603Y-108294499D01* +X262826770Y-108303989D01* +X262810083Y-108311882D01* +X262792703Y-108318100D01* +X262774796Y-108322586D01* +X262756537Y-108325294D01* +X262738100Y-108326200D01* +X261261900Y-108326200D01* +X261243463Y-108325294D01* +X261225204Y-108322586D01* +X261207297Y-108318100D01* +X261189917Y-108311882D01* +X261173230Y-108303989D01* +X261157397Y-108294499D01* +X261142571Y-108283503D01* +X261128893Y-108271107D01* +X261116497Y-108257429D01* +X261105501Y-108242603D01* +X261096011Y-108226770D01* +X261088118Y-108210083D01* +X261081900Y-108192703D01* +X261077414Y-108174796D01* +X261074706Y-108156537D01* +X261073800Y-108138100D01* +X261073800Y-107761900D01* +X261074706Y-107743463D01* +X261077414Y-107725204D01* +X261081900Y-107707297D01* +X261088118Y-107689917D01* +X261096011Y-107673230D01* +X261105501Y-107657397D01* +X261116497Y-107642571D01* +X261128893Y-107628893D01* +X261142571Y-107616497D01* +X261157397Y-107605501D01* +X261173230Y-107596011D01* +X261189917Y-107588118D01* +X261207297Y-107581900D01* +X261225204Y-107577414D01* +X261243463Y-107574706D01* +X261261900Y-107573800D01* +X262738100Y-107573800D01* +X262756537Y-107574706D01* +X262756537Y-107574706D01* +G37* +D18* +X262000000Y-107950000D03* +D15* +G36* +X262756537Y-108844706D02* +G01* +X262774796Y-108847414D01* +X262792703Y-108851900D01* +X262810083Y-108858118D01* +X262826770Y-108866011D01* +X262842603Y-108875501D01* +X262857429Y-108886497D01* +X262871107Y-108898893D01* +X262883503Y-108912571D01* +X262894499Y-108927397D01* +X262903989Y-108943230D01* +X262911882Y-108959917D01* +X262918100Y-108977297D01* +X262922586Y-108995204D01* +X262925294Y-109013463D01* +X262926200Y-109031900D01* +X262926200Y-109408100D01* +X262925294Y-109426537D01* +X262922586Y-109444796D01* +X262918100Y-109462703D01* +X262911882Y-109480083D01* +X262903989Y-109496770D01* +X262894499Y-109512603D01* +X262883503Y-109527429D01* +X262871107Y-109541107D01* +X262857429Y-109553503D01* +X262842603Y-109564499D01* +X262826770Y-109573989D01* +X262810083Y-109581882D01* +X262792703Y-109588100D01* +X262774796Y-109592586D01* +X262756537Y-109595294D01* +X262738100Y-109596200D01* +X261261900Y-109596200D01* +X261243463Y-109595294D01* +X261225204Y-109592586D01* +X261207297Y-109588100D01* +X261189917Y-109581882D01* +X261173230Y-109573989D01* +X261157397Y-109564499D01* +X261142571Y-109553503D01* +X261128893Y-109541107D01* +X261116497Y-109527429D01* +X261105501Y-109512603D01* +X261096011Y-109496770D01* +X261088118Y-109480083D01* +X261081900Y-109462703D01* +X261077414Y-109444796D01* +X261074706Y-109426537D01* +X261073800Y-109408100D01* +X261073800Y-109031900D01* +X261074706Y-109013463D01* +X261077414Y-108995204D01* +X261081900Y-108977297D01* +X261088118Y-108959917D01* +X261096011Y-108943230D01* +X261105501Y-108927397D01* +X261116497Y-108912571D01* +X261128893Y-108898893D01* +X261142571Y-108886497D01* +X261157397Y-108875501D01* +X261173230Y-108866011D01* +X261189917Y-108858118D01* +X261207297Y-108851900D01* +X261225204Y-108847414D01* +X261243463Y-108844706D01* +X261261900Y-108843800D01* +X262738100Y-108843800D01* +X262756537Y-108844706D01* +X262756537Y-108844706D01* +G37* +D18* +X262000000Y-109220000D03* +D15* +G36* +X262756537Y-110114706D02* +G01* +X262774796Y-110117414D01* +X262792703Y-110121900D01* +X262810083Y-110128118D01* +X262826770Y-110136011D01* +X262842603Y-110145501D01* +X262857429Y-110156497D01* +X262871107Y-110168893D01* +X262883503Y-110182571D01* +X262894499Y-110197397D01* +X262903989Y-110213230D01* +X262911882Y-110229917D01* +X262918100Y-110247297D01* +X262922586Y-110265204D01* +X262925294Y-110283463D01* +X262926200Y-110301900D01* +X262926200Y-110678100D01* +X262925294Y-110696537D01* +X262922586Y-110714796D01* +X262918100Y-110732703D01* +X262911882Y-110750083D01* +X262903989Y-110766770D01* +X262894499Y-110782603D01* +X262883503Y-110797429D01* +X262871107Y-110811107D01* +X262857429Y-110823503D01* +X262842603Y-110834499D01* +X262826770Y-110843989D01* +X262810083Y-110851882D01* +X262792703Y-110858100D01* +X262774796Y-110862586D01* +X262756537Y-110865294D01* +X262738100Y-110866200D01* +X261261900Y-110866200D01* +X261243463Y-110865294D01* +X261225204Y-110862586D01* +X261207297Y-110858100D01* +X261189917Y-110851882D01* +X261173230Y-110843989D01* +X261157397Y-110834499D01* +X261142571Y-110823503D01* +X261128893Y-110811107D01* +X261116497Y-110797429D01* +X261105501Y-110782603D01* +X261096011Y-110766770D01* +X261088118Y-110750083D01* +X261081900Y-110732703D01* +X261077414Y-110714796D01* +X261074706Y-110696537D01* +X261073800Y-110678100D01* +X261073800Y-110301900D01* +X261074706Y-110283463D01* +X261077414Y-110265204D01* +X261081900Y-110247297D01* +X261088118Y-110229917D01* +X261096011Y-110213230D01* +X261105501Y-110197397D01* +X261116497Y-110182571D01* +X261128893Y-110168893D01* +X261142571Y-110156497D01* +X261157397Y-110145501D01* +X261173230Y-110136011D01* +X261189917Y-110128118D01* +X261207297Y-110121900D01* +X261225204Y-110117414D01* +X261243463Y-110114706D01* +X261261900Y-110113800D01* +X262738100Y-110113800D01* +X262756537Y-110114706D01* +X262756537Y-110114706D01* +G37* +D18* +X262000000Y-110490000D03* +D15* +G36* +X262756537Y-111384706D02* +G01* +X262774796Y-111387414D01* +X262792703Y-111391900D01* +X262810083Y-111398118D01* +X262826770Y-111406011D01* +X262842603Y-111415501D01* +X262857429Y-111426497D01* +X262871107Y-111438893D01* +X262883503Y-111452571D01* +X262894499Y-111467397D01* +X262903989Y-111483230D01* +X262911882Y-111499917D01* +X262918100Y-111517297D01* +X262922586Y-111535204D01* +X262925294Y-111553463D01* +X262926200Y-111571900D01* +X262926200Y-111948100D01* +X262925294Y-111966537D01* +X262922586Y-111984796D01* +X262918100Y-112002703D01* +X262911882Y-112020083D01* +X262903989Y-112036770D01* +X262894499Y-112052603D01* +X262883503Y-112067429D01* +X262871107Y-112081107D01* +X262857429Y-112093503D01* +X262842603Y-112104499D01* +X262826770Y-112113989D01* +X262810083Y-112121882D01* +X262792703Y-112128100D01* +X262774796Y-112132586D01* +X262756537Y-112135294D01* +X262738100Y-112136200D01* +X261261900Y-112136200D01* +X261243463Y-112135294D01* +X261225204Y-112132586D01* +X261207297Y-112128100D01* +X261189917Y-112121882D01* +X261173230Y-112113989D01* +X261157397Y-112104499D01* +X261142571Y-112093503D01* +X261128893Y-112081107D01* +X261116497Y-112067429D01* +X261105501Y-112052603D01* +X261096011Y-112036770D01* +X261088118Y-112020083D01* +X261081900Y-112002703D01* +X261077414Y-111984796D01* +X261074706Y-111966537D01* +X261073800Y-111948100D01* +X261073800Y-111571900D01* +X261074706Y-111553463D01* +X261077414Y-111535204D01* +X261081900Y-111517297D01* +X261088118Y-111499917D01* +X261096011Y-111483230D01* +X261105501Y-111467397D01* +X261116497Y-111452571D01* +X261128893Y-111438893D01* +X261142571Y-111426497D01* +X261157397Y-111415501D01* +X261173230Y-111406011D01* +X261189917Y-111398118D01* +X261207297Y-111391900D01* +X261225204Y-111387414D01* +X261243463Y-111384706D01* +X261261900Y-111383800D01* +X262738100Y-111383800D01* +X262756537Y-111384706D01* +X262756537Y-111384706D01* +G37* +D18* +X262000000Y-111760000D03* +D19* +X274066000Y-89281000D03* +D20* +X270002000Y-93091000D03* +D15* +G36* +X274780179Y-131537818D02* +G01* +X274820862Y-131543853D01* +X274860758Y-131553846D01* +X274899483Y-131567702D01* +X274936662Y-131585287D01* +X274971939Y-131606431D01* +X275004974Y-131630931D01* +X275035448Y-131658552D01* +X275063069Y-131689026D01* +X275087569Y-131722061D01* +X275108713Y-131757338D01* +X275126298Y-131794517D01* +X275140154Y-131833242D01* +X275150147Y-131873138D01* +X275156182Y-131913821D01* +X275158200Y-131954900D01* +X275158200Y-138809100D01* +X275156182Y-138850179D01* +X275150147Y-138890862D01* +X275140154Y-138930758D01* +X275126298Y-138969483D01* +X275108713Y-139006662D01* +X275087569Y-139041939D01* +X275063069Y-139074974D01* +X275035448Y-139105448D01* +X275004974Y-139133069D01* +X274971939Y-139157569D01* +X274936662Y-139178713D01* +X274899483Y-139196298D01* +X274860758Y-139210154D01* +X274820862Y-139220147D01* +X274780179Y-139226182D01* +X274739100Y-139228200D01* +X273900900Y-139228200D01* +X273859821Y-139226182D01* +X273819138Y-139220147D01* +X273779242Y-139210154D01* +X273740517Y-139196298D01* +X273703338Y-139178713D01* +X273668061Y-139157569D01* +X273635026Y-139133069D01* +X273604552Y-139105448D01* +X273576931Y-139074974D01* +X273552431Y-139041939D01* +X273531287Y-139006662D01* +X273513702Y-138969483D01* +X273499846Y-138930758D01* +X273489853Y-138890862D01* +X273483818Y-138850179D01* +X273481800Y-138809100D01* +X273481800Y-131954900D01* +X273483818Y-131913821D01* +X273489853Y-131873138D01* +X273499846Y-131833242D01* +X273513702Y-131794517D01* +X273531287Y-131757338D01* +X273552431Y-131722061D01* +X273576931Y-131689026D01* +X273604552Y-131658552D01* +X273635026Y-131630931D01* +X273668061Y-131606431D01* +X273703338Y-131585287D01* +X273740517Y-131567702D01* +X273779242Y-131553846D01* +X273819138Y-131543853D01* +X273859821Y-131537818D01* +X273900900Y-131535800D01* +X274739100Y-131535800D01* +X274780179Y-131537818D01* +X274780179Y-131537818D01* +G37* +D21* +X274320000Y-135382000D03* +D15* +G36* +X272240179Y-131537818D02* +G01* +X272280862Y-131543853D01* +X272320758Y-131553846D01* +X272359483Y-131567702D01* +X272396662Y-131585287D01* +X272431939Y-131606431D01* +X272464974Y-131630931D01* +X272495448Y-131658552D01* +X272523069Y-131689026D01* +X272547569Y-131722061D01* +X272568713Y-131757338D01* +X272586298Y-131794517D01* +X272600154Y-131833242D01* +X272610147Y-131873138D01* +X272616182Y-131913821D01* +X272618200Y-131954900D01* +X272618200Y-138809100D01* +X272616182Y-138850179D01* +X272610147Y-138890862D01* +X272600154Y-138930758D01* +X272586298Y-138969483D01* +X272568713Y-139006662D01* +X272547569Y-139041939D01* +X272523069Y-139074974D01* +X272495448Y-139105448D01* +X272464974Y-139133069D01* +X272431939Y-139157569D01* +X272396662Y-139178713D01* +X272359483Y-139196298D01* +X272320758Y-139210154D01* +X272280862Y-139220147D01* +X272240179Y-139226182D01* +X272199100Y-139228200D01* +X271360900Y-139228200D01* +X271319821Y-139226182D01* +X271279138Y-139220147D01* +X271239242Y-139210154D01* +X271200517Y-139196298D01* +X271163338Y-139178713D01* +X271128061Y-139157569D01* +X271095026Y-139133069D01* +X271064552Y-139105448D01* +X271036931Y-139074974D01* +X271012431Y-139041939D01* +X270991287Y-139006662D01* +X270973702Y-138969483D01* +X270959846Y-138930758D01* +X270949853Y-138890862D01* +X270943818Y-138850179D01* +X270941800Y-138809100D01* +X270941800Y-131954900D01* +X270943818Y-131913821D01* +X270949853Y-131873138D01* +X270959846Y-131833242D01* +X270973702Y-131794517D01* +X270991287Y-131757338D01* +X271012431Y-131722061D01* +X271036931Y-131689026D01* +X271064552Y-131658552D01* +X271095026Y-131630931D01* +X271128061Y-131606431D01* +X271163338Y-131585287D01* +X271200517Y-131567702D01* +X271239242Y-131553846D01* +X271279138Y-131543853D01* +X271319821Y-131537818D01* +X271360900Y-131535800D01* +X272199100Y-131535800D01* +X272240179Y-131537818D01* +X272240179Y-131537818D01* +G37* +D21* +X271780000Y-135382000D03* +D15* +G36* +X269700179Y-131537818D02* +G01* +X269740862Y-131543853D01* +X269780758Y-131553846D01* +X269819483Y-131567702D01* +X269856662Y-131585287D01* +X269891939Y-131606431D01* +X269924974Y-131630931D01* +X269955448Y-131658552D01* +X269983069Y-131689026D01* +X270007569Y-131722061D01* +X270028713Y-131757338D01* +X270046298Y-131794517D01* +X270060154Y-131833242D01* +X270070147Y-131873138D01* +X270076182Y-131913821D01* +X270078200Y-131954900D01* +X270078200Y-138809100D01* +X270076182Y-138850179D01* +X270070147Y-138890862D01* +X270060154Y-138930758D01* +X270046298Y-138969483D01* +X270028713Y-139006662D01* +X270007569Y-139041939D01* +X269983069Y-139074974D01* +X269955448Y-139105448D01* +X269924974Y-139133069D01* +X269891939Y-139157569D01* +X269856662Y-139178713D01* +X269819483Y-139196298D01* +X269780758Y-139210154D01* +X269740862Y-139220147D01* +X269700179Y-139226182D01* +X269659100Y-139228200D01* +X268820900Y-139228200D01* +X268779821Y-139226182D01* +X268739138Y-139220147D01* +X268699242Y-139210154D01* +X268660517Y-139196298D01* +X268623338Y-139178713D01* +X268588061Y-139157569D01* +X268555026Y-139133069D01* +X268524552Y-139105448D01* +X268496931Y-139074974D01* +X268472431Y-139041939D01* +X268451287Y-139006662D01* +X268433702Y-138969483D01* +X268419846Y-138930758D01* +X268409853Y-138890862D01* +X268403818Y-138850179D01* +X268401800Y-138809100D01* +X268401800Y-131954900D01* +X268403818Y-131913821D01* +X268409853Y-131873138D01* +X268419846Y-131833242D01* +X268433702Y-131794517D01* +X268451287Y-131757338D01* +X268472431Y-131722061D01* +X268496931Y-131689026D01* +X268524552Y-131658552D01* +X268555026Y-131630931D01* +X268588061Y-131606431D01* +X268623338Y-131585287D01* +X268660517Y-131567702D01* +X268699242Y-131553846D01* +X268739138Y-131543853D01* +X268779821Y-131537818D01* +X268820900Y-131535800D01* +X269659100Y-131535800D01* +X269700179Y-131537818D01* +X269700179Y-131537818D01* +G37* +D21* +X269240000Y-135382000D03* +D15* +G36* +X267160179Y-131537818D02* +G01* +X267200862Y-131543853D01* +X267240758Y-131553846D01* +X267279483Y-131567702D01* +X267316662Y-131585287D01* +X267351939Y-131606431D01* +X267384974Y-131630931D01* +X267415448Y-131658552D01* +X267443069Y-131689026D01* +X267467569Y-131722061D01* +X267488713Y-131757338D01* +X267506298Y-131794517D01* +X267520154Y-131833242D01* +X267530147Y-131873138D01* +X267536182Y-131913821D01* +X267538200Y-131954900D01* +X267538200Y-138809100D01* +X267536182Y-138850179D01* +X267530147Y-138890862D01* +X267520154Y-138930758D01* +X267506298Y-138969483D01* +X267488713Y-139006662D01* +X267467569Y-139041939D01* +X267443069Y-139074974D01* +X267415448Y-139105448D01* +X267384974Y-139133069D01* +X267351939Y-139157569D01* +X267316662Y-139178713D01* +X267279483Y-139196298D01* +X267240758Y-139210154D01* +X267200862Y-139220147D01* +X267160179Y-139226182D01* +X267119100Y-139228200D01* +X266280900Y-139228200D01* +X266239821Y-139226182D01* +X266199138Y-139220147D01* +X266159242Y-139210154D01* +X266120517Y-139196298D01* +X266083338Y-139178713D01* +X266048061Y-139157569D01* +X266015026Y-139133069D01* +X265984552Y-139105448D01* +X265956931Y-139074974D01* +X265932431Y-139041939D01* +X265911287Y-139006662D01* +X265893702Y-138969483D01* +X265879846Y-138930758D01* +X265869853Y-138890862D01* +X265863818Y-138850179D01* +X265861800Y-138809100D01* +X265861800Y-131954900D01* +X265863818Y-131913821D01* +X265869853Y-131873138D01* +X265879846Y-131833242D01* +X265893702Y-131794517D01* +X265911287Y-131757338D01* +X265932431Y-131722061D01* +X265956931Y-131689026D01* +X265984552Y-131658552D01* +X266015026Y-131630931D01* +X266048061Y-131606431D01* +X266083338Y-131585287D01* +X266120517Y-131567702D01* +X266159242Y-131553846D01* +X266199138Y-131543853D01* +X266239821Y-131537818D01* +X266280900Y-131535800D01* +X267119100Y-131535800D01* +X267160179Y-131537818D01* +X267160179Y-131537818D01* +G37* +D21* +X266700000Y-135382000D03* +D15* +G36* +X264620179Y-131537818D02* +G01* +X264660862Y-131543853D01* +X264700758Y-131553846D01* +X264739483Y-131567702D01* +X264776662Y-131585287D01* +X264811939Y-131606431D01* +X264844974Y-131630931D01* +X264875448Y-131658552D01* +X264903069Y-131689026D01* +X264927569Y-131722061D01* +X264948713Y-131757338D01* +X264966298Y-131794517D01* +X264980154Y-131833242D01* +X264990147Y-131873138D01* +X264996182Y-131913821D01* +X264998200Y-131954900D01* +X264998200Y-138809100D01* +X264996182Y-138850179D01* +X264990147Y-138890862D01* +X264980154Y-138930758D01* +X264966298Y-138969483D01* +X264948713Y-139006662D01* +X264927569Y-139041939D01* +X264903069Y-139074974D01* +X264875448Y-139105448D01* +X264844974Y-139133069D01* +X264811939Y-139157569D01* +X264776662Y-139178713D01* +X264739483Y-139196298D01* +X264700758Y-139210154D01* +X264660862Y-139220147D01* +X264620179Y-139226182D01* +X264579100Y-139228200D01* +X263740900Y-139228200D01* +X263699821Y-139226182D01* +X263659138Y-139220147D01* +X263619242Y-139210154D01* +X263580517Y-139196298D01* +X263543338Y-139178713D01* +X263508061Y-139157569D01* +X263475026Y-139133069D01* +X263444552Y-139105448D01* +X263416931Y-139074974D01* +X263392431Y-139041939D01* +X263371287Y-139006662D01* +X263353702Y-138969483D01* +X263339846Y-138930758D01* +X263329853Y-138890862D01* +X263323818Y-138850179D01* +X263321800Y-138809100D01* +X263321800Y-131954900D01* +X263323818Y-131913821D01* +X263329853Y-131873138D01* +X263339846Y-131833242D01* +X263353702Y-131794517D01* +X263371287Y-131757338D01* +X263392431Y-131722061D01* +X263416931Y-131689026D01* +X263444552Y-131658552D01* +X263475026Y-131630931D01* +X263508061Y-131606431D01* +X263543338Y-131585287D01* +X263580517Y-131567702D01* +X263619242Y-131553846D01* +X263659138Y-131543853D01* +X263699821Y-131537818D01* +X263740900Y-131535800D01* +X264579100Y-131535800D01* +X264620179Y-131537818D01* +X264620179Y-131537818D01* +G37* +D21* +X264160000Y-135382000D03* +D15* +G36* +X262080179Y-131537818D02* +G01* +X262120862Y-131543853D01* +X262160758Y-131553846D01* +X262199483Y-131567702D01* +X262236662Y-131585287D01* +X262271939Y-131606431D01* +X262304974Y-131630931D01* +X262335448Y-131658552D01* +X262363069Y-131689026D01* +X262387569Y-131722061D01* +X262408713Y-131757338D01* +X262426298Y-131794517D01* +X262440154Y-131833242D01* +X262450147Y-131873138D01* +X262456182Y-131913821D01* +X262458200Y-131954900D01* +X262458200Y-138809100D01* +X262456182Y-138850179D01* +X262450147Y-138890862D01* +X262440154Y-138930758D01* +X262426298Y-138969483D01* +X262408713Y-139006662D01* +X262387569Y-139041939D01* +X262363069Y-139074974D01* +X262335448Y-139105448D01* +X262304974Y-139133069D01* +X262271939Y-139157569D01* +X262236662Y-139178713D01* +X262199483Y-139196298D01* +X262160758Y-139210154D01* +X262120862Y-139220147D01* +X262080179Y-139226182D01* +X262039100Y-139228200D01* +X261200900Y-139228200D01* +X261159821Y-139226182D01* +X261119138Y-139220147D01* +X261079242Y-139210154D01* +X261040517Y-139196298D01* +X261003338Y-139178713D01* +X260968061Y-139157569D01* +X260935026Y-139133069D01* +X260904552Y-139105448D01* +X260876931Y-139074974D01* +X260852431Y-139041939D01* +X260831287Y-139006662D01* +X260813702Y-138969483D01* +X260799846Y-138930758D01* +X260789853Y-138890862D01* +X260783818Y-138850179D01* +X260781800Y-138809100D01* +X260781800Y-131954900D01* +X260783818Y-131913821D01* +X260789853Y-131873138D01* +X260799846Y-131833242D01* +X260813702Y-131794517D01* +X260831287Y-131757338D01* +X260852431Y-131722061D01* +X260876931Y-131689026D01* +X260904552Y-131658552D01* +X260935026Y-131630931D01* +X260968061Y-131606431D01* +X261003338Y-131585287D01* +X261040517Y-131567702D01* +X261079242Y-131553846D01* +X261119138Y-131543853D01* +X261159821Y-131537818D01* +X261200900Y-131535800D01* +X262039100Y-131535800D01* +X262080179Y-131537818D01* +X262080179Y-131537818D01* +G37* +D21* +X261620000Y-135382000D03* +D15* +G36* +X259540179Y-131537818D02* +G01* +X259580862Y-131543853D01* +X259620758Y-131553846D01* +X259659483Y-131567702D01* +X259696662Y-131585287D01* +X259731939Y-131606431D01* +X259764974Y-131630931D01* +X259795448Y-131658552D01* +X259823069Y-131689026D01* +X259847569Y-131722061D01* +X259868713Y-131757338D01* +X259886298Y-131794517D01* +X259900154Y-131833242D01* +X259910147Y-131873138D01* +X259916182Y-131913821D01* +X259918200Y-131954900D01* +X259918200Y-138809100D01* +X259916182Y-138850179D01* +X259910147Y-138890862D01* +X259900154Y-138930758D01* +X259886298Y-138969483D01* +X259868713Y-139006662D01* +X259847569Y-139041939D01* +X259823069Y-139074974D01* +X259795448Y-139105448D01* +X259764974Y-139133069D01* +X259731939Y-139157569D01* +X259696662Y-139178713D01* +X259659483Y-139196298D01* +X259620758Y-139210154D01* +X259580862Y-139220147D01* +X259540179Y-139226182D01* +X259499100Y-139228200D01* +X258660900Y-139228200D01* +X258619821Y-139226182D01* +X258579138Y-139220147D01* +X258539242Y-139210154D01* +X258500517Y-139196298D01* +X258463338Y-139178713D01* +X258428061Y-139157569D01* +X258395026Y-139133069D01* +X258364552Y-139105448D01* +X258336931Y-139074974D01* +X258312431Y-139041939D01* +X258291287Y-139006662D01* +X258273702Y-138969483D01* +X258259846Y-138930758D01* +X258249853Y-138890862D01* +X258243818Y-138850179D01* +X258241800Y-138809100D01* +X258241800Y-131954900D01* +X258243818Y-131913821D01* +X258249853Y-131873138D01* +X258259846Y-131833242D01* +X258273702Y-131794517D01* +X258291287Y-131757338D01* +X258312431Y-131722061D01* +X258336931Y-131689026D01* +X258364552Y-131658552D01* +X258395026Y-131630931D01* +X258428061Y-131606431D01* +X258463338Y-131585287D01* +X258500517Y-131567702D01* +X258539242Y-131553846D01* +X258579138Y-131543853D01* +X258619821Y-131537818D01* +X258660900Y-131535800D01* +X259499100Y-131535800D01* +X259540179Y-131537818D01* +X259540179Y-131537818D01* +G37* +D21* +X259080000Y-135382000D03* +D15* +G36* +X257000179Y-131537818D02* +G01* +X257040862Y-131543853D01* +X257080758Y-131553846D01* +X257119483Y-131567702D01* +X257156662Y-131585287D01* +X257191939Y-131606431D01* +X257224974Y-131630931D01* +X257255448Y-131658552D01* +X257283069Y-131689026D01* +X257307569Y-131722061D01* +X257328713Y-131757338D01* +X257346298Y-131794517D01* +X257360154Y-131833242D01* +X257370147Y-131873138D01* +X257376182Y-131913821D01* +X257378200Y-131954900D01* +X257378200Y-138809100D01* +X257376182Y-138850179D01* +X257370147Y-138890862D01* +X257360154Y-138930758D01* +X257346298Y-138969483D01* +X257328713Y-139006662D01* +X257307569Y-139041939D01* +X257283069Y-139074974D01* +X257255448Y-139105448D01* +X257224974Y-139133069D01* +X257191939Y-139157569D01* +X257156662Y-139178713D01* +X257119483Y-139196298D01* +X257080758Y-139210154D01* +X257040862Y-139220147D01* +X257000179Y-139226182D01* +X256959100Y-139228200D01* +X256120900Y-139228200D01* +X256079821Y-139226182D01* +X256039138Y-139220147D01* +X255999242Y-139210154D01* +X255960517Y-139196298D01* +X255923338Y-139178713D01* +X255888061Y-139157569D01* +X255855026Y-139133069D01* +X255824552Y-139105448D01* +X255796931Y-139074974D01* +X255772431Y-139041939D01* +X255751287Y-139006662D01* +X255733702Y-138969483D01* +X255719846Y-138930758D01* +X255709853Y-138890862D01* +X255703818Y-138850179D01* +X255701800Y-138809100D01* +X255701800Y-131954900D01* +X255703818Y-131913821D01* +X255709853Y-131873138D01* +X255719846Y-131833242D01* +X255733702Y-131794517D01* +X255751287Y-131757338D01* +X255772431Y-131722061D01* +X255796931Y-131689026D01* +X255824552Y-131658552D01* +X255855026Y-131630931D01* +X255888061Y-131606431D01* +X255923338Y-131585287D01* +X255960517Y-131567702D01* +X255999242Y-131553846D01* +X256039138Y-131543853D01* +X256079821Y-131537818D01* +X256120900Y-131535800D01* +X256959100Y-131535800D01* +X257000179Y-131537818D01* +X257000179Y-131537818D01* +G37* +D21* +X256540000Y-135382000D03* +D15* +G36* +X254460179Y-131537818D02* +G01* +X254500862Y-131543853D01* +X254540758Y-131553846D01* +X254579483Y-131567702D01* +X254616662Y-131585287D01* +X254651939Y-131606431D01* +X254684974Y-131630931D01* +X254715448Y-131658552D01* +X254743069Y-131689026D01* +X254767569Y-131722061D01* +X254788713Y-131757338D01* +X254806298Y-131794517D01* +X254820154Y-131833242D01* +X254830147Y-131873138D01* +X254836182Y-131913821D01* +X254838200Y-131954900D01* +X254838200Y-138809100D01* +X254836182Y-138850179D01* +X254830147Y-138890862D01* +X254820154Y-138930758D01* +X254806298Y-138969483D01* +X254788713Y-139006662D01* +X254767569Y-139041939D01* +X254743069Y-139074974D01* +X254715448Y-139105448D01* +X254684974Y-139133069D01* +X254651939Y-139157569D01* +X254616662Y-139178713D01* +X254579483Y-139196298D01* +X254540758Y-139210154D01* +X254500862Y-139220147D01* +X254460179Y-139226182D01* +X254419100Y-139228200D01* +X253580900Y-139228200D01* +X253539821Y-139226182D01* +X253499138Y-139220147D01* +X253459242Y-139210154D01* +X253420517Y-139196298D01* +X253383338Y-139178713D01* +X253348061Y-139157569D01* +X253315026Y-139133069D01* +X253284552Y-139105448D01* +X253256931Y-139074974D01* +X253232431Y-139041939D01* +X253211287Y-139006662D01* +X253193702Y-138969483D01* +X253179846Y-138930758D01* +X253169853Y-138890862D01* +X253163818Y-138850179D01* +X253161800Y-138809100D01* +X253161800Y-131954900D01* +X253163818Y-131913821D01* +X253169853Y-131873138D01* +X253179846Y-131833242D01* +X253193702Y-131794517D01* +X253211287Y-131757338D01* +X253232431Y-131722061D01* +X253256931Y-131689026D01* +X253284552Y-131658552D01* +X253315026Y-131630931D01* +X253348061Y-131606431D01* +X253383338Y-131585287D01* +X253420517Y-131567702D01* +X253459242Y-131553846D01* +X253499138Y-131543853D01* +X253539821Y-131537818D01* +X253580900Y-131535800D01* +X254419100Y-131535800D01* +X254460179Y-131537818D01* +X254460179Y-131537818D01* +G37* +D21* +X254000000Y-135382000D03* +D15* +G36* +X251920179Y-131537818D02* +G01* +X251960862Y-131543853D01* +X252000758Y-131553846D01* +X252039483Y-131567702D01* +X252076662Y-131585287D01* +X252111939Y-131606431D01* +X252144974Y-131630931D01* +X252175448Y-131658552D01* +X252203069Y-131689026D01* +X252227569Y-131722061D01* +X252248713Y-131757338D01* +X252266298Y-131794517D01* +X252280154Y-131833242D01* +X252290147Y-131873138D01* +X252296182Y-131913821D01* +X252298200Y-131954900D01* +X252298200Y-138809100D01* +X252296182Y-138850179D01* +X252290147Y-138890862D01* +X252280154Y-138930758D01* +X252266298Y-138969483D01* +X252248713Y-139006662D01* +X252227569Y-139041939D01* +X252203069Y-139074974D01* +X252175448Y-139105448D01* +X252144974Y-139133069D01* +X252111939Y-139157569D01* +X252076662Y-139178713D01* +X252039483Y-139196298D01* +X252000758Y-139210154D01* +X251960862Y-139220147D01* +X251920179Y-139226182D01* +X251879100Y-139228200D01* +X251040900Y-139228200D01* +X250999821Y-139226182D01* +X250959138Y-139220147D01* +X250919242Y-139210154D01* +X250880517Y-139196298D01* +X250843338Y-139178713D01* +X250808061Y-139157569D01* +X250775026Y-139133069D01* +X250744552Y-139105448D01* +X250716931Y-139074974D01* +X250692431Y-139041939D01* +X250671287Y-139006662D01* +X250653702Y-138969483D01* +X250639846Y-138930758D01* +X250629853Y-138890862D01* +X250623818Y-138850179D01* +X250621800Y-138809100D01* +X250621800Y-131954900D01* +X250623818Y-131913821D01* +X250629853Y-131873138D01* +X250639846Y-131833242D01* +X250653702Y-131794517D01* +X250671287Y-131757338D01* +X250692431Y-131722061D01* +X250716931Y-131689026D01* +X250744552Y-131658552D01* +X250775026Y-131630931D01* +X250808061Y-131606431D01* +X250843338Y-131585287D01* +X250880517Y-131567702D01* +X250919242Y-131553846D01* +X250959138Y-131543853D01* +X250999821Y-131537818D01* +X251040900Y-131535800D01* +X251879100Y-131535800D01* +X251920179Y-131537818D01* +X251920179Y-131537818D01* +G37* +D21* +X251460000Y-135382000D03* +D15* +G36* +X249380179Y-131537818D02* +G01* +X249420862Y-131543853D01* +X249460758Y-131553846D01* +X249499483Y-131567702D01* +X249536662Y-131585287D01* +X249571939Y-131606431D01* +X249604974Y-131630931D01* +X249635448Y-131658552D01* +X249663069Y-131689026D01* +X249687569Y-131722061D01* +X249708713Y-131757338D01* +X249726298Y-131794517D01* +X249740154Y-131833242D01* +X249750147Y-131873138D01* +X249756182Y-131913821D01* +X249758200Y-131954900D01* +X249758200Y-138809100D01* +X249756182Y-138850179D01* +X249750147Y-138890862D01* +X249740154Y-138930758D01* +X249726298Y-138969483D01* +X249708713Y-139006662D01* +X249687569Y-139041939D01* +X249663069Y-139074974D01* +X249635448Y-139105448D01* +X249604974Y-139133069D01* +X249571939Y-139157569D01* +X249536662Y-139178713D01* +X249499483Y-139196298D01* +X249460758Y-139210154D01* +X249420862Y-139220147D01* +X249380179Y-139226182D01* +X249339100Y-139228200D01* +X248500900Y-139228200D01* +X248459821Y-139226182D01* +X248419138Y-139220147D01* +X248379242Y-139210154D01* +X248340517Y-139196298D01* +X248303338Y-139178713D01* +X248268061Y-139157569D01* +X248235026Y-139133069D01* +X248204552Y-139105448D01* +X248176931Y-139074974D01* +X248152431Y-139041939D01* +X248131287Y-139006662D01* +X248113702Y-138969483D01* +X248099846Y-138930758D01* +X248089853Y-138890862D01* +X248083818Y-138850179D01* +X248081800Y-138809100D01* +X248081800Y-131954900D01* +X248083818Y-131913821D01* +X248089853Y-131873138D01* +X248099846Y-131833242D01* +X248113702Y-131794517D01* +X248131287Y-131757338D01* +X248152431Y-131722061D01* +X248176931Y-131689026D01* +X248204552Y-131658552D01* +X248235026Y-131630931D01* +X248268061Y-131606431D01* +X248303338Y-131585287D01* +X248340517Y-131567702D01* +X248379242Y-131553846D01* +X248419138Y-131543853D01* +X248459821Y-131537818D01* +X248500900Y-131535800D01* +X249339100Y-131535800D01* +X249380179Y-131537818D01* +X249380179Y-131537818D01* +G37* +D21* +X248920000Y-135382000D03* +D15* +G36* +X246840179Y-131537818D02* +G01* +X246880862Y-131543853D01* +X246920758Y-131553846D01* +X246959483Y-131567702D01* +X246996662Y-131585287D01* +X247031939Y-131606431D01* +X247064974Y-131630931D01* +X247095448Y-131658552D01* +X247123069Y-131689026D01* +X247147569Y-131722061D01* +X247168713Y-131757338D01* +X247186298Y-131794517D01* +X247200154Y-131833242D01* +X247210147Y-131873138D01* +X247216182Y-131913821D01* +X247218200Y-131954900D01* +X247218200Y-138809100D01* +X247216182Y-138850179D01* +X247210147Y-138890862D01* +X247200154Y-138930758D01* +X247186298Y-138969483D01* +X247168713Y-139006662D01* +X247147569Y-139041939D01* +X247123069Y-139074974D01* +X247095448Y-139105448D01* +X247064974Y-139133069D01* +X247031939Y-139157569D01* +X246996662Y-139178713D01* +X246959483Y-139196298D01* +X246920758Y-139210154D01* +X246880862Y-139220147D01* +X246840179Y-139226182D01* +X246799100Y-139228200D01* +X245960900Y-139228200D01* +X245919821Y-139226182D01* +X245879138Y-139220147D01* +X245839242Y-139210154D01* +X245800517Y-139196298D01* +X245763338Y-139178713D01* +X245728061Y-139157569D01* +X245695026Y-139133069D01* +X245664552Y-139105448D01* +X245636931Y-139074974D01* +X245612431Y-139041939D01* +X245591287Y-139006662D01* +X245573702Y-138969483D01* +X245559846Y-138930758D01* +X245549853Y-138890862D01* +X245543818Y-138850179D01* +X245541800Y-138809100D01* +X245541800Y-131954900D01* +X245543818Y-131913821D01* +X245549853Y-131873138D01* +X245559846Y-131833242D01* +X245573702Y-131794517D01* +X245591287Y-131757338D01* +X245612431Y-131722061D01* +X245636931Y-131689026D01* +X245664552Y-131658552D01* +X245695026Y-131630931D01* +X245728061Y-131606431D01* +X245763338Y-131585287D01* +X245800517Y-131567702D01* +X245839242Y-131553846D01* +X245879138Y-131543853D01* +X245919821Y-131537818D01* +X245960900Y-131535800D01* +X246799100Y-131535800D01* +X246840179Y-131537818D01* +X246840179Y-131537818D01* +G37* +D21* +X246380000Y-135382000D03* +D15* +G36* +X244300179Y-131537818D02* +G01* +X244340862Y-131543853D01* +X244380758Y-131553846D01* +X244419483Y-131567702D01* +X244456662Y-131585287D01* +X244491939Y-131606431D01* +X244524974Y-131630931D01* +X244555448Y-131658552D01* +X244583069Y-131689026D01* +X244607569Y-131722061D01* +X244628713Y-131757338D01* +X244646298Y-131794517D01* +X244660154Y-131833242D01* +X244670147Y-131873138D01* +X244676182Y-131913821D01* +X244678200Y-131954900D01* +X244678200Y-138809100D01* +X244676182Y-138850179D01* +X244670147Y-138890862D01* +X244660154Y-138930758D01* +X244646298Y-138969483D01* +X244628713Y-139006662D01* +X244607569Y-139041939D01* +X244583069Y-139074974D01* +X244555448Y-139105448D01* +X244524974Y-139133069D01* +X244491939Y-139157569D01* +X244456662Y-139178713D01* +X244419483Y-139196298D01* +X244380758Y-139210154D01* +X244340862Y-139220147D01* +X244300179Y-139226182D01* +X244259100Y-139228200D01* +X243420900Y-139228200D01* +X243379821Y-139226182D01* +X243339138Y-139220147D01* +X243299242Y-139210154D01* +X243260517Y-139196298D01* +X243223338Y-139178713D01* +X243188061Y-139157569D01* +X243155026Y-139133069D01* +X243124552Y-139105448D01* +X243096931Y-139074974D01* +X243072431Y-139041939D01* +X243051287Y-139006662D01* +X243033702Y-138969483D01* +X243019846Y-138930758D01* +X243009853Y-138890862D01* +X243003818Y-138850179D01* +X243001800Y-138809100D01* +X243001800Y-131954900D01* +X243003818Y-131913821D01* +X243009853Y-131873138D01* +X243019846Y-131833242D01* +X243033702Y-131794517D01* +X243051287Y-131757338D01* +X243072431Y-131722061D01* +X243096931Y-131689026D01* +X243124552Y-131658552D01* +X243155026Y-131630931D01* +X243188061Y-131606431D01* +X243223338Y-131585287D01* +X243260517Y-131567702D01* +X243299242Y-131553846D01* +X243339138Y-131543853D01* +X243379821Y-131537818D01* +X243420900Y-131535800D01* +X244259100Y-131535800D01* +X244300179Y-131537818D01* +X244300179Y-131537818D01* +G37* +D21* +X243840000Y-135382000D03* +D15* +G36* +X241760179Y-131537818D02* +G01* +X241800862Y-131543853D01* +X241840758Y-131553846D01* +X241879483Y-131567702D01* +X241916662Y-131585287D01* +X241951939Y-131606431D01* +X241984974Y-131630931D01* +X242015448Y-131658552D01* +X242043069Y-131689026D01* +X242067569Y-131722061D01* +X242088713Y-131757338D01* +X242106298Y-131794517D01* +X242120154Y-131833242D01* +X242130147Y-131873138D01* +X242136182Y-131913821D01* +X242138200Y-131954900D01* +X242138200Y-138809100D01* +X242136182Y-138850179D01* +X242130147Y-138890862D01* +X242120154Y-138930758D01* +X242106298Y-138969483D01* +X242088713Y-139006662D01* +X242067569Y-139041939D01* +X242043069Y-139074974D01* +X242015448Y-139105448D01* +X241984974Y-139133069D01* +X241951939Y-139157569D01* +X241916662Y-139178713D01* +X241879483Y-139196298D01* +X241840758Y-139210154D01* +X241800862Y-139220147D01* +X241760179Y-139226182D01* +X241719100Y-139228200D01* +X240880900Y-139228200D01* +X240839821Y-139226182D01* +X240799138Y-139220147D01* +X240759242Y-139210154D01* +X240720517Y-139196298D01* +X240683338Y-139178713D01* +X240648061Y-139157569D01* +X240615026Y-139133069D01* +X240584552Y-139105448D01* +X240556931Y-139074974D01* +X240532431Y-139041939D01* +X240511287Y-139006662D01* +X240493702Y-138969483D01* +X240479846Y-138930758D01* +X240469853Y-138890862D01* +X240463818Y-138850179D01* +X240461800Y-138809100D01* +X240461800Y-131954900D01* +X240463818Y-131913821D01* +X240469853Y-131873138D01* +X240479846Y-131833242D01* +X240493702Y-131794517D01* +X240511287Y-131757338D01* +X240532431Y-131722061D01* +X240556931Y-131689026D01* +X240584552Y-131658552D01* +X240615026Y-131630931D01* +X240648061Y-131606431D01* +X240683338Y-131585287D01* +X240720517Y-131567702D01* +X240759242Y-131553846D01* +X240799138Y-131543853D01* +X240839821Y-131537818D01* +X240880900Y-131535800D01* +X241719100Y-131535800D01* +X241760179Y-131537818D01* +X241760179Y-131537818D01* +G37* +D21* +X241300000Y-135382000D03* +D15* +G36* +X239220179Y-131537818D02* +G01* +X239260862Y-131543853D01* +X239300758Y-131553846D01* +X239339483Y-131567702D01* +X239376662Y-131585287D01* +X239411939Y-131606431D01* +X239444974Y-131630931D01* +X239475448Y-131658552D01* +X239503069Y-131689026D01* +X239527569Y-131722061D01* +X239548713Y-131757338D01* +X239566298Y-131794517D01* +X239580154Y-131833242D01* +X239590147Y-131873138D01* +X239596182Y-131913821D01* +X239598200Y-131954900D01* +X239598200Y-138809100D01* +X239596182Y-138850179D01* +X239590147Y-138890862D01* +X239580154Y-138930758D01* +X239566298Y-138969483D01* +X239548713Y-139006662D01* +X239527569Y-139041939D01* +X239503069Y-139074974D01* +X239475448Y-139105448D01* +X239444974Y-139133069D01* +X239411939Y-139157569D01* +X239376662Y-139178713D01* +X239339483Y-139196298D01* +X239300758Y-139210154D01* +X239260862Y-139220147D01* +X239220179Y-139226182D01* +X239179100Y-139228200D01* +X238340900Y-139228200D01* +X238299821Y-139226182D01* +X238259138Y-139220147D01* +X238219242Y-139210154D01* +X238180517Y-139196298D01* +X238143338Y-139178713D01* +X238108061Y-139157569D01* +X238075026Y-139133069D01* +X238044552Y-139105448D01* +X238016931Y-139074974D01* +X237992431Y-139041939D01* +X237971287Y-139006662D01* +X237953702Y-138969483D01* +X237939846Y-138930758D01* +X237929853Y-138890862D01* +X237923818Y-138850179D01* +X237921800Y-138809100D01* +X237921800Y-131954900D01* +X237923818Y-131913821D01* +X237929853Y-131873138D01* +X237939846Y-131833242D01* +X237953702Y-131794517D01* +X237971287Y-131757338D01* +X237992431Y-131722061D01* +X238016931Y-131689026D01* +X238044552Y-131658552D01* +X238075026Y-131630931D01* +X238108061Y-131606431D01* +X238143338Y-131585287D01* +X238180517Y-131567702D01* +X238219242Y-131553846D01* +X238259138Y-131543853D01* +X238299821Y-131537818D01* +X238340900Y-131535800D01* +X239179100Y-131535800D01* +X239220179Y-131537818D01* +X239220179Y-131537818D01* +G37* +D21* +X238760000Y-135382000D03* +D15* +G36* +X236680179Y-131537818D02* +G01* +X236720862Y-131543853D01* +X236760758Y-131553846D01* +X236799483Y-131567702D01* +X236836662Y-131585287D01* +X236871939Y-131606431D01* +X236904974Y-131630931D01* +X236935448Y-131658552D01* +X236963069Y-131689026D01* +X236987569Y-131722061D01* +X237008713Y-131757338D01* +X237026298Y-131794517D01* +X237040154Y-131833242D01* +X237050147Y-131873138D01* +X237056182Y-131913821D01* +X237058200Y-131954900D01* +X237058200Y-138809100D01* +X237056182Y-138850179D01* +X237050147Y-138890862D01* +X237040154Y-138930758D01* +X237026298Y-138969483D01* +X237008713Y-139006662D01* +X236987569Y-139041939D01* +X236963069Y-139074974D01* +X236935448Y-139105448D01* +X236904974Y-139133069D01* +X236871939Y-139157569D01* +X236836662Y-139178713D01* +X236799483Y-139196298D01* +X236760758Y-139210154D01* +X236720862Y-139220147D01* +X236680179Y-139226182D01* +X236639100Y-139228200D01* +X235800900Y-139228200D01* +X235759821Y-139226182D01* +X235719138Y-139220147D01* +X235679242Y-139210154D01* +X235640517Y-139196298D01* +X235603338Y-139178713D01* +X235568061Y-139157569D01* +X235535026Y-139133069D01* +X235504552Y-139105448D01* +X235476931Y-139074974D01* +X235452431Y-139041939D01* +X235431287Y-139006662D01* +X235413702Y-138969483D01* +X235399846Y-138930758D01* +X235389853Y-138890862D01* +X235383818Y-138850179D01* +X235381800Y-138809100D01* +X235381800Y-131954900D01* +X235383818Y-131913821D01* +X235389853Y-131873138D01* +X235399846Y-131833242D01* +X235413702Y-131794517D01* +X235431287Y-131757338D01* +X235452431Y-131722061D01* +X235476931Y-131689026D01* +X235504552Y-131658552D01* +X235535026Y-131630931D01* +X235568061Y-131606431D01* +X235603338Y-131585287D01* +X235640517Y-131567702D01* +X235679242Y-131553846D01* +X235719138Y-131543853D01* +X235759821Y-131537818D01* +X235800900Y-131535800D01* +X236639100Y-131535800D01* +X236680179Y-131537818D01* +X236680179Y-131537818D01* +G37* +D21* +X236220000Y-135382000D03* +D15* +G36* +X234140179Y-131537818D02* +G01* +X234180862Y-131543853D01* +X234220758Y-131553846D01* +X234259483Y-131567702D01* +X234296662Y-131585287D01* +X234331939Y-131606431D01* +X234364974Y-131630931D01* +X234395448Y-131658552D01* +X234423069Y-131689026D01* +X234447569Y-131722061D01* +X234468713Y-131757338D01* +X234486298Y-131794517D01* +X234500154Y-131833242D01* +X234510147Y-131873138D01* +X234516182Y-131913821D01* +X234518200Y-131954900D01* +X234518200Y-138809100D01* +X234516182Y-138850179D01* +X234510147Y-138890862D01* +X234500154Y-138930758D01* +X234486298Y-138969483D01* +X234468713Y-139006662D01* +X234447569Y-139041939D01* +X234423069Y-139074974D01* +X234395448Y-139105448D01* +X234364974Y-139133069D01* +X234331939Y-139157569D01* +X234296662Y-139178713D01* +X234259483Y-139196298D01* +X234220758Y-139210154D01* +X234180862Y-139220147D01* +X234140179Y-139226182D01* +X234099100Y-139228200D01* +X233260900Y-139228200D01* +X233219821Y-139226182D01* +X233179138Y-139220147D01* +X233139242Y-139210154D01* +X233100517Y-139196298D01* +X233063338Y-139178713D01* +X233028061Y-139157569D01* +X232995026Y-139133069D01* +X232964552Y-139105448D01* +X232936931Y-139074974D01* +X232912431Y-139041939D01* +X232891287Y-139006662D01* +X232873702Y-138969483D01* +X232859846Y-138930758D01* +X232849853Y-138890862D01* +X232843818Y-138850179D01* +X232841800Y-138809100D01* +X232841800Y-131954900D01* +X232843818Y-131913821D01* +X232849853Y-131873138D01* +X232859846Y-131833242D01* +X232873702Y-131794517D01* +X232891287Y-131757338D01* +X232912431Y-131722061D01* +X232936931Y-131689026D01* +X232964552Y-131658552D01* +X232995026Y-131630931D01* +X233028061Y-131606431D01* +X233063338Y-131585287D01* +X233100517Y-131567702D01* +X233139242Y-131553846D01* +X233179138Y-131543853D01* +X233219821Y-131537818D01* +X233260900Y-131535800D01* +X234099100Y-131535800D01* +X234140179Y-131537818D01* +X234140179Y-131537818D01* +G37* +D21* +X233680000Y-135382000D03* +D15* +G36* +X231600179Y-131537818D02* +G01* +X231640862Y-131543853D01* +X231680758Y-131553846D01* +X231719483Y-131567702D01* +X231756662Y-131585287D01* +X231791939Y-131606431D01* +X231824974Y-131630931D01* +X231855448Y-131658552D01* +X231883069Y-131689026D01* +X231907569Y-131722061D01* +X231928713Y-131757338D01* +X231946298Y-131794517D01* +X231960154Y-131833242D01* +X231970147Y-131873138D01* +X231976182Y-131913821D01* +X231978200Y-131954900D01* +X231978200Y-138809100D01* +X231976182Y-138850179D01* +X231970147Y-138890862D01* +X231960154Y-138930758D01* +X231946298Y-138969483D01* +X231928713Y-139006662D01* +X231907569Y-139041939D01* +X231883069Y-139074974D01* +X231855448Y-139105448D01* +X231824974Y-139133069D01* +X231791939Y-139157569D01* +X231756662Y-139178713D01* +X231719483Y-139196298D01* +X231680758Y-139210154D01* +X231640862Y-139220147D01* +X231600179Y-139226182D01* +X231559100Y-139228200D01* +X230720900Y-139228200D01* +X230679821Y-139226182D01* +X230639138Y-139220147D01* +X230599242Y-139210154D01* +X230560517Y-139196298D01* +X230523338Y-139178713D01* +X230488061Y-139157569D01* +X230455026Y-139133069D01* +X230424552Y-139105448D01* +X230396931Y-139074974D01* +X230372431Y-139041939D01* +X230351287Y-139006662D01* +X230333702Y-138969483D01* +X230319846Y-138930758D01* +X230309853Y-138890862D01* +X230303818Y-138850179D01* +X230301800Y-138809100D01* +X230301800Y-131954900D01* +X230303818Y-131913821D01* +X230309853Y-131873138D01* +X230319846Y-131833242D01* +X230333702Y-131794517D01* +X230351287Y-131757338D01* +X230372431Y-131722061D01* +X230396931Y-131689026D01* +X230424552Y-131658552D01* +X230455026Y-131630931D01* +X230488061Y-131606431D01* +X230523338Y-131585287D01* +X230560517Y-131567702D01* +X230599242Y-131553846D01* +X230639138Y-131543853D01* +X230679821Y-131537818D01* +X230720900Y-131535800D01* +X231559100Y-131535800D01* +X231600179Y-131537818D01* +X231600179Y-131537818D01* +G37* +D21* +X231140000Y-135382000D03* +D15* +G36* +X229060179Y-131537818D02* +G01* +X229100862Y-131543853D01* +X229140758Y-131553846D01* +X229179483Y-131567702D01* +X229216662Y-131585287D01* +X229251939Y-131606431D01* +X229284974Y-131630931D01* +X229315448Y-131658552D01* +X229343069Y-131689026D01* +X229367569Y-131722061D01* +X229388713Y-131757338D01* +X229406298Y-131794517D01* +X229420154Y-131833242D01* +X229430147Y-131873138D01* +X229436182Y-131913821D01* +X229438200Y-131954900D01* +X229438200Y-138809100D01* +X229436182Y-138850179D01* +X229430147Y-138890862D01* +X229420154Y-138930758D01* +X229406298Y-138969483D01* +X229388713Y-139006662D01* +X229367569Y-139041939D01* +X229343069Y-139074974D01* +X229315448Y-139105448D01* +X229284974Y-139133069D01* +X229251939Y-139157569D01* +X229216662Y-139178713D01* +X229179483Y-139196298D01* +X229140758Y-139210154D01* +X229100862Y-139220147D01* +X229060179Y-139226182D01* +X229019100Y-139228200D01* +X228180900Y-139228200D01* +X228139821Y-139226182D01* +X228099138Y-139220147D01* +X228059242Y-139210154D01* +X228020517Y-139196298D01* +X227983338Y-139178713D01* +X227948061Y-139157569D01* +X227915026Y-139133069D01* +X227884552Y-139105448D01* +X227856931Y-139074974D01* +X227832431Y-139041939D01* +X227811287Y-139006662D01* +X227793702Y-138969483D01* +X227779846Y-138930758D01* +X227769853Y-138890862D01* +X227763818Y-138850179D01* +X227761800Y-138809100D01* +X227761800Y-131954900D01* +X227763818Y-131913821D01* +X227769853Y-131873138D01* +X227779846Y-131833242D01* +X227793702Y-131794517D01* +X227811287Y-131757338D01* +X227832431Y-131722061D01* +X227856931Y-131689026D01* +X227884552Y-131658552D01* +X227915026Y-131630931D01* +X227948061Y-131606431D01* +X227983338Y-131585287D01* +X228020517Y-131567702D01* +X228059242Y-131553846D01* +X228099138Y-131543853D01* +X228139821Y-131537818D01* +X228180900Y-131535800D01* +X229019100Y-131535800D01* +X229060179Y-131537818D01* +X229060179Y-131537818D01* +G37* +D21* +X228600000Y-135382000D03* +D15* +G36* +X226520179Y-131537818D02* +G01* +X226560862Y-131543853D01* +X226600758Y-131553846D01* +X226639483Y-131567702D01* +X226676662Y-131585287D01* +X226711939Y-131606431D01* +X226744974Y-131630931D01* +X226775448Y-131658552D01* +X226803069Y-131689026D01* +X226827569Y-131722061D01* +X226848713Y-131757338D01* +X226866298Y-131794517D01* +X226880154Y-131833242D01* +X226890147Y-131873138D01* +X226896182Y-131913821D01* +X226898200Y-131954900D01* +X226898200Y-138809100D01* +X226896182Y-138850179D01* +X226890147Y-138890862D01* +X226880154Y-138930758D01* +X226866298Y-138969483D01* +X226848713Y-139006662D01* +X226827569Y-139041939D01* +X226803069Y-139074974D01* +X226775448Y-139105448D01* +X226744974Y-139133069D01* +X226711939Y-139157569D01* +X226676662Y-139178713D01* +X226639483Y-139196298D01* +X226600758Y-139210154D01* +X226560862Y-139220147D01* +X226520179Y-139226182D01* +X226479100Y-139228200D01* +X225640900Y-139228200D01* +X225599821Y-139226182D01* +X225559138Y-139220147D01* +X225519242Y-139210154D01* +X225480517Y-139196298D01* +X225443338Y-139178713D01* +X225408061Y-139157569D01* +X225375026Y-139133069D01* +X225344552Y-139105448D01* +X225316931Y-139074974D01* +X225292431Y-139041939D01* +X225271287Y-139006662D01* +X225253702Y-138969483D01* +X225239846Y-138930758D01* +X225229853Y-138890862D01* +X225223818Y-138850179D01* +X225221800Y-138809100D01* +X225221800Y-131954900D01* +X225223818Y-131913821D01* +X225229853Y-131873138D01* +X225239846Y-131833242D01* +X225253702Y-131794517D01* +X225271287Y-131757338D01* +X225292431Y-131722061D01* +X225316931Y-131689026D01* +X225344552Y-131658552D01* +X225375026Y-131630931D01* +X225408061Y-131606431D01* +X225443338Y-131585287D01* +X225480517Y-131567702D01* +X225519242Y-131553846D01* +X225559138Y-131543853D01* +X225599821Y-131537818D01* +X225640900Y-131535800D01* +X226479100Y-131535800D01* +X226520179Y-131537818D01* +X226520179Y-131537818D01* +G37* +D21* +X226060000Y-135382000D03* +D15* +G36* +X223980179Y-131537818D02* +G01* +X224020862Y-131543853D01* +X224060758Y-131553846D01* +X224099483Y-131567702D01* +X224136662Y-131585287D01* +X224171939Y-131606431D01* +X224204974Y-131630931D01* +X224235448Y-131658552D01* +X224263069Y-131689026D01* +X224287569Y-131722061D01* +X224308713Y-131757338D01* +X224326298Y-131794517D01* +X224340154Y-131833242D01* +X224350147Y-131873138D01* +X224356182Y-131913821D01* +X224358200Y-131954900D01* +X224358200Y-138809100D01* +X224356182Y-138850179D01* +X224350147Y-138890862D01* +X224340154Y-138930758D01* +X224326298Y-138969483D01* +X224308713Y-139006662D01* +X224287569Y-139041939D01* +X224263069Y-139074974D01* +X224235448Y-139105448D01* +X224204974Y-139133069D01* +X224171939Y-139157569D01* +X224136662Y-139178713D01* +X224099483Y-139196298D01* +X224060758Y-139210154D01* +X224020862Y-139220147D01* +X223980179Y-139226182D01* +X223939100Y-139228200D01* +X223100900Y-139228200D01* +X223059821Y-139226182D01* +X223019138Y-139220147D01* +X222979242Y-139210154D01* +X222940517Y-139196298D01* +X222903338Y-139178713D01* +X222868061Y-139157569D01* +X222835026Y-139133069D01* +X222804552Y-139105448D01* +X222776931Y-139074974D01* +X222752431Y-139041939D01* +X222731287Y-139006662D01* +X222713702Y-138969483D01* +X222699846Y-138930758D01* +X222689853Y-138890862D01* +X222683818Y-138850179D01* +X222681800Y-138809100D01* +X222681800Y-131954900D01* +X222683818Y-131913821D01* +X222689853Y-131873138D01* +X222699846Y-131833242D01* +X222713702Y-131794517D01* +X222731287Y-131757338D01* +X222752431Y-131722061D01* +X222776931Y-131689026D01* +X222804552Y-131658552D01* +X222835026Y-131630931D01* +X222868061Y-131606431D01* +X222903338Y-131585287D01* +X222940517Y-131567702D01* +X222979242Y-131553846D01* +X223019138Y-131543853D01* +X223059821Y-131537818D01* +X223100900Y-131535800D01* +X223939100Y-131535800D01* +X223980179Y-131537818D01* +X223980179Y-131537818D01* +G37* +D21* +X223520000Y-135382000D03* +D15* +G36* +X221440179Y-131537818D02* +G01* +X221480862Y-131543853D01* +X221520758Y-131553846D01* +X221559483Y-131567702D01* +X221596662Y-131585287D01* +X221631939Y-131606431D01* +X221664974Y-131630931D01* +X221695448Y-131658552D01* +X221723069Y-131689026D01* +X221747569Y-131722061D01* +X221768713Y-131757338D01* +X221786298Y-131794517D01* +X221800154Y-131833242D01* +X221810147Y-131873138D01* +X221816182Y-131913821D01* +X221818200Y-131954900D01* +X221818200Y-138809100D01* +X221816182Y-138850179D01* +X221810147Y-138890862D01* +X221800154Y-138930758D01* +X221786298Y-138969483D01* +X221768713Y-139006662D01* +X221747569Y-139041939D01* +X221723069Y-139074974D01* +X221695448Y-139105448D01* +X221664974Y-139133069D01* +X221631939Y-139157569D01* +X221596662Y-139178713D01* +X221559483Y-139196298D01* +X221520758Y-139210154D01* +X221480862Y-139220147D01* +X221440179Y-139226182D01* +X221399100Y-139228200D01* +X220560900Y-139228200D01* +X220519821Y-139226182D01* +X220479138Y-139220147D01* +X220439242Y-139210154D01* +X220400517Y-139196298D01* +X220363338Y-139178713D01* +X220328061Y-139157569D01* +X220295026Y-139133069D01* +X220264552Y-139105448D01* +X220236931Y-139074974D01* +X220212431Y-139041939D01* +X220191287Y-139006662D01* +X220173702Y-138969483D01* +X220159846Y-138930758D01* +X220149853Y-138890862D01* +X220143818Y-138850179D01* +X220141800Y-138809100D01* +X220141800Y-131954900D01* +X220143818Y-131913821D01* +X220149853Y-131873138D01* +X220159846Y-131833242D01* +X220173702Y-131794517D01* +X220191287Y-131757338D01* +X220212431Y-131722061D01* +X220236931Y-131689026D01* +X220264552Y-131658552D01* +X220295026Y-131630931D01* +X220328061Y-131606431D01* +X220363338Y-131585287D01* +X220400517Y-131567702D01* +X220439242Y-131553846D01* +X220479138Y-131543853D01* +X220519821Y-131537818D01* +X220560900Y-131535800D01* +X221399100Y-131535800D01* +X221440179Y-131537818D01* +X221440179Y-131537818D01* +G37* +D21* +X220980000Y-135382000D03* +D15* +G36* +X218900179Y-131537818D02* +G01* +X218940862Y-131543853D01* +X218980758Y-131553846D01* +X219019483Y-131567702D01* +X219056662Y-131585287D01* +X219091939Y-131606431D01* +X219124974Y-131630931D01* +X219155448Y-131658552D01* +X219183069Y-131689026D01* +X219207569Y-131722061D01* +X219228713Y-131757338D01* +X219246298Y-131794517D01* +X219260154Y-131833242D01* +X219270147Y-131873138D01* +X219276182Y-131913821D01* +X219278200Y-131954900D01* +X219278200Y-138809100D01* +X219276182Y-138850179D01* +X219270147Y-138890862D01* +X219260154Y-138930758D01* +X219246298Y-138969483D01* +X219228713Y-139006662D01* +X219207569Y-139041939D01* +X219183069Y-139074974D01* +X219155448Y-139105448D01* +X219124974Y-139133069D01* +X219091939Y-139157569D01* +X219056662Y-139178713D01* +X219019483Y-139196298D01* +X218980758Y-139210154D01* +X218940862Y-139220147D01* +X218900179Y-139226182D01* +X218859100Y-139228200D01* +X218020900Y-139228200D01* +X217979821Y-139226182D01* +X217939138Y-139220147D01* +X217899242Y-139210154D01* +X217860517Y-139196298D01* +X217823338Y-139178713D01* +X217788061Y-139157569D01* +X217755026Y-139133069D01* +X217724552Y-139105448D01* +X217696931Y-139074974D01* +X217672431Y-139041939D01* +X217651287Y-139006662D01* +X217633702Y-138969483D01* +X217619846Y-138930758D01* +X217609853Y-138890862D01* +X217603818Y-138850179D01* +X217601800Y-138809100D01* +X217601800Y-131954900D01* +X217603818Y-131913821D01* +X217609853Y-131873138D01* +X217619846Y-131833242D01* +X217633702Y-131794517D01* +X217651287Y-131757338D01* +X217672431Y-131722061D01* +X217696931Y-131689026D01* +X217724552Y-131658552D01* +X217755026Y-131630931D01* +X217788061Y-131606431D01* +X217823338Y-131585287D01* +X217860517Y-131567702D01* +X217899242Y-131553846D01* +X217939138Y-131543853D01* +X217979821Y-131537818D01* +X218020900Y-131535800D01* +X218859100Y-131535800D01* +X218900179Y-131537818D01* +X218900179Y-131537818D01* +G37* +D21* +X218440000Y-135382000D03* +D15* +G36* +X216360179Y-131537818D02* +G01* +X216400862Y-131543853D01* +X216440758Y-131553846D01* +X216479483Y-131567702D01* +X216516662Y-131585287D01* +X216551939Y-131606431D01* +X216584974Y-131630931D01* +X216615448Y-131658552D01* +X216643069Y-131689026D01* +X216667569Y-131722061D01* +X216688713Y-131757338D01* +X216706298Y-131794517D01* +X216720154Y-131833242D01* +X216730147Y-131873138D01* +X216736182Y-131913821D01* +X216738200Y-131954900D01* +X216738200Y-138809100D01* +X216736182Y-138850179D01* +X216730147Y-138890862D01* +X216720154Y-138930758D01* +X216706298Y-138969483D01* +X216688713Y-139006662D01* +X216667569Y-139041939D01* +X216643069Y-139074974D01* +X216615448Y-139105448D01* +X216584974Y-139133069D01* +X216551939Y-139157569D01* +X216516662Y-139178713D01* +X216479483Y-139196298D01* +X216440758Y-139210154D01* +X216400862Y-139220147D01* +X216360179Y-139226182D01* +X216319100Y-139228200D01* +X215480900Y-139228200D01* +X215439821Y-139226182D01* +X215399138Y-139220147D01* +X215359242Y-139210154D01* +X215320517Y-139196298D01* +X215283338Y-139178713D01* +X215248061Y-139157569D01* +X215215026Y-139133069D01* +X215184552Y-139105448D01* +X215156931Y-139074974D01* +X215132431Y-139041939D01* +X215111287Y-139006662D01* +X215093702Y-138969483D01* +X215079846Y-138930758D01* +X215069853Y-138890862D01* +X215063818Y-138850179D01* +X215061800Y-138809100D01* +X215061800Y-131954900D01* +X215063818Y-131913821D01* +X215069853Y-131873138D01* +X215079846Y-131833242D01* +X215093702Y-131794517D01* +X215111287Y-131757338D01* +X215132431Y-131722061D01* +X215156931Y-131689026D01* +X215184552Y-131658552D01* +X215215026Y-131630931D01* +X215248061Y-131606431D01* +X215283338Y-131585287D01* +X215320517Y-131567702D01* +X215359242Y-131553846D01* +X215399138Y-131543853D01* +X215439821Y-131537818D01* +X215480900Y-131535800D01* +X216319100Y-131535800D01* +X216360179Y-131537818D01* +X216360179Y-131537818D01* +G37* +D21* +X215900000Y-135382000D03* +D15* +G36* +X213820179Y-131537818D02* +G01* +X213860862Y-131543853D01* +X213900758Y-131553846D01* +X213939483Y-131567702D01* +X213976662Y-131585287D01* +X214011939Y-131606431D01* +X214044974Y-131630931D01* +X214075448Y-131658552D01* +X214103069Y-131689026D01* +X214127569Y-131722061D01* +X214148713Y-131757338D01* +X214166298Y-131794517D01* +X214180154Y-131833242D01* +X214190147Y-131873138D01* +X214196182Y-131913821D01* +X214198200Y-131954900D01* +X214198200Y-138809100D01* +X214196182Y-138850179D01* +X214190147Y-138890862D01* +X214180154Y-138930758D01* +X214166298Y-138969483D01* +X214148713Y-139006662D01* +X214127569Y-139041939D01* +X214103069Y-139074974D01* +X214075448Y-139105448D01* +X214044974Y-139133069D01* +X214011939Y-139157569D01* +X213976662Y-139178713D01* +X213939483Y-139196298D01* +X213900758Y-139210154D01* +X213860862Y-139220147D01* +X213820179Y-139226182D01* +X213779100Y-139228200D01* +X212940900Y-139228200D01* +X212899821Y-139226182D01* +X212859138Y-139220147D01* +X212819242Y-139210154D01* +X212780517Y-139196298D01* +X212743338Y-139178713D01* +X212708061Y-139157569D01* +X212675026Y-139133069D01* +X212644552Y-139105448D01* +X212616931Y-139074974D01* +X212592431Y-139041939D01* +X212571287Y-139006662D01* +X212553702Y-138969483D01* +X212539846Y-138930758D01* +X212529853Y-138890862D01* +X212523818Y-138850179D01* +X212521800Y-138809100D01* +X212521800Y-131954900D01* +X212523818Y-131913821D01* +X212529853Y-131873138D01* +X212539846Y-131833242D01* +X212553702Y-131794517D01* +X212571287Y-131757338D01* +X212592431Y-131722061D01* +X212616931Y-131689026D01* +X212644552Y-131658552D01* +X212675026Y-131630931D01* +X212708061Y-131606431D01* +X212743338Y-131585287D01* +X212780517Y-131567702D01* +X212819242Y-131553846D01* +X212859138Y-131543853D01* +X212899821Y-131537818D01* +X212940900Y-131535800D01* +X213779100Y-131535800D01* +X213820179Y-131537818D01* +X213820179Y-131537818D01* +G37* +D21* +X213360000Y-135382000D03* +D15* +G36* +X211280179Y-131537818D02* +G01* +X211320862Y-131543853D01* +X211360758Y-131553846D01* +X211399483Y-131567702D01* +X211436662Y-131585287D01* +X211471939Y-131606431D01* +X211504974Y-131630931D01* +X211535448Y-131658552D01* +X211563069Y-131689026D01* +X211587569Y-131722061D01* +X211608713Y-131757338D01* +X211626298Y-131794517D01* +X211640154Y-131833242D01* +X211650147Y-131873138D01* +X211656182Y-131913821D01* +X211658200Y-131954900D01* +X211658200Y-138809100D01* +X211656182Y-138850179D01* +X211650147Y-138890862D01* +X211640154Y-138930758D01* +X211626298Y-138969483D01* +X211608713Y-139006662D01* +X211587569Y-139041939D01* +X211563069Y-139074974D01* +X211535448Y-139105448D01* +X211504974Y-139133069D01* +X211471939Y-139157569D01* +X211436662Y-139178713D01* +X211399483Y-139196298D01* +X211360758Y-139210154D01* +X211320862Y-139220147D01* +X211280179Y-139226182D01* +X211239100Y-139228200D01* +X210400900Y-139228200D01* +X210359821Y-139226182D01* +X210319138Y-139220147D01* +X210279242Y-139210154D01* +X210240517Y-139196298D01* +X210203338Y-139178713D01* +X210168061Y-139157569D01* +X210135026Y-139133069D01* +X210104552Y-139105448D01* +X210076931Y-139074974D01* +X210052431Y-139041939D01* +X210031287Y-139006662D01* +X210013702Y-138969483D01* +X209999846Y-138930758D01* +X209989853Y-138890862D01* +X209983818Y-138850179D01* +X209981800Y-138809100D01* +X209981800Y-131954900D01* +X209983818Y-131913821D01* +X209989853Y-131873138D01* +X209999846Y-131833242D01* +X210013702Y-131794517D01* +X210031287Y-131757338D01* +X210052431Y-131722061D01* +X210076931Y-131689026D01* +X210104552Y-131658552D01* +X210135026Y-131630931D01* +X210168061Y-131606431D01* +X210203338Y-131585287D01* +X210240517Y-131567702D01* +X210279242Y-131553846D01* +X210319138Y-131543853D01* +X210359821Y-131537818D01* +X210400900Y-131535800D01* +X211239100Y-131535800D01* +X211280179Y-131537818D01* +X211280179Y-131537818D01* +G37* +D21* +X210820000Y-135382000D03* +D15* +G36* +X208740179Y-131537818D02* +G01* +X208780862Y-131543853D01* +X208820758Y-131553846D01* +X208859483Y-131567702D01* +X208896662Y-131585287D01* +X208931939Y-131606431D01* +X208964974Y-131630931D01* +X208995448Y-131658552D01* +X209023069Y-131689026D01* +X209047569Y-131722061D01* +X209068713Y-131757338D01* +X209086298Y-131794517D01* +X209100154Y-131833242D01* +X209110147Y-131873138D01* +X209116182Y-131913821D01* +X209118200Y-131954900D01* +X209118200Y-138809100D01* +X209116182Y-138850179D01* +X209110147Y-138890862D01* +X209100154Y-138930758D01* +X209086298Y-138969483D01* +X209068713Y-139006662D01* +X209047569Y-139041939D01* +X209023069Y-139074974D01* +X208995448Y-139105448D01* +X208964974Y-139133069D01* +X208931939Y-139157569D01* +X208896662Y-139178713D01* +X208859483Y-139196298D01* +X208820758Y-139210154D01* +X208780862Y-139220147D01* +X208740179Y-139226182D01* +X208699100Y-139228200D01* +X207860900Y-139228200D01* +X207819821Y-139226182D01* +X207779138Y-139220147D01* +X207739242Y-139210154D01* +X207700517Y-139196298D01* +X207663338Y-139178713D01* +X207628061Y-139157569D01* +X207595026Y-139133069D01* +X207564552Y-139105448D01* +X207536931Y-139074974D01* +X207512431Y-139041939D01* +X207491287Y-139006662D01* +X207473702Y-138969483D01* +X207459846Y-138930758D01* +X207449853Y-138890862D01* +X207443818Y-138850179D01* +X207441800Y-138809100D01* +X207441800Y-131954900D01* +X207443818Y-131913821D01* +X207449853Y-131873138D01* +X207459846Y-131833242D01* +X207473702Y-131794517D01* +X207491287Y-131757338D01* +X207512431Y-131722061D01* +X207536931Y-131689026D01* +X207564552Y-131658552D01* +X207595026Y-131630931D01* +X207628061Y-131606431D01* +X207663338Y-131585287D01* +X207700517Y-131567702D01* +X207739242Y-131553846D01* +X207779138Y-131543853D01* +X207819821Y-131537818D01* +X207860900Y-131535800D01* +X208699100Y-131535800D01* +X208740179Y-131537818D01* +X208740179Y-131537818D01* +G37* +D21* +X208280000Y-135382000D03* +D15* +G36* +X206200179Y-131537818D02* +G01* +X206240862Y-131543853D01* +X206280758Y-131553846D01* +X206319483Y-131567702D01* +X206356662Y-131585287D01* +X206391939Y-131606431D01* +X206424974Y-131630931D01* +X206455448Y-131658552D01* +X206483069Y-131689026D01* +X206507569Y-131722061D01* +X206528713Y-131757338D01* +X206546298Y-131794517D01* +X206560154Y-131833242D01* +X206570147Y-131873138D01* +X206576182Y-131913821D01* +X206578200Y-131954900D01* +X206578200Y-138809100D01* +X206576182Y-138850179D01* +X206570147Y-138890862D01* +X206560154Y-138930758D01* +X206546298Y-138969483D01* +X206528713Y-139006662D01* +X206507569Y-139041939D01* +X206483069Y-139074974D01* +X206455448Y-139105448D01* +X206424974Y-139133069D01* +X206391939Y-139157569D01* +X206356662Y-139178713D01* +X206319483Y-139196298D01* +X206280758Y-139210154D01* +X206240862Y-139220147D01* +X206200179Y-139226182D01* +X206159100Y-139228200D01* +X205320900Y-139228200D01* +X205279821Y-139226182D01* +X205239138Y-139220147D01* +X205199242Y-139210154D01* +X205160517Y-139196298D01* +X205123338Y-139178713D01* +X205088061Y-139157569D01* +X205055026Y-139133069D01* +X205024552Y-139105448D01* +X204996931Y-139074974D01* +X204972431Y-139041939D01* +X204951287Y-139006662D01* +X204933702Y-138969483D01* +X204919846Y-138930758D01* +X204909853Y-138890862D01* +X204903818Y-138850179D01* +X204901800Y-138809100D01* +X204901800Y-131954900D01* +X204903818Y-131913821D01* +X204909853Y-131873138D01* +X204919846Y-131833242D01* +X204933702Y-131794517D01* +X204951287Y-131757338D01* +X204972431Y-131722061D01* +X204996931Y-131689026D01* +X205024552Y-131658552D01* +X205055026Y-131630931D01* +X205088061Y-131606431D01* +X205123338Y-131585287D01* +X205160517Y-131567702D01* +X205199242Y-131553846D01* +X205239138Y-131543853D01* +X205279821Y-131537818D01* +X205320900Y-131535800D01* +X206159100Y-131535800D01* +X206200179Y-131537818D01* +X206200179Y-131537818D01* +G37* +D21* +X205740000Y-135382000D03* +D15* +G36* +X203660179Y-131537818D02* +G01* +X203700862Y-131543853D01* +X203740758Y-131553846D01* +X203779483Y-131567702D01* +X203816662Y-131585287D01* +X203851939Y-131606431D01* +X203884974Y-131630931D01* +X203915448Y-131658552D01* +X203943069Y-131689026D01* +X203967569Y-131722061D01* +X203988713Y-131757338D01* +X204006298Y-131794517D01* +X204020154Y-131833242D01* +X204030147Y-131873138D01* +X204036182Y-131913821D01* +X204038200Y-131954900D01* +X204038200Y-138809100D01* +X204036182Y-138850179D01* +X204030147Y-138890862D01* +X204020154Y-138930758D01* +X204006298Y-138969483D01* +X203988713Y-139006662D01* +X203967569Y-139041939D01* +X203943069Y-139074974D01* +X203915448Y-139105448D01* +X203884974Y-139133069D01* +X203851939Y-139157569D01* +X203816662Y-139178713D01* +X203779483Y-139196298D01* +X203740758Y-139210154D01* +X203700862Y-139220147D01* +X203660179Y-139226182D01* +X203619100Y-139228200D01* +X202780900Y-139228200D01* +X202739821Y-139226182D01* +X202699138Y-139220147D01* +X202659242Y-139210154D01* +X202620517Y-139196298D01* +X202583338Y-139178713D01* +X202548061Y-139157569D01* +X202515026Y-139133069D01* +X202484552Y-139105448D01* +X202456931Y-139074974D01* +X202432431Y-139041939D01* +X202411287Y-139006662D01* +X202393702Y-138969483D01* +X202379846Y-138930758D01* +X202369853Y-138890862D01* +X202363818Y-138850179D01* +X202361800Y-138809100D01* +X202361800Y-131954900D01* +X202363818Y-131913821D01* +X202369853Y-131873138D01* +X202379846Y-131833242D01* +X202393702Y-131794517D01* +X202411287Y-131757338D01* +X202432431Y-131722061D01* +X202456931Y-131689026D01* +X202484552Y-131658552D01* +X202515026Y-131630931D01* +X202548061Y-131606431D01* +X202583338Y-131585287D01* +X202620517Y-131567702D01* +X202659242Y-131553846D01* +X202699138Y-131543853D01* +X202739821Y-131537818D01* +X202780900Y-131535800D01* +X203619100Y-131535800D01* +X203660179Y-131537818D01* +X203660179Y-131537818D01* +G37* +D21* +X203200000Y-135382000D03* +D15* +G36* +X201120179Y-131537818D02* +G01* +X201160862Y-131543853D01* +X201200758Y-131553846D01* +X201239483Y-131567702D01* +X201276662Y-131585287D01* +X201311939Y-131606431D01* +X201344974Y-131630931D01* +X201375448Y-131658552D01* +X201403069Y-131689026D01* +X201427569Y-131722061D01* +X201448713Y-131757338D01* +X201466298Y-131794517D01* +X201480154Y-131833242D01* +X201490147Y-131873138D01* +X201496182Y-131913821D01* +X201498200Y-131954900D01* +X201498200Y-138809100D01* +X201496182Y-138850179D01* +X201490147Y-138890862D01* +X201480154Y-138930758D01* +X201466298Y-138969483D01* +X201448713Y-139006662D01* +X201427569Y-139041939D01* +X201403069Y-139074974D01* +X201375448Y-139105448D01* +X201344974Y-139133069D01* +X201311939Y-139157569D01* +X201276662Y-139178713D01* +X201239483Y-139196298D01* +X201200758Y-139210154D01* +X201160862Y-139220147D01* +X201120179Y-139226182D01* +X201079100Y-139228200D01* +X200240900Y-139228200D01* +X200199821Y-139226182D01* +X200159138Y-139220147D01* +X200119242Y-139210154D01* +X200080517Y-139196298D01* +X200043338Y-139178713D01* +X200008061Y-139157569D01* +X199975026Y-139133069D01* +X199944552Y-139105448D01* +X199916931Y-139074974D01* +X199892431Y-139041939D01* +X199871287Y-139006662D01* +X199853702Y-138969483D01* +X199839846Y-138930758D01* +X199829853Y-138890862D01* +X199823818Y-138850179D01* +X199821800Y-138809100D01* +X199821800Y-131954900D01* +X199823818Y-131913821D01* +X199829853Y-131873138D01* +X199839846Y-131833242D01* +X199853702Y-131794517D01* +X199871287Y-131757338D01* +X199892431Y-131722061D01* +X199916931Y-131689026D01* +X199944552Y-131658552D01* +X199975026Y-131630931D01* +X200008061Y-131606431D01* +X200043338Y-131585287D01* +X200080517Y-131567702D01* +X200119242Y-131553846D01* +X200159138Y-131543853D01* +X200199821Y-131537818D01* +X200240900Y-131535800D01* +X201079100Y-131535800D01* +X201120179Y-131537818D01* +X201120179Y-131537818D01* +G37* +D21* +X200660000Y-135382000D03* +D15* +G36* +X214452064Y-116700247D02* +G01* +X214481244Y-116704576D01* +X214509860Y-116711744D01* +X214537635Y-116721682D01* +X214564302Y-116734294D01* +X214589604Y-116749460D01* +X214613299Y-116767033D01* +X214635156Y-116786844D01* +X214654967Y-116808701D01* +X214672540Y-116832396D01* +X214687706Y-116857698D01* +X214700318Y-116884365D01* +X214710256Y-116912140D01* +X214717424Y-116940756D01* +X214721753Y-116969936D01* +X214723200Y-116999400D01* +X214723200Y-117950600D01* +X214721753Y-117980064D01* +X214717424Y-118009244D01* +X214710256Y-118037860D01* +X214700318Y-118065635D01* +X214687706Y-118092302D01* +X214672540Y-118117604D01* +X214654967Y-118141299D01* +X214635156Y-118163156D01* +X214613299Y-118182967D01* +X214589604Y-118200540D01* +X214564302Y-118215706D01* +X214537635Y-118228318D01* +X214509860Y-118238256D01* +X214481244Y-118245424D01* +X214452064Y-118249753D01* +X214422600Y-118251200D01* +X213821400Y-118251200D01* +X213791936Y-118249753D01* +X213762756Y-118245424D01* +X213734140Y-118238256D01* +X213706365Y-118228318D01* +X213679698Y-118215706D01* +X213654396Y-118200540D01* +X213630701Y-118182967D01* +X213608844Y-118163156D01* +X213589033Y-118141299D01* +X213571460Y-118117604D01* +X213556294Y-118092302D01* +X213543682Y-118065635D01* +X213533744Y-118037860D01* +X213526576Y-118009244D01* +X213522247Y-117980064D01* +X213520800Y-117950600D01* +X213520800Y-116999400D01* +X213522247Y-116969936D01* +X213526576Y-116940756D01* +X213533744Y-116912140D01* +X213543682Y-116884365D01* +X213556294Y-116857698D01* +X213571460Y-116832396D01* +X213589033Y-116808701D01* +X213608844Y-116786844D01* +X213630701Y-116767033D01* +X213654396Y-116749460D01* +X213679698Y-116734294D01* +X213706365Y-116721682D01* +X213734140Y-116711744D01* +X213762756Y-116704576D01* +X213791936Y-116700247D01* +X213821400Y-116698800D01* +X214422600Y-116698800D01* +X214452064Y-116700247D01* +X214452064Y-116700247D01* +G37* +D16* +X214122000Y-117475000D03* +D15* +G36* +X216152064Y-116700247D02* +G01* +X216181244Y-116704576D01* +X216209860Y-116711744D01* +X216237635Y-116721682D01* +X216264302Y-116734294D01* +X216289604Y-116749460D01* +X216313299Y-116767033D01* +X216335156Y-116786844D01* +X216354967Y-116808701D01* +X216372540Y-116832396D01* +X216387706Y-116857698D01* +X216400318Y-116884365D01* +X216410256Y-116912140D01* +X216417424Y-116940756D01* +X216421753Y-116969936D01* +X216423200Y-116999400D01* +X216423200Y-117950600D01* +X216421753Y-117980064D01* +X216417424Y-118009244D01* +X216410256Y-118037860D01* +X216400318Y-118065635D01* +X216387706Y-118092302D01* +X216372540Y-118117604D01* +X216354967Y-118141299D01* +X216335156Y-118163156D01* +X216313299Y-118182967D01* +X216289604Y-118200540D01* +X216264302Y-118215706D01* +X216237635Y-118228318D01* +X216209860Y-118238256D01* +X216181244Y-118245424D01* +X216152064Y-118249753D01* +X216122600Y-118251200D01* +X215521400Y-118251200D01* +X215491936Y-118249753D01* +X215462756Y-118245424D01* +X215434140Y-118238256D01* +X215406365Y-118228318D01* +X215379698Y-118215706D01* +X215354396Y-118200540D01* +X215330701Y-118182967D01* +X215308844Y-118163156D01* +X215289033Y-118141299D01* +X215271460Y-118117604D01* +X215256294Y-118092302D01* +X215243682Y-118065635D01* +X215233744Y-118037860D01* +X215226576Y-118009244D01* +X215222247Y-117980064D01* +X215220800Y-117950600D01* +X215220800Y-116999400D01* +X215222247Y-116969936D01* +X215226576Y-116940756D01* +X215233744Y-116912140D01* +X215243682Y-116884365D01* +X215256294Y-116857698D01* +X215271460Y-116832396D01* +X215289033Y-116808701D01* +X215308844Y-116786844D01* +X215330701Y-116767033D01* +X215354396Y-116749460D01* +X215379698Y-116734294D01* +X215406365Y-116721682D01* +X215434140Y-116711744D01* +X215462756Y-116704576D01* +X215491936Y-116700247D01* +X215521400Y-116698800D01* +X216122600Y-116698800D01* +X216152064Y-116700247D01* +X216152064Y-116700247D01* +G37* +D16* +X215822000Y-117475000D03* +D15* +G36* +X216152064Y-95110247D02* +G01* +X216181244Y-95114576D01* +X216209860Y-95121744D01* +X216237635Y-95131682D01* +X216264302Y-95144294D01* +X216289604Y-95159460D01* +X216313299Y-95177033D01* +X216335156Y-95196844D01* +X216354967Y-95218701D01* +X216372540Y-95242396D01* +X216387706Y-95267698D01* +X216400318Y-95294365D01* +X216410256Y-95322140D01* +X216417424Y-95350756D01* +X216421753Y-95379936D01* +X216423200Y-95409400D01* +X216423200Y-96360600D01* +X216421753Y-96390064D01* +X216417424Y-96419244D01* +X216410256Y-96447860D01* +X216400318Y-96475635D01* +X216387706Y-96502302D01* +X216372540Y-96527604D01* +X216354967Y-96551299D01* +X216335156Y-96573156D01* +X216313299Y-96592967D01* +X216289604Y-96610540D01* +X216264302Y-96625706D01* +X216237635Y-96638318D01* +X216209860Y-96648256D01* +X216181244Y-96655424D01* +X216152064Y-96659753D01* +X216122600Y-96661200D01* +X215521400Y-96661200D01* +X215491936Y-96659753D01* +X215462756Y-96655424D01* +X215434140Y-96648256D01* +X215406365Y-96638318D01* +X215379698Y-96625706D01* +X215354396Y-96610540D01* +X215330701Y-96592967D01* +X215308844Y-96573156D01* +X215289033Y-96551299D01* +X215271460Y-96527604D01* +X215256294Y-96502302D01* +X215243682Y-96475635D01* +X215233744Y-96447860D01* +X215226576Y-96419244D01* +X215222247Y-96390064D01* +X215220800Y-96360600D01* +X215220800Y-95409400D01* +X215222247Y-95379936D01* +X215226576Y-95350756D01* +X215233744Y-95322140D01* +X215243682Y-95294365D01* +X215256294Y-95267698D01* +X215271460Y-95242396D01* +X215289033Y-95218701D01* +X215308844Y-95196844D01* +X215330701Y-95177033D01* +X215354396Y-95159460D01* +X215379698Y-95144294D01* +X215406365Y-95131682D01* +X215434140Y-95121744D01* +X215462756Y-95114576D01* +X215491936Y-95110247D01* +X215521400Y-95108800D01* +X216122600Y-95108800D01* +X216152064Y-95110247D01* +X216152064Y-95110247D01* +G37* +D16* +X215822000Y-95885000D03* +D15* +G36* +X214452064Y-95110247D02* +G01* +X214481244Y-95114576D01* +X214509860Y-95121744D01* +X214537635Y-95131682D01* +X214564302Y-95144294D01* +X214589604Y-95159460D01* +X214613299Y-95177033D01* +X214635156Y-95196844D01* +X214654967Y-95218701D01* +X214672540Y-95242396D01* +X214687706Y-95267698D01* +X214700318Y-95294365D01* +X214710256Y-95322140D01* +X214717424Y-95350756D01* +X214721753Y-95379936D01* +X214723200Y-95409400D01* +X214723200Y-96360600D01* +X214721753Y-96390064D01* +X214717424Y-96419244D01* +X214710256Y-96447860D01* +X214700318Y-96475635D01* +X214687706Y-96502302D01* +X214672540Y-96527604D01* +X214654967Y-96551299D01* +X214635156Y-96573156D01* +X214613299Y-96592967D01* +X214589604Y-96610540D01* +X214564302Y-96625706D01* +X214537635Y-96638318D01* +X214509860Y-96648256D01* +X214481244Y-96655424D01* +X214452064Y-96659753D01* +X214422600Y-96661200D01* +X213821400Y-96661200D01* +X213791936Y-96659753D01* +X213762756Y-96655424D01* +X213734140Y-96648256D01* +X213706365Y-96638318D01* +X213679698Y-96625706D01* +X213654396Y-96610540D01* +X213630701Y-96592967D01* +X213608844Y-96573156D01* +X213589033Y-96551299D01* +X213571460Y-96527604D01* +X213556294Y-96502302D01* +X213543682Y-96475635D01* +X213533744Y-96447860D01* +X213526576Y-96419244D01* +X213522247Y-96390064D01* +X213520800Y-96360600D01* +X213520800Y-95409400D01* +X213522247Y-95379936D01* +X213526576Y-95350756D01* +X213533744Y-95322140D01* +X213543682Y-95294365D01* +X213556294Y-95267698D01* +X213571460Y-95242396D01* +X213589033Y-95218701D01* +X213608844Y-95196844D01* +X213630701Y-95177033D01* +X213654396Y-95159460D01* +X213679698Y-95144294D01* +X213706365Y-95131682D01* +X213734140Y-95121744D01* +X213762756Y-95114576D01* +X213791936Y-95110247D01* +X213821400Y-95108800D01* +X214422600Y-95108800D01* +X214452064Y-95110247D01* +X214452064Y-95110247D01* +G37* +D16* +X214122000Y-95885000D03* +D15* +G36* +X242900064Y-90665247D02* +G01* +X242929244Y-90669576D01* +X242957860Y-90676744D01* +X242985635Y-90686682D01* +X243012302Y-90699294D01* +X243037604Y-90714460D01* +X243061299Y-90732033D01* +X243083156Y-90751844D01* +X243102967Y-90773701D01* +X243120540Y-90797396D01* +X243135706Y-90822698D01* +X243148318Y-90849365D01* +X243158256Y-90877140D01* +X243165424Y-90905756D01* +X243169753Y-90934936D01* +X243171200Y-90964400D01* +X243171200Y-91915600D01* +X243169753Y-91945064D01* +X243165424Y-91974244D01* +X243158256Y-92002860D01* +X243148318Y-92030635D01* +X243135706Y-92057302D01* +X243120540Y-92082604D01* +X243102967Y-92106299D01* +X243083156Y-92128156D01* +X243061299Y-92147967D01* +X243037604Y-92165540D01* +X243012302Y-92180706D01* +X242985635Y-92193318D01* +X242957860Y-92203256D01* +X242929244Y-92210424D01* +X242900064Y-92214753D01* +X242870600Y-92216200D01* +X242269400Y-92216200D01* +X242239936Y-92214753D01* +X242210756Y-92210424D01* +X242182140Y-92203256D01* +X242154365Y-92193318D01* +X242127698Y-92180706D01* +X242102396Y-92165540D01* +X242078701Y-92147967D01* +X242056844Y-92128156D01* +X242037033Y-92106299D01* +X242019460Y-92082604D01* +X242004294Y-92057302D01* +X241991682Y-92030635D01* +X241981744Y-92002860D01* +X241974576Y-91974244D01* +X241970247Y-91945064D01* +X241968800Y-91915600D01* +X241968800Y-90964400D01* +X241970247Y-90934936D01* +X241974576Y-90905756D01* +X241981744Y-90877140D01* +X241991682Y-90849365D01* +X242004294Y-90822698D01* +X242019460Y-90797396D01* +X242037033Y-90773701D01* +X242056844Y-90751844D01* +X242078701Y-90732033D01* +X242102396Y-90714460D01* +X242127698Y-90699294D01* +X242154365Y-90686682D01* +X242182140Y-90676744D01* +X242210756Y-90669576D01* +X242239936Y-90665247D01* +X242269400Y-90663800D01* +X242870600Y-90663800D01* +X242900064Y-90665247D01* +X242900064Y-90665247D01* +G37* +D16* +X242570000Y-91440000D03* +D15* +G36* +X241200064Y-90665247D02* +G01* +X241229244Y-90669576D01* +X241257860Y-90676744D01* +X241285635Y-90686682D01* +X241312302Y-90699294D01* +X241337604Y-90714460D01* +X241361299Y-90732033D01* +X241383156Y-90751844D01* +X241402967Y-90773701D01* +X241420540Y-90797396D01* +X241435706Y-90822698D01* +X241448318Y-90849365D01* +X241458256Y-90877140D01* +X241465424Y-90905756D01* +X241469753Y-90934936D01* +X241471200Y-90964400D01* +X241471200Y-91915600D01* +X241469753Y-91945064D01* +X241465424Y-91974244D01* +X241458256Y-92002860D01* +X241448318Y-92030635D01* +X241435706Y-92057302D01* +X241420540Y-92082604D01* +X241402967Y-92106299D01* +X241383156Y-92128156D01* +X241361299Y-92147967D01* +X241337604Y-92165540D01* +X241312302Y-92180706D01* +X241285635Y-92193318D01* +X241257860Y-92203256D01* +X241229244Y-92210424D01* +X241200064Y-92214753D01* +X241170600Y-92216200D01* +X240569400Y-92216200D01* +X240539936Y-92214753D01* +X240510756Y-92210424D01* +X240482140Y-92203256D01* +X240454365Y-92193318D01* +X240427698Y-92180706D01* +X240402396Y-92165540D01* +X240378701Y-92147967D01* +X240356844Y-92128156D01* +X240337033Y-92106299D01* +X240319460Y-92082604D01* +X240304294Y-92057302D01* +X240291682Y-92030635D01* +X240281744Y-92002860D01* +X240274576Y-91974244D01* +X240270247Y-91945064D01* +X240268800Y-91915600D01* +X240268800Y-90964400D01* +X240270247Y-90934936D01* +X240274576Y-90905756D01* +X240281744Y-90877140D01* +X240291682Y-90849365D01* +X240304294Y-90822698D01* +X240319460Y-90797396D01* +X240337033Y-90773701D01* +X240356844Y-90751844D01* +X240378701Y-90732033D01* +X240402396Y-90714460D01* +X240427698Y-90699294D01* +X240454365Y-90686682D01* +X240482140Y-90676744D01* +X240510756Y-90669576D01* +X240539936Y-90665247D01* +X240569400Y-90663800D01* +X241170600Y-90663800D01* +X241200064Y-90665247D01* +X241200064Y-90665247D01* +G37* +D16* +X240870000Y-91440000D03* +D15* +G36* +X268475064Y-108620247D02* +G01* +X268504244Y-108624576D01* +X268532860Y-108631744D01* +X268560635Y-108641682D01* +X268587302Y-108654294D01* +X268612604Y-108669460D01* +X268636299Y-108687033D01* +X268658156Y-108706844D01* +X268677967Y-108728701D01* +X268695540Y-108752396D01* +X268710706Y-108777698D01* +X268723318Y-108804365D01* +X268733256Y-108832140D01* +X268740424Y-108860756D01* +X268744753Y-108889936D01* +X268746200Y-108919400D01* +X268746200Y-109520600D01* +X268744753Y-109550064D01* +X268740424Y-109579244D01* +X268733256Y-109607860D01* +X268723318Y-109635635D01* +X268710706Y-109662302D01* +X268695540Y-109687604D01* +X268677967Y-109711299D01* +X268658156Y-109733156D01* +X268636299Y-109752967D01* +X268612604Y-109770540D01* +X268587302Y-109785706D01* +X268560635Y-109798318D01* +X268532860Y-109808256D01* +X268504244Y-109815424D01* +X268475064Y-109819753D01* +X268445600Y-109821200D01* +X267494400Y-109821200D01* +X267464936Y-109819753D01* +X267435756Y-109815424D01* +X267407140Y-109808256D01* +X267379365Y-109798318D01* +X267352698Y-109785706D01* +X267327396Y-109770540D01* +X267303701Y-109752967D01* +X267281844Y-109733156D01* +X267262033Y-109711299D01* +X267244460Y-109687604D01* +X267229294Y-109662302D01* +X267216682Y-109635635D01* +X267206744Y-109607860D01* +X267199576Y-109579244D01* +X267195247Y-109550064D01* +X267193800Y-109520600D01* +X267193800Y-108919400D01* +X267195247Y-108889936D01* +X267199576Y-108860756D01* +X267206744Y-108832140D01* +X267216682Y-108804365D01* +X267229294Y-108777698D01* +X267244460Y-108752396D01* +X267262033Y-108728701D01* +X267281844Y-108706844D01* +X267303701Y-108687033D01* +X267327396Y-108669460D01* +X267352698Y-108654294D01* +X267379365Y-108641682D01* +X267407140Y-108631744D01* +X267435756Y-108624576D01* +X267464936Y-108620247D01* +X267494400Y-108618800D01* +X268445600Y-108618800D01* +X268475064Y-108620247D01* +X268475064Y-108620247D01* +G37* +D16* +X267970000Y-109220000D03* +D15* +G36* +X268475064Y-106920247D02* +G01* +X268504244Y-106924576D01* +X268532860Y-106931744D01* +X268560635Y-106941682D01* +X268587302Y-106954294D01* +X268612604Y-106969460D01* +X268636299Y-106987033D01* +X268658156Y-107006844D01* +X268677967Y-107028701D01* +X268695540Y-107052396D01* +X268710706Y-107077698D01* +X268723318Y-107104365D01* +X268733256Y-107132140D01* +X268740424Y-107160756D01* +X268744753Y-107189936D01* +X268746200Y-107219400D01* +X268746200Y-107820600D01* +X268744753Y-107850064D01* +X268740424Y-107879244D01* +X268733256Y-107907860D01* +X268723318Y-107935635D01* +X268710706Y-107962302D01* +X268695540Y-107987604D01* +X268677967Y-108011299D01* +X268658156Y-108033156D01* +X268636299Y-108052967D01* +X268612604Y-108070540D01* +X268587302Y-108085706D01* +X268560635Y-108098318D01* +X268532860Y-108108256D01* +X268504244Y-108115424D01* +X268475064Y-108119753D01* +X268445600Y-108121200D01* +X267494400Y-108121200D01* +X267464936Y-108119753D01* +X267435756Y-108115424D01* +X267407140Y-108108256D01* +X267379365Y-108098318D01* +X267352698Y-108085706D01* +X267327396Y-108070540D01* +X267303701Y-108052967D01* +X267281844Y-108033156D01* +X267262033Y-108011299D01* +X267244460Y-107987604D01* +X267229294Y-107962302D01* +X267216682Y-107935635D01* +X267206744Y-107907860D01* +X267199576Y-107879244D01* +X267195247Y-107850064D01* +X267193800Y-107820600D01* +X267193800Y-107219400D01* +X267195247Y-107189936D01* +X267199576Y-107160756D01* +X267206744Y-107132140D01* +X267216682Y-107104365D01* +X267229294Y-107077698D01* +X267244460Y-107052396D01* +X267262033Y-107028701D01* +X267281844Y-107006844D01* +X267303701Y-106987033D01* +X267327396Y-106969460D01* +X267352698Y-106954294D01* +X267379365Y-106941682D01* +X267407140Y-106931744D01* +X267435756Y-106924576D01* +X267464936Y-106920247D01* +X267494400Y-106918800D01* +X268445600Y-106918800D01* +X268475064Y-106920247D01* +X268475064Y-106920247D01* +G37* +D16* +X267970000Y-107520000D03* +D15* +G36* +X268475064Y-118350247D02* +G01* +X268504244Y-118354576D01* +X268532860Y-118361744D01* +X268560635Y-118371682D01* +X268587302Y-118384294D01* +X268612604Y-118399460D01* +X268636299Y-118417033D01* +X268658156Y-118436844D01* +X268677967Y-118458701D01* +X268695540Y-118482396D01* +X268710706Y-118507698D01* +X268723318Y-118534365D01* +X268733256Y-118562140D01* +X268740424Y-118590756D01* +X268744753Y-118619936D01* +X268746200Y-118649400D01* +X268746200Y-119250600D01* +X268744753Y-119280064D01* +X268740424Y-119309244D01* +X268733256Y-119337860D01* +X268723318Y-119365635D01* +X268710706Y-119392302D01* +X268695540Y-119417604D01* +X268677967Y-119441299D01* +X268658156Y-119463156D01* +X268636299Y-119482967D01* +X268612604Y-119500540D01* +X268587302Y-119515706D01* +X268560635Y-119528318D01* +X268532860Y-119538256D01* +X268504244Y-119545424D01* +X268475064Y-119549753D01* +X268445600Y-119551200D01* +X267494400Y-119551200D01* +X267464936Y-119549753D01* +X267435756Y-119545424D01* +X267407140Y-119538256D01* +X267379365Y-119528318D01* +X267352698Y-119515706D01* +X267327396Y-119500540D01* +X267303701Y-119482967D01* +X267281844Y-119463156D01* +X267262033Y-119441299D01* +X267244460Y-119417604D01* +X267229294Y-119392302D01* +X267216682Y-119365635D01* +X267206744Y-119337860D01* +X267199576Y-119309244D01* +X267195247Y-119280064D01* +X267193800Y-119250600D01* +X267193800Y-118649400D01* +X267195247Y-118619936D01* +X267199576Y-118590756D01* +X267206744Y-118562140D01* +X267216682Y-118534365D01* +X267229294Y-118507698D01* +X267244460Y-118482396D01* +X267262033Y-118458701D01* +X267281844Y-118436844D01* +X267303701Y-118417033D01* +X267327396Y-118399460D01* +X267352698Y-118384294D01* +X267379365Y-118371682D01* +X267407140Y-118361744D01* +X267435756Y-118354576D01* +X267464936Y-118350247D01* +X267494400Y-118348800D01* +X268445600Y-118348800D01* +X268475064Y-118350247D01* +X268475064Y-118350247D01* +G37* +D16* +X267970000Y-118950000D03* +D15* +G36* +X268475064Y-120050247D02* +G01* +X268504244Y-120054576D01* +X268532860Y-120061744D01* +X268560635Y-120071682D01* +X268587302Y-120084294D01* +X268612604Y-120099460D01* +X268636299Y-120117033D01* +X268658156Y-120136844D01* +X268677967Y-120158701D01* +X268695540Y-120182396D01* +X268710706Y-120207698D01* +X268723318Y-120234365D01* +X268733256Y-120262140D01* +X268740424Y-120290756D01* +X268744753Y-120319936D01* +X268746200Y-120349400D01* +X268746200Y-120950600D01* +X268744753Y-120980064D01* +X268740424Y-121009244D01* +X268733256Y-121037860D01* +X268723318Y-121065635D01* +X268710706Y-121092302D01* +X268695540Y-121117604D01* +X268677967Y-121141299D01* +X268658156Y-121163156D01* +X268636299Y-121182967D01* +X268612604Y-121200540D01* +X268587302Y-121215706D01* +X268560635Y-121228318D01* +X268532860Y-121238256D01* +X268504244Y-121245424D01* +X268475064Y-121249753D01* +X268445600Y-121251200D01* +X267494400Y-121251200D01* +X267464936Y-121249753D01* +X267435756Y-121245424D01* +X267407140Y-121238256D01* +X267379365Y-121228318D01* +X267352698Y-121215706D01* +X267327396Y-121200540D01* +X267303701Y-121182967D01* +X267281844Y-121163156D01* +X267262033Y-121141299D01* +X267244460Y-121117604D01* +X267229294Y-121092302D01* +X267216682Y-121065635D01* +X267206744Y-121037860D01* +X267199576Y-121009244D01* +X267195247Y-120980064D01* +X267193800Y-120950600D01* +X267193800Y-120349400D01* +X267195247Y-120319936D01* +X267199576Y-120290756D01* +X267206744Y-120262140D01* +X267216682Y-120234365D01* +X267229294Y-120207698D01* +X267244460Y-120182396D01* +X267262033Y-120158701D01* +X267281844Y-120136844D01* +X267303701Y-120117033D01* +X267327396Y-120099460D01* +X267352698Y-120084294D01* +X267379365Y-120071682D01* +X267407140Y-120061744D01* +X267435756Y-120054576D01* +X267464936Y-120050247D01* +X267494400Y-120048800D01* +X268445600Y-120048800D01* +X268475064Y-120050247D01* +X268475064Y-120050247D01* +G37* +D16* +X267970000Y-120650000D03* +D15* +G36* +X227835064Y-111160247D02* +G01* +X227864244Y-111164576D01* +X227892860Y-111171744D01* +X227920635Y-111181682D01* +X227947302Y-111194294D01* +X227972604Y-111209460D01* +X227996299Y-111227033D01* +X228018156Y-111246844D01* +X228037967Y-111268701D01* +X228055540Y-111292396D01* +X228070706Y-111317698D01* +X228083318Y-111344365D01* +X228093256Y-111372140D01* +X228100424Y-111400756D01* +X228104753Y-111429936D01* +X228106200Y-111459400D01* +X228106200Y-112060600D01* +X228104753Y-112090064D01* +X228100424Y-112119244D01* +X228093256Y-112147860D01* +X228083318Y-112175635D01* +X228070706Y-112202302D01* +X228055540Y-112227604D01* +X228037967Y-112251299D01* +X228018156Y-112273156D01* +X227996299Y-112292967D01* +X227972604Y-112310540D01* +X227947302Y-112325706D01* +X227920635Y-112338318D01* +X227892860Y-112348256D01* +X227864244Y-112355424D01* +X227835064Y-112359753D01* +X227805600Y-112361200D01* +X226854400Y-112361200D01* +X226824936Y-112359753D01* +X226795756Y-112355424D01* +X226767140Y-112348256D01* +X226739365Y-112338318D01* +X226712698Y-112325706D01* +X226687396Y-112310540D01* +X226663701Y-112292967D01* +X226641844Y-112273156D01* +X226622033Y-112251299D01* +X226604460Y-112227604D01* +X226589294Y-112202302D01* +X226576682Y-112175635D01* +X226566744Y-112147860D01* +X226559576Y-112119244D01* +X226555247Y-112090064D01* +X226553800Y-112060600D01* +X226553800Y-111459400D01* +X226555247Y-111429936D01* +X226559576Y-111400756D01* +X226566744Y-111372140D01* +X226576682Y-111344365D01* +X226589294Y-111317698D01* +X226604460Y-111292396D01* +X226622033Y-111268701D01* +X226641844Y-111246844D01* +X226663701Y-111227033D01* +X226687396Y-111209460D01* +X226712698Y-111194294D01* +X226739365Y-111181682D01* +X226767140Y-111171744D01* +X226795756Y-111164576D01* +X226824936Y-111160247D01* +X226854400Y-111158800D01* +X227805600Y-111158800D01* +X227835064Y-111160247D01* +X227835064Y-111160247D01* +G37* +D16* +X227330000Y-111760000D03* +D15* +G36* +X227835064Y-109460247D02* +G01* +X227864244Y-109464576D01* +X227892860Y-109471744D01* +X227920635Y-109481682D01* +X227947302Y-109494294D01* +X227972604Y-109509460D01* +X227996299Y-109527033D01* +X228018156Y-109546844D01* +X228037967Y-109568701D01* +X228055540Y-109592396D01* +X228070706Y-109617698D01* +X228083318Y-109644365D01* +X228093256Y-109672140D01* +X228100424Y-109700756D01* +X228104753Y-109729936D01* +X228106200Y-109759400D01* +X228106200Y-110360600D01* +X228104753Y-110390064D01* +X228100424Y-110419244D01* +X228093256Y-110447860D01* +X228083318Y-110475635D01* +X228070706Y-110502302D01* +X228055540Y-110527604D01* +X228037967Y-110551299D01* +X228018156Y-110573156D01* +X227996299Y-110592967D01* +X227972604Y-110610540D01* +X227947302Y-110625706D01* +X227920635Y-110638318D01* +X227892860Y-110648256D01* +X227864244Y-110655424D01* +X227835064Y-110659753D01* +X227805600Y-110661200D01* +X226854400Y-110661200D01* +X226824936Y-110659753D01* +X226795756Y-110655424D01* +X226767140Y-110648256D01* +X226739365Y-110638318D01* +X226712698Y-110625706D01* +X226687396Y-110610540D01* +X226663701Y-110592967D01* +X226641844Y-110573156D01* +X226622033Y-110551299D01* +X226604460Y-110527604D01* +X226589294Y-110502302D01* +X226576682Y-110475635D01* +X226566744Y-110447860D01* +X226559576Y-110419244D01* +X226555247Y-110390064D01* +X226553800Y-110360600D01* +X226553800Y-109759400D01* +X226555247Y-109729936D01* +X226559576Y-109700756D01* +X226566744Y-109672140D01* +X226576682Y-109644365D01* +X226589294Y-109617698D01* +X226604460Y-109592396D01* +X226622033Y-109568701D01* +X226641844Y-109546844D01* +X226663701Y-109527033D01* +X226687396Y-109509460D01* +X226712698Y-109494294D01* +X226739365Y-109481682D01* +X226767140Y-109471744D01* +X226795756Y-109464576D01* +X226824936Y-109460247D01* +X226854400Y-109458800D01* +X227805600Y-109458800D01* +X227835064Y-109460247D01* +X227835064Y-109460247D01* +G37* +D16* +X227330000Y-110060000D03* +D15* +G36* +X259449064Y-90665247D02* +G01* +X259478244Y-90669576D01* +X259506860Y-90676744D01* +X259534635Y-90686682D01* +X259561302Y-90699294D01* +X259586604Y-90714460D01* +X259610299Y-90732033D01* +X259632156Y-90751844D01* +X259651967Y-90773701D01* +X259669540Y-90797396D01* +X259684706Y-90822698D01* +X259697318Y-90849365D01* +X259707256Y-90877140D01* +X259714424Y-90905756D01* +X259718753Y-90934936D01* +X259720200Y-90964400D01* +X259720200Y-91915600D01* +X259718753Y-91945064D01* +X259714424Y-91974244D01* +X259707256Y-92002860D01* +X259697318Y-92030635D01* +X259684706Y-92057302D01* +X259669540Y-92082604D01* +X259651967Y-92106299D01* +X259632156Y-92128156D01* +X259610299Y-92147967D01* +X259586604Y-92165540D01* +X259561302Y-92180706D01* +X259534635Y-92193318D01* +X259506860Y-92203256D01* +X259478244Y-92210424D01* +X259449064Y-92214753D01* +X259419600Y-92216200D01* +X258818400Y-92216200D01* +X258788936Y-92214753D01* +X258759756Y-92210424D01* +X258731140Y-92203256D01* +X258703365Y-92193318D01* +X258676698Y-92180706D01* +X258651396Y-92165540D01* +X258627701Y-92147967D01* +X258605844Y-92128156D01* +X258586033Y-92106299D01* +X258568460Y-92082604D01* +X258553294Y-92057302D01* +X258540682Y-92030635D01* +X258530744Y-92002860D01* +X258523576Y-91974244D01* +X258519247Y-91945064D01* +X258517800Y-91915600D01* +X258517800Y-90964400D01* +X258519247Y-90934936D01* +X258523576Y-90905756D01* +X258530744Y-90877140D01* +X258540682Y-90849365D01* +X258553294Y-90822698D01* +X258568460Y-90797396D01* +X258586033Y-90773701D01* +X258605844Y-90751844D01* +X258627701Y-90732033D01* +X258651396Y-90714460D01* +X258676698Y-90699294D01* +X258703365Y-90686682D01* +X258731140Y-90676744D01* +X258759756Y-90669576D01* +X258788936Y-90665247D01* +X258818400Y-90663800D01* +X259419600Y-90663800D01* +X259449064Y-90665247D01* +X259449064Y-90665247D01* +G37* +D16* +X259119000Y-91440000D03* +D15* +G36* +X261149064Y-90665247D02* +G01* +X261178244Y-90669576D01* +X261206860Y-90676744D01* +X261234635Y-90686682D01* +X261261302Y-90699294D01* +X261286604Y-90714460D01* +X261310299Y-90732033D01* +X261332156Y-90751844D01* +X261351967Y-90773701D01* +X261369540Y-90797396D01* +X261384706Y-90822698D01* +X261397318Y-90849365D01* +X261407256Y-90877140D01* +X261414424Y-90905756D01* +X261418753Y-90934936D01* +X261420200Y-90964400D01* +X261420200Y-91915600D01* +X261418753Y-91945064D01* +X261414424Y-91974244D01* +X261407256Y-92002860D01* +X261397318Y-92030635D01* +X261384706Y-92057302D01* +X261369540Y-92082604D01* +X261351967Y-92106299D01* +X261332156Y-92128156D01* +X261310299Y-92147967D01* +X261286604Y-92165540D01* +X261261302Y-92180706D01* +X261234635Y-92193318D01* +X261206860Y-92203256D01* +X261178244Y-92210424D01* +X261149064Y-92214753D01* +X261119600Y-92216200D01* +X260518400Y-92216200D01* +X260488936Y-92214753D01* +X260459756Y-92210424D01* +X260431140Y-92203256D01* +X260403365Y-92193318D01* +X260376698Y-92180706D01* +X260351396Y-92165540D01* +X260327701Y-92147967D01* +X260305844Y-92128156D01* +X260286033Y-92106299D01* +X260268460Y-92082604D01* +X260253294Y-92057302D01* +X260240682Y-92030635D01* +X260230744Y-92002860D01* +X260223576Y-91974244D01* +X260219247Y-91945064D01* +X260217800Y-91915600D01* +X260217800Y-90964400D01* +X260219247Y-90934936D01* +X260223576Y-90905756D01* +X260230744Y-90877140D01* +X260240682Y-90849365D01* +X260253294Y-90822698D01* +X260268460Y-90797396D01* +X260286033Y-90773701D01* +X260305844Y-90751844D01* +X260327701Y-90732033D01* +X260351396Y-90714460D01* +X260376698Y-90699294D01* +X260403365Y-90686682D01* +X260431140Y-90676744D01* +X260459756Y-90669576D01* +X260488936Y-90665247D01* +X260518400Y-90663800D01* +X261119600Y-90663800D01* +X261149064Y-90665247D01* +X261149064Y-90665247D01* +G37* +D16* +X260819000Y-91440000D03* +D15* +G36* +X227835064Y-122160247D02* +G01* +X227864244Y-122164576D01* +X227892860Y-122171744D01* +X227920635Y-122181682D01* +X227947302Y-122194294D01* +X227972604Y-122209460D01* +X227996299Y-122227033D01* +X228018156Y-122246844D01* +X228037967Y-122268701D01* +X228055540Y-122292396D01* +X228070706Y-122317698D01* +X228083318Y-122344365D01* +X228093256Y-122372140D01* +X228100424Y-122400756D01* +X228104753Y-122429936D01* +X228106200Y-122459400D01* +X228106200Y-123060600D01* +X228104753Y-123090064D01* +X228100424Y-123119244D01* +X228093256Y-123147860D01* +X228083318Y-123175635D01* +X228070706Y-123202302D01* +X228055540Y-123227604D01* +X228037967Y-123251299D01* +X228018156Y-123273156D01* +X227996299Y-123292967D01* +X227972604Y-123310540D01* +X227947302Y-123325706D01* +X227920635Y-123338318D01* +X227892860Y-123348256D01* +X227864244Y-123355424D01* +X227835064Y-123359753D01* +X227805600Y-123361200D01* +X226854400Y-123361200D01* +X226824936Y-123359753D01* +X226795756Y-123355424D01* +X226767140Y-123348256D01* +X226739365Y-123338318D01* +X226712698Y-123325706D01* +X226687396Y-123310540D01* +X226663701Y-123292967D01* +X226641844Y-123273156D01* +X226622033Y-123251299D01* +X226604460Y-123227604D01* +X226589294Y-123202302D01* +X226576682Y-123175635D01* +X226566744Y-123147860D01* +X226559576Y-123119244D01* +X226555247Y-123090064D01* +X226553800Y-123060600D01* +X226553800Y-122459400D01* +X226555247Y-122429936D01* +X226559576Y-122400756D01* +X226566744Y-122372140D01* +X226576682Y-122344365D01* +X226589294Y-122317698D01* +X226604460Y-122292396D01* +X226622033Y-122268701D01* +X226641844Y-122246844D01* +X226663701Y-122227033D01* +X226687396Y-122209460D01* +X226712698Y-122194294D01* +X226739365Y-122181682D01* +X226767140Y-122171744D01* +X226795756Y-122164576D01* +X226824936Y-122160247D01* +X226854400Y-122158800D01* +X227805600Y-122158800D01* +X227835064Y-122160247D01* +X227835064Y-122160247D01* +G37* +D16* +X227330000Y-122760000D03* +D15* +G36* +X227835064Y-123860247D02* +G01* +X227864244Y-123864576D01* +X227892860Y-123871744D01* +X227920635Y-123881682D01* +X227947302Y-123894294D01* +X227972604Y-123909460D01* +X227996299Y-123927033D01* +X228018156Y-123946844D01* +X228037967Y-123968701D01* +X228055540Y-123992396D01* +X228070706Y-124017698D01* +X228083318Y-124044365D01* +X228093256Y-124072140D01* +X228100424Y-124100756D01* +X228104753Y-124129936D01* +X228106200Y-124159400D01* +X228106200Y-124760600D01* +X228104753Y-124790064D01* +X228100424Y-124819244D01* +X228093256Y-124847860D01* +X228083318Y-124875635D01* +X228070706Y-124902302D01* +X228055540Y-124927604D01* +X228037967Y-124951299D01* +X228018156Y-124973156D01* +X227996299Y-124992967D01* +X227972604Y-125010540D01* +X227947302Y-125025706D01* +X227920635Y-125038318D01* +X227892860Y-125048256D01* +X227864244Y-125055424D01* +X227835064Y-125059753D01* +X227805600Y-125061200D01* +X226854400Y-125061200D01* +X226824936Y-125059753D01* +X226795756Y-125055424D01* +X226767140Y-125048256D01* +X226739365Y-125038318D01* +X226712698Y-125025706D01* +X226687396Y-125010540D01* +X226663701Y-124992967D01* +X226641844Y-124973156D01* +X226622033Y-124951299D01* +X226604460Y-124927604D01* +X226589294Y-124902302D01* +X226576682Y-124875635D01* +X226566744Y-124847860D01* +X226559576Y-124819244D01* +X226555247Y-124790064D01* +X226553800Y-124760600D01* +X226553800Y-124159400D01* +X226555247Y-124129936D01* +X226559576Y-124100756D01* +X226566744Y-124072140D01* +X226576682Y-124044365D01* +X226589294Y-124017698D01* +X226604460Y-123992396D01* +X226622033Y-123968701D01* +X226641844Y-123946844D01* +X226663701Y-123927033D01* +X226687396Y-123909460D01* +X226712698Y-123894294D01* +X226739365Y-123881682D01* +X226767140Y-123871744D01* +X226795756Y-123864576D01* +X226824936Y-123860247D01* +X226854400Y-123858800D01* +X227805600Y-123858800D01* +X227835064Y-123860247D01* +X227835064Y-123860247D01* +G37* +D16* +X227330000Y-124460000D03* +D19* +X203200000Y-129540000D03* +X201168000Y-89281000D03* +X271780000Y-129540000D03* +D20* +X207010000Y-129540000D03* +X204978000Y-89281000D03* +X267970000Y-129540000D03* +D15* +G36* +X223411537Y-98049706D02* +G01* +X223429796Y-98052414D01* +X223447703Y-98056900D01* +X223465083Y-98063118D01* +X223481770Y-98071011D01* +X223497603Y-98080501D01* +X223512429Y-98091497D01* +X223526107Y-98103893D01* +X223538503Y-98117571D01* +X223549499Y-98132397D01* +X223558989Y-98148230D01* +X223566882Y-98164917D01* +X223573100Y-98182297D01* +X223577586Y-98200204D01* +X223580294Y-98218463D01* +X223581200Y-98236900D01* +X223581200Y-98613100D01* +X223580294Y-98631537D01* +X223577586Y-98649796D01* +X223573100Y-98667703D01* +X223566882Y-98685083D01* +X223558989Y-98701770D01* +X223549499Y-98717603D01* +X223538503Y-98732429D01* +X223526107Y-98746107D01* +X223512429Y-98758503D01* +X223497603Y-98769499D01* +X223481770Y-98778989D01* +X223465083Y-98786882D01* +X223447703Y-98793100D01* +X223429796Y-98797586D01* +X223411537Y-98800294D01* +X223393100Y-98801200D01* +X219616900Y-98801200D01* +X219598463Y-98800294D01* +X219580204Y-98797586D01* +X219562297Y-98793100D01* +X219544917Y-98786882D01* +X219528230Y-98778989D01* +X219512397Y-98769499D01* +X219497571Y-98758503D01* +X219483893Y-98746107D01* +X219471497Y-98732429D01* +X219460501Y-98717603D01* +X219451011Y-98701770D01* +X219443118Y-98685083D01* +X219436900Y-98667703D01* +X219432414Y-98649796D01* +X219429706Y-98631537D01* +X219428800Y-98613100D01* +X219428800Y-98236900D01* +X219429706Y-98218463D01* +X219432414Y-98200204D01* +X219436900Y-98182297D01* +X219443118Y-98164917D01* +X219451011Y-98148230D01* +X219460501Y-98132397D01* +X219471497Y-98117571D01* +X219483893Y-98103893D01* +X219497571Y-98091497D01* +X219512397Y-98080501D01* +X219528230Y-98071011D01* +X219544917Y-98063118D01* +X219562297Y-98056900D01* +X219580204Y-98052414D01* +X219598463Y-98049706D01* +X219616900Y-98048800D01* +X223393100Y-98048800D01* +X223411537Y-98049706D01* +X223411537Y-98049706D01* +G37* +D18* +X221505000Y-98425000D03* +D15* +G36* +X223411537Y-99319706D02* +G01* +X223429796Y-99322414D01* +X223447703Y-99326900D01* +X223465083Y-99333118D01* +X223481770Y-99341011D01* +X223497603Y-99350501D01* +X223512429Y-99361497D01* +X223526107Y-99373893D01* +X223538503Y-99387571D01* +X223549499Y-99402397D01* +X223558989Y-99418230D01* +X223566882Y-99434917D01* +X223573100Y-99452297D01* +X223577586Y-99470204D01* +X223580294Y-99488463D01* +X223581200Y-99506900D01* +X223581200Y-99883100D01* +X223580294Y-99901537D01* +X223577586Y-99919796D01* +X223573100Y-99937703D01* +X223566882Y-99955083D01* +X223558989Y-99971770D01* +X223549499Y-99987603D01* +X223538503Y-100002429D01* +X223526107Y-100016107D01* +X223512429Y-100028503D01* +X223497603Y-100039499D01* +X223481770Y-100048989D01* +X223465083Y-100056882D01* +X223447703Y-100063100D01* +X223429796Y-100067586D01* +X223411537Y-100070294D01* +X223393100Y-100071200D01* +X219616900Y-100071200D01* +X219598463Y-100070294D01* +X219580204Y-100067586D01* +X219562297Y-100063100D01* +X219544917Y-100056882D01* +X219528230Y-100048989D01* +X219512397Y-100039499D01* +X219497571Y-100028503D01* +X219483893Y-100016107D01* +X219471497Y-100002429D01* +X219460501Y-99987603D01* +X219451011Y-99971770D01* +X219443118Y-99955083D01* +X219436900Y-99937703D01* +X219432414Y-99919796D01* +X219429706Y-99901537D01* +X219428800Y-99883100D01* +X219428800Y-99506900D01* +X219429706Y-99488463D01* +X219432414Y-99470204D01* +X219436900Y-99452297D01* +X219443118Y-99434917D01* +X219451011Y-99418230D01* +X219460501Y-99402397D01* +X219471497Y-99387571D01* +X219483893Y-99373893D01* +X219497571Y-99361497D01* +X219512397Y-99350501D01* +X219528230Y-99341011D01* +X219544917Y-99333118D01* +X219562297Y-99326900D01* +X219580204Y-99322414D01* +X219598463Y-99319706D01* +X219616900Y-99318800D01* +X223393100Y-99318800D01* +X223411537Y-99319706D01* +X223411537Y-99319706D01* +G37* +D18* +X221505000Y-99695000D03* +D15* +G36* +X216011537Y-114559706D02* +G01* +X216029796Y-114562414D01* +X216047703Y-114566900D01* +X216065083Y-114573118D01* +X216081770Y-114581011D01* +X216097603Y-114590501D01* +X216112429Y-114601497D01* +X216126107Y-114613893D01* +X216138503Y-114627571D01* +X216149499Y-114642397D01* +X216158989Y-114658230D01* +X216166882Y-114674917D01* +X216173100Y-114692297D01* +X216177586Y-114710204D01* +X216180294Y-114728463D01* +X216181200Y-114746900D01* +X216181200Y-115123100D01* +X216180294Y-115141537D01* +X216177586Y-115159796D01* +X216173100Y-115177703D01* +X216166882Y-115195083D01* +X216158989Y-115211770D01* +X216149499Y-115227603D01* +X216138503Y-115242429D01* +X216126107Y-115256107D01* +X216112429Y-115268503D01* +X216097603Y-115279499D01* +X216081770Y-115288989D01* +X216065083Y-115296882D01* +X216047703Y-115303100D01* +X216029796Y-115307586D01* +X216011537Y-115310294D01* +X215993100Y-115311200D01* +X212216900Y-115311200D01* +X212198463Y-115310294D01* +X212180204Y-115307586D01* +X212162297Y-115303100D01* +X212144917Y-115296882D01* +X212128230Y-115288989D01* +X212112397Y-115279499D01* +X212097571Y-115268503D01* +X212083893Y-115256107D01* +X212071497Y-115242429D01* +X212060501Y-115227603D01* +X212051011Y-115211770D01* +X212043118Y-115195083D01* +X212036900Y-115177703D01* +X212032414Y-115159796D01* +X212029706Y-115141537D01* +X212028800Y-115123100D01* +X212028800Y-114746900D01* +X212029706Y-114728463D01* +X212032414Y-114710204D01* +X212036900Y-114692297D01* +X212043118Y-114674917D01* +X212051011Y-114658230D01* +X212060501Y-114642397D01* +X212071497Y-114627571D01* +X212083893Y-114613893D01* +X212097571Y-114601497D01* +X212112397Y-114590501D01* +X212128230Y-114581011D01* +X212144917Y-114573118D01* +X212162297Y-114566900D01* +X212180204Y-114562414D01* +X212198463Y-114559706D01* +X212216900Y-114558800D01* +X215993100Y-114558800D01* +X216011537Y-114559706D01* +X216011537Y-114559706D01* +G37* +D18* +X214105000Y-114935000D03* +D15* +G36* +X216011537Y-106939706D02* +G01* +X216029796Y-106942414D01* +X216047703Y-106946900D01* +X216065083Y-106953118D01* +X216081770Y-106961011D01* +X216097603Y-106970501D01* +X216112429Y-106981497D01* +X216126107Y-106993893D01* +X216138503Y-107007571D01* +X216149499Y-107022397D01* +X216158989Y-107038230D01* +X216166882Y-107054917D01* +X216173100Y-107072297D01* +X216177586Y-107090204D01* +X216180294Y-107108463D01* +X216181200Y-107126900D01* +X216181200Y-107503100D01* +X216180294Y-107521537D01* +X216177586Y-107539796D01* +X216173100Y-107557703D01* +X216166882Y-107575083D01* +X216158989Y-107591770D01* +X216149499Y-107607603D01* +X216138503Y-107622429D01* +X216126107Y-107636107D01* +X216112429Y-107648503D01* +X216097603Y-107659499D01* +X216081770Y-107668989D01* +X216065083Y-107676882D01* +X216047703Y-107683100D01* +X216029796Y-107687586D01* +X216011537Y-107690294D01* +X215993100Y-107691200D01* +X212216900Y-107691200D01* +X212198463Y-107690294D01* +X212180204Y-107687586D01* +X212162297Y-107683100D01* +X212144917Y-107676882D01* +X212128230Y-107668989D01* +X212112397Y-107659499D01* +X212097571Y-107648503D01* +X212083893Y-107636107D01* +X212071497Y-107622429D01* +X212060501Y-107607603D01* +X212051011Y-107591770D01* +X212043118Y-107575083D01* +X212036900Y-107557703D01* +X212032414Y-107539796D01* +X212029706Y-107521537D01* +X212028800Y-107503100D01* +X212028800Y-107126900D01* +X212029706Y-107108463D01* +X212032414Y-107090204D01* +X212036900Y-107072297D01* +X212043118Y-107054917D01* +X212051011Y-107038230D01* +X212060501Y-107022397D01* +X212071497Y-107007571D01* +X212083893Y-106993893D01* +X212097571Y-106981497D01* +X212112397Y-106970501D01* +X212128230Y-106961011D01* +X212144917Y-106953118D01* +X212162297Y-106946900D01* +X212180204Y-106942414D01* +X212198463Y-106939706D01* +X212216900Y-106938800D01* +X215993100Y-106938800D01* +X216011537Y-106939706D01* +X216011537Y-106939706D01* +G37* +D18* +X214105000Y-107315000D03* +D15* +G36* +X223411537Y-109479706D02* +G01* +X223429796Y-109482414D01* +X223447703Y-109486900D01* +X223465083Y-109493118D01* +X223481770Y-109501011D01* +X223497603Y-109510501D01* +X223512429Y-109521497D01* +X223526107Y-109533893D01* +X223538503Y-109547571D01* +X223549499Y-109562397D01* +X223558989Y-109578230D01* +X223566882Y-109594917D01* +X223573100Y-109612297D01* +X223577586Y-109630204D01* +X223580294Y-109648463D01* +X223581200Y-109666900D01* +X223581200Y-110043100D01* +X223580294Y-110061537D01* +X223577586Y-110079796D01* +X223573100Y-110097703D01* +X223566882Y-110115083D01* +X223558989Y-110131770D01* +X223549499Y-110147603D01* +X223538503Y-110162429D01* +X223526107Y-110176107D01* +X223512429Y-110188503D01* +X223497603Y-110199499D01* +X223481770Y-110208989D01* +X223465083Y-110216882D01* +X223447703Y-110223100D01* +X223429796Y-110227586D01* +X223411537Y-110230294D01* +X223393100Y-110231200D01* +X219616900Y-110231200D01* +X219598463Y-110230294D01* +X219580204Y-110227586D01* +X219562297Y-110223100D01* +X219544917Y-110216882D01* +X219528230Y-110208989D01* +X219512397Y-110199499D01* +X219497571Y-110188503D01* +X219483893Y-110176107D01* +X219471497Y-110162429D01* +X219460501Y-110147603D01* +X219451011Y-110131770D01* +X219443118Y-110115083D01* +X219436900Y-110097703D01* +X219432414Y-110079796D01* +X219429706Y-110061537D01* +X219428800Y-110043100D01* +X219428800Y-109666900D01* +X219429706Y-109648463D01* +X219432414Y-109630204D01* +X219436900Y-109612297D01* +X219443118Y-109594917D01* +X219451011Y-109578230D01* +X219460501Y-109562397D01* +X219471497Y-109547571D01* +X219483893Y-109533893D01* +X219497571Y-109521497D01* +X219512397Y-109510501D01* +X219528230Y-109501011D01* +X219544917Y-109493118D01* +X219562297Y-109486900D01* +X219580204Y-109482414D01* +X219598463Y-109479706D01* +X219616900Y-109478800D01* +X223393100Y-109478800D01* +X223411537Y-109479706D01* +X223411537Y-109479706D01* +G37* +D18* +X221505000Y-109855000D03* +D15* +G36* +X216011537Y-104399706D02* +G01* +X216029796Y-104402414D01* +X216047703Y-104406900D01* +X216065083Y-104413118D01* +X216081770Y-104421011D01* +X216097603Y-104430501D01* +X216112429Y-104441497D01* +X216126107Y-104453893D01* +X216138503Y-104467571D01* +X216149499Y-104482397D01* +X216158989Y-104498230D01* +X216166882Y-104514917D01* +X216173100Y-104532297D01* +X216177586Y-104550204D01* +X216180294Y-104568463D01* +X216181200Y-104586900D01* +X216181200Y-104963100D01* +X216180294Y-104981537D01* +X216177586Y-104999796D01* +X216173100Y-105017703D01* +X216166882Y-105035083D01* +X216158989Y-105051770D01* +X216149499Y-105067603D01* +X216138503Y-105082429D01* +X216126107Y-105096107D01* +X216112429Y-105108503D01* +X216097603Y-105119499D01* +X216081770Y-105128989D01* +X216065083Y-105136882D01* +X216047703Y-105143100D01* +X216029796Y-105147586D01* +X216011537Y-105150294D01* +X215993100Y-105151200D01* +X212216900Y-105151200D01* +X212198463Y-105150294D01* +X212180204Y-105147586D01* +X212162297Y-105143100D01* +X212144917Y-105136882D01* +X212128230Y-105128989D01* +X212112397Y-105119499D01* +X212097571Y-105108503D01* +X212083893Y-105096107D01* +X212071497Y-105082429D01* +X212060501Y-105067603D01* +X212051011Y-105051770D01* +X212043118Y-105035083D01* +X212036900Y-105017703D01* +X212032414Y-104999796D01* +X212029706Y-104981537D01* +X212028800Y-104963100D01* +X212028800Y-104586900D01* +X212029706Y-104568463D01* +X212032414Y-104550204D01* +X212036900Y-104532297D01* +X212043118Y-104514917D01* +X212051011Y-104498230D01* +X212060501Y-104482397D01* +X212071497Y-104467571D01* +X212083893Y-104453893D01* +X212097571Y-104441497D01* +X212112397Y-104430501D01* +X212128230Y-104421011D01* +X212144917Y-104413118D01* +X212162297Y-104406900D01* +X212180204Y-104402414D01* +X212198463Y-104399706D01* +X212216900Y-104398800D01* +X215993100Y-104398800D01* +X216011537Y-104399706D01* +X216011537Y-104399706D01* +G37* +D18* +X214105000Y-104775000D03* +D15* +G36* +X223411537Y-106939706D02* +G01* +X223429796Y-106942414D01* +X223447703Y-106946900D01* +X223465083Y-106953118D01* +X223481770Y-106961011D01* +X223497603Y-106970501D01* +X223512429Y-106981497D01* +X223526107Y-106993893D01* +X223538503Y-107007571D01* +X223549499Y-107022397D01* +X223558989Y-107038230D01* +X223566882Y-107054917D01* +X223573100Y-107072297D01* +X223577586Y-107090204D01* +X223580294Y-107108463D01* +X223581200Y-107126900D01* +X223581200Y-107503100D01* +X223580294Y-107521537D01* +X223577586Y-107539796D01* +X223573100Y-107557703D01* +X223566882Y-107575083D01* +X223558989Y-107591770D01* +X223549499Y-107607603D01* +X223538503Y-107622429D01* +X223526107Y-107636107D01* +X223512429Y-107648503D01* +X223497603Y-107659499D01* +X223481770Y-107668989D01* +X223465083Y-107676882D01* +X223447703Y-107683100D01* +X223429796Y-107687586D01* +X223411537Y-107690294D01* +X223393100Y-107691200D01* +X219616900Y-107691200D01* +X219598463Y-107690294D01* +X219580204Y-107687586D01* +X219562297Y-107683100D01* +X219544917Y-107676882D01* +X219528230Y-107668989D01* +X219512397Y-107659499D01* +X219497571Y-107648503D01* +X219483893Y-107636107D01* +X219471497Y-107622429D01* +X219460501Y-107607603D01* +X219451011Y-107591770D01* +X219443118Y-107575083D01* +X219436900Y-107557703D01* +X219432414Y-107539796D01* +X219429706Y-107521537D01* +X219428800Y-107503100D01* +X219428800Y-107126900D01* +X219429706Y-107108463D01* +X219432414Y-107090204D01* +X219436900Y-107072297D01* +X219443118Y-107054917D01* +X219451011Y-107038230D01* +X219460501Y-107022397D01* +X219471497Y-107007571D01* +X219483893Y-106993893D01* +X219497571Y-106981497D01* +X219512397Y-106970501D01* +X219528230Y-106961011D01* +X219544917Y-106953118D01* +X219562297Y-106946900D01* +X219580204Y-106942414D01* +X219598463Y-106939706D01* +X219616900Y-106938800D01* +X223393100Y-106938800D01* +X223411537Y-106939706D01* +X223411537Y-106939706D01* +G37* +D18* +X221505000Y-107315000D03* +D15* +G36* +X216011537Y-98049706D02* +G01* +X216029796Y-98052414D01* +X216047703Y-98056900D01* +X216065083Y-98063118D01* +X216081770Y-98071011D01* +X216097603Y-98080501D01* +X216112429Y-98091497D01* +X216126107Y-98103893D01* +X216138503Y-98117571D01* +X216149499Y-98132397D01* +X216158989Y-98148230D01* +X216166882Y-98164917D01* +X216173100Y-98182297D01* +X216177586Y-98200204D01* +X216180294Y-98218463D01* +X216181200Y-98236900D01* +X216181200Y-98613100D01* +X216180294Y-98631537D01* +X216177586Y-98649796D01* +X216173100Y-98667703D01* +X216166882Y-98685083D01* +X216158989Y-98701770D01* +X216149499Y-98717603D01* +X216138503Y-98732429D01* +X216126107Y-98746107D01* +X216112429Y-98758503D01* +X216097603Y-98769499D01* +X216081770Y-98778989D01* +X216065083Y-98786882D01* +X216047703Y-98793100D01* +X216029796Y-98797586D01* +X216011537Y-98800294D01* +X215993100Y-98801200D01* +X212216900Y-98801200D01* +X212198463Y-98800294D01* +X212180204Y-98797586D01* +X212162297Y-98793100D01* +X212144917Y-98786882D01* +X212128230Y-98778989D01* +X212112397Y-98769499D01* +X212097571Y-98758503D01* +X212083893Y-98746107D01* +X212071497Y-98732429D01* +X212060501Y-98717603D01* +X212051011Y-98701770D01* +X212043118Y-98685083D01* +X212036900Y-98667703D01* +X212032414Y-98649796D01* +X212029706Y-98631537D01* +X212028800Y-98613100D01* +X212028800Y-98236900D01* +X212029706Y-98218463D01* +X212032414Y-98200204D01* +X212036900Y-98182297D01* +X212043118Y-98164917D01* +X212051011Y-98148230D01* +X212060501Y-98132397D01* +X212071497Y-98117571D01* +X212083893Y-98103893D01* +X212097571Y-98091497D01* +X212112397Y-98080501D01* +X212128230Y-98071011D01* +X212144917Y-98063118D01* +X212162297Y-98056900D01* +X212180204Y-98052414D01* +X212198463Y-98049706D01* +X212216900Y-98048800D01* +X215993100Y-98048800D01* +X216011537Y-98049706D01* +X216011537Y-98049706D01* +G37* +D18* +X214105000Y-98425000D03* +D15* +G36* +X216011537Y-99319706D02* +G01* +X216029796Y-99322414D01* +X216047703Y-99326900D01* +X216065083Y-99333118D01* +X216081770Y-99341011D01* +X216097603Y-99350501D01* +X216112429Y-99361497D01* +X216126107Y-99373893D01* +X216138503Y-99387571D01* +X216149499Y-99402397D01* +X216158989Y-99418230D01* +X216166882Y-99434917D01* +X216173100Y-99452297D01* +X216177586Y-99470204D01* +X216180294Y-99488463D01* +X216181200Y-99506900D01* +X216181200Y-99883100D01* +X216180294Y-99901537D01* +X216177586Y-99919796D01* +X216173100Y-99937703D01* +X216166882Y-99955083D01* +X216158989Y-99971770D01* +X216149499Y-99987603D01* +X216138503Y-100002429D01* +X216126107Y-100016107D01* +X216112429Y-100028503D01* +X216097603Y-100039499D01* +X216081770Y-100048989D01* +X216065083Y-100056882D01* +X216047703Y-100063100D01* +X216029796Y-100067586D01* +X216011537Y-100070294D01* +X215993100Y-100071200D01* +X212216900Y-100071200D01* +X212198463Y-100070294D01* +X212180204Y-100067586D01* +X212162297Y-100063100D01* +X212144917Y-100056882D01* +X212128230Y-100048989D01* +X212112397Y-100039499D01* +X212097571Y-100028503D01* +X212083893Y-100016107D01* +X212071497Y-100002429D01* +X212060501Y-99987603D01* +X212051011Y-99971770D01* +X212043118Y-99955083D01* +X212036900Y-99937703D01* +X212032414Y-99919796D01* +X212029706Y-99901537D01* +X212028800Y-99883100D01* +X212028800Y-99506900D01* +X212029706Y-99488463D01* +X212032414Y-99470204D01* +X212036900Y-99452297D01* +X212043118Y-99434917D01* +X212051011Y-99418230D01* +X212060501Y-99402397D01* +X212071497Y-99387571D01* +X212083893Y-99373893D01* +X212097571Y-99361497D01* +X212112397Y-99350501D01* +X212128230Y-99341011D01* +X212144917Y-99333118D01* +X212162297Y-99326900D01* +X212180204Y-99322414D01* +X212198463Y-99319706D01* +X212216900Y-99318800D01* +X215993100Y-99318800D01* +X216011537Y-99319706D01* +X216011537Y-99319706D01* +G37* +D18* +X214105000Y-99695000D03* +D15* +G36* +X216011537Y-100589706D02* +G01* +X216029796Y-100592414D01* +X216047703Y-100596900D01* +X216065083Y-100603118D01* +X216081770Y-100611011D01* +X216097603Y-100620501D01* +X216112429Y-100631497D01* +X216126107Y-100643893D01* +X216138503Y-100657571D01* +X216149499Y-100672397D01* +X216158989Y-100688230D01* +X216166882Y-100704917D01* +X216173100Y-100722297D01* +X216177586Y-100740204D01* +X216180294Y-100758463D01* +X216181200Y-100776900D01* +X216181200Y-101153100D01* +X216180294Y-101171537D01* +X216177586Y-101189796D01* +X216173100Y-101207703D01* +X216166882Y-101225083D01* +X216158989Y-101241770D01* +X216149499Y-101257603D01* +X216138503Y-101272429D01* +X216126107Y-101286107D01* +X216112429Y-101298503D01* +X216097603Y-101309499D01* +X216081770Y-101318989D01* +X216065083Y-101326882D01* +X216047703Y-101333100D01* +X216029796Y-101337586D01* +X216011537Y-101340294D01* +X215993100Y-101341200D01* +X212216900Y-101341200D01* +X212198463Y-101340294D01* +X212180204Y-101337586D01* +X212162297Y-101333100D01* +X212144917Y-101326882D01* +X212128230Y-101318989D01* +X212112397Y-101309499D01* +X212097571Y-101298503D01* +X212083893Y-101286107D01* +X212071497Y-101272429D01* +X212060501Y-101257603D01* +X212051011Y-101241770D01* +X212043118Y-101225083D01* +X212036900Y-101207703D01* +X212032414Y-101189796D01* +X212029706Y-101171537D01* +X212028800Y-101153100D01* +X212028800Y-100776900D01* +X212029706Y-100758463D01* +X212032414Y-100740204D01* +X212036900Y-100722297D01* +X212043118Y-100704917D01* +X212051011Y-100688230D01* +X212060501Y-100672397D01* +X212071497Y-100657571D01* +X212083893Y-100643893D01* +X212097571Y-100631497D01* +X212112397Y-100620501D01* +X212128230Y-100611011D01* +X212144917Y-100603118D01* +X212162297Y-100596900D01* +X212180204Y-100592414D01* +X212198463Y-100589706D01* +X212216900Y-100588800D01* +X215993100Y-100588800D01* +X216011537Y-100589706D01* +X216011537Y-100589706D01* +G37* +D18* +X214105000Y-100965000D03* +D15* +G36* +X216011537Y-101859706D02* +G01* +X216029796Y-101862414D01* +X216047703Y-101866900D01* +X216065083Y-101873118D01* +X216081770Y-101881011D01* +X216097603Y-101890501D01* +X216112429Y-101901497D01* +X216126107Y-101913893D01* +X216138503Y-101927571D01* +X216149499Y-101942397D01* +X216158989Y-101958230D01* +X216166882Y-101974917D01* +X216173100Y-101992297D01* +X216177586Y-102010204D01* +X216180294Y-102028463D01* +X216181200Y-102046900D01* +X216181200Y-102423100D01* +X216180294Y-102441537D01* +X216177586Y-102459796D01* +X216173100Y-102477703D01* +X216166882Y-102495083D01* +X216158989Y-102511770D01* +X216149499Y-102527603D01* +X216138503Y-102542429D01* +X216126107Y-102556107D01* +X216112429Y-102568503D01* +X216097603Y-102579499D01* +X216081770Y-102588989D01* +X216065083Y-102596882D01* +X216047703Y-102603100D01* +X216029796Y-102607586D01* +X216011537Y-102610294D01* +X215993100Y-102611200D01* +X212216900Y-102611200D01* +X212198463Y-102610294D01* +X212180204Y-102607586D01* +X212162297Y-102603100D01* +X212144917Y-102596882D01* +X212128230Y-102588989D01* +X212112397Y-102579499D01* +X212097571Y-102568503D01* +X212083893Y-102556107D01* +X212071497Y-102542429D01* +X212060501Y-102527603D01* +X212051011Y-102511770D01* +X212043118Y-102495083D01* +X212036900Y-102477703D01* +X212032414Y-102459796D01* +X212029706Y-102441537D01* +X212028800Y-102423100D01* +X212028800Y-102046900D01* +X212029706Y-102028463D01* +X212032414Y-102010204D01* +X212036900Y-101992297D01* +X212043118Y-101974917D01* +X212051011Y-101958230D01* +X212060501Y-101942397D01* +X212071497Y-101927571D01* +X212083893Y-101913893D01* +X212097571Y-101901497D01* +X212112397Y-101890501D01* +X212128230Y-101881011D01* +X212144917Y-101873118D01* +X212162297Y-101866900D01* +X212180204Y-101862414D01* +X212198463Y-101859706D01* +X212216900Y-101858800D01* +X215993100Y-101858800D01* +X216011537Y-101859706D01* +X216011537Y-101859706D01* +G37* +D18* +X214105000Y-102235000D03* +D15* +G36* +X216011537Y-103129706D02* +G01* +X216029796Y-103132414D01* +X216047703Y-103136900D01* +X216065083Y-103143118D01* +X216081770Y-103151011D01* +X216097603Y-103160501D01* +X216112429Y-103171497D01* +X216126107Y-103183893D01* +X216138503Y-103197571D01* +X216149499Y-103212397D01* +X216158989Y-103228230D01* +X216166882Y-103244917D01* +X216173100Y-103262297D01* +X216177586Y-103280204D01* +X216180294Y-103298463D01* +X216181200Y-103316900D01* +X216181200Y-103693100D01* +X216180294Y-103711537D01* +X216177586Y-103729796D01* +X216173100Y-103747703D01* +X216166882Y-103765083D01* +X216158989Y-103781770D01* +X216149499Y-103797603D01* +X216138503Y-103812429D01* +X216126107Y-103826107D01* +X216112429Y-103838503D01* +X216097603Y-103849499D01* +X216081770Y-103858989D01* +X216065083Y-103866882D01* +X216047703Y-103873100D01* +X216029796Y-103877586D01* +X216011537Y-103880294D01* +X215993100Y-103881200D01* +X212216900Y-103881200D01* +X212198463Y-103880294D01* +X212180204Y-103877586D01* +X212162297Y-103873100D01* +X212144917Y-103866882D01* +X212128230Y-103858989D01* +X212112397Y-103849499D01* +X212097571Y-103838503D01* +X212083893Y-103826107D01* +X212071497Y-103812429D01* +X212060501Y-103797603D01* +X212051011Y-103781770D01* +X212043118Y-103765083D01* +X212036900Y-103747703D01* +X212032414Y-103729796D01* +X212029706Y-103711537D01* +X212028800Y-103693100D01* +X212028800Y-103316900D01* +X212029706Y-103298463D01* +X212032414Y-103280204D01* +X212036900Y-103262297D01* +X212043118Y-103244917D01* +X212051011Y-103228230D01* +X212060501Y-103212397D01* +X212071497Y-103197571D01* +X212083893Y-103183893D01* +X212097571Y-103171497D01* +X212112397Y-103160501D01* +X212128230Y-103151011D01* +X212144917Y-103143118D01* +X212162297Y-103136900D01* +X212180204Y-103132414D01* +X212198463Y-103129706D01* +X212216900Y-103128800D01* +X215993100Y-103128800D01* +X216011537Y-103129706D01* +X216011537Y-103129706D01* +G37* +D18* +X214105000Y-103505000D03* +D15* +G36* +X216011537Y-108209706D02* +G01* +X216029796Y-108212414D01* +X216047703Y-108216900D01* +X216065083Y-108223118D01* +X216081770Y-108231011D01* +X216097603Y-108240501D01* +X216112429Y-108251497D01* +X216126107Y-108263893D01* +X216138503Y-108277571D01* +X216149499Y-108292397D01* +X216158989Y-108308230D01* +X216166882Y-108324917D01* +X216173100Y-108342297D01* +X216177586Y-108360204D01* +X216180294Y-108378463D01* +X216181200Y-108396900D01* +X216181200Y-108773100D01* +X216180294Y-108791537D01* +X216177586Y-108809796D01* +X216173100Y-108827703D01* +X216166882Y-108845083D01* +X216158989Y-108861770D01* +X216149499Y-108877603D01* +X216138503Y-108892429D01* +X216126107Y-108906107D01* +X216112429Y-108918503D01* +X216097603Y-108929499D01* +X216081770Y-108938989D01* +X216065083Y-108946882D01* +X216047703Y-108953100D01* +X216029796Y-108957586D01* +X216011537Y-108960294D01* +X215993100Y-108961200D01* +X212216900Y-108961200D01* +X212198463Y-108960294D01* +X212180204Y-108957586D01* +X212162297Y-108953100D01* +X212144917Y-108946882D01* +X212128230Y-108938989D01* +X212112397Y-108929499D01* +X212097571Y-108918503D01* +X212083893Y-108906107D01* +X212071497Y-108892429D01* +X212060501Y-108877603D01* +X212051011Y-108861770D01* +X212043118Y-108845083D01* +X212036900Y-108827703D01* +X212032414Y-108809796D01* +X212029706Y-108791537D01* +X212028800Y-108773100D01* +X212028800Y-108396900D01* +X212029706Y-108378463D01* +X212032414Y-108360204D01* +X212036900Y-108342297D01* +X212043118Y-108324917D01* +X212051011Y-108308230D01* +X212060501Y-108292397D01* +X212071497Y-108277571D01* +X212083893Y-108263893D01* +X212097571Y-108251497D01* +X212112397Y-108240501D01* +X212128230Y-108231011D01* +X212144917Y-108223118D01* +X212162297Y-108216900D01* +X212180204Y-108212414D01* +X212198463Y-108209706D01* +X212216900Y-108208800D01* +X215993100Y-108208800D01* +X216011537Y-108209706D01* +X216011537Y-108209706D01* +G37* +D18* +X214105000Y-108585000D03* +D15* +G36* +X216011537Y-109479706D02* +G01* +X216029796Y-109482414D01* +X216047703Y-109486900D01* +X216065083Y-109493118D01* +X216081770Y-109501011D01* +X216097603Y-109510501D01* +X216112429Y-109521497D01* +X216126107Y-109533893D01* +X216138503Y-109547571D01* +X216149499Y-109562397D01* +X216158989Y-109578230D01* +X216166882Y-109594917D01* +X216173100Y-109612297D01* +X216177586Y-109630204D01* +X216180294Y-109648463D01* +X216181200Y-109666900D01* +X216181200Y-110043100D01* +X216180294Y-110061537D01* +X216177586Y-110079796D01* +X216173100Y-110097703D01* +X216166882Y-110115083D01* +X216158989Y-110131770D01* +X216149499Y-110147603D01* +X216138503Y-110162429D01* +X216126107Y-110176107D01* +X216112429Y-110188503D01* +X216097603Y-110199499D01* +X216081770Y-110208989D01* +X216065083Y-110216882D01* +X216047703Y-110223100D01* +X216029796Y-110227586D01* +X216011537Y-110230294D01* +X215993100Y-110231200D01* +X212216900Y-110231200D01* +X212198463Y-110230294D01* +X212180204Y-110227586D01* +X212162297Y-110223100D01* +X212144917Y-110216882D01* +X212128230Y-110208989D01* +X212112397Y-110199499D01* +X212097571Y-110188503D01* +X212083893Y-110176107D01* +X212071497Y-110162429D01* +X212060501Y-110147603D01* +X212051011Y-110131770D01* +X212043118Y-110115083D01* +X212036900Y-110097703D01* +X212032414Y-110079796D01* +X212029706Y-110061537D01* +X212028800Y-110043100D01* +X212028800Y-109666900D01* +X212029706Y-109648463D01* +X212032414Y-109630204D01* +X212036900Y-109612297D01* +X212043118Y-109594917D01* +X212051011Y-109578230D01* +X212060501Y-109562397D01* +X212071497Y-109547571D01* +X212083893Y-109533893D01* +X212097571Y-109521497D01* +X212112397Y-109510501D01* +X212128230Y-109501011D01* +X212144917Y-109493118D01* +X212162297Y-109486900D01* +X212180204Y-109482414D01* +X212198463Y-109479706D01* +X212216900Y-109478800D01* +X215993100Y-109478800D01* +X216011537Y-109479706D01* +X216011537Y-109479706D01* +G37* +D18* +X214105000Y-109855000D03* +D15* +G36* +X216011537Y-110749706D02* +G01* +X216029796Y-110752414D01* +X216047703Y-110756900D01* +X216065083Y-110763118D01* +X216081770Y-110771011D01* +X216097603Y-110780501D01* +X216112429Y-110791497D01* +X216126107Y-110803893D01* +X216138503Y-110817571D01* +X216149499Y-110832397D01* +X216158989Y-110848230D01* +X216166882Y-110864917D01* +X216173100Y-110882297D01* +X216177586Y-110900204D01* +X216180294Y-110918463D01* +X216181200Y-110936900D01* +X216181200Y-111313100D01* +X216180294Y-111331537D01* +X216177586Y-111349796D01* +X216173100Y-111367703D01* +X216166882Y-111385083D01* +X216158989Y-111401770D01* +X216149499Y-111417603D01* +X216138503Y-111432429D01* +X216126107Y-111446107D01* +X216112429Y-111458503D01* +X216097603Y-111469499D01* +X216081770Y-111478989D01* +X216065083Y-111486882D01* +X216047703Y-111493100D01* +X216029796Y-111497586D01* +X216011537Y-111500294D01* +X215993100Y-111501200D01* +X212216900Y-111501200D01* +X212198463Y-111500294D01* +X212180204Y-111497586D01* +X212162297Y-111493100D01* +X212144917Y-111486882D01* +X212128230Y-111478989D01* +X212112397Y-111469499D01* +X212097571Y-111458503D01* +X212083893Y-111446107D01* +X212071497Y-111432429D01* +X212060501Y-111417603D01* +X212051011Y-111401770D01* +X212043118Y-111385083D01* +X212036900Y-111367703D01* +X212032414Y-111349796D01* +X212029706Y-111331537D01* +X212028800Y-111313100D01* +X212028800Y-110936900D01* +X212029706Y-110918463D01* +X212032414Y-110900204D01* +X212036900Y-110882297D01* +X212043118Y-110864917D01* +X212051011Y-110848230D01* +X212060501Y-110832397D01* +X212071497Y-110817571D01* +X212083893Y-110803893D01* +X212097571Y-110791497D01* +X212112397Y-110780501D01* +X212128230Y-110771011D01* +X212144917Y-110763118D01* +X212162297Y-110756900D01* +X212180204Y-110752414D01* +X212198463Y-110749706D01* +X212216900Y-110748800D01* +X215993100Y-110748800D01* +X216011537Y-110749706D01* +X216011537Y-110749706D01* +G37* +D18* +X214105000Y-111125000D03* +D15* +G36* +X216011537Y-112019706D02* +G01* +X216029796Y-112022414D01* +X216047703Y-112026900D01* +X216065083Y-112033118D01* +X216081770Y-112041011D01* +X216097603Y-112050501D01* +X216112429Y-112061497D01* +X216126107Y-112073893D01* +X216138503Y-112087571D01* +X216149499Y-112102397D01* +X216158989Y-112118230D01* +X216166882Y-112134917D01* +X216173100Y-112152297D01* +X216177586Y-112170204D01* +X216180294Y-112188463D01* +X216181200Y-112206900D01* +X216181200Y-112583100D01* +X216180294Y-112601537D01* +X216177586Y-112619796D01* +X216173100Y-112637703D01* +X216166882Y-112655083D01* +X216158989Y-112671770D01* +X216149499Y-112687603D01* +X216138503Y-112702429D01* +X216126107Y-112716107D01* +X216112429Y-112728503D01* +X216097603Y-112739499D01* +X216081770Y-112748989D01* +X216065083Y-112756882D01* +X216047703Y-112763100D01* +X216029796Y-112767586D01* +X216011537Y-112770294D01* +X215993100Y-112771200D01* +X212216900Y-112771200D01* +X212198463Y-112770294D01* +X212180204Y-112767586D01* +X212162297Y-112763100D01* +X212144917Y-112756882D01* +X212128230Y-112748989D01* +X212112397Y-112739499D01* +X212097571Y-112728503D01* +X212083893Y-112716107D01* +X212071497Y-112702429D01* +X212060501Y-112687603D01* +X212051011Y-112671770D01* +X212043118Y-112655083D01* +X212036900Y-112637703D01* +X212032414Y-112619796D01* +X212029706Y-112601537D01* +X212028800Y-112583100D01* +X212028800Y-112206900D01* +X212029706Y-112188463D01* +X212032414Y-112170204D01* +X212036900Y-112152297D01* +X212043118Y-112134917D01* +X212051011Y-112118230D01* +X212060501Y-112102397D01* +X212071497Y-112087571D01* +X212083893Y-112073893D01* +X212097571Y-112061497D01* +X212112397Y-112050501D01* +X212128230Y-112041011D01* +X212144917Y-112033118D01* +X212162297Y-112026900D01* +X212180204Y-112022414D01* +X212198463Y-112019706D01* +X212216900Y-112018800D01* +X215993100Y-112018800D01* +X216011537Y-112019706D01* +X216011537Y-112019706D01* +G37* +D18* +X214105000Y-112395000D03* +D15* +G36* +X216011537Y-113289706D02* +G01* +X216029796Y-113292414D01* +X216047703Y-113296900D01* +X216065083Y-113303118D01* +X216081770Y-113311011D01* +X216097603Y-113320501D01* +X216112429Y-113331497D01* +X216126107Y-113343893D01* +X216138503Y-113357571D01* +X216149499Y-113372397D01* +X216158989Y-113388230D01* +X216166882Y-113404917D01* +X216173100Y-113422297D01* +X216177586Y-113440204D01* +X216180294Y-113458463D01* +X216181200Y-113476900D01* +X216181200Y-113853100D01* +X216180294Y-113871537D01* +X216177586Y-113889796D01* +X216173100Y-113907703D01* +X216166882Y-113925083D01* +X216158989Y-113941770D01* +X216149499Y-113957603D01* +X216138503Y-113972429D01* +X216126107Y-113986107D01* +X216112429Y-113998503D01* +X216097603Y-114009499D01* +X216081770Y-114018989D01* +X216065083Y-114026882D01* +X216047703Y-114033100D01* +X216029796Y-114037586D01* +X216011537Y-114040294D01* +X215993100Y-114041200D01* +X212216900Y-114041200D01* +X212198463Y-114040294D01* +X212180204Y-114037586D01* +X212162297Y-114033100D01* +X212144917Y-114026882D01* +X212128230Y-114018989D01* +X212112397Y-114009499D01* +X212097571Y-113998503D01* +X212083893Y-113986107D01* +X212071497Y-113972429D01* +X212060501Y-113957603D01* +X212051011Y-113941770D01* +X212043118Y-113925083D01* +X212036900Y-113907703D01* +X212032414Y-113889796D01* +X212029706Y-113871537D01* +X212028800Y-113853100D01* +X212028800Y-113476900D01* +X212029706Y-113458463D01* +X212032414Y-113440204D01* +X212036900Y-113422297D01* +X212043118Y-113404917D01* +X212051011Y-113388230D01* +X212060501Y-113372397D01* +X212071497Y-113357571D01* +X212083893Y-113343893D01* +X212097571Y-113331497D01* +X212112397Y-113320501D01* +X212128230Y-113311011D01* +X212144917Y-113303118D01* +X212162297Y-113296900D01* +X212180204Y-113292414D01* +X212198463Y-113289706D01* +X212216900Y-113288800D01* +X215993100Y-113288800D01* +X216011537Y-113289706D01* +X216011537Y-113289706D01* +G37* +D18* +X214105000Y-113665000D03* +D15* +G36* +X223411537Y-114559706D02* +G01* +X223429796Y-114562414D01* +X223447703Y-114566900D01* +X223465083Y-114573118D01* +X223481770Y-114581011D01* +X223497603Y-114590501D01* +X223512429Y-114601497D01* +X223526107Y-114613893D01* +X223538503Y-114627571D01* +X223549499Y-114642397D01* +X223558989Y-114658230D01* +X223566882Y-114674917D01* +X223573100Y-114692297D01* +X223577586Y-114710204D01* +X223580294Y-114728463D01* +X223581200Y-114746900D01* +X223581200Y-115123100D01* +X223580294Y-115141537D01* +X223577586Y-115159796D01* +X223573100Y-115177703D01* +X223566882Y-115195083D01* +X223558989Y-115211770D01* +X223549499Y-115227603D01* +X223538503Y-115242429D01* +X223526107Y-115256107D01* +X223512429Y-115268503D01* +X223497603Y-115279499D01* +X223481770Y-115288989D01* +X223465083Y-115296882D01* +X223447703Y-115303100D01* +X223429796Y-115307586D01* +X223411537Y-115310294D01* +X223393100Y-115311200D01* +X219616900Y-115311200D01* +X219598463Y-115310294D01* +X219580204Y-115307586D01* +X219562297Y-115303100D01* +X219544917Y-115296882D01* +X219528230Y-115288989D01* +X219512397Y-115279499D01* +X219497571Y-115268503D01* +X219483893Y-115256107D01* +X219471497Y-115242429D01* +X219460501Y-115227603D01* +X219451011Y-115211770D01* +X219443118Y-115195083D01* +X219436900Y-115177703D01* +X219432414Y-115159796D01* +X219429706Y-115141537D01* +X219428800Y-115123100D01* +X219428800Y-114746900D01* +X219429706Y-114728463D01* +X219432414Y-114710204D01* +X219436900Y-114692297D01* +X219443118Y-114674917D01* +X219451011Y-114658230D01* +X219460501Y-114642397D01* +X219471497Y-114627571D01* +X219483893Y-114613893D01* +X219497571Y-114601497D01* +X219512397Y-114590501D01* +X219528230Y-114581011D01* +X219544917Y-114573118D01* +X219562297Y-114566900D01* +X219580204Y-114562414D01* +X219598463Y-114559706D01* +X219616900Y-114558800D01* +X223393100Y-114558800D01* +X223411537Y-114559706D01* +X223411537Y-114559706D01* +G37* +D18* +X221505000Y-114935000D03* +D15* +G36* +X223411537Y-113289706D02* +G01* +X223429796Y-113292414D01* +X223447703Y-113296900D01* +X223465083Y-113303118D01* +X223481770Y-113311011D01* +X223497603Y-113320501D01* +X223512429Y-113331497D01* +X223526107Y-113343893D01* +X223538503Y-113357571D01* +X223549499Y-113372397D01* +X223558989Y-113388230D01* +X223566882Y-113404917D01* +X223573100Y-113422297D01* +X223577586Y-113440204D01* +X223580294Y-113458463D01* +X223581200Y-113476900D01* +X223581200Y-113853100D01* +X223580294Y-113871537D01* +X223577586Y-113889796D01* +X223573100Y-113907703D01* +X223566882Y-113925083D01* +X223558989Y-113941770D01* +X223549499Y-113957603D01* +X223538503Y-113972429D01* +X223526107Y-113986107D01* +X223512429Y-113998503D01* +X223497603Y-114009499D01* +X223481770Y-114018989D01* +X223465083Y-114026882D01* +X223447703Y-114033100D01* +X223429796Y-114037586D01* +X223411537Y-114040294D01* +X223393100Y-114041200D01* +X219616900Y-114041200D01* +X219598463Y-114040294D01* +X219580204Y-114037586D01* +X219562297Y-114033100D01* +X219544917Y-114026882D01* +X219528230Y-114018989D01* +X219512397Y-114009499D01* +X219497571Y-113998503D01* +X219483893Y-113986107D01* +X219471497Y-113972429D01* +X219460501Y-113957603D01* +X219451011Y-113941770D01* +X219443118Y-113925083D01* +X219436900Y-113907703D01* +X219432414Y-113889796D01* +X219429706Y-113871537D01* +X219428800Y-113853100D01* +X219428800Y-113476900D01* +X219429706Y-113458463D01* +X219432414Y-113440204D01* +X219436900Y-113422297D01* +X219443118Y-113404917D01* +X219451011Y-113388230D01* +X219460501Y-113372397D01* +X219471497Y-113357571D01* +X219483893Y-113343893D01* +X219497571Y-113331497D01* +X219512397Y-113320501D01* +X219528230Y-113311011D01* +X219544917Y-113303118D01* +X219562297Y-113296900D01* +X219580204Y-113292414D01* +X219598463Y-113289706D01* +X219616900Y-113288800D01* +X223393100Y-113288800D01* +X223411537Y-113289706D01* +X223411537Y-113289706D01* +G37* +D18* +X221505000Y-113665000D03* +D15* +G36* +X223411537Y-112019706D02* +G01* +X223429796Y-112022414D01* +X223447703Y-112026900D01* +X223465083Y-112033118D01* +X223481770Y-112041011D01* +X223497603Y-112050501D01* +X223512429Y-112061497D01* +X223526107Y-112073893D01* +X223538503Y-112087571D01* +X223549499Y-112102397D01* +X223558989Y-112118230D01* +X223566882Y-112134917D01* +X223573100Y-112152297D01* +X223577586Y-112170204D01* +X223580294Y-112188463D01* +X223581200Y-112206900D01* +X223581200Y-112583100D01* +X223580294Y-112601537D01* +X223577586Y-112619796D01* +X223573100Y-112637703D01* +X223566882Y-112655083D01* +X223558989Y-112671770D01* +X223549499Y-112687603D01* +X223538503Y-112702429D01* +X223526107Y-112716107D01* +X223512429Y-112728503D01* +X223497603Y-112739499D01* +X223481770Y-112748989D01* +X223465083Y-112756882D01* +X223447703Y-112763100D01* +X223429796Y-112767586D01* +X223411537Y-112770294D01* +X223393100Y-112771200D01* +X219616900Y-112771200D01* +X219598463Y-112770294D01* +X219580204Y-112767586D01* +X219562297Y-112763100D01* +X219544917Y-112756882D01* +X219528230Y-112748989D01* +X219512397Y-112739499D01* +X219497571Y-112728503D01* +X219483893Y-112716107D01* +X219471497Y-112702429D01* +X219460501Y-112687603D01* +X219451011Y-112671770D01* +X219443118Y-112655083D01* +X219436900Y-112637703D01* +X219432414Y-112619796D01* +X219429706Y-112601537D01* +X219428800Y-112583100D01* +X219428800Y-112206900D01* +X219429706Y-112188463D01* +X219432414Y-112170204D01* +X219436900Y-112152297D01* +X219443118Y-112134917D01* +X219451011Y-112118230D01* +X219460501Y-112102397D01* +X219471497Y-112087571D01* +X219483893Y-112073893D01* +X219497571Y-112061497D01* +X219512397Y-112050501D01* +X219528230Y-112041011D01* +X219544917Y-112033118D01* +X219562297Y-112026900D01* +X219580204Y-112022414D01* +X219598463Y-112019706D01* +X219616900Y-112018800D01* +X223393100Y-112018800D01* +X223411537Y-112019706D01* +X223411537Y-112019706D01* +G37* +D18* +X221505000Y-112395000D03* +D15* +G36* +X223411537Y-110749706D02* +G01* +X223429796Y-110752414D01* +X223447703Y-110756900D01* +X223465083Y-110763118D01* +X223481770Y-110771011D01* +X223497603Y-110780501D01* +X223512429Y-110791497D01* +X223526107Y-110803893D01* +X223538503Y-110817571D01* +X223549499Y-110832397D01* +X223558989Y-110848230D01* +X223566882Y-110864917D01* +X223573100Y-110882297D01* +X223577586Y-110900204D01* +X223580294Y-110918463D01* +X223581200Y-110936900D01* +X223581200Y-111313100D01* +X223580294Y-111331537D01* +X223577586Y-111349796D01* +X223573100Y-111367703D01* +X223566882Y-111385083D01* +X223558989Y-111401770D01* +X223549499Y-111417603D01* +X223538503Y-111432429D01* +X223526107Y-111446107D01* +X223512429Y-111458503D01* +X223497603Y-111469499D01* +X223481770Y-111478989D01* +X223465083Y-111486882D01* +X223447703Y-111493100D01* +X223429796Y-111497586D01* +X223411537Y-111500294D01* +X223393100Y-111501200D01* +X219616900Y-111501200D01* +X219598463Y-111500294D01* +X219580204Y-111497586D01* +X219562297Y-111493100D01* +X219544917Y-111486882D01* +X219528230Y-111478989D01* +X219512397Y-111469499D01* +X219497571Y-111458503D01* +X219483893Y-111446107D01* +X219471497Y-111432429D01* +X219460501Y-111417603D01* +X219451011Y-111401770D01* +X219443118Y-111385083D01* +X219436900Y-111367703D01* +X219432414Y-111349796D01* +X219429706Y-111331537D01* +X219428800Y-111313100D01* +X219428800Y-110936900D01* +X219429706Y-110918463D01* +X219432414Y-110900204D01* +X219436900Y-110882297D01* +X219443118Y-110864917D01* +X219451011Y-110848230D01* +X219460501Y-110832397D01* +X219471497Y-110817571D01* +X219483893Y-110803893D01* +X219497571Y-110791497D01* +X219512397Y-110780501D01* +X219528230Y-110771011D01* +X219544917Y-110763118D01* +X219562297Y-110756900D01* +X219580204Y-110752414D01* +X219598463Y-110749706D01* +X219616900Y-110748800D01* +X223393100Y-110748800D01* +X223411537Y-110749706D01* +X223411537Y-110749706D01* +G37* +D18* +X221505000Y-111125000D03* +D15* +G36* +X223411537Y-105669706D02* +G01* +X223429796Y-105672414D01* +X223447703Y-105676900D01* +X223465083Y-105683118D01* +X223481770Y-105691011D01* +X223497603Y-105700501D01* +X223512429Y-105711497D01* +X223526107Y-105723893D01* +X223538503Y-105737571D01* +X223549499Y-105752397D01* +X223558989Y-105768230D01* +X223566882Y-105784917D01* +X223573100Y-105802297D01* +X223577586Y-105820204D01* +X223580294Y-105838463D01* +X223581200Y-105856900D01* +X223581200Y-106233100D01* +X223580294Y-106251537D01* +X223577586Y-106269796D01* +X223573100Y-106287703D01* +X223566882Y-106305083D01* +X223558989Y-106321770D01* +X223549499Y-106337603D01* +X223538503Y-106352429D01* +X223526107Y-106366107D01* +X223512429Y-106378503D01* +X223497603Y-106389499D01* +X223481770Y-106398989D01* +X223465083Y-106406882D01* +X223447703Y-106413100D01* +X223429796Y-106417586D01* +X223411537Y-106420294D01* +X223393100Y-106421200D01* +X219616900Y-106421200D01* +X219598463Y-106420294D01* +X219580204Y-106417586D01* +X219562297Y-106413100D01* +X219544917Y-106406882D01* +X219528230Y-106398989D01* +X219512397Y-106389499D01* +X219497571Y-106378503D01* +X219483893Y-106366107D01* +X219471497Y-106352429D01* +X219460501Y-106337603D01* +X219451011Y-106321770D01* +X219443118Y-106305083D01* +X219436900Y-106287703D01* +X219432414Y-106269796D01* +X219429706Y-106251537D01* +X219428800Y-106233100D01* +X219428800Y-105856900D01* +X219429706Y-105838463D01* +X219432414Y-105820204D01* +X219436900Y-105802297D01* +X219443118Y-105784917D01* +X219451011Y-105768230D01* +X219460501Y-105752397D01* +X219471497Y-105737571D01* +X219483893Y-105723893D01* +X219497571Y-105711497D01* +X219512397Y-105700501D01* +X219528230Y-105691011D01* +X219544917Y-105683118D01* +X219562297Y-105676900D01* +X219580204Y-105672414D01* +X219598463Y-105669706D01* +X219616900Y-105668800D01* +X223393100Y-105668800D01* +X223411537Y-105669706D01* +X223411537Y-105669706D01* +G37* +D18* +X221505000Y-106045000D03* +D15* +G36* +X223411537Y-104399706D02* +G01* +X223429796Y-104402414D01* +X223447703Y-104406900D01* +X223465083Y-104413118D01* +X223481770Y-104421011D01* +X223497603Y-104430501D01* +X223512429Y-104441497D01* +X223526107Y-104453893D01* +X223538503Y-104467571D01* +X223549499Y-104482397D01* +X223558989Y-104498230D01* +X223566882Y-104514917D01* +X223573100Y-104532297D01* +X223577586Y-104550204D01* +X223580294Y-104568463D01* +X223581200Y-104586900D01* +X223581200Y-104963100D01* +X223580294Y-104981537D01* +X223577586Y-104999796D01* +X223573100Y-105017703D01* +X223566882Y-105035083D01* +X223558989Y-105051770D01* +X223549499Y-105067603D01* +X223538503Y-105082429D01* +X223526107Y-105096107D01* +X223512429Y-105108503D01* +X223497603Y-105119499D01* +X223481770Y-105128989D01* +X223465083Y-105136882D01* +X223447703Y-105143100D01* +X223429796Y-105147586D01* +X223411537Y-105150294D01* +X223393100Y-105151200D01* +X219616900Y-105151200D01* +X219598463Y-105150294D01* +X219580204Y-105147586D01* +X219562297Y-105143100D01* +X219544917Y-105136882D01* +X219528230Y-105128989D01* +X219512397Y-105119499D01* +X219497571Y-105108503D01* +X219483893Y-105096107D01* +X219471497Y-105082429D01* +X219460501Y-105067603D01* +X219451011Y-105051770D01* +X219443118Y-105035083D01* +X219436900Y-105017703D01* +X219432414Y-104999796D01* +X219429706Y-104981537D01* +X219428800Y-104963100D01* +X219428800Y-104586900D01* +X219429706Y-104568463D01* +X219432414Y-104550204D01* +X219436900Y-104532297D01* +X219443118Y-104514917D01* +X219451011Y-104498230D01* +X219460501Y-104482397D01* +X219471497Y-104467571D01* +X219483893Y-104453893D01* +X219497571Y-104441497D01* +X219512397Y-104430501D01* +X219528230Y-104421011D01* +X219544917Y-104413118D01* +X219562297Y-104406900D01* +X219580204Y-104402414D01* +X219598463Y-104399706D01* +X219616900Y-104398800D01* +X223393100Y-104398800D01* +X223411537Y-104399706D01* +X223411537Y-104399706D01* +G37* +D18* +X221505000Y-104775000D03* +D15* +G36* +X223411537Y-103129706D02* +G01* +X223429796Y-103132414D01* +X223447703Y-103136900D01* +X223465083Y-103143118D01* +X223481770Y-103151011D01* +X223497603Y-103160501D01* +X223512429Y-103171497D01* +X223526107Y-103183893D01* +X223538503Y-103197571D01* +X223549499Y-103212397D01* +X223558989Y-103228230D01* +X223566882Y-103244917D01* +X223573100Y-103262297D01* +X223577586Y-103280204D01* +X223580294Y-103298463D01* +X223581200Y-103316900D01* +X223581200Y-103693100D01* +X223580294Y-103711537D01* +X223577586Y-103729796D01* +X223573100Y-103747703D01* +X223566882Y-103765083D01* +X223558989Y-103781770D01* +X223549499Y-103797603D01* +X223538503Y-103812429D01* +X223526107Y-103826107D01* +X223512429Y-103838503D01* +X223497603Y-103849499D01* +X223481770Y-103858989D01* +X223465083Y-103866882D01* +X223447703Y-103873100D01* +X223429796Y-103877586D01* +X223411537Y-103880294D01* +X223393100Y-103881200D01* +X219616900Y-103881200D01* +X219598463Y-103880294D01* +X219580204Y-103877586D01* +X219562297Y-103873100D01* +X219544917Y-103866882D01* +X219528230Y-103858989D01* +X219512397Y-103849499D01* +X219497571Y-103838503D01* +X219483893Y-103826107D01* +X219471497Y-103812429D01* +X219460501Y-103797603D01* +X219451011Y-103781770D01* +X219443118Y-103765083D01* +X219436900Y-103747703D01* +X219432414Y-103729796D01* +X219429706Y-103711537D01* +X219428800Y-103693100D01* +X219428800Y-103316900D01* +X219429706Y-103298463D01* +X219432414Y-103280204D01* +X219436900Y-103262297D01* +X219443118Y-103244917D01* +X219451011Y-103228230D01* +X219460501Y-103212397D01* +X219471497Y-103197571D01* +X219483893Y-103183893D01* +X219497571Y-103171497D01* +X219512397Y-103160501D01* +X219528230Y-103151011D01* +X219544917Y-103143118D01* +X219562297Y-103136900D01* +X219580204Y-103132414D01* +X219598463Y-103129706D01* +X219616900Y-103128800D01* +X223393100Y-103128800D01* +X223411537Y-103129706D01* +X223411537Y-103129706D01* +G37* +D18* +X221505000Y-103505000D03* +D15* +G36* +X223411537Y-101859706D02* +G01* +X223429796Y-101862414D01* +X223447703Y-101866900D01* +X223465083Y-101873118D01* +X223481770Y-101881011D01* +X223497603Y-101890501D01* +X223512429Y-101901497D01* +X223526107Y-101913893D01* +X223538503Y-101927571D01* +X223549499Y-101942397D01* +X223558989Y-101958230D01* +X223566882Y-101974917D01* +X223573100Y-101992297D01* +X223577586Y-102010204D01* +X223580294Y-102028463D01* +X223581200Y-102046900D01* +X223581200Y-102423100D01* +X223580294Y-102441537D01* +X223577586Y-102459796D01* +X223573100Y-102477703D01* +X223566882Y-102495083D01* +X223558989Y-102511770D01* +X223549499Y-102527603D01* +X223538503Y-102542429D01* +X223526107Y-102556107D01* +X223512429Y-102568503D01* +X223497603Y-102579499D01* +X223481770Y-102588989D01* +X223465083Y-102596882D01* +X223447703Y-102603100D01* +X223429796Y-102607586D01* +X223411537Y-102610294D01* +X223393100Y-102611200D01* +X219616900Y-102611200D01* +X219598463Y-102610294D01* +X219580204Y-102607586D01* +X219562297Y-102603100D01* +X219544917Y-102596882D01* +X219528230Y-102588989D01* +X219512397Y-102579499D01* +X219497571Y-102568503D01* +X219483893Y-102556107D01* +X219471497Y-102542429D01* +X219460501Y-102527603D01* +X219451011Y-102511770D01* +X219443118Y-102495083D01* +X219436900Y-102477703D01* +X219432414Y-102459796D01* +X219429706Y-102441537D01* +X219428800Y-102423100D01* +X219428800Y-102046900D01* +X219429706Y-102028463D01* +X219432414Y-102010204D01* +X219436900Y-101992297D01* +X219443118Y-101974917D01* +X219451011Y-101958230D01* +X219460501Y-101942397D01* +X219471497Y-101927571D01* +X219483893Y-101913893D01* +X219497571Y-101901497D01* +X219512397Y-101890501D01* +X219528230Y-101881011D01* +X219544917Y-101873118D01* +X219562297Y-101866900D01* +X219580204Y-101862414D01* +X219598463Y-101859706D01* +X219616900Y-101858800D01* +X223393100Y-101858800D01* +X223411537Y-101859706D01* +X223411537Y-101859706D01* +G37* +D18* +X221505000Y-102235000D03* +D15* +G36* +X223411537Y-100589706D02* +G01* +X223429796Y-100592414D01* +X223447703Y-100596900D01* +X223465083Y-100603118D01* +X223481770Y-100611011D01* +X223497603Y-100620501D01* +X223512429Y-100631497D01* +X223526107Y-100643893D01* +X223538503Y-100657571D01* +X223549499Y-100672397D01* +X223558989Y-100688230D01* +X223566882Y-100704917D01* +X223573100Y-100722297D01* +X223577586Y-100740204D01* +X223580294Y-100758463D01* +X223581200Y-100776900D01* +X223581200Y-101153100D01* +X223580294Y-101171537D01* +X223577586Y-101189796D01* +X223573100Y-101207703D01* +X223566882Y-101225083D01* +X223558989Y-101241770D01* +X223549499Y-101257603D01* +X223538503Y-101272429D01* +X223526107Y-101286107D01* +X223512429Y-101298503D01* +X223497603Y-101309499D01* +X223481770Y-101318989D01* +X223465083Y-101326882D01* +X223447703Y-101333100D01* +X223429796Y-101337586D01* +X223411537Y-101340294D01* +X223393100Y-101341200D01* +X219616900Y-101341200D01* +X219598463Y-101340294D01* +X219580204Y-101337586D01* +X219562297Y-101333100D01* +X219544917Y-101326882D01* +X219528230Y-101318989D01* +X219512397Y-101309499D01* +X219497571Y-101298503D01* +X219483893Y-101286107D01* +X219471497Y-101272429D01* +X219460501Y-101257603D01* +X219451011Y-101241770D01* +X219443118Y-101225083D01* +X219436900Y-101207703D01* +X219432414Y-101189796D01* +X219429706Y-101171537D01* +X219428800Y-101153100D01* +X219428800Y-100776900D01* +X219429706Y-100758463D01* +X219432414Y-100740204D01* +X219436900Y-100722297D01* +X219443118Y-100704917D01* +X219451011Y-100688230D01* +X219460501Y-100672397D01* +X219471497Y-100657571D01* +X219483893Y-100643893D01* +X219497571Y-100631497D01* +X219512397Y-100620501D01* +X219528230Y-100611011D01* +X219544917Y-100603118D01* +X219562297Y-100596900D01* +X219580204Y-100592414D01* +X219598463Y-100589706D01* +X219616900Y-100588800D01* +X223393100Y-100588800D01* +X223411537Y-100589706D01* +X223411537Y-100589706D01* +G37* +D18* +X221505000Y-100965000D03* +D15* +G36* +X216011537Y-105669706D02* +G01* +X216029796Y-105672414D01* +X216047703Y-105676900D01* +X216065083Y-105683118D01* +X216081770Y-105691011D01* +X216097603Y-105700501D01* +X216112429Y-105711497D01* +X216126107Y-105723893D01* +X216138503Y-105737571D01* +X216149499Y-105752397D01* +X216158989Y-105768230D01* +X216166882Y-105784917D01* +X216173100Y-105802297D01* +X216177586Y-105820204D01* +X216180294Y-105838463D01* +X216181200Y-105856900D01* +X216181200Y-106233100D01* +X216180294Y-106251537D01* +X216177586Y-106269796D01* +X216173100Y-106287703D01* +X216166882Y-106305083D01* +X216158989Y-106321770D01* +X216149499Y-106337603D01* +X216138503Y-106352429D01* +X216126107Y-106366107D01* +X216112429Y-106378503D01* +X216097603Y-106389499D01* +X216081770Y-106398989D01* +X216065083Y-106406882D01* +X216047703Y-106413100D01* +X216029796Y-106417586D01* +X216011537Y-106420294D01* +X215993100Y-106421200D01* +X212216900Y-106421200D01* +X212198463Y-106420294D01* +X212180204Y-106417586D01* +X212162297Y-106413100D01* +X212144917Y-106406882D01* +X212128230Y-106398989D01* +X212112397Y-106389499D01* +X212097571Y-106378503D01* +X212083893Y-106366107D01* +X212071497Y-106352429D01* +X212060501Y-106337603D01* +X212051011Y-106321770D01* +X212043118Y-106305083D01* +X212036900Y-106287703D01* +X212032414Y-106269796D01* +X212029706Y-106251537D01* +X212028800Y-106233100D01* +X212028800Y-105856900D01* +X212029706Y-105838463D01* +X212032414Y-105820204D01* +X212036900Y-105802297D01* +X212043118Y-105784917D01* +X212051011Y-105768230D01* +X212060501Y-105752397D01* +X212071497Y-105737571D01* +X212083893Y-105723893D01* +X212097571Y-105711497D01* +X212112397Y-105700501D01* +X212128230Y-105691011D01* +X212144917Y-105683118D01* +X212162297Y-105676900D01* +X212180204Y-105672414D01* +X212198463Y-105669706D01* +X212216900Y-105668800D01* +X215993100Y-105668800D01* +X216011537Y-105669706D01* +X216011537Y-105669706D01* +G37* +D18* +X214105000Y-106045000D03* +D15* +G36* +X223411537Y-108209706D02* +G01* +X223429796Y-108212414D01* +X223447703Y-108216900D01* +X223465083Y-108223118D01* +X223481770Y-108231011D01* +X223497603Y-108240501D01* +X223512429Y-108251497D01* +X223526107Y-108263893D01* +X223538503Y-108277571D01* +X223549499Y-108292397D01* +X223558989Y-108308230D01* +X223566882Y-108324917D01* +X223573100Y-108342297D01* +X223577586Y-108360204D01* +X223580294Y-108378463D01* +X223581200Y-108396900D01* +X223581200Y-108773100D01* +X223580294Y-108791537D01* +X223577586Y-108809796D01* +X223573100Y-108827703D01* +X223566882Y-108845083D01* +X223558989Y-108861770D01* +X223549499Y-108877603D01* +X223538503Y-108892429D01* +X223526107Y-108906107D01* +X223512429Y-108918503D01* +X223497603Y-108929499D01* +X223481770Y-108938989D01* +X223465083Y-108946882D01* +X223447703Y-108953100D01* +X223429796Y-108957586D01* +X223411537Y-108960294D01* +X223393100Y-108961200D01* +X219616900Y-108961200D01* +X219598463Y-108960294D01* +X219580204Y-108957586D01* +X219562297Y-108953100D01* +X219544917Y-108946882D01* +X219528230Y-108938989D01* +X219512397Y-108929499D01* +X219497571Y-108918503D01* +X219483893Y-108906107D01* +X219471497Y-108892429D01* +X219460501Y-108877603D01* +X219451011Y-108861770D01* +X219443118Y-108845083D01* +X219436900Y-108827703D01* +X219432414Y-108809796D01* +X219429706Y-108791537D01* +X219428800Y-108773100D01* +X219428800Y-108396900D01* +X219429706Y-108378463D01* +X219432414Y-108360204D01* +X219436900Y-108342297D01* +X219443118Y-108324917D01* +X219451011Y-108308230D01* +X219460501Y-108292397D01* +X219471497Y-108277571D01* +X219483893Y-108263893D01* +X219497571Y-108251497D01* +X219512397Y-108240501D01* +X219528230Y-108231011D01* +X219544917Y-108223118D01* +X219562297Y-108216900D01* +X219580204Y-108212414D01* +X219598463Y-108209706D01* +X219616900Y-108208800D01* +X223393100Y-108208800D01* +X223411537Y-108209706D01* +X223411537Y-108209706D01* +G37* +D18* +X221505000Y-108585000D03* +M02* diff --git a/gerber/RAM2E-drl_map.ps b/gerber/RAM2E-drl_map.ps new file mode 100644 index 0000000..2d27b31 --- /dev/null +++ b/gerber/RAM2E-drl_map.ps @@ -0,0 +1,6757 @@ +%!PS-Adobe-3.0 +%%Creator: PCBNEW +%%CreationDate: Tue Jul 30 17:06:59 2019 +%%Title: /Users/zanekaminski/Library/Mobile Documents/com~apple~CloudDocs/Repos/RAM2E/gerber/RAM2E-drl_map.ps +%%Pages: 1 +%%PageOrder: Ascend +%%BoundingBox: 0 0 596 842 +%%DocumentMedia: A4 595 842 0 () () +%%Orientation: Landscape +%%EndComments +%%BeginProlog +/line { newpath moveto lineto stroke } bind def +/cir0 { newpath 0 360 arc stroke } bind def +/cir1 { newpath 0 360 arc gsave fill grestore stroke } bind def +/cir2 { newpath 0 360 arc gsave fill grestore stroke } bind def +/arc0 { newpath arc stroke } bind def +/arc1 { newpath 4 index 4 index moveto arc closepath gsave fill + grestore stroke } bind def +/arc2 { newpath 4 index 4 index moveto arc closepath gsave fill + grestore stroke } bind def +/poly0 { stroke } bind def +/poly1 { closepath gsave fill grestore stroke } bind def +/poly2 { closepath gsave fill grestore stroke } bind def +/rect0 { rectstroke } bind def +/rect1 { rectfill } bind def +/rect2 { rectfill } bind def +/linemode0 { 0 setlinecap 0 setlinejoin 0 setlinewidth } bind def +/linemode1 { 1 setlinecap 1 setlinejoin } bind def +/dashedline { [200] 100 setdash } bind def +/solidline { [] 0 setdash } bind def +/phantomshow { moveto + /KicadFont findfont 0.000001 scalefont setfont + show } bind def +/textshow { gsave + findfont exch scalefont setfont concat 1 scale 0 0 moveto show + } bind def +/reencodefont { + findfont dup length dict begin + { 1 index /FID ne + { def } + { pop pop } ifelse + } forall + /Encoding ISOLatin1Encoding def + currentdict + end } bind def +/KicadFont /Helvetica reencodefont definefont pop +/KicadFont-Bold /Helvetica-Bold reencodefont definefont pop +/KicadFont-Oblique /Helvetica-Oblique reencodefont definefont pop +/KicadFont-BoldOblique /Helvetica-BoldOblique reencodefont definefont pop +%%EndProlog +%%Page: 1 1 +%%BeginPageSetup +gsave +0.0072 0.0072 scale +linemode1 +82680 0 translate 90 rotate +99.7988 setlinewidth +%%EndPageSetup +0 0 0 setrgbcolor +117.873 setlinewidth +87207.1 76688.1 1995.98 -0 90 arc0 +0 0 0 setrgbcolor +29722.9 76688.1 1995.98 90 180 arc0 +0 0 0 setrgbcolor +newpath +89203 76688.1 moveto +89203 37467.1 lineto +stroke +0 0 0 setrgbcolor +newpath +29722.9 78684.1 moveto +87207.1 78684.1 lineto +stroke +0 0 0 setrgbcolor +newpath +27727 76688.1 moveto +27727 37467.1 lineto +stroke +0 0 0 setrgbcolor +88803.8 37467.1 399.195 -90 -0 arc0 +0 0 0 setrgbcolor +28126.2 37467.1 399.195 180 270 arc0 +0 0 0 setrgbcolor +newpath +88803.8 37067.9 moveto +28126.2 37067.9 lineto +stroke +78.7397 setlinewidth +newpath +28207.9 76107.4 moveto +28443.6 75871.6 lineto +stroke +newpath +28443.6 76107.4 moveto +28207.9 75871.6 lineto +stroke +newpath +28207.9 74111.4 moveto +28443.6 73875.6 lineto +stroke +newpath +28443.6 74111.4 moveto +28207.9 73875.6 lineto +stroke +newpath +28207.9 72115.4 moveto +28443.6 71879.7 lineto +stroke +newpath +28443.6 72115.4 moveto +28207.9 71879.7 lineto +stroke +newpath +28207.9 70119.4 moveto +28443.6 69883.7 lineto +stroke +newpath +28443.6 70119.4 moveto +28207.9 69883.7 lineto +stroke +newpath +28207.9 68123.5 moveto +28443.6 67887.7 lineto +stroke +newpath +28443.6 68123.5 moveto +28207.9 67887.7 lineto +stroke +newpath +28207.9 66127.5 moveto +28443.6 65891.7 lineto +stroke +newpath +28443.6 66127.5 moveto +28207.9 65891.7 lineto +stroke +newpath +28207.9 64131.5 moveto +28443.6 63895.8 lineto +stroke +newpath +28443.6 64131.5 moveto +28207.9 63895.8 lineto +stroke +newpath +28207.9 62135.5 moveto +28443.6 61899.8 lineto +stroke +newpath +28443.6 62135.5 moveto +28207.9 61899.8 lineto +stroke +newpath +28207.9 60139.5 moveto +28443.6 59903.8 lineto +stroke +newpath +28443.6 60139.5 moveto +28207.9 59903.8 lineto +stroke +newpath +28207.9 58143.6 moveto +28443.6 57907.8 lineto +stroke +newpath +28443.6 58143.6 moveto +28207.9 57907.8 lineto +stroke +newpath +28207.9 56147.6 moveto +28443.6 55911.8 lineto +stroke +newpath +28443.6 56147.6 moveto +28207.9 55911.8 lineto +stroke +newpath +28207.9 54151.6 moveto +28443.6 53915.9 lineto +stroke +newpath +28443.6 54151.6 moveto +28207.9 53915.9 lineto +stroke +newpath +28207.9 52155.6 moveto +28443.6 51919.9 lineto +stroke +newpath +28443.6 52155.6 moveto +28207.9 51919.9 lineto +stroke +newpath +28207.9 50159.7 moveto +28443.6 49923.9 lineto +stroke +newpath +28443.6 50159.7 moveto +28207.9 49923.9 lineto +stroke +newpath +28207.9 48163.7 moveto +28443.6 47927.9 lineto +stroke +newpath +28443.6 48163.7 moveto +28207.9 47927.9 lineto +stroke +newpath +28207.9 46167.7 moveto +28443.6 45932 lineto +stroke +newpath +28443.6 46167.7 moveto +28207.9 45932 lineto +stroke +newpath +28207.9 43972.1 moveto +28443.6 43736.4 lineto +stroke +newpath +28443.6 43972.1 moveto +28207.9 43736.4 lineto +stroke +newpath +28706.9 77803.9 moveto +28942.6 77568.2 lineto +stroke +newpath +28942.6 77803.9 moveto +28706.9 77568.2 lineto +stroke +newpath +29305.7 75109.4 moveto +29541.4 74873.6 lineto +stroke +newpath +29541.4 75109.4 moveto +29305.7 74873.6 lineto +stroke +newpath +29305.7 73113.4 moveto +29541.4 72877.6 lineto +stroke +newpath +29541.4 73113.4 moveto +29305.7 72877.6 lineto +stroke +newpath +29305.7 71117.4 moveto +29541.4 70881.7 lineto +stroke +newpath +29541.4 71117.4 moveto +29305.7 70881.7 lineto +stroke +newpath +29305.7 69121.4 moveto +29541.4 68885.7 lineto +stroke +newpath +29541.4 69121.4 moveto +29305.7 68885.7 lineto +stroke +newpath +29305.7 67125.5 moveto +29541.4 66889.7 lineto +stroke +newpath +29541.4 67125.5 moveto +29305.7 66889.7 lineto +stroke +newpath +29305.7 65129.5 moveto +29541.4 64893.7 lineto +stroke +newpath +29541.4 65129.5 moveto +29305.7 64893.7 lineto +stroke +newpath +29305.7 63133.5 moveto +29541.4 62897.8 lineto +stroke +newpath +29541.4 63133.5 moveto +29305.7 62897.8 lineto +stroke +newpath +29305.7 61137.5 moveto +29541.4 60901.8 lineto +stroke +newpath +29541.4 61137.5 moveto +29305.7 60901.8 lineto +stroke +newpath +29305.7 59141.6 moveto +29541.4 58905.8 lineto +stroke +newpath +29541.4 59141.6 moveto +29305.7 58905.8 lineto +stroke +newpath +29305.7 57145.6 moveto +29541.4 56909.8 lineto +stroke +newpath +29541.4 57145.6 moveto +29305.7 56909.8 lineto +stroke +newpath +29305.7 55149.6 moveto +29541.4 54913.9 lineto +stroke +newpath +29541.4 55149.6 moveto +29305.7 54913.9 lineto +stroke +newpath +29305.7 53153.6 moveto +29541.4 52917.9 lineto +stroke +newpath +29541.4 53153.6 moveto +29305.7 52917.9 lineto +stroke +newpath +29305.7 51157.7 moveto +29541.4 50921.9 lineto +stroke +newpath +29541.4 51157.7 moveto +29305.7 50921.9 lineto +stroke +newpath +29305.7 49161.7 moveto +29541.4 48925.9 lineto +stroke +newpath +29541.4 49161.7 moveto +29305.7 48925.9 lineto +stroke +newpath +29305.7 47165.7 moveto +29541.4 46930 lineto +stroke +newpath +29541.4 47165.7 moveto +29305.7 46930 lineto +stroke +newpath +29904.5 44970.1 moveto +30140.2 44734.4 lineto +stroke +newpath +30140.2 44970.1 moveto +29904.5 44734.4 lineto +stroke +newpath +30403.5 74111.4 moveto +30639.2 73875.6 lineto +stroke +newpath +30639.2 74111.4 moveto +30403.5 73875.6 lineto +stroke +newpath +30403.5 72115.4 moveto +30639.2 71879.7 lineto +stroke +newpath +30639.2 72115.4 moveto +30403.5 71879.7 lineto +stroke +newpath +30403.5 70119.4 moveto +30639.2 69883.7 lineto +stroke +newpath +30639.2 70119.4 moveto +30403.5 69883.7 lineto +stroke +newpath +30403.5 68123.5 moveto +30639.2 67887.7 lineto +stroke +newpath +30639.2 68123.5 moveto +30403.5 67887.7 lineto +stroke +newpath +30403.5 66127.5 moveto +30639.2 65891.7 lineto +stroke +newpath +30639.2 66127.5 moveto +30403.5 65891.7 lineto +stroke +newpath +30403.5 64131.5 moveto +30639.2 63895.8 lineto +stroke +newpath +30639.2 64131.5 moveto +30403.5 63895.8 lineto +stroke +newpath +30403.5 62135.5 moveto +30639.2 61899.8 lineto +stroke +newpath +30639.2 62135.5 moveto +30403.5 61899.8 lineto +stroke +newpath +30403.5 60139.5 moveto +30639.2 59903.8 lineto +stroke +newpath +30639.2 60139.5 moveto +30403.5 59903.8 lineto +stroke +newpath +30403.5 58143.6 moveto +30639.2 57907.8 lineto +stroke +newpath +30639.2 58143.6 moveto +30403.5 57907.8 lineto +stroke +newpath +30403.5 56147.6 moveto +30639.2 55911.8 lineto +stroke +newpath +30639.2 56147.6 moveto +30403.5 55911.8 lineto +stroke +newpath +30403.5 54151.6 moveto +30639.2 53915.9 lineto +stroke +newpath +30639.2 54151.6 moveto +30403.5 53915.9 lineto +stroke +newpath +30403.5 52155.6 moveto +30639.2 51919.9 lineto +stroke +newpath +30639.2 52155.6 moveto +30403.5 51919.9 lineto +stroke +newpath +30403.5 50159.7 moveto +30639.2 49923.9 lineto +stroke +newpath +30639.2 50159.7 moveto +30403.5 49923.9 lineto +stroke +newpath +30403.5 48163.7 moveto +30639.2 47927.9 lineto +stroke +newpath +30639.2 48163.7 moveto +30403.5 47927.9 lineto +stroke +newpath +30403.5 43972.1 moveto +30639.2 43736.4 lineto +stroke +newpath +30639.2 43972.1 moveto +30403.5 43736.4 lineto +stroke +newpath +30503.3 78203.1 moveto +30739 77967.4 lineto +stroke +newpath +30739 78203.1 moveto +30503.3 77967.4 lineto +stroke +newpath +31401.4 77105.3 moveto +31637.2 76869.6 lineto +stroke +newpath +31637.2 77105.3 moveto +31401.4 76869.6 lineto +stroke +newpath +31401.4 75109.4 moveto +31637.2 74873.6 lineto +stroke +newpath +31637.2 75109.4 moveto +31401.4 74873.6 lineto +stroke +newpath +31401.4 73113.4 moveto +31637.2 72877.6 lineto +stroke +newpath +31637.2 73113.4 moveto +31401.4 72877.6 lineto +stroke +newpath +31401.4 71117.4 moveto +31637.2 70881.7 lineto +stroke +newpath +31637.2 71117.4 moveto +31401.4 70881.7 lineto +stroke +newpath +31401.4 69121.4 moveto +31637.2 68885.7 lineto +stroke +newpath +31637.2 69121.4 moveto +31401.4 68885.7 lineto +stroke +newpath +31401.4 67125.5 moveto +31637.2 66889.7 lineto +stroke +newpath +31637.2 67125.5 moveto +31401.4 66889.7 lineto +stroke +newpath +31401.4 65129.5 moveto +31637.2 64893.7 lineto +stroke +newpath +31637.2 65129.5 moveto +31401.4 64893.7 lineto +stroke +newpath +31401.4 63133.5 moveto +31637.2 62897.8 lineto +stroke +newpath +31637.2 63133.5 moveto +31401.4 62897.8 lineto +stroke +newpath +31401.4 61137.5 moveto +31637.2 60901.8 lineto +stroke +newpath +31637.2 61137.5 moveto +31401.4 60901.8 lineto +stroke +newpath +31401.4 59141.6 moveto +31637.2 58905.8 lineto +stroke +newpath +31637.2 59141.6 moveto +31401.4 58905.8 lineto +stroke +newpath +31401.4 55149.6 moveto +31637.2 54913.9 lineto +stroke +newpath +31637.2 55149.6 moveto +31401.4 54913.9 lineto +stroke +newpath +31401.4 53153.6 moveto +31637.2 52917.9 lineto +stroke +newpath +31637.2 53153.6 moveto +31401.4 52917.9 lineto +stroke +newpath +31401.4 51157.7 moveto +31637.2 50921.9 lineto +stroke +newpath +31637.2 51157.7 moveto +31401.4 50921.9 lineto +stroke +newpath +31401.4 49161.7 moveto +31637.2 48925.9 lineto +stroke +newpath +31637.2 49161.7 moveto +31401.4 48925.9 lineto +stroke +newpath +31401.4 46467.1 moveto +31637.2 46231.4 lineto +stroke +newpath +31637.2 46467.1 moveto +31401.4 46231.4 lineto +stroke +newpath +31700.8 56946 moveto +31936.6 56710.2 lineto +stroke +newpath +31936.6 56946 moveto +31700.8 56710.2 lineto +stroke +newpath +32299.6 56147.6 moveto +32535.4 55911.8 lineto +stroke +newpath +32535.4 56147.6 moveto +32299.6 55911.8 lineto +stroke +newpath +32399.4 78203.1 moveto +32635.2 77967.4 lineto +stroke +newpath +32635.2 78203.1 moveto +32399.4 77967.4 lineto +stroke +newpath +32399.4 74111.4 moveto +32635.2 73875.6 lineto +stroke +newpath +32635.2 74111.4 moveto +32399.4 73875.6 lineto +stroke +newpath +32399.4 54151.6 moveto +32635.2 53915.9 lineto +stroke +newpath +32635.2 54151.6 moveto +32399.4 53915.9 lineto +stroke +newpath +32399.4 52155.6 moveto +32635.2 51919.9 lineto +stroke +newpath +32635.2 52155.6 moveto +32399.4 51919.9 lineto +stroke +newpath +32399.4 50159.7 moveto +32635.2 49923.9 lineto +stroke +newpath +32635.2 50159.7 moveto +32399.4 49923.9 lineto +stroke +newpath +32399.4 43972.1 moveto +32635.2 43736.4 lineto +stroke +newpath +32635.2 43972.1 moveto +32399.4 43736.4 lineto +stroke +newpath +32898.4 45668.7 moveto +33134.2 45433 lineto +stroke +newpath +33134.2 45668.7 moveto +32898.4 45433 lineto +stroke +newpath +33397.4 75109.4 moveto +33633.2 74873.6 lineto +stroke +newpath +33633.2 75109.4 moveto +33397.4 74873.6 lineto +stroke +newpath +33397.4 46866.3 moveto +33633.2 46630.6 lineto +stroke +newpath +33633.2 46866.3 moveto +33397.4 46630.6 lineto +stroke +newpath +33497.2 53153.6 moveto +33733 52917.9 lineto +stroke +newpath +33733 53153.6 moveto +33497.2 52917.9 lineto +stroke +newpath +33497.2 51157.7 moveto +33733 50921.9 lineto +stroke +newpath +33733 51157.7 moveto +33497.2 50921.9 lineto +stroke +newpath +33696.8 56247.4 moveto +33932.6 56011.6 lineto +stroke +newpath +33932.6 56247.4 moveto +33696.8 56011.6 lineto +stroke +newpath +33696.8 48762.5 moveto +33932.6 48526.7 lineto +stroke +newpath +33932.6 48762.5 moveto +33696.8 48526.7 lineto +stroke +newpath +33996.2 72315 moveto +34232 72079.3 lineto +stroke +newpath +34232 72315 moveto +33996.2 72079.3 lineto +stroke +newpath +33996.2 70119.4 moveto +34232 69883.7 lineto +stroke +newpath +34232 70119.4 moveto +33996.2 69883.7 lineto +stroke +newpath +33996.2 68123.5 moveto +34232 67887.7 lineto +stroke +newpath +34232 68123.5 moveto +33996.2 67887.7 lineto +stroke +newpath +33996.2 66127.5 moveto +34232 65891.7 lineto +stroke +newpath +34232 66127.5 moveto +33996.2 65891.7 lineto +stroke +newpath +33996.2 64131.5 moveto +34232 63895.8 lineto +stroke +newpath +34232 64131.5 moveto +33996.2 63895.8 lineto +stroke +newpath +33996.2 62135.5 moveto +34232 61899.8 lineto +stroke +newpath +34232 62135.5 moveto +33996.2 61899.8 lineto +stroke +newpath +33996.2 60139.5 moveto +34232 59903.8 lineto +stroke +newpath +34232 60139.5 moveto +33996.2 59903.8 lineto +stroke +newpath +34395.4 78203.1 moveto +34631.2 77967.4 lineto +stroke +newpath +34631.2 78203.1 moveto +34395.4 77967.4 lineto +stroke +newpath +34395.4 76107.4 moveto +34631.2 75871.6 lineto +stroke +newpath +34631.2 76107.4 moveto +34395.4 75871.6 lineto +stroke +newpath +34595 58143.6 moveto +34830.8 57907.8 lineto +stroke +newpath +34830.8 58143.6 moveto +34595 57907.8 lineto +stroke +newpath +34595 55349.2 moveto +34830.8 55113.5 lineto +stroke +newpath +34830.8 55349.2 moveto +34595 55113.5 lineto +stroke +newpath +34595 53952 moveto +34830.8 53716.3 lineto +stroke +newpath +34830.8 53952 moveto +34595 53716.3 lineto +stroke +newpath +34595 52155.6 moveto +34830.8 51919.9 lineto +stroke +newpath +34830.8 52155.6 moveto +34595 51919.9 lineto +stroke +newpath +34595 50159.7 moveto +34830.8 49923.9 lineto +stroke +newpath +34830.8 50159.7 moveto +34595 49923.9 lineto +stroke +newpath +34794.6 73313 moveto +35030.4 73077.2 lineto +stroke +newpath +35030.4 73313 moveto +34794.6 73077.2 lineto +stroke +newpath +34894.4 71217.2 moveto +35130.1 70981.5 lineto +stroke +newpath +35130.1 71217.2 moveto +34894.4 70981.5 lineto +stroke +newpath +34894.4 69121.4 moveto +35130.1 68885.7 lineto +stroke +newpath +35130.1 69121.4 moveto +34894.4 68885.7 lineto +stroke +newpath +34894.4 67125.5 moveto +35130.1 66889.7 lineto +stroke +newpath +35130.1 67125.5 moveto +34894.4 66889.7 lineto +stroke +newpath +34894.4 65129.5 moveto +35130.1 64893.7 lineto +stroke +newpath +35130.1 65129.5 moveto +34894.4 64893.7 lineto +stroke +newpath +34894.4 63133.5 moveto +35130.1 62897.8 lineto +stroke +newpath +35130.1 63133.5 moveto +34894.4 62897.8 lineto +stroke +newpath +34894.4 61137.5 moveto +35130.1 60901.8 lineto +stroke +newpath +35130.1 61137.5 moveto +34894.4 60901.8 lineto +stroke +newpath +35393.4 77105.3 moveto +35629.1 76869.6 lineto +stroke +newpath +35629.1 77105.3 moveto +35393.4 76869.6 lineto +stroke +newpath +35692.8 74211.2 moveto +35928.5 73975.4 lineto +stroke +newpath +35928.5 74211.2 moveto +35692.8 73975.4 lineto +stroke +newpath +35692.8 72215.2 moveto +35928.5 71979.5 lineto +stroke +newpath +35928.5 72215.2 moveto +35692.8 71979.5 lineto +stroke +newpath +35692.8 70119.4 moveto +35928.5 69883.7 lineto +stroke +newpath +35928.5 70119.4 moveto +35692.8 69883.7 lineto +stroke +newpath +35692.8 68123.5 moveto +35928.5 67887.7 lineto +stroke +newpath +35928.5 68123.5 moveto +35692.8 67887.7 lineto +stroke +newpath +35692.8 66127.5 moveto +35928.5 65891.7 lineto +stroke +newpath +35928.5 66127.5 moveto +35692.8 65891.7 lineto +stroke +newpath +35692.8 64131.5 moveto +35928.5 63895.8 lineto +stroke +newpath +35928.5 64131.5 moveto +35692.8 63895.8 lineto +stroke +newpath +35692.8 62135.5 moveto +35928.5 61899.8 lineto +stroke +newpath +35928.5 62135.5 moveto +35692.8 61899.8 lineto +stroke +newpath +35692.8 60139.5 moveto +35928.5 59903.8 lineto +stroke +newpath +35928.5 60139.5 moveto +35692.8 59903.8 lineto +stroke +newpath +35692.8 47764.5 moveto +35928.5 47528.7 lineto +stroke +newpath +35928.5 47764.5 moveto +35692.8 47528.7 lineto +stroke +newpath +36042.1 50409.2 moveto +36277.8 50173.4 lineto +stroke +newpath +36277.8 50409.2 moveto +36042.1 50173.4 lineto +stroke +newpath +36092 49760.5 moveto +36327.7 49524.7 lineto +stroke +newpath +36327.7 49760.5 moveto +36092 49524.7 lineto +stroke +newpath +36191.8 48762.5 moveto +36427.5 48526.7 lineto +stroke +newpath +36427.5 48762.5 moveto +36191.8 48526.7 lineto +stroke +newpath +36391.4 78203.1 moveto +36627.1 77967.4 lineto +stroke +newpath +36627.1 78203.1 moveto +36391.4 77967.4 lineto +stroke +newpath +36391.4 45868.3 moveto +36627.1 45632.6 lineto +stroke +newpath +36627.1 45868.3 moveto +36391.4 45632.6 lineto +stroke +newpath +36391.4 43972.1 moveto +36627.1 43736.4 lineto +stroke +newpath +36627.1 43972.1 moveto +36391.4 43736.4 lineto +stroke +newpath +36690.8 75209.2 moveto +36926.5 74973.4 lineto +stroke +newpath +36926.5 75209.2 moveto +36690.8 74973.4 lineto +stroke +newpath +36690.8 73412.8 moveto +36926.5 73177 lineto +stroke +newpath +36926.5 73412.8 moveto +36690.8 73177 lineto +stroke +newpath +36690.8 71217.2 moveto +36926.5 70981.5 lineto +stroke +newpath +36926.5 71217.2 moveto +36690.8 70981.5 lineto +stroke +newpath +36690.8 69121.4 moveto +36926.5 68885.7 lineto +stroke +newpath +36926.5 69121.4 moveto +36690.8 68885.7 lineto +stroke +newpath +36690.8 67125.5 moveto +36926.5 66889.7 lineto +stroke +newpath +36926.5 67125.5 moveto +36690.8 66889.7 lineto +stroke +newpath +36690.8 65129.5 moveto +36926.5 64893.7 lineto +stroke +newpath +36926.5 65129.5 moveto +36690.8 64893.7 lineto +stroke +newpath +36690.8 63133.5 moveto +36926.5 62897.8 lineto +stroke +newpath +36926.5 63133.5 moveto +36690.8 62897.8 lineto +stroke +newpath +36690.8 61137.5 moveto +36926.5 60901.8 lineto +stroke +newpath +36926.5 61137.5 moveto +36690.8 60901.8 lineto +stroke +newpath +36740.7 49710.6 moveto +36976.4 49474.8 lineto +stroke +newpath +36976.4 49710.6 moveto +36740.7 49474.8 lineto +stroke +newpath +36790.6 49061.9 moveto +37026.3 48826.1 lineto +stroke +newpath +37026.3 49061.9 moveto +36790.6 48826.1 lineto +stroke +newpath +36790.6 47764.5 moveto +37026.3 47528.7 lineto +stroke +newpath +37026.3 47764.5 moveto +36790.6 47528.7 lineto +stroke +newpath +37289.6 55349.2 moveto +37525.3 55113.5 lineto +stroke +newpath +37525.3 55349.2 moveto +37289.6 55113.5 lineto +stroke +newpath +37289.6 53952 moveto +37525.3 53716.3 lineto +stroke +newpath +37525.3 53952 moveto +37289.6 53716.3 lineto +stroke +newpath +37389.4 46966.1 moveto +37625.1 46730.4 lineto +stroke +newpath +37625.1 46966.1 moveto +37389.4 46730.4 lineto +stroke +newpath +37439.3 49012 moveto +37675 48776.2 lineto +stroke +newpath +37675 49012 moveto +37439.3 48776.2 lineto +stroke +newpath +37489.2 56946 moveto +37724.9 56710.2 lineto +stroke +newpath +37724.9 56946 moveto +37489.2 56710.2 lineto +stroke +newpath +37489.2 48363.3 moveto +37724.9 48127.5 lineto +stroke +newpath +37724.9 48363.3 moveto +37489.2 48127.5 lineto +stroke +newpath +37688.8 76207.2 moveto +37924.5 75971.4 lineto +stroke +newpath +37924.5 76207.2 moveto +37688.8 75971.4 lineto +stroke +newpath +37688.8 74211.2 moveto +37924.5 73975.4 lineto +stroke +newpath +37924.5 74211.2 moveto +37688.8 73975.4 lineto +stroke +newpath +37688.8 72215.2 moveto +37924.5 71979.5 lineto +stroke +newpath +37924.5 72215.2 moveto +37688.8 71979.5 lineto +stroke +newpath +37688.8 70119.4 moveto +37924.5 69883.7 lineto +stroke +newpath +37924.5 70119.4 moveto +37688.8 69883.7 lineto +stroke +newpath +37688.8 68123.5 moveto +37924.5 67887.7 lineto +stroke +newpath +37924.5 68123.5 moveto +37688.8 67887.7 lineto +stroke +newpath +37688.8 66127.5 moveto +37924.5 65891.7 lineto +stroke +newpath +37924.5 66127.5 moveto +37688.8 65891.7 lineto +stroke +newpath +37688.8 64131.5 moveto +37924.5 63895.8 lineto +stroke +newpath +37924.5 64131.5 moveto +37688.8 63895.8 lineto +stroke +newpath +37688.8 62135.5 moveto +37924.5 61899.8 lineto +stroke +newpath +37924.5 62135.5 moveto +37688.8 61899.8 lineto +stroke +newpath +38038.1 52405.1 moveto +38273.8 52169.4 lineto +stroke +newpath +38273.8 52405.1 moveto +38038.1 52169.4 lineto +stroke +newpath +38137.9 48313.4 moveto +38373.6 48077.6 lineto +stroke +newpath +38373.6 48313.4 moveto +38137.9 48077.6 lineto +stroke +newpath +38187.8 47664.7 moveto +38423.5 47428.9 lineto +stroke +newpath +38423.5 47664.7 moveto +38187.8 47428.9 lineto +stroke +newpath +38387.4 78203.1 moveto +38623.1 77967.4 lineto +stroke +newpath +38623.1 78203.1 moveto +38387.4 77967.4 lineto +stroke +newpath +38387.4 46566.9 moveto +38623.1 46331.2 lineto +stroke +newpath +38623.1 46566.9 moveto +38387.4 46331.2 lineto +stroke +newpath +38387.4 43972.1 moveto +38623.1 43736.4 lineto +stroke +newpath +38623.1 43972.1 moveto +38387.4 43736.4 lineto +stroke +newpath +38487.2 75209.2 moveto +38722.9 74973.4 lineto +stroke +newpath +38722.9 75209.2 moveto +38487.2 74973.4 lineto +stroke +newpath +38487.2 73412.8 moveto +38722.9 73177 lineto +stroke +newpath +38722.9 73412.8 moveto +38487.2 73177 lineto +stroke +newpath +38487.2 71217.2 moveto +38722.9 70981.5 lineto +stroke +newpath +38722.9 71217.2 moveto +38487.2 70981.5 lineto +stroke +newpath +38686.8 52355.2 moveto +38922.5 52119.5 lineto +stroke +newpath +38922.5 52355.2 moveto +38686.8 52119.5 lineto +stroke +newpath +38736.7 51706.5 moveto +38972.4 51470.8 lineto +stroke +newpath +38972.4 51706.5 moveto +38736.7 51470.8 lineto +stroke +newpath +38786.6 53253.4 moveto +39022.3 53017.7 lineto +stroke +newpath +39022.3 53253.4 moveto +38786.6 53017.7 lineto +stroke +newpath +38836.5 47614.8 moveto +39072.2 47379 lineto +stroke +newpath +39072.2 47614.8 moveto +38836.5 47379 lineto +stroke +newpath +38886.4 46966.1 moveto +39122.1 46730.4 lineto +stroke +newpath +39122.1 46966.1 moveto +38886.4 46730.4 lineto +stroke +newpath +39185.8 72614.4 moveto +39421.5 72378.7 lineto +stroke +newpath +39421.5 72614.4 moveto +39185.8 72378.7 lineto +stroke +newpath +39285.6 76207.2 moveto +39521.3 75971.4 lineto +stroke +newpath +39521.3 76207.2 moveto +39285.6 75971.4 lineto +stroke +newpath +39285.6 74211.2 moveto +39521.3 73975.4 lineto +stroke +newpath +39521.3 74211.2 moveto +39285.6 73975.4 lineto +stroke +newpath +39385.4 51656.6 moveto +39621.1 51420.9 lineto +stroke +newpath +39621.1 51656.6 moveto +39385.4 51420.9 lineto +stroke +newpath +39385.4 45369.3 moveto +39621.1 45133.6 lineto +stroke +newpath +39621.1 45369.3 moveto +39385.4 45133.6 lineto +stroke +newpath +39435.3 51008 moveto +39671 50772.2 lineto +stroke +newpath +39671 51008 moveto +39435.3 50772.2 lineto +stroke +newpath +39535 46916.2 moveto +39770.8 46680.5 lineto +stroke +newpath +39770.8 46916.2 moveto +39535 46680.5 lineto +stroke +newpath +39584.9 46267.5 moveto +39820.7 46031.8 lineto +stroke +newpath +39820.7 46267.5 moveto +39584.9 46031.8 lineto +stroke +newpath +39984.1 45169.7 moveto +40219.9 44934 lineto +stroke +newpath +40219.9 45169.7 moveto +39984.1 44934 lineto +stroke +newpath +40083.9 50958.1 moveto +40319.7 50722.3 lineto +stroke +newpath +40319.7 50958.1 moveto +40083.9 50722.3 lineto +stroke +newpath +40133.8 50309.4 moveto +40369.6 50073.6 lineto +stroke +newpath +40369.6 50309.4 moveto +40133.8 50073.6 lineto +stroke +newpath +40233.6 46217.6 moveto +40469.4 45981.9 lineto +stroke +newpath +40469.4 46217.6 moveto +40233.6 45981.9 lineto +stroke +newpath +40383.3 78203.1 moveto +40619.1 77967.4 lineto +stroke +newpath +40619.1 78203.1 moveto +40383.3 77967.4 lineto +stroke +newpath +40383.3 43972.1 moveto +40619.1 43736.4 lineto +stroke +newpath +40619.1 43972.1 moveto +40383.3 43736.4 lineto +stroke +newpath +40682.7 76207.2 moveto +40918.5 75971.4 lineto +stroke +newpath +40918.5 76207.2 moveto +40682.7 75971.4 lineto +stroke +newpath +40682.7 74211.2 moveto +40918.5 73975.4 lineto +stroke +newpath +40918.5 74211.2 moveto +40682.7 73975.4 lineto +stroke +newpath +40782.5 50259.5 moveto +41018.3 50023.7 lineto +stroke +newpath +41018.3 50259.5 moveto +40782.5 50023.7 lineto +stroke +newpath +40832.4 49610.8 moveto +41068.2 49375 lineto +stroke +newpath +41068.2 49610.8 moveto +40832.4 49375 lineto +stroke +newpath +41381.3 44271.5 moveto +41617.1 44035.8 lineto +stroke +newpath +41617.1 44271.5 moveto +41381.3 44035.8 lineto +stroke +newpath +41481.1 75209.2 moveto +41716.9 74973.4 lineto +stroke +newpath +41716.9 75209.2 moveto +41481.1 74973.4 lineto +stroke +newpath +41481.1 49560.9 moveto +41716.9 49325.1 lineto +stroke +newpath +41716.9 49560.9 moveto +41481.1 49325.1 lineto +stroke +newpath +41531 48912.2 moveto +41766.8 48676.4 lineto +stroke +newpath +41766.8 48912.2 moveto +41531 48676.4 lineto +stroke +newpath +42179.7 48862.3 moveto +42415.5 48626.5 lineto +stroke +newpath +42415.5 48862.3 moveto +42179.7 48626.5 lineto +stroke +newpath +42229.6 48213.6 moveto +42465.4 47977.8 lineto +stroke +newpath +42465.4 48213.6 moveto +42229.6 47977.8 lineto +stroke +newpath +42379.3 78203.1 moveto +42615.1 77967.4 lineto +stroke +newpath +42615.1 78203.1 moveto +42379.3 77967.4 lineto +stroke +newpath +42379.3 76207.2 moveto +42615.1 75971.4 lineto +stroke +newpath +42615.1 76207.2 moveto +42379.3 75971.4 lineto +stroke +newpath +42379.3 74211.2 moveto +42615.1 73975.4 lineto +stroke +newpath +42615.1 74211.2 moveto +42379.3 73975.4 lineto +stroke +newpath +42379.3 43972.1 moveto +42615.1 43736.4 lineto +stroke +newpath +42615.1 43972.1 moveto +42379.3 43736.4 lineto +stroke +newpath +42878.3 69620.4 moveto +43114.1 69384.7 lineto +stroke +newpath +43114.1 69620.4 moveto +42878.3 69384.7 lineto +stroke +newpath +42878.3 64131.5 moveto +43114.1 63895.8 lineto +stroke +newpath +43114.1 64131.5 moveto +42878.3 63895.8 lineto +stroke +newpath +42878.3 56646.6 moveto +43114.1 56410.8 lineto +stroke +newpath +43114.1 56646.6 moveto +42878.3 56410.8 lineto +stroke +newpath +42878.3 50159.7 moveto +43114.1 49923.9 lineto +stroke +newpath +43114.1 50159.7 moveto +42878.3 49923.9 lineto +stroke +newpath +42878.3 49161.7 moveto +43114.1 48925.9 lineto +stroke +newpath +43114.1 49161.7 moveto +42878.3 48925.9 lineto +stroke +newpath +43028 48014 moveto +43263.8 47778.2 lineto +stroke +newpath +43263.8 48014 moveto +43028 47778.2 lineto +stroke +newpath +43077.9 68622.4 moveto +43313.7 68386.7 lineto +stroke +newpath +43313.7 68622.4 moveto +43077.9 68386.7 lineto +stroke +newpath +43077.9 67624.5 moveto +43313.7 67388.7 lineto +stroke +newpath +43313.7 67624.5 moveto +43077.9 67388.7 lineto +stroke +newpath +43077.9 66626.5 moveto +43313.7 66390.7 lineto +stroke +newpath +43313.7 66626.5 moveto +43077.9 66390.7 lineto +stroke +newpath +43077.9 65628.5 moveto +43313.7 65392.7 lineto +stroke +newpath +43313.7 65628.5 moveto +43077.9 65392.7 lineto +stroke +newpath +43077.9 62634.5 moveto +43313.7 62398.8 lineto +stroke +newpath +43313.7 62634.5 moveto +43077.9 62398.8 lineto +stroke +newpath +43077.9 61636.5 moveto +43313.7 61400.8 lineto +stroke +newpath +43313.7 61636.5 moveto +43077.9 61400.8 lineto +stroke +newpath +43277.5 47265.5 moveto +43513.3 47029.8 lineto +stroke +newpath +43513.3 47265.5 moveto +43277.5 47029.8 lineto +stroke +newpath +43377.3 73213.2 moveto +43613 72977.4 lineto +stroke +newpath +43613 73213.2 moveto +43377.3 72977.4 lineto +stroke +newpath +43377.3 71217.2 moveto +43613 70981.5 lineto +stroke +newpath +43613 71217.2 moveto +43377.3 70981.5 lineto +stroke +newpath +43576.9 50558.9 moveto +43812.6 50323.1 lineto +stroke +newpath +43812.6 50558.9 moveto +43576.9 50323.1 lineto +stroke +newpath +44275.5 50159.7 moveto +44511.2 49923.9 lineto +stroke +newpath +44511.2 50159.7 moveto +44275.5 49923.9 lineto +stroke +newpath +44275.5 49161.7 moveto +44511.2 48925.9 lineto +stroke +newpath +44511.2 49161.7 moveto +44275.5 48925.9 lineto +stroke +newpath +44375.3 78203.1 moveto +44611 77967.4 lineto +stroke +newpath +44611 78203.1 moveto +44375.3 77967.4 lineto +stroke +newpath +44375.3 76207.2 moveto +44611 75971.4 lineto +stroke +newpath +44611 76207.2 moveto +44375.3 75971.4 lineto +stroke +newpath +44375.3 74211.2 moveto +44611 73975.4 lineto +stroke +newpath +44611 74211.2 moveto +44375.3 73975.4 lineto +stroke +newpath +44375.3 72215.2 moveto +44611 71979.5 lineto +stroke +newpath +44611 72215.2 moveto +44375.3 71979.5 lineto +stroke +newpath +44375.3 43972.1 moveto +44611 43736.4 lineto +stroke +newpath +44611 43972.1 moveto +44375.3 43736.4 lineto +stroke +newpath +44674.7 53053.8 moveto +44910.4 52818.1 lineto +stroke +newpath +44910.4 53053.8 moveto +44674.7 52818.1 lineto +stroke +newpath +44874.3 47265.5 moveto +45110 47029.8 lineto +stroke +newpath +45110 47265.5 moveto +44874.3 47029.8 lineto +stroke +newpath +45373.3 73213.2 moveto +45609 72977.4 lineto +stroke +newpath +45609 73213.2 moveto +45373.3 72977.4 lineto +stroke +newpath +45373.3 71217.2 moveto +45609 70981.5 lineto +stroke +newpath +45609 71217.2 moveto +45373.3 70981.5 lineto +stroke +newpath +45373.3 47664.7 moveto +45609 47428.9 lineto +stroke +newpath +45609 47664.7 moveto +45373.3 47428.9 lineto +stroke +newpath +45473.1 52455 moveto +45708.8 52219.3 lineto +stroke +newpath +45708.8 52455 moveto +45473.1 52219.3 lineto +stroke +newpath +45772.5 50259.5 moveto +46008.2 50023.7 lineto +stroke +newpath +46008.2 50259.5 moveto +45772.5 50023.7 lineto +stroke +newpath +45872.3 47265.5 moveto +46108 47029.8 lineto +stroke +newpath +46108 47265.5 moveto +45872.3 47029.8 lineto +stroke +newpath +45972.1 49061.9 moveto +46207.8 48826.1 lineto +stroke +newpath +46207.8 49061.9 moveto +45972.1 48826.1 lineto +stroke +newpath +46071.9 49660.7 moveto +46307.6 49424.9 lineto +stroke +newpath +46307.6 49660.7 moveto +46071.9 49424.9 lineto +stroke +newpath +46171.7 48463.1 moveto +46407.4 48227.3 lineto +stroke +newpath +46407.4 48463.1 moveto +46171.7 48227.3 lineto +stroke +newpath +46371.3 78203.1 moveto +46607 77967.4 lineto +stroke +newpath +46607 78203.1 moveto +46371.3 77967.4 lineto +stroke +newpath +46371.3 76207.2 moveto +46607 75971.4 lineto +stroke +newpath +46607 76207.2 moveto +46371.3 75971.4 lineto +stroke +newpath +46371.3 74211.2 moveto +46607 73975.4 lineto +stroke +newpath +46607 74211.2 moveto +46371.3 73975.4 lineto +stroke +newpath +46371.3 44670.7 moveto +46607 44435 lineto +stroke +newpath +46607 44670.7 moveto +46371.3 44435 lineto +stroke +newpath +46371.3 43972.1 moveto +46607 43736.4 lineto +stroke +newpath +46607 43972.1 moveto +46371.3 43736.4 lineto +stroke +newpath +46670.7 49660.7 moveto +46906.4 49424.9 lineto +stroke +newpath +46906.4 49660.7 moveto +46670.7 49424.9 lineto +stroke +newpath +46770.5 48263.5 moveto +47006.2 48027.7 lineto +stroke +newpath +47006.2 48263.5 moveto +46770.5 48027.7 lineto +stroke +newpath +47269.5 49560.9 moveto +47505.2 49325.1 lineto +stroke +newpath +47505.2 49560.9 moveto +47269.5 49325.1 lineto +stroke +newpath +47369.3 71217.2 moveto +47605 70981.5 lineto +stroke +newpath +47605 71217.2 moveto +47369.3 70981.5 lineto +stroke +newpath +47369.3 50259.5 moveto +47605 50023.7 lineto +stroke +newpath +47605 50259.5 moveto +47369.3 50023.7 lineto +stroke +newpath +47469.1 48962.1 moveto +47704.8 48726.3 lineto +stroke +newpath +47704.8 48962.1 moveto +47469.1 48726.3 lineto +stroke +newpath +47768.5 45069.9 moveto +48004.2 44834.2 lineto +stroke +newpath +48004.2 45069.9 moveto +47768.5 44834.2 lineto +stroke +newpath +47868.3 47265.5 moveto +48104 47029.8 lineto +stroke +newpath +48104 47265.5 moveto +47868.3 47029.8 lineto +stroke +newpath +48067.8 55948 moveto +48303.6 55712.3 lineto +stroke +newpath +48303.6 55948 moveto +48067.8 55712.3 lineto +stroke +newpath +48167.6 52455 moveto +48403.4 52219.3 lineto +stroke +newpath +48403.4 52455 moveto +48167.6 52219.3 lineto +stroke +newpath +48267.4 60638.5 moveto +48503.2 60402.8 lineto +stroke +newpath +48503.2 60638.5 moveto +48267.4 60402.8 lineto +stroke +newpath +48367.2 78203.1 moveto +48603 77967.4 lineto +stroke +newpath +48603 78203.1 moveto +48367.2 77967.4 lineto +stroke +newpath +48367.2 76207.2 moveto +48603 75971.4 lineto +stroke +newpath +48603 76207.2 moveto +48367.2 75971.4 lineto +stroke +newpath +48367.2 74211.2 moveto +48603 73975.4 lineto +stroke +newpath +48603 74211.2 moveto +48367.2 73975.4 lineto +stroke +newpath +48367.2 70219.2 moveto +48603 69983.5 lineto +stroke +newpath +48603 70219.2 moveto +48367.2 69983.5 lineto +stroke +newpath +48367.2 47664.7 moveto +48603 47428.9 lineto +stroke +newpath +48603 47664.7 moveto +48367.2 47428.9 lineto +stroke +newpath +48367.2 43972.1 moveto +48603 43736.4 lineto +stroke +newpath +48603 43972.1 moveto +48367.2 43736.4 lineto +stroke +newpath +48467 65129.5 moveto +48702.8 64893.7 lineto +stroke +newpath +48702.8 65129.5 moveto +48467 64893.7 lineto +stroke +newpath +48666.6 51556.8 moveto +48902.4 51321.1 lineto +stroke +newpath +48902.4 51556.8 moveto +48666.6 51321.1 lineto +stroke +newpath +48766.4 55848.2 moveto +49002.2 55612.5 lineto +stroke +newpath +49002.2 55848.2 moveto +48766.4 55612.5 lineto +stroke +newpath +48866.2 64131.5 moveto +49102 63895.8 lineto +stroke +newpath +49102 64131.5 moveto +48866.2 63895.8 lineto +stroke +newpath +48866.2 62634.5 moveto +49102 62398.8 lineto +stroke +newpath +49102 62634.5 moveto +48866.2 62398.8 lineto +stroke +newpath +48866.2 47265.5 moveto +49102 47029.8 lineto +stroke +newpath +49102 47265.5 moveto +48866.2 47029.8 lineto +stroke +newpath +48966 55249.4 moveto +49201.8 55013.7 lineto +stroke +newpath +49201.8 55249.4 moveto +48966 55013.7 lineto +stroke +newpath +48966 48962.1 moveto +49201.8 48726.3 lineto +stroke +newpath +49201.8 48962.1 moveto +48966 48726.3 lineto +stroke +newpath +49065.8 66227.3 moveto +49301.6 65991.5 lineto +stroke +newpath +49301.6 66227.3 moveto +49065.8 65991.5 lineto +stroke +newpath +49265.4 51457 moveto +49501.2 51221.3 lineto +stroke +newpath +49501.2 51457 moveto +49265.4 51221.3 lineto +stroke +newpath +49265.4 45069.9 moveto +49501.2 44834.2 lineto +stroke +newpath +49501.2 45069.9 moveto +49265.4 44834.2 lineto +stroke +newpath +49365.2 71217.2 moveto +49601 70981.5 lineto +stroke +newpath +49601 71217.2 moveto +49365.2 70981.5 lineto +stroke +newpath +49365.2 69221.2 moveto +49601 68985.5 lineto +stroke +newpath +49601 69221.2 moveto +49365.2 68985.5 lineto +stroke +newpath +49564.8 55149.6 moveto +49800.6 54913.9 lineto +stroke +newpath +49800.6 55149.6 moveto +49564.8 54913.9 lineto +stroke +newpath +49664.6 54550.8 moveto +49900.4 54315.1 lineto +stroke +newpath +49900.4 54550.8 moveto +49664.6 54315.1 lineto +stroke +newpath +49864.2 63632.5 moveto +50100 63396.8 lineto +stroke +newpath +50100 63632.5 moveto +49864.2 63396.8 lineto +stroke +newpath +50263.4 54451 moveto +50499.2 54215.3 lineto +stroke +newpath +50499.2 54451 moveto +50263.4 54215.3 lineto +stroke +newpath +50363.2 78203.1 moveto +50599 77967.4 lineto +stroke +newpath +50599 78203.1 moveto +50363.2 77967.4 lineto +stroke +newpath +50363.2 76207.2 moveto +50599 75971.4 lineto +stroke +newpath +50599 76207.2 moveto +50363.2 75971.4 lineto +stroke +newpath +50363.2 74211.2 moveto +50599 73975.4 lineto +stroke +newpath +50599 74211.2 moveto +50363.2 73975.4 lineto +stroke +newpath +50363.2 70219.2 moveto +50599 69983.5 lineto +stroke +newpath +50599 70219.2 moveto +50363.2 69983.5 lineto +stroke +newpath +50363.2 53852.2 moveto +50599 53616.5 lineto +stroke +newpath +50599 53852.2 moveto +50363.2 53616.5 lineto +stroke +newpath +50363.2 47265.5 moveto +50599 47029.8 lineto +stroke +newpath +50599 47265.5 moveto +50363.2 47029.8 lineto +stroke +newpath +50363.2 45369.3 moveto +50599 45133.6 lineto +stroke +newpath +50599 45369.3 moveto +50363.2 45133.6 lineto +stroke +newpath +50363.2 44670.7 moveto +50599 44435 lineto +stroke +newpath +50599 44670.7 moveto +50363.2 44435 lineto +stroke +newpath +50363.2 43972.1 moveto +50599 43736.4 lineto +stroke +newpath +50599 43972.1 moveto +50363.2 43736.4 lineto +stroke +newpath +50463 57644.6 moveto +50698.8 57408.8 lineto +stroke +newpath +50698.8 57644.6 moveto +50463 57408.8 lineto +stroke +newpath +50862.2 66426.9 moveto +51098 66191.1 lineto +stroke +newpath +51098 66426.9 moveto +50862.2 66191.1 lineto +stroke +newpath +50862.2 65129.5 moveto +51098 64893.7 lineto +stroke +newpath +51098 65129.5 moveto +50862.2 64893.7 lineto +stroke +newpath +50862.2 64131.5 moveto +51098 63895.8 lineto +stroke +newpath +51098 64131.5 moveto +50862.2 63895.8 lineto +stroke +newpath +50862.2 62634.5 moveto +51098 62398.8 lineto +stroke +newpath +51098 62634.5 moveto +50862.2 62398.8 lineto +stroke +newpath +50962 53752.4 moveto +51197.8 53516.7 lineto +stroke +newpath +51197.8 53752.4 moveto +50962 53516.7 lineto +stroke +newpath +51061.8 56646.6 moveto +51297.6 56410.8 lineto +stroke +newpath +51297.6 56646.6 moveto +51061.8 56410.8 lineto +stroke +newpath +51061.8 53153.6 moveto +51297.6 52917.9 lineto +stroke +newpath +51297.6 53153.6 moveto +51061.8 52917.9 lineto +stroke +newpath +51361.2 71217.2 moveto +51597 70981.5 lineto +stroke +newpath +51597 71217.2 moveto +51361.2 70981.5 lineto +stroke +newpath +51361.2 69221.2 moveto +51597 68985.5 lineto +stroke +newpath +51597 69221.2 moveto +51361.2 68985.5 lineto +stroke +newpath +51660.6 53053.8 moveto +51896.4 52818.1 lineto +stroke +newpath +51896.4 53053.8 moveto +51660.6 52818.1 lineto +stroke +newpath +51660.6 52355.2 moveto +51896.4 52119.5 lineto +stroke +newpath +51896.4 52355.2 moveto +51660.6 52119.5 lineto +stroke +newpath +51760.4 55648.6 moveto +51996.2 55412.9 lineto +stroke +newpath +51996.2 55648.6 moveto +51760.4 55412.9 lineto +stroke +newpath +51860.2 63632.5 moveto +52095.9 63396.8 lineto +stroke +newpath +52095.9 63632.5 moveto +51860.2 63396.8 lineto +stroke +newpath +51960 51756.4 moveto +52195.7 51520.7 lineto +stroke +newpath +52195.7 51756.4 moveto +51960 51520.7 lineto +stroke +newpath +52059.8 47265.5 moveto +52295.5 47029.8 lineto +stroke +newpath +52295.5 47265.5 moveto +52059.8 47029.8 lineto +stroke +newpath +52059.8 45668.7 moveto +52295.5 45433 lineto +stroke +newpath +52295.5 45668.7 moveto +52059.8 45433 lineto +stroke +newpath +52359.2 78203.1 moveto +52594.9 77967.4 lineto +stroke +newpath +52594.9 78203.1 moveto +52359.2 77967.4 lineto +stroke +newpath +52359.2 76207.2 moveto +52594.9 75971.4 lineto +stroke +newpath +52594.9 76207.2 moveto +52359.2 75971.4 lineto +stroke +newpath +52359.2 74211.2 moveto +52594.9 73975.4 lineto +stroke +newpath +52594.9 74211.2 moveto +52359.2 73975.4 lineto +stroke +newpath +52359.2 70219.2 moveto +52594.9 69983.5 lineto +stroke +newpath +52594.9 70219.2 moveto +52359.2 69983.5 lineto +stroke +newpath +52359.2 44670.7 moveto +52594.9 44435 lineto +stroke +newpath +52594.9 44670.7 moveto +52359.2 44435 lineto +stroke +newpath +52359.2 43972.1 moveto +52594.9 43736.4 lineto +stroke +newpath +52594.9 43972.1 moveto +52359.2 43736.4 lineto +stroke +newpath +52658.6 66227.3 moveto +52894.3 65991.5 lineto +stroke +newpath +52894.3 66227.3 moveto +52658.6 65991.5 lineto +stroke +newpath +52658.6 59840.2 moveto +52894.3 59604.4 lineto +stroke +newpath +52894.3 59840.2 moveto +52658.6 59604.4 lineto +stroke +newpath +52858.2 64131.5 moveto +53093.9 63895.8 lineto +stroke +newpath +53093.9 64131.5 moveto +52858.2 63895.8 lineto +stroke +newpath +52858.2 62634.5 moveto +53093.9 62398.8 lineto +stroke +newpath +53093.9 62634.5 moveto +52858.2 62398.8 lineto +stroke +newpath +53157.6 65129.5 moveto +53393.3 64893.7 lineto +stroke +newpath +53393.3 65129.5 moveto +53157.6 64893.7 lineto +stroke +newpath +53157.6 60937.9 moveto +53393.3 60702.2 lineto +stroke +newpath +53393.3 60937.9 moveto +53157.6 60702.2 lineto +stroke +newpath +53257.4 52055.8 moveto +53493.1 51820.1 lineto +stroke +newpath +53493.1 52055.8 moveto +53257.4 51820.1 lineto +stroke +newpath +53257.4 50658.7 moveto +53493.1 50422.9 lineto +stroke +newpath +53493.1 50658.7 moveto +53257.4 50422.9 lineto +stroke +newpath +53357.2 71217.2 moveto +53592.9 70981.5 lineto +stroke +newpath +53592.9 71217.2 moveto +53357.2 70981.5 lineto +stroke +newpath +53357.2 69221.2 moveto +53592.9 68985.5 lineto +stroke +newpath +53592.9 69221.2 moveto +53357.2 68985.5 lineto +stroke +newpath +53357.2 53652.6 moveto +53592.9 53416.9 lineto +stroke +newpath +53592.9 53652.6 moveto +53357.2 53416.9 lineto +stroke +newpath +53357.2 47265.5 moveto +53592.9 47029.8 lineto +stroke +newpath +53592.9 47265.5 moveto +53357.2 47029.8 lineto +stroke +newpath +53357.2 45968.1 moveto +53592.9 45732.4 lineto +stroke +newpath +53592.9 45968.1 moveto +53357.2 45732.4 lineto +stroke +newpath +53856.2 63632.5 moveto +54091.9 63396.8 lineto +stroke +newpath +54091.9 63632.5 moveto +53856.2 63396.8 lineto +stroke +newpath +54055.8 60139.5 moveto +54291.5 59903.8 lineto +stroke +newpath +54291.5 60139.5 moveto +54055.8 59903.8 lineto +stroke +newpath +54055.8 55149.6 moveto +54291.5 54913.9 lineto +stroke +newpath +54291.5 55149.6 moveto +54055.8 54913.9 lineto +stroke +newpath +54355.2 78203.1 moveto +54590.9 77967.4 lineto +stroke +newpath +54590.9 78203.1 moveto +54355.2 77967.4 lineto +stroke +newpath +54355.2 76207.2 moveto +54590.9 75971.4 lineto +stroke +newpath +54590.9 76207.2 moveto +54355.2 75971.4 lineto +stroke +newpath +54355.2 74211.2 moveto +54590.9 73975.4 lineto +stroke +newpath +54590.9 74211.2 moveto +54355.2 73975.4 lineto +stroke +newpath +54355.2 70219.2 moveto +54590.9 69983.5 lineto +stroke +newpath +54590.9 70219.2 moveto +54355.2 69983.5 lineto +stroke +newpath +54355.2 43972.1 moveto +54590.9 43736.4 lineto +stroke +newpath +54590.9 43972.1 moveto +54355.2 43736.4 lineto +stroke +newpath +55353.2 73213.2 moveto +55588.9 72977.4 lineto +stroke +newpath +55588.9 73213.2 moveto +55353.2 72977.4 lineto +stroke +newpath +55353.2 71217.2 moveto +55588.9 70981.5 lineto +stroke +newpath +55588.9 71217.2 moveto +55353.2 70981.5 lineto +stroke +newpath +55453 46566.9 moveto +55688.7 46331.2 lineto +stroke +newpath +55688.7 46566.9 moveto +55453 46331.2 lineto +stroke +newpath +56051.8 60139.5 moveto +56287.5 59903.8 lineto +stroke +newpath +56287.5 60139.5 moveto +56051.8 59903.8 lineto +stroke +newpath +56051.8 55149.6 moveto +56287.5 54913.9 lineto +stroke +newpath +56287.5 55149.6 moveto +56051.8 54913.9 lineto +stroke +newpath +56351.2 78203.1 moveto +56586.9 77967.4 lineto +stroke +newpath +56586.9 78203.1 moveto +56351.2 77967.4 lineto +stroke +newpath +56351.2 76207.2 moveto +56586.9 75971.4 lineto +stroke +newpath +56586.9 76207.2 moveto +56351.2 75971.4 lineto +stroke +newpath +56351.2 74211.2 moveto +56586.9 73975.4 lineto +stroke +newpath +56586.9 74211.2 moveto +56351.2 73975.4 lineto +stroke +newpath +56351.2 72215.2 moveto +56586.9 71979.5 lineto +stroke +newpath +56586.9 72215.2 moveto +56351.2 71979.5 lineto +stroke +newpath +56351.2 71416.8 moveto +56586.9 71181.1 lineto +stroke +newpath +56586.9 71416.8 moveto +56351.2 71181.1 lineto +stroke +newpath +56351.2 69420.8 moveto +56586.9 69185.1 lineto +stroke +newpath +56586.9 69420.8 moveto +56351.2 69185.1 lineto +stroke +newpath +56351.2 62634.5 moveto +56586.9 62398.8 lineto +stroke +newpath +56586.9 62634.5 moveto +56351.2 62398.8 lineto +stroke +newpath +56351.2 44670.7 moveto +56586.9 44435 lineto +stroke +newpath +56586.9 44670.7 moveto +56351.2 44435 lineto +stroke +newpath +56351.2 43972.1 moveto +56586.9 43736.4 lineto +stroke +newpath +56586.9 43972.1 moveto +56351.2 43736.4 lineto +stroke +newpath +56451 54151.6 moveto +56686.7 53915.9 lineto +stroke +newpath +56686.7 54151.6 moveto +56451 53915.9 lineto +stroke +newpath +56550.7 68123.5 moveto +56786.5 67887.7 lineto +stroke +newpath +56786.5 68123.5 moveto +56550.7 67887.7 lineto +stroke +newpath +56750.3 67125.5 moveto +56986.1 66889.7 lineto +stroke +newpath +56986.1 67125.5 moveto +56750.3 66889.7 lineto +stroke +newpath +56850.1 51656.6 moveto +57085.9 51420.9 lineto +stroke +newpath +57085.9 51656.6 moveto +56850.1 51420.9 lineto +stroke +newpath +56850.1 45169.7 moveto +57085.9 44934 lineto +stroke +newpath +57085.9 45169.7 moveto +56850.1 44934 lineto +stroke +newpath +56949.9 66127.5 moveto +57185.7 65891.7 lineto +stroke +newpath +57185.7 66127.5 moveto +56949.9 65891.7 lineto +stroke +newpath +56949.9 58642.6 moveto +57185.7 58406.8 lineto +stroke +newpath +57185.7 58642.6 moveto +56949.9 58406.8 lineto +stroke +newpath +56949.9 57644.6 moveto +57185.7 57408.8 lineto +stroke +newpath +57185.7 57644.6 moveto +56949.9 57408.8 lineto +stroke +newpath +56949.9 56646.6 moveto +57185.7 56410.8 lineto +stroke +newpath +57185.7 56646.6 moveto +56949.9 56410.8 lineto +stroke +newpath +56949.9 55648.6 moveto +57185.7 55412.9 lineto +stroke +newpath +57185.7 55648.6 moveto +56949.9 55412.9 lineto +stroke +newpath +56949.9 54650.6 moveto +57185.7 54414.9 lineto +stroke +newpath +57185.7 54650.6 moveto +56949.9 54414.9 lineto +stroke +newpath +57149.5 65129.5 moveto +57385.3 64893.7 lineto +stroke +newpath +57385.3 65129.5 moveto +57149.5 64893.7 lineto +stroke +newpath +57349.1 73213.2 moveto +57584.9 72977.4 lineto +stroke +newpath +57584.9 73213.2 moveto +57349.1 72977.4 lineto +stroke +newpath +57448.9 59141.6 moveto +57684.7 58905.8 lineto +stroke +newpath +57684.7 59141.6 moveto +57448.9 58905.8 lineto +stroke +newpath +57448.9 58143.6 moveto +57684.7 57907.8 lineto +stroke +newpath +57684.7 58143.6 moveto +57448.9 57907.8 lineto +stroke +newpath +57448.9 57145.6 moveto +57684.7 56909.8 lineto +stroke +newpath +57684.7 57145.6 moveto +57448.9 56909.8 lineto +stroke +newpath +57448.9 56147.6 moveto +57684.7 55911.8 lineto +stroke +newpath +57684.7 56147.6 moveto +57448.9 55911.8 lineto +stroke +newpath +57448.9 55149.6 moveto +57684.7 54913.9 lineto +stroke +newpath +57684.7 55149.6 moveto +57448.9 54913.9 lineto +stroke +newpath +57548.7 61137.5 moveto +57784.5 60901.8 lineto +stroke +newpath +57784.5 61137.5 moveto +57548.7 60901.8 lineto +stroke +newpath +57947.9 54650.6 moveto +58183.7 54414.9 lineto +stroke +newpath +58183.7 54650.6 moveto +57947.9 54414.9 lineto +stroke +newpath +58247.3 64830.1 moveto +58483.1 64594.3 lineto +stroke +newpath +58483.1 64830.1 moveto +58247.3 64594.3 lineto +stroke +newpath +58347.1 78203.1 moveto +58582.9 77967.4 lineto +stroke +newpath +58582.9 78203.1 moveto +58347.1 77967.4 lineto +stroke +newpath +58347.1 76207.2 moveto +58582.9 75971.4 lineto +stroke +newpath +58582.9 76207.2 moveto +58347.1 75971.4 lineto +stroke +newpath +58347.1 74211.2 moveto +58582.9 73975.4 lineto +stroke +newpath +58582.9 74211.2 moveto +58347.1 73975.4 lineto +stroke +newpath +58347.1 72215.2 moveto +58582.9 71979.5 lineto +stroke +newpath +58582.9 72215.2 moveto +58347.1 71979.5 lineto +stroke +newpath +58347.1 50159.7 moveto +58582.9 49923.9 lineto +stroke +newpath +58582.9 50159.7 moveto +58347.1 49923.9 lineto +stroke +newpath +58347.1 46866.3 moveto +58582.9 46630.6 lineto +stroke +newpath +58582.9 46866.3 moveto +58347.1 46630.6 lineto +stroke +newpath +58347.1 43972.1 moveto +58582.9 43736.4 lineto +stroke +newpath +58582.9 43972.1 moveto +58347.1 43736.4 lineto +stroke +newpath +58446.9 55149.6 moveto +58682.7 54913.9 lineto +stroke +newpath +58682.7 55149.6 moveto +58446.9 54913.9 lineto +stroke +newpath +58846.1 60638.5 moveto +59081.9 60402.8 lineto +stroke +newpath +59081.9 60638.5 moveto +58846.1 60402.8 lineto +stroke +newpath +58945.9 55648.6 moveto +59181.7 55412.9 lineto +stroke +newpath +59181.7 55648.6 moveto +58945.9 55412.9 lineto +stroke +newpath +59345.1 75209.2 moveto +59580.9 74973.4 lineto +stroke +newpath +59580.9 75209.2 moveto +59345.1 74973.4 lineto +stroke +newpath +59345.1 73213.2 moveto +59580.9 72977.4 lineto +stroke +newpath +59580.9 73213.2 moveto +59345.1 72977.4 lineto +stroke +newpath +59345.1 54151.6 moveto +59580.9 53915.9 lineto +stroke +newpath +59580.9 54151.6 moveto +59345.1 53915.9 lineto +stroke +newpath +59345.1 53053.8 moveto +59580.9 52818.1 lineto +stroke +newpath +59580.9 53053.8 moveto +59345.1 52818.1 lineto +stroke +newpath +59345.1 51157.7 moveto +59580.9 50921.9 lineto +stroke +newpath +59580.9 51157.7 moveto +59345.1 50921.9 lineto +stroke +newpath +59345.1 46866.3 moveto +59580.9 46630.6 lineto +stroke +newpath +59580.9 46866.3 moveto +59345.1 46630.6 lineto +stroke +newpath +59844.1 61636.5 moveto +60079.9 61400.8 lineto +stroke +newpath +60079.9 61636.5 moveto +59844.1 61400.8 lineto +stroke +newpath +60343.1 78203.1 moveto +60578.8 77967.4 lineto +stroke +newpath +60578.8 78203.1 moveto +60343.1 77967.4 lineto +stroke +newpath +60343.1 72215.2 moveto +60578.8 71979.5 lineto +stroke +newpath +60578.8 72215.2 moveto +60343.1 71979.5 lineto +stroke +newpath +60343.1 53153.6 moveto +60578.8 52917.9 lineto +stroke +newpath +60578.8 53153.6 moveto +60343.1 52917.9 lineto +stroke +newpath +60343.1 50159.7 moveto +60578.8 49923.9 lineto +stroke +newpath +60578.8 50159.7 moveto +60343.1 49923.9 lineto +stroke +newpath +60343.1 43972.1 moveto +60578.8 43736.4 lineto +stroke +newpath +60578.8 43972.1 moveto +60343.1 43736.4 lineto +stroke +newpath +60842.1 62634.5 moveto +61077.8 62398.8 lineto +stroke +newpath +61077.8 62634.5 moveto +60842.1 62398.8 lineto +stroke +newpath +61341.1 54151.6 moveto +61576.8 53915.9 lineto +stroke +newpath +61576.8 54151.6 moveto +61341.1 53915.9 lineto +stroke +newpath +61341.1 51157.7 moveto +61576.8 50921.9 lineto +stroke +newpath +61576.8 51157.7 moveto +61341.1 50921.9 lineto +stroke +newpath +61341.1 48862.3 moveto +61576.8 48626.5 lineto +stroke +newpath +61576.8 48862.3 moveto +61341.1 48626.5 lineto +stroke +newpath +61341.1 46866.3 moveto +61576.8 46630.6 lineto +stroke +newpath +61576.8 46866.3 moveto +61341.1 46630.6 lineto +stroke +newpath +61840.1 63632.5 moveto +62075.8 63396.8 lineto +stroke +newpath +62075.8 63632.5 moveto +61840.1 63396.8 lineto +stroke +newpath +62339.1 78203.1 moveto +62574.8 77967.4 lineto +stroke +newpath +62574.8 78203.1 moveto +62339.1 77967.4 lineto +stroke +newpath +62339.1 55149.6 moveto +62574.8 54913.9 lineto +stroke +newpath +62574.8 55149.6 moveto +62339.1 54913.9 lineto +stroke +newpath +62339.1 53153.6 moveto +62574.8 52917.9 lineto +stroke +newpath +62574.8 53153.6 moveto +62339.1 52917.9 lineto +stroke +newpath +62339.1 50159.7 moveto +62574.8 49923.9 lineto +stroke +newpath +62574.8 50159.7 moveto +62339.1 49923.9 lineto +stroke +newpath +62339.1 43972.1 moveto +62574.8 43736.4 lineto +stroke +newpath +62574.8 43972.1 moveto +62339.1 43736.4 lineto +stroke +newpath +62838.1 64630.5 moveto +63073.8 64394.7 lineto +stroke +newpath +63073.8 64630.5 moveto +62838.1 64394.7 lineto +stroke +newpath +63337.1 74011.6 moveto +63572.8 73775.8 lineto +stroke +newpath +63572.8 74011.6 moveto +63337.1 73775.8 lineto +stroke +newpath +63337.1 56147.6 moveto +63572.8 55911.8 lineto +stroke +newpath +63572.8 56147.6 moveto +63337.1 55911.8 lineto +stroke +newpath +63337.1 54151.6 moveto +63572.8 53915.9 lineto +stroke +newpath +63572.8 54151.6 moveto +63337.1 53915.9 lineto +stroke +newpath +63337.1 51157.7 moveto +63572.8 50921.9 lineto +stroke +newpath +63572.8 51157.7 moveto +63337.1 50921.9 lineto +stroke +newpath +63337.1 46866.3 moveto +63572.8 46630.6 lineto +stroke +newpath +63572.8 46866.3 moveto +63337.1 46630.6 lineto +stroke +newpath +63836.1 65628.5 moveto +64071.8 65392.7 lineto +stroke +newpath +64071.8 65628.5 moveto +63836.1 65392.7 lineto +stroke +newpath +64335.1 78203.1 moveto +64570.8 77967.4 lineto +stroke +newpath +64570.8 78203.1 moveto +64335.1 77967.4 lineto +stroke +newpath +64335.1 76207.2 moveto +64570.8 75971.4 lineto +stroke +newpath +64570.8 76207.2 moveto +64335.1 75971.4 lineto +stroke +newpath +64335.1 72315 moveto +64570.8 72079.3 lineto +stroke +newpath +64570.8 72315 moveto +64335.1 72079.3 lineto +stroke +newpath +64335.1 57145.6 moveto +64570.8 56909.8 lineto +stroke +newpath +64570.8 57145.6 moveto +64335.1 56909.8 lineto +stroke +newpath +64335.1 55149.6 moveto +64570.8 54913.9 lineto +stroke +newpath +64570.8 55149.6 moveto +64335.1 54913.9 lineto +stroke +newpath +64335.1 53153.6 moveto +64570.8 52917.9 lineto +stroke +newpath +64570.8 53153.6 moveto +64335.1 52917.9 lineto +stroke +newpath +64335.1 50159.7 moveto +64570.8 49923.9 lineto +stroke +newpath +64570.8 50159.7 moveto +64335.1 49923.9 lineto +stroke +newpath +64335.1 45968.1 moveto +64570.8 45732.4 lineto +stroke +newpath +64570.8 45968.1 moveto +64335.1 45732.4 lineto +stroke +newpath +64335.1 43972.1 moveto +64570.8 43736.4 lineto +stroke +newpath +64570.8 43972.1 moveto +64335.1 43736.4 lineto +stroke +newpath +64834.1 66626.5 moveto +65069.8 66390.7 lineto +stroke +newpath +65069.8 66626.5 moveto +64834.1 66390.7 lineto +stroke +newpath +65333 74011.6 moveto +65568.8 73775.8 lineto +stroke +newpath +65568.8 74011.6 moveto +65333 73775.8 lineto +stroke +newpath +65333 60139.5 moveto +65568.8 59903.8 lineto +stroke +newpath +65568.8 60139.5 moveto +65333 59903.8 lineto +stroke +newpath +65333 58143.6 moveto +65568.8 57907.8 lineto +stroke +newpath +65568.8 58143.6 moveto +65333 57907.8 lineto +stroke +newpath +65333 56147.6 moveto +65568.8 55911.8 lineto +stroke +newpath +65568.8 56147.6 moveto +65333 55911.8 lineto +stroke +newpath +65333 54151.6 moveto +65568.8 53915.9 lineto +stroke +newpath +65568.8 54151.6 moveto +65333 53915.9 lineto +stroke +newpath +65333 51157.7 moveto +65568.8 50921.9 lineto +stroke +newpath +65568.8 51157.7 moveto +65333 50921.9 lineto +stroke +newpath +65333 46866.3 moveto +65568.8 46630.6 lineto +stroke +newpath +65568.8 46866.3 moveto +65333 46630.6 lineto +stroke +newpath +65832 67624.5 moveto +66067.8 67388.7 lineto +stroke +newpath +66067.8 67624.5 moveto +65832 67388.7 lineto +stroke +newpath +66331 78203.1 moveto +66566.8 77967.4 lineto +stroke +newpath +66566.8 78203.1 moveto +66331 77967.4 lineto +stroke +newpath +66331 76207.2 moveto +66566.8 75971.4 lineto +stroke +newpath +66566.8 76207.2 moveto +66331 75971.4 lineto +stroke +newpath +66331 72315 moveto +66566.8 72079.3 lineto +stroke +newpath +66566.8 72315 moveto +66331 72079.3 lineto +stroke +newpath +66331 61137.5 moveto +66566.8 60901.8 lineto +stroke +newpath +66566.8 61137.5 moveto +66331 60901.8 lineto +stroke +newpath +66331 57145.6 moveto +66566.8 56909.8 lineto +stroke +newpath +66566.8 57145.6 moveto +66331 56909.8 lineto +stroke +newpath +66331 55149.6 moveto +66566.8 54913.9 lineto +stroke +newpath +66566.8 55149.6 moveto +66331 54913.9 lineto +stroke +newpath +66331 53153.6 moveto +66566.8 52917.9 lineto +stroke +newpath +66566.8 53153.6 moveto +66331 52917.9 lineto +stroke +newpath +66331 50159.7 moveto +66566.8 49923.9 lineto +stroke +newpath +66566.8 50159.7 moveto +66331 49923.9 lineto +stroke +newpath +66331 43972.1 moveto +66566.8 43736.4 lineto +stroke +newpath +66566.8 43972.1 moveto +66331 43736.4 lineto +stroke +newpath +66530.6 64630.5 moveto +66766.4 64394.7 lineto +stroke +newpath +66766.4 64630.5 moveto +66530.6 64394.7 lineto +stroke +newpath +66530.6 63632.5 moveto +66766.4 63396.8 lineto +stroke +newpath +66766.4 63632.5 moveto +66530.6 63396.8 lineto +stroke +newpath +66530.6 62634.5 moveto +66766.4 62398.8 lineto +stroke +newpath +66766.4 62634.5 moveto +66530.6 62398.8 lineto +stroke +newpath +67329 74011.6 moveto +67564.8 73775.8 lineto +stroke +newpath +67564.8 74011.6 moveto +67329 73775.8 lineto +stroke +newpath +67329 60139.5 moveto +67564.8 59903.8 lineto +stroke +newpath +67564.8 60139.5 moveto +67329 59903.8 lineto +stroke +newpath +67329 58143.6 moveto +67564.8 57907.8 lineto +stroke +newpath +67564.8 58143.6 moveto +67329 57907.8 lineto +stroke +newpath +67329 56147.6 moveto +67564.8 55911.8 lineto +stroke +newpath +67564.8 56147.6 moveto +67329 55911.8 lineto +stroke +newpath +67329 54151.6 moveto +67564.8 53915.9 lineto +stroke +newpath +67564.8 54151.6 moveto +67329 53915.9 lineto +stroke +newpath +67329 51157.7 moveto +67564.8 50921.9 lineto +stroke +newpath +67564.8 51157.7 moveto +67329 50921.9 lineto +stroke +newpath +67528.6 67624.5 moveto +67764.4 67388.7 lineto +stroke +newpath +67764.4 67624.5 moveto +67528.6 67388.7 lineto +stroke +newpath +67528.6 66626.5 moveto +67764.4 66390.7 lineto +stroke +newpath +67764.4 66626.5 moveto +67528.6 66390.7 lineto +stroke +newpath +67528.6 65628.5 moveto +67764.4 65392.7 lineto +stroke +newpath +67764.4 65628.5 moveto +67528.6 65392.7 lineto +stroke +newpath +68327 78203.1 moveto +68562.8 77967.4 lineto +stroke +newpath +68562.8 78203.1 moveto +68327 77967.4 lineto +stroke +newpath +68327 76207.2 moveto +68562.8 75971.4 lineto +stroke +newpath +68562.8 76207.2 moveto +68327 75971.4 lineto +stroke +newpath +68327 64630.5 moveto +68562.8 64394.7 lineto +stroke +newpath +68562.8 64630.5 moveto +68327 64394.7 lineto +stroke +newpath +68327 61137.5 moveto +68562.8 60901.8 lineto +stroke +newpath +68562.8 61137.5 moveto +68327 60901.8 lineto +stroke +newpath +68327 57145.6 moveto +68562.8 56909.8 lineto +stroke +newpath +68562.8 57145.6 moveto +68327 56909.8 lineto +stroke +newpath +68327 55149.6 moveto +68562.8 54913.9 lineto +stroke +newpath +68562.8 55149.6 moveto +68327 54913.9 lineto +stroke +newpath +68327 53153.6 moveto +68562.8 52917.9 lineto +stroke +newpath +68562.8 53153.6 moveto +68327 52917.9 lineto +stroke +newpath +68327 45968.1 moveto +68562.8 45732.4 lineto +stroke +newpath +68562.8 45968.1 moveto +68327 45732.4 lineto +stroke +newpath +68327 43972.1 moveto +68562.8 43736.4 lineto +stroke +newpath +68562.8 43972.1 moveto +68327 43736.4 lineto +stroke +newpath +68426.8 72315 moveto +68662.6 72079.3 lineto +stroke +newpath +68662.6 72315 moveto +68426.8 72079.3 lineto +stroke +newpath +69325 74011.6 moveto +69560.7 73775.8 lineto +stroke +newpath +69560.7 74011.6 moveto +69325 73775.8 lineto +stroke +newpath +69325 71416.8 moveto +69560.7 71181.1 lineto +stroke +newpath +69560.7 71416.8 moveto +69325 71181.1 lineto +stroke +newpath +69325 69420.8 moveto +69560.7 69185.1 lineto +stroke +newpath +69560.7 69420.8 moveto +69325 69185.1 lineto +stroke +newpath +69325 65628.5 moveto +69560.7 65392.7 lineto +stroke +newpath +69560.7 65628.5 moveto +69325 65392.7 lineto +stroke +newpath +69325 63632.5 moveto +69560.7 63396.8 lineto +stroke +newpath +69560.7 63632.5 moveto +69325 63396.8 lineto +stroke +newpath +69325 62634.5 moveto +69560.7 62398.8 lineto +stroke +newpath +69560.7 62634.5 moveto +69325 62398.8 lineto +stroke +newpath +69325 60139.5 moveto +69560.7 59903.8 lineto +stroke +newpath +69560.7 60139.5 moveto +69325 59903.8 lineto +stroke +newpath +69325 58143.6 moveto +69560.7 57907.8 lineto +stroke +newpath +69560.7 58143.6 moveto +69325 57907.8 lineto +stroke +newpath +69325 56147.6 moveto +69560.7 55911.8 lineto +stroke +newpath +69560.7 56147.6 moveto +69325 55911.8 lineto +stroke +newpath +69325 54151.6 moveto +69560.7 53915.9 lineto +stroke +newpath +69560.7 54151.6 moveto +69325 53915.9 lineto +stroke +newpath +69325 51157.7 moveto +69560.7 50921.9 lineto +stroke +newpath +69560.7 51157.7 moveto +69325 50921.9 lineto +stroke +newpath +69325 46866.3 moveto +69560.7 46630.6 lineto +stroke +newpath +69560.7 46866.3 moveto +69325 46630.6 lineto +stroke +newpath +70323 78203.1 moveto +70558.7 77967.4 lineto +stroke +newpath +70558.7 78203.1 moveto +70323 77967.4 lineto +stroke +newpath +70323 76207.2 moveto +70558.7 75971.4 lineto +stroke +newpath +70558.7 76207.2 moveto +70323 75971.4 lineto +stroke +newpath +70323 72315 moveto +70558.7 72079.3 lineto +stroke +newpath +70558.7 72315 moveto +70323 72079.3 lineto +stroke +newpath +70323 64630.5 moveto +70558.7 64394.7 lineto +stroke +newpath +70558.7 64630.5 moveto +70323 64394.7 lineto +stroke +newpath +70323 61137.5 moveto +70558.7 60901.8 lineto +stroke +newpath +70558.7 61137.5 moveto +70323 60901.8 lineto +stroke +newpath +70323 57145.6 moveto +70558.7 56909.8 lineto +stroke +newpath +70558.7 57145.6 moveto +70323 56909.8 lineto +stroke +newpath +70323 55149.6 moveto +70558.7 54913.9 lineto +stroke +newpath +70558.7 55149.6 moveto +70323 54913.9 lineto +stroke +newpath +70323 53153.6 moveto +70558.7 52917.9 lineto +stroke +newpath +70558.7 53153.6 moveto +70323 52917.9 lineto +stroke +newpath +70323 50159.7 moveto +70558.7 49923.9 lineto +stroke +newpath +70558.7 50159.7 moveto +70323 49923.9 lineto +stroke +newpath +70323 46866.3 moveto +70558.7 46630.6 lineto +stroke +newpath +70558.7 46866.3 moveto +70323 46630.6 lineto +stroke +newpath +70323 43972.1 moveto +70558.7 43736.4 lineto +stroke +newpath +70558.7 43972.1 moveto +70323 43736.4 lineto +stroke +newpath +71321 74011.6 moveto +71556.7 73775.8 lineto +stroke +newpath +71556.7 74011.6 moveto +71321 73775.8 lineto +stroke +newpath +71321 65628.5 moveto +71556.7 65392.7 lineto +stroke +newpath +71556.7 65628.5 moveto +71321 65392.7 lineto +stroke +newpath +71321 63632.5 moveto +71556.7 63396.8 lineto +stroke +newpath +71556.7 63632.5 moveto +71321 63396.8 lineto +stroke +newpath +71321 62634.5 moveto +71556.7 62398.8 lineto +stroke +newpath +71556.7 62634.5 moveto +71321 62398.8 lineto +stroke +newpath +71321 60139.5 moveto +71556.7 59903.8 lineto +stroke +newpath +71556.7 60139.5 moveto +71321 59903.8 lineto +stroke +newpath +71321 58143.6 moveto +71556.7 57907.8 lineto +stroke +newpath +71556.7 58143.6 moveto +71321 57907.8 lineto +stroke +newpath +71321 56147.6 moveto +71556.7 55911.8 lineto +stroke +newpath +71556.7 56147.6 moveto +71321 55911.8 lineto +stroke +newpath +71321 54151.6 moveto +71556.7 53915.9 lineto +stroke +newpath +71556.7 54151.6 moveto +71321 53915.9 lineto +stroke +newpath +71321 51157.7 moveto +71556.7 50921.9 lineto +stroke +newpath +71556.7 51157.7 moveto +71321 50921.9 lineto +stroke +newpath +72319 78203.1 moveto +72554.7 77967.4 lineto +stroke +newpath +72554.7 78203.1 moveto +72319 77967.4 lineto +stroke +newpath +72319 76207.2 moveto +72554.7 75971.4 lineto +stroke +newpath +72554.7 76207.2 moveto +72319 75971.4 lineto +stroke +newpath +72319 72315 moveto +72554.7 72079.3 lineto +stroke +newpath +72554.7 72315 moveto +72319 72079.3 lineto +stroke +newpath +72319 64630.5 moveto +72554.7 64394.7 lineto +stroke +newpath +72554.7 64630.5 moveto +72319 64394.7 lineto +stroke +newpath +72319 61137.5 moveto +72554.7 60901.8 lineto +stroke +newpath +72554.7 61137.5 moveto +72319 60901.8 lineto +stroke +newpath +72319 57145.6 moveto +72554.7 56909.8 lineto +stroke +newpath +72554.7 57145.6 moveto +72319 56909.8 lineto +stroke +newpath +72319 55149.6 moveto +72554.7 54913.9 lineto +stroke +newpath +72554.7 55149.6 moveto +72319 54913.9 lineto +stroke +newpath +72319 53153.6 moveto +72554.7 52917.9 lineto +stroke +newpath +72554.7 53153.6 moveto +72319 52917.9 lineto +stroke +newpath +72319 50159.7 moveto +72554.7 49923.9 lineto +stroke +newpath +72554.7 50159.7 moveto +72319 49923.9 lineto +stroke +newpath +72319 43972.1 moveto +72554.7 43736.4 lineto +stroke +newpath +72554.7 43972.1 moveto +72319 43736.4 lineto +stroke +newpath +73317 74011.6 moveto +73552.7 73775.8 lineto +stroke +newpath +73552.7 74011.6 moveto +73317 73775.8 lineto +stroke +newpath +73317 65628.5 moveto +73552.7 65392.7 lineto +stroke +newpath +73552.7 65628.5 moveto +73317 65392.7 lineto +stroke +newpath +73317 63632.5 moveto +73552.7 63396.8 lineto +stroke +newpath +73552.7 63632.5 moveto +73317 63396.8 lineto +stroke +newpath +73317 62634.5 moveto +73552.7 62398.8 lineto +stroke +newpath +73552.7 62634.5 moveto +73317 62398.8 lineto +stroke +newpath +73317 60139.5 moveto +73552.7 59903.8 lineto +stroke +newpath +73552.7 60139.5 moveto +73317 59903.8 lineto +stroke +newpath +73317 58143.6 moveto +73552.7 57907.8 lineto +stroke +newpath +73552.7 58143.6 moveto +73317 57907.8 lineto +stroke +newpath +73317 56147.6 moveto +73552.7 55911.8 lineto +stroke +newpath +73552.7 56147.6 moveto +73317 55911.8 lineto +stroke +newpath +73317 54151.6 moveto +73552.7 53915.9 lineto +stroke +newpath +73552.7 54151.6 moveto +73317 53915.9 lineto +stroke +newpath +73317 51157.7 moveto +73552.7 50921.9 lineto +stroke +newpath +73552.7 51157.7 moveto +73317 50921.9 lineto +stroke +newpath +73317 44970.1 moveto +73552.7 44734.4 lineto +stroke +newpath +73552.7 44970.1 moveto +73317 44734.4 lineto +stroke +newpath +74314.9 78203.1 moveto +74550.7 77967.4 lineto +stroke +newpath +74550.7 78203.1 moveto +74314.9 77967.4 lineto +stroke +newpath +74314.9 76207.2 moveto +74550.7 75971.4 lineto +stroke +newpath +74550.7 76207.2 moveto +74314.9 75971.4 lineto +stroke +newpath +74314.9 72315 moveto +74550.7 72079.3 lineto +stroke +newpath +74550.7 72315 moveto +74314.9 72079.3 lineto +stroke +newpath +74314.9 64630.5 moveto +74550.7 64394.7 lineto +stroke +newpath +74550.7 64630.5 moveto +74314.9 64394.7 lineto +stroke +newpath +74314.9 61137.5 moveto +74550.7 60901.8 lineto +stroke +newpath +74550.7 61137.5 moveto +74314.9 60901.8 lineto +stroke +newpath +74314.9 57145.6 moveto +74550.7 56909.8 lineto +stroke +newpath +74550.7 57145.6 moveto +74314.9 56909.8 lineto +stroke +newpath +74314.9 55149.6 moveto +74550.7 54913.9 lineto +stroke +newpath +74550.7 55149.6 moveto +74314.9 54913.9 lineto +stroke +newpath +74314.9 53153.6 moveto +74550.7 52917.9 lineto +stroke +newpath +74550.7 53153.6 moveto +74314.9 52917.9 lineto +stroke +newpath +74314.9 50159.7 moveto +74550.7 49923.9 lineto +stroke +newpath +74550.7 50159.7 moveto +74314.9 49923.9 lineto +stroke +newpath +74314.9 48862.3 moveto +74550.7 48626.5 lineto +stroke +newpath +74550.7 48862.3 moveto +74314.9 48626.5 lineto +stroke +newpath +74314.9 46866.3 moveto +74550.7 46630.6 lineto +stroke +newpath +74550.7 46866.3 moveto +74314.9 46630.6 lineto +stroke +newpath +74314.9 43972.1 moveto +74550.7 43736.4 lineto +stroke +newpath +74550.7 43972.1 moveto +74314.9 43736.4 lineto +stroke +newpath +75312.9 67624.5 moveto +75548.7 67388.7 lineto +stroke +newpath +75548.7 67624.5 moveto +75312.9 67388.7 lineto +stroke +newpath +75312.9 66626.5 moveto +75548.7 66390.7 lineto +stroke +newpath +75548.7 66626.5 moveto +75312.9 66390.7 lineto +stroke +newpath +75312.9 65628.5 moveto +75548.7 65392.7 lineto +stroke +newpath +75548.7 65628.5 moveto +75312.9 65392.7 lineto +stroke +newpath +75312.9 63632.5 moveto +75548.7 63396.8 lineto +stroke +newpath +75548.7 63632.5 moveto +75312.9 63396.8 lineto +stroke +newpath +75312.9 62634.5 moveto +75548.7 62398.8 lineto +stroke +newpath +75548.7 62634.5 moveto +75312.9 62398.8 lineto +stroke +newpath +75312.9 60139.5 moveto +75548.7 59903.8 lineto +stroke +newpath +75548.7 60139.5 moveto +75312.9 59903.8 lineto +stroke +newpath +75312.9 58143.6 moveto +75548.7 57907.8 lineto +stroke +newpath +75548.7 58143.6 moveto +75312.9 57907.8 lineto +stroke +newpath +75312.9 56147.6 moveto +75548.7 55911.8 lineto +stroke +newpath +75548.7 56147.6 moveto +75312.9 55911.8 lineto +stroke +newpath +75312.9 54151.6 moveto +75548.7 53915.9 lineto +stroke +newpath +75548.7 54151.6 moveto +75312.9 53915.9 lineto +stroke +newpath +75312.9 51157.7 moveto +75548.7 50921.9 lineto +stroke +newpath +75548.7 51157.7 moveto +75312.9 50921.9 lineto +stroke +newpath +75312.9 46866.3 moveto +75548.7 46630.6 lineto +stroke +newpath +75548.7 46866.3 moveto +75312.9 46630.6 lineto +stroke +newpath +76310.9 78203.1 moveto +76546.7 77967.4 lineto +stroke +newpath +76546.7 78203.1 moveto +76310.9 77967.4 lineto +stroke +newpath +76310.9 72315 moveto +76546.7 72079.3 lineto +stroke +newpath +76546.7 72315 moveto +76310.9 72079.3 lineto +stroke +newpath +76310.9 46866.3 moveto +76546.7 46630.6 lineto +stroke +newpath +76546.7 46866.3 moveto +76310.9 46630.6 lineto +stroke +newpath +76310.9 43972.1 moveto +76546.7 43736.4 lineto +stroke +newpath +76546.7 43972.1 moveto +76310.9 43736.4 lineto +stroke +newpath +76610.3 65129.5 moveto +76846.1 64893.7 lineto +stroke +newpath +76846.1 65129.5 moveto +76610.3 64893.7 lineto +stroke +newpath +76610.3 56147.6 moveto +76846.1 55911.8 lineto +stroke +newpath +76846.1 56147.6 moveto +76610.3 55911.8 lineto +stroke +newpath +77209.1 71217.2 moveto +77444.9 70981.5 lineto +stroke +newpath +77444.9 71217.2 moveto +77209.1 70981.5 lineto +stroke +newpath +77308.9 45069.9 moveto +77544.6 44834.2 lineto +stroke +newpath +77544.6 45069.9 moveto +77308.9 44834.2 lineto +stroke +newpath +78306.9 78203.1 moveto +78542.6 77967.4 lineto +stroke +newpath +78542.6 78203.1 moveto +78306.9 77967.4 lineto +stroke +newpath +78306.9 76207.2 moveto +78542.6 75971.4 lineto +stroke +newpath +78542.6 76207.2 moveto +78306.9 75971.4 lineto +stroke +newpath +78306.9 74211.2 moveto +78542.6 73975.4 lineto +stroke +newpath +78542.6 74211.2 moveto +78306.9 73975.4 lineto +stroke +newpath +78306.9 72514.6 moveto +78542.6 72278.9 lineto +stroke +newpath +78542.6 72514.6 moveto +78306.9 72278.9 lineto +stroke +newpath +78306.9 70119.4 moveto +78542.6 69883.7 lineto +stroke +newpath +78542.6 70119.4 moveto +78306.9 69883.7 lineto +stroke +newpath +78306.9 46167.7 moveto +78542.6 45932 lineto +stroke +newpath +78542.6 46167.7 moveto +78306.9 45932 lineto +stroke +newpath +78306.9 46167.7 moveto +78542.6 45932 lineto +stroke +newpath +78542.6 46167.7 moveto +78306.9 45932 lineto +stroke +newpath +78306.9 43972.1 moveto +78542.6 43736.4 lineto +stroke +newpath +78542.6 43972.1 moveto +78306.9 43736.4 lineto +stroke +newpath +78606.3 65129.5 moveto +78842 64893.7 lineto +stroke +newpath +78842 65129.5 moveto +78606.3 64893.7 lineto +stroke +newpath +78606.3 57145.6 moveto +78842 56909.8 lineto +stroke +newpath +78842 57145.6 moveto +78606.3 56909.8 lineto +stroke +newpath +78606.3 56147.6 moveto +78842 55911.8 lineto +stroke +newpath +78842 56147.6 moveto +78606.3 55911.8 lineto +stroke +newpath +78606.3 55149.6 moveto +78842 54913.9 lineto +stroke +newpath +78842 55149.6 moveto +78606.3 54913.9 lineto +stroke +newpath +78606.3 54151.6 moveto +78842 53915.9 lineto +stroke +newpath +78842 54151.6 moveto +78606.3 53915.9 lineto +stroke +newpath +78606.3 53153.6 moveto +78842 52917.9 lineto +stroke +newpath +78842 53153.6 moveto +78606.3 52917.9 lineto +stroke +newpath +78606.3 51157.7 moveto +78842 50921.9 lineto +stroke +newpath +78842 51157.7 moveto +78606.3 50921.9 lineto +stroke +newpath +79304.9 75209.2 moveto +79540.6 74973.4 lineto +stroke +newpath +79540.6 75209.2 moveto +79304.9 74973.4 lineto +stroke +newpath +79304.9 73412.8 moveto +79540.6 73177 lineto +stroke +newpath +79540.6 73412.8 moveto +79304.9 73177 lineto +stroke +newpath +79304.9 71217.2 moveto +79540.6 70981.5 lineto +stroke +newpath +79540.6 71217.2 moveto +79304.9 70981.5 lineto +stroke +newpath +79304.9 67125.5 moveto +79540.6 66889.7 lineto +stroke +newpath +79540.6 67125.5 moveto +79304.9 66889.7 lineto +stroke +newpath +79304.9 63133.5 moveto +79540.6 62897.8 lineto +stroke +newpath +79540.6 63133.5 moveto +79304.9 62897.8 lineto +stroke +newpath +79304.9 59141.6 moveto +79540.6 58905.8 lineto +stroke +newpath +79540.6 59141.6 moveto +79304.9 58905.8 lineto +stroke +newpath +79304.9 51457 moveto +79540.6 51221.3 lineto +stroke +newpath +79540.6 51457 moveto +79304.9 51221.3 lineto +stroke +newpath +79404.7 54650.6 moveto +79640.4 54414.9 lineto +stroke +newpath +79640.4 54650.6 moveto +79404.7 54414.9 lineto +stroke +newpath +79404.7 53652.6 moveto +79640.4 53416.9 lineto +stroke +newpath +79640.4 53652.6 moveto +79404.7 53416.9 lineto +stroke +newpath +80302.9 78203.1 moveto +80538.6 77967.4 lineto +stroke +newpath +80538.6 78203.1 moveto +80302.9 77967.4 lineto +stroke +newpath +80302.9 76207.2 moveto +80538.6 75971.4 lineto +stroke +newpath +80538.6 76207.2 moveto +80302.9 75971.4 lineto +stroke +newpath +80302.9 74211.2 moveto +80538.6 73975.4 lineto +stroke +newpath +80538.6 74211.2 moveto +80302.9 73975.4 lineto +stroke +newpath +80302.9 72514.6 moveto +80538.6 72278.9 lineto +stroke +newpath +80538.6 72514.6 moveto +80302.9 72278.9 lineto +stroke +newpath +80302.9 70119.4 moveto +80538.6 69883.7 lineto +stroke +newpath +80538.6 70119.4 moveto +80302.9 69883.7 lineto +stroke +newpath +80302.9 68123.5 moveto +80538.6 67887.7 lineto +stroke +newpath +80538.6 68123.5 moveto +80302.9 67887.7 lineto +stroke +newpath +80302.9 66127.5 moveto +80538.6 65891.7 lineto +stroke +newpath +80538.6 66127.5 moveto +80302.9 65891.7 lineto +stroke +newpath +80302.9 64131.5 moveto +80538.6 63895.8 lineto +stroke +newpath +80538.6 64131.5 moveto +80302.9 63895.8 lineto +stroke +newpath +80302.9 62135.5 moveto +80538.6 61899.8 lineto +stroke +newpath +80538.6 62135.5 moveto +80302.9 61899.8 lineto +stroke +newpath +80302.9 60139.5 moveto +80538.6 59903.8 lineto +stroke +newpath +80538.6 60139.5 moveto +80302.9 59903.8 lineto +stroke +newpath +80302.9 58143.6 moveto +80538.6 57907.8 lineto +stroke +newpath +80538.6 58143.6 moveto +80302.9 57907.8 lineto +stroke +newpath +80302.9 56147.6 moveto +80538.6 55911.8 lineto +stroke +newpath +80538.6 56147.6 moveto +80302.9 55911.8 lineto +stroke +newpath +80302.9 52854.2 moveto +80538.6 52618.5 lineto +stroke +newpath +80538.6 52854.2 moveto +80302.9 52618.5 lineto +stroke +newpath +80302.9 51457 moveto +80538.6 51221.3 lineto +stroke +newpath +80538.6 51457 moveto +80302.9 51221.3 lineto +stroke +newpath +80302.9 49161.7 moveto +80538.6 48925.9 lineto +stroke +newpath +80538.6 49161.7 moveto +80302.9 48925.9 lineto +stroke +newpath +80302.9 47165.7 moveto +80538.6 46930 lineto +stroke +newpath +80538.6 47165.7 moveto +80302.9 46930 lineto +stroke +newpath +80302.9 43972.1 moveto +80538.6 43736.4 lineto +stroke +newpath +80538.6 43972.1 moveto +80302.9 43736.4 lineto +stroke +newpath +81300.9 59141.6 moveto +81536.6 58905.8 lineto +stroke +newpath +81536.6 59141.6 moveto +81300.9 58905.8 lineto +stroke +newpath +81300.9 51457 moveto +81536.6 51221.3 lineto +stroke +newpath +81536.6 51457 moveto +81300.9 51221.3 lineto +stroke +newpath +81300.9 50159.7 moveto +81536.6 49923.9 lineto +stroke +newpath +81536.6 50159.7 moveto +81300.9 49923.9 lineto +stroke +newpath +81300.9 48163.7 moveto +81536.6 47927.9 lineto +stroke +newpath +81536.6 48163.7 moveto +81300.9 47927.9 lineto +stroke +newpath +81300.9 46167.7 moveto +81536.6 45932 lineto +stroke +newpath +81536.6 46167.7 moveto +81300.9 45932 lineto +stroke +newpath +81500.5 75209.2 moveto +81736.2 74973.4 lineto +stroke +newpath +81736.2 75209.2 moveto +81500.5 74973.4 lineto +stroke +newpath +81500.5 73213.2 moveto +81736.2 72977.4 lineto +stroke +newpath +81736.2 73213.2 moveto +81500.5 72977.4 lineto +stroke +newpath +81500.5 65129.5 moveto +81736.2 64893.7 lineto +stroke +newpath +81736.2 65129.5 moveto +81500.5 64893.7 lineto +stroke +newpath +82298.8 78203.1 moveto +82534.6 77967.4 lineto +stroke +newpath +82534.6 78203.1 moveto +82298.8 77967.4 lineto +stroke +newpath +82298.8 60139.5 moveto +82534.6 59903.8 lineto +stroke +newpath +82534.6 60139.5 moveto +82298.8 59903.8 lineto +stroke +newpath +82298.8 58143.6 moveto +82534.6 57907.8 lineto +stroke +newpath +82534.6 58143.6 moveto +82298.8 57907.8 lineto +stroke +newpath +82398.6 50758.5 moveto +82634.4 50522.7 lineto +stroke +newpath +82634.4 50758.5 moveto +82398.6 50522.7 lineto +stroke +newpath +82398.6 49161.7 moveto +82634.4 48925.9 lineto +stroke +newpath +82634.4 49161.7 moveto +82398.6 48925.9 lineto +stroke +newpath +82398.6 47165.7 moveto +82634.4 46930 lineto +stroke +newpath +82634.4 47165.7 moveto +82398.6 46930 lineto +stroke +newpath +82498.4 74211.2 moveto +82734.2 73975.4 lineto +stroke +newpath +82734.2 74211.2 moveto +82498.4 73975.4 lineto +stroke +newpath +82498.4 66127.5 moveto +82734.2 65891.7 lineto +stroke +newpath +82734.2 66127.5 moveto +82498.4 65891.7 lineto +stroke +newpath +82598.2 76207.2 moveto +82834 75971.4 lineto +stroke +newpath +82834 76207.2 moveto +82598.2 75971.4 lineto +stroke +newpath +82598.2 55648.6 moveto +82834 55412.9 lineto +stroke +newpath +82834 55648.6 moveto +82598.2 55412.9 lineto +stroke +newpath +83296.8 59141.6 moveto +83532.6 58905.8 lineto +stroke +newpath +83532.6 59141.6 moveto +83296.8 58905.8 lineto +stroke +newpath +83296.8 57145.6 moveto +83532.6 56909.8 lineto +stroke +newpath +83532.6 57145.6 moveto +83296.8 56909.8 lineto +stroke +newpath +83396.6 65129.5 moveto +83632.4 64893.7 lineto +stroke +newpath +83632.4 65129.5 moveto +83396.6 64893.7 lineto +stroke +newpath +83496.4 75209.2 moveto +83732.2 74973.4 lineto +stroke +newpath +83732.2 75209.2 moveto +83496.4 74973.4 lineto +stroke +newpath +83496.4 51457 moveto +83732.2 51221.3 lineto +stroke +newpath +83732.2 51457 moveto +83496.4 51221.3 lineto +stroke +newpath +83496.4 50159.7 moveto +83732.2 49923.9 lineto +stroke +newpath +83732.2 50159.7 moveto +83496.4 49923.9 lineto +stroke +newpath +83496.4 48163.7 moveto +83732.2 47927.9 lineto +stroke +newpath +83732.2 48163.7 moveto +83496.4 47927.9 lineto +stroke +newpath +83496.4 46167.7 moveto +83732.2 45932 lineto +stroke +newpath +83732.2 46167.7 moveto +83496.4 45932 lineto +stroke +newpath +84294.8 78203.1 moveto +84530.6 77967.4 lineto +stroke +newpath +84530.6 78203.1 moveto +84294.8 77967.4 lineto +stroke +newpath +84294.8 72115.4 moveto +84530.6 71879.7 lineto +stroke +newpath +84530.6 72115.4 moveto +84294.8 71879.7 lineto +stroke +newpath +84294.8 70119.4 moveto +84530.6 69883.7 lineto +stroke +newpath +84530.6 70119.4 moveto +84294.8 69883.7 lineto +stroke +newpath +84294.8 68123.5 moveto +84530.6 67887.7 lineto +stroke +newpath +84530.6 68123.5 moveto +84294.8 67887.7 lineto +stroke +newpath +84294.8 66127.5 moveto +84530.6 65891.7 lineto +stroke +newpath +84530.6 66127.5 moveto +84294.8 65891.7 lineto +stroke +newpath +84294.8 64131.5 moveto +84530.6 63895.8 lineto +stroke +newpath +84530.6 64131.5 moveto +84294.8 63895.8 lineto +stroke +newpath +84294.8 61836.1 moveto +84530.6 61600.4 lineto +stroke +newpath +84530.6 61836.1 moveto +84294.8 61600.4 lineto +stroke +newpath +84294.8 60139.5 moveto +84530.6 59903.8 lineto +stroke +newpath +84530.6 60139.5 moveto +84294.8 59903.8 lineto +stroke +newpath +84294.8 58143.6 moveto +84530.6 57907.8 lineto +stroke +newpath +84530.6 58143.6 moveto +84294.8 57907.8 lineto +stroke +newpath +84294.8 56147.6 moveto +84530.6 55911.8 lineto +stroke +newpath +84530.6 56147.6 moveto +84294.8 55911.8 lineto +stroke +newpath +84294.8 43972.1 moveto +84530.6 43736.4 lineto +stroke +newpath +84530.6 43972.1 moveto +84294.8 43736.4 lineto +stroke +newpath +84694 52854.2 moveto +84929.8 52618.5 lineto +stroke +newpath +84929.8 52854.2 moveto +84694 52618.5 lineto +stroke +newpath +84694 51457 moveto +84929.8 51221.3 lineto +stroke +newpath +84929.8 51457 moveto +84694 51221.3 lineto +stroke +newpath +84694 50159.7 moveto +84929.8 49923.9 lineto +stroke +newpath +84929.8 50159.7 moveto +84694 49923.9 lineto +stroke +newpath +84694 48163.7 moveto +84929.8 47927.9 lineto +stroke +newpath +84929.8 48163.7 moveto +84694 47927.9 lineto +stroke +newpath +85193 77205.1 moveto +85428.8 76969.4 lineto +stroke +newpath +85428.8 77205.1 moveto +85193 76969.4 lineto +stroke +newpath +85292.8 73113.4 moveto +85528.6 72877.6 lineto +stroke +newpath +85528.6 73113.4 moveto +85292.8 72877.6 lineto +stroke +newpath +85292.8 71117.4 moveto +85528.6 70881.7 lineto +stroke +newpath +85528.6 71117.4 moveto +85292.8 70881.7 lineto +stroke +newpath +85292.8 69121.4 moveto +85528.6 68885.7 lineto +stroke +newpath +85528.6 69121.4 moveto +85292.8 68885.7 lineto +stroke +newpath +85292.8 67125.5 moveto +85528.6 66889.7 lineto +stroke +newpath +85528.6 67125.5 moveto +85292.8 66889.7 lineto +stroke +newpath +85292.8 65129.5 moveto +85528.6 64893.7 lineto +stroke +newpath +85528.6 65129.5 moveto +85292.8 64893.7 lineto +stroke +newpath +85292.8 62933.9 moveto +85528.6 62698.2 lineto +stroke +newpath +85528.6 62933.9 moveto +85292.8 62698.2 lineto +stroke +newpath +85292.8 59141.6 moveto +85528.6 58905.8 lineto +stroke +newpath +85528.6 59141.6 moveto +85292.8 58905.8 lineto +stroke +newpath +85292.8 57145.6 moveto +85528.6 56909.8 lineto +stroke +newpath +85528.6 57145.6 moveto +85292.8 56909.8 lineto +stroke +newpath +85292.8 55149.6 moveto +85528.6 54913.9 lineto +stroke +newpath +85528.6 55149.6 moveto +85292.8 54913.9 lineto +stroke +newpath +86191 78203.1 moveto +86426.7 77967.4 lineto +stroke +newpath +86426.7 78203.1 moveto +86191 77967.4 lineto +stroke +newpath +86290.8 72115.4 moveto +86526.5 71879.7 lineto +stroke +newpath +86526.5 72115.4 moveto +86290.8 71879.7 lineto +stroke +newpath +86290.8 70119.4 moveto +86526.5 69883.7 lineto +stroke +newpath +86526.5 70119.4 moveto +86290.8 69883.7 lineto +stroke +newpath +86290.8 68123.5 moveto +86526.5 67887.7 lineto +stroke +newpath +86526.5 68123.5 moveto +86290.8 67887.7 lineto +stroke +newpath +86290.8 66127.5 moveto +86526.5 65891.7 lineto +stroke +newpath +86526.5 66127.5 moveto +86290.8 65891.7 lineto +stroke +newpath +86290.8 64131.5 moveto +86526.5 63895.8 lineto +stroke +newpath +86526.5 64131.5 moveto +86290.8 63895.8 lineto +stroke +newpath +86290.8 61836.1 moveto +86526.5 61600.4 lineto +stroke +newpath +86526.5 61836.1 moveto +86290.8 61600.4 lineto +stroke +newpath +86290.8 60438.9 moveto +86526.5 60203.2 lineto +stroke +newpath +86526.5 60438.9 moveto +86290.8 60203.2 lineto +stroke +newpath +86290.8 58143.6 moveto +86526.5 57907.8 lineto +stroke +newpath +86526.5 58143.6 moveto +86290.8 57907.8 lineto +stroke +newpath +86290.8 56147.6 moveto +86526.5 55911.8 lineto +stroke +newpath +86526.5 56147.6 moveto +86290.8 55911.8 lineto +stroke +newpath +86290.8 54151.6 moveto +86526.5 53915.9 lineto +stroke +newpath +86526.5 54151.6 moveto +86290.8 53915.9 lineto +stroke +newpath +86290.8 52854.2 moveto +86526.5 52618.5 lineto +stroke +newpath +86526.5 52854.2 moveto +86290.8 52618.5 lineto +stroke +newpath +86290.8 51457 moveto +86526.5 51221.3 lineto +stroke +newpath +86526.5 51457 moveto +86290.8 51221.3 lineto +stroke +newpath +86290.8 50159.7 moveto +86526.5 49923.9 lineto +stroke +newpath +86526.5 50159.7 moveto +86290.8 49923.9 lineto +stroke +newpath +86290.8 48163.7 moveto +86526.5 47927.9 lineto +stroke +newpath +86526.5 48163.7 moveto +86290.8 47927.9 lineto +stroke +newpath +86290.8 46167.7 moveto +86526.5 45932 lineto +stroke +newpath +86526.5 46167.7 moveto +86290.8 45932 lineto +stroke +newpath +86290.8 43972.1 moveto +86526.5 43736.4 lineto +stroke +newpath +86526.5 43972.1 moveto +86290.8 43736.4 lineto +stroke +newpath +87288.8 75109.4 moveto +87524.5 74873.6 lineto +stroke +newpath +87524.5 75109.4 moveto +87288.8 74873.6 lineto +stroke +newpath +87987.4 77803.9 moveto +88223.1 77568.2 lineto +stroke +newpath +88223.1 77803.9 moveto +87987.4 77568.2 lineto +stroke +newpath +88486.4 76107.4 moveto +88722.1 75871.6 lineto +stroke +newpath +88722.1 76107.4 moveto +88486.4 75871.6 lineto +stroke +newpath +88486.4 74111.4 moveto +88722.1 73875.6 lineto +stroke +newpath +88722.1 74111.4 moveto +88486.4 73875.6 lineto +stroke +newpath +88486.4 72115.4 moveto +88722.1 71879.7 lineto +stroke +newpath +88722.1 72115.4 moveto +88486.4 71879.7 lineto +stroke +newpath +88486.4 70119.4 moveto +88722.1 69883.7 lineto +stroke +newpath +88722.1 70119.4 moveto +88486.4 69883.7 lineto +stroke +newpath +88486.4 68123.5 moveto +88722.1 67887.7 lineto +stroke +newpath +88722.1 68123.5 moveto +88486.4 67887.7 lineto +stroke +newpath +88486.4 66127.5 moveto +88722.1 65891.7 lineto +stroke +newpath +88722.1 66127.5 moveto +88486.4 65891.7 lineto +stroke +newpath +88486.4 64131.5 moveto +88722.1 63895.8 lineto +stroke +newpath +88722.1 64131.5 moveto +88486.4 63895.8 lineto +stroke +newpath +88486.4 62135.5 moveto +88722.1 61899.8 lineto +stroke +newpath +88722.1 62135.5 moveto +88486.4 61899.8 lineto +stroke +newpath +88486.4 60139.5 moveto +88722.1 59903.8 lineto +stroke +newpath +88722.1 60139.5 moveto +88486.4 59903.8 lineto +stroke +newpath +88486.4 58143.6 moveto +88722.1 57907.8 lineto +stroke +newpath +88722.1 58143.6 moveto +88486.4 57907.8 lineto +stroke +newpath +88486.4 56147.6 moveto +88722.1 55911.8 lineto +stroke +newpath +88722.1 56147.6 moveto +88486.4 55911.8 lineto +stroke +newpath +88486.4 54151.6 moveto +88722.1 53915.9 lineto +stroke +newpath +88722.1 54151.6 moveto +88486.4 53915.9 lineto +stroke +newpath +88486.4 52155.6 moveto +88722.1 51919.9 lineto +stroke +newpath +88722.1 52155.6 moveto +88486.4 51919.9 lineto +stroke +newpath +88486.4 50159.7 moveto +88722.1 49923.9 lineto +stroke +newpath +88722.1 50159.7 moveto +88486.4 49923.9 lineto +stroke +newpath +88486.4 48163.7 moveto +88722.1 47927.9 lineto +stroke +newpath +88722.1 48163.7 moveto +88486.4 47927.9 lineto +stroke +newpath +88486.4 46167.7 moveto +88722.1 45932 lineto +stroke +newpath +88722.1 46167.7 moveto +88486.4 45932 lineto +stroke +newpath +88486.4 43972.1 moveto +88722.1 43736.4 lineto +stroke +newpath +88722.1 43972.1 moveto +88486.4 43736.4 lineto +stroke +34413.5 54532.7 157.164 cir0 +37806.6 54532.7 157.164 cir0 +41399.4 72496.5 157.164 cir0 +41399.4 53534.8 157.164 cir0 +42297.6 71498.5 157.164 cir0 +42297.6 54532.7 157.164 cir0 +45890.3 70201.2 157.164 cir0 +45890.3 55830.1 157.164 cir0 +47986.1 69502.6 157.164 cir0 +47986.1 63514.6 157.164 cir0 +47986.1 56528.7 157.164 cir0 +49483.1 60321.1 157.164 cir0 +49483.1 59023.7 157.164 cir0 +49483.1 50341.2 157.164 cir0 +50481.1 51239.4 157.164 cir0 +51479.1 60321.1 157.164 cir0 +51479.1 50341.2 157.164 cir0 +60261.4 74991.5 157.164 cir0 +61159.6 75989.5 157.164 cir0 +61159.6 73993.5 157.164 cir0 +76828 75989.5 157.164 cir0 +76828 73993.5 157.164 cir0 +77626.4 74991.5 157.164 cir0 +81418.7 62317 157.164 cir0 +81418.7 53335.2 157.164 cir0 +82416.7 63215.2 157.164 cir0 +82416.7 54233.3 157.164 cir0 +83414.7 62317 157.164 cir0 +83414.7 53335.2 157.164 cir0 +newpath +33116.1 59323.1 moveto +33116.1 58724.3 lineto +stroke +newpath +32816.7 59023.7 moveto +33415.5 59023.7 lineto +stroke +newpath +84319.1 73388.5 moveto +84319.1 73999.7 lineto +83707.9 73999.7 lineto +83707.9 73388.5 lineto +84319.1 73388.5 lineto +poly0 +newpath +33222.1 76382.5 moveto +33222.1 76993.7 lineto +32610.9 76993.7 lineto +32610.9 76382.5 lineto +33222.1 76382.5 lineto +poly0 +newpath +34818.9 44746.2 moveto +34818.9 45357.5 lineto +34207.7 45357.5 lineto +34207.7 44746.2 lineto +34818.9 44746.2 lineto +poly0 +newpath +82722.3 44746.2 moveto +82722.3 45357.5 lineto +82111.1 45357.5 lineto +82111.1 44746.2 lineto +82722.3 44746.2 lineto +poly0 +118.11 setlinewidth +0 0 0 setrgbcolor +0 0 0 setrgbcolor +newpath +28795.7 35175.5 moveto +28795.7 36356.6 lineto +29076.9 36356.6 lineto +29245.6 36300.3 lineto +29358.1 36187.9 lineto +29414.4 36075.4 lineto +29470.6 35850.4 lineto +29470.6 35681.7 lineto +29414.4 35456.7 lineto +29358.1 35344.2 lineto +29245.6 35231.7 lineto +29076.9 35175.5 lineto +28795.7 35175.5 lineto +stroke +newpath +29976.8 35175.5 moveto +29976.8 35962.9 lineto +stroke +newpath +29976.8 35737.9 moveto +30033 35850.4 lineto +30089.3 35906.6 lineto +30201.8 35962.9 lineto +30314.3 35962.9 lineto +stroke +newpath +30708 35175.5 moveto +30708 35962.9 lineto +stroke +newpath +30708 36356.6 moveto +30651.7 36300.3 lineto +30708 36244.1 lineto +30764.2 36300.3 lineto +30708 36356.6 lineto +30708 36244.1 lineto +stroke +newpath +31439.1 35175.5 moveto +31326.6 35231.7 lineto +31270.4 35344.2 lineto +31270.4 36356.6 lineto +stroke +newpath +32057.8 35175.5 moveto +31945.3 35231.7 lineto +31889.1 35344.2 lineto +31889.1 36356.6 lineto +stroke +newpath +33407.6 35175.5 moveto +33407.6 36356.6 lineto +33801.3 35512.9 lineto +34195 36356.6 lineto +34195 35175.5 lineto +stroke +newpath +35263.6 35175.5 moveto +35263.6 35794.2 lineto +35207.4 35906.6 lineto +35094.9 35962.9 lineto +34869.9 35962.9 lineto +34757.5 35906.6 lineto +stroke +newpath +35263.6 35231.7 moveto +35151.2 35175.5 lineto +34869.9 35175.5 lineto +34757.5 35231.7 lineto +34701.2 35344.2 lineto +34701.2 35456.7 lineto +34757.5 35569.2 lineto +34869.9 35625.4 lineto +35151.2 35625.4 lineto +35263.6 35681.7 lineto +stroke +newpath +35826.1 35962.9 moveto +35826.1 34781.8 lineto +stroke +newpath +35826.1 35906.6 moveto +35938.6 35962.9 lineto +36163.5 35962.9 lineto +36276 35906.6 lineto +36332.3 35850.4 lineto +36388.5 35737.9 lineto +36388.5 35400.5 lineto +36332.3 35288 lineto +36276 35231.7 lineto +36163.5 35175.5 lineto +35938.6 35175.5 lineto +35826.1 35231.7 lineto +stroke +newpath +36894.7 35288 moveto +36950.9 35231.7 lineto +36894.7 35175.5 lineto +36838.4 35231.7 lineto +36894.7 35288 lineto +36894.7 35175.5 lineto +stroke +newpath +36894.7 35906.6 moveto +36950.9 35850.4 lineto +36894.7 35794.2 lineto +36838.4 35850.4 lineto +36894.7 35906.6 lineto +36894.7 35794.2 lineto +stroke +newpath +27432.3 33347.4 moveto +27668 33111.6 lineto +stroke +newpath +27668 33347.4 moveto +27432.3 33111.6 lineto +stroke +0 0 0 setrgbcolor +0 0 0 setrgbcolor +newpath +29020.7 33876.3 moveto +29133.2 33876.3 lineto +29245.6 33820 lineto +29301.9 33763.8 lineto +29358.1 33651.3 lineto +29414.4 33426.3 lineto +29414.4 33145.1 lineto +29358.1 32920.1 lineto +29301.9 32807.7 lineto +29245.6 32751.4 lineto +29133.2 32695.2 lineto +29020.7 32695.2 lineto +28908.2 32751.4 lineto +28851.9 32807.7 lineto +28795.7 32920.1 lineto +28739.5 33145.1 lineto +28739.5 33426.3 lineto +28795.7 33651.3 lineto +28851.9 33763.8 lineto +28908.2 33820 lineto +29020.7 33876.3 lineto +stroke +newpath +29920.6 32807.7 moveto +29976.8 32751.4 lineto +29920.6 32695.2 lineto +29864.3 32751.4 lineto +29920.6 32807.7 lineto +29920.6 32695.2 lineto +stroke +newpath +30370.5 33876.3 moveto +31101.7 33876.3 lineto +30708 33426.3 lineto +30876.7 33426.3 lineto +30989.2 33370.1 lineto +31045.4 33313.8 lineto +31101.7 33201.4 lineto +31101.7 32920.1 lineto +31045.4 32807.7 lineto +30989.2 32751.4 lineto +30876.7 32695.2 lineto +30539.2 32695.2 lineto +30426.7 32751.4 lineto +30370.5 32807.7 lineto +stroke +newpath +31832.8 33876.3 moveto +31945.3 33876.3 lineto +32057.8 33820 lineto +32114 33763.8 lineto +32170.3 33651.3 lineto +32226.5 33426.3 lineto +32226.5 33145.1 lineto +32170.3 32920.1 lineto +32114 32807.7 lineto +32057.8 32751.4 lineto +31945.3 32695.2 lineto +31832.8 32695.2 lineto +31720.3 32751.4 lineto +31664.1 32807.7 lineto +31607.8 32920.1 lineto +31551.6 33145.1 lineto +31551.6 33426.3 lineto +31607.8 33651.3 lineto +31664.1 33763.8 lineto +31720.3 33820 lineto +31832.8 33876.3 lineto +stroke +newpath +32732.7 32695.2 moveto +32732.7 33482.6 lineto +stroke +newpath +32732.7 33370.1 moveto +32788.9 33426.3 lineto +32901.4 33482.6 lineto +33070.2 33482.6 lineto +33182.6 33426.3 lineto +33238.9 33313.8 lineto +33238.9 32695.2 lineto +stroke +newpath +33238.9 33313.8 moveto +33295.1 33426.3 lineto +33407.6 33482.6 lineto +33576.3 33482.6 lineto +33688.8 33426.3 lineto +33745.1 33313.8 lineto +33745.1 32695.2 lineto +stroke +newpath +34307.5 32695.2 moveto +34307.5 33482.6 lineto +stroke +newpath +34307.5 33370.1 moveto +34363.8 33426.3 lineto +34476.2 33482.6 lineto +34645 33482.6 lineto +34757.5 33426.3 lineto +34813.7 33313.8 lineto +34813.7 32695.2 lineto +stroke +newpath +34813.7 33313.8 moveto +34869.9 33426.3 lineto +34982.4 33482.6 lineto +35151.2 33482.6 lineto +35263.6 33426.3 lineto +35319.9 33313.8 lineto +35319.9 32695.2 lineto +stroke +newpath +37625.8 33932.5 moveto +36613.5 32414 lineto +stroke +newpath +39144.4 33876.3 moveto +39256.9 33876.3 lineto +39369.4 33820 lineto +39425.6 33763.8 lineto +39481.9 33651.3 lineto +39538.1 33426.3 lineto +39538.1 33145.1 lineto +39481.9 32920.1 lineto +39425.6 32807.7 lineto +39369.4 32751.4 lineto +39256.9 32695.2 lineto +39144.4 32695.2 lineto +39031.9 32751.4 lineto +38975.7 32807.7 lineto +38919.4 32920.1 lineto +38863.2 33145.1 lineto +38863.2 33426.3 lineto +38919.4 33651.3 lineto +38975.7 33763.8 lineto +39031.9 33820 lineto +39144.4 33876.3 lineto +stroke +newpath +40044.3 32807.7 moveto +40100.5 32751.4 lineto +40044.3 32695.2 lineto +39988 32751.4 lineto +40044.3 32807.7 lineto +40044.3 32695.2 lineto +stroke +newpath +40831.7 33876.3 moveto +40944.2 33876.3 lineto +41056.7 33820 lineto +41112.9 33763.8 lineto +41169.2 33651.3 lineto +41225.4 33426.3 lineto +41225.4 33145.1 lineto +41169.2 32920.1 lineto +41112.9 32807.7 lineto +41056.7 32751.4 lineto +40944.2 32695.2 lineto +40831.7 32695.2 lineto +40719.2 32751.4 lineto +40663 32807.7 lineto +40606.7 32920.1 lineto +40550.5 33145.1 lineto +40550.5 33426.3 lineto +40606.7 33651.3 lineto +40663 33763.8 lineto +40719.2 33820 lineto +40831.7 33876.3 lineto +stroke +newpath +42350.3 32695.2 moveto +41675.3 32695.2 lineto +stroke +newpath +42012.8 32695.2 moveto +42012.8 33876.3 lineto +41900.3 33707.5 lineto +41787.8 33595.1 lineto +41675.3 33538.8 lineto +stroke +newpath +42800.2 33763.8 moveto +42856.4 33820 lineto +42968.9 33876.3 lineto +43250.1 33876.3 lineto +43362.6 33820 lineto +43418.9 33763.8 lineto +43475.1 33651.3 lineto +43475.1 33538.8 lineto +43418.9 33370.1 lineto +42744 32695.2 lineto +43475.1 32695.2 lineto +stroke +newpath +43925.1 33876.3 moveto +43925.1 33651.3 lineto +stroke +newpath +44375 33876.3 moveto +44375 33651.3 lineto +stroke +newpath +46118.5 32245.2 moveto +46062.3 32301.5 lineto +45949.8 32470.2 lineto +45893.6 32582.7 lineto +45837.3 32751.4 lineto +45781.1 33032.6 lineto +45781.1 33257.6 lineto +45837.3 33538.8 lineto +45893.6 33707.5 lineto +45949.8 33820 lineto +46062.3 33988.8 lineto +46118.5 34045 lineto +stroke +newpath +47074.7 33876.3 moveto +46849.7 33876.3 lineto +46737.2 33820 lineto +46681 33763.8 lineto +46568.5 33595.1 lineto +46512.2 33370.1 lineto +46512.2 32920.1 lineto +46568.5 32807.7 lineto +46624.7 32751.4 lineto +46737.2 32695.2 lineto +46962.2 32695.2 lineto +47074.7 32751.4 lineto +47130.9 32807.7 lineto +47187.2 32920.1 lineto +47187.2 33201.4 lineto +47130.9 33313.8 lineto +47074.7 33370.1 lineto +46962.2 33426.3 lineto +46737.2 33426.3 lineto +46624.7 33370.1 lineto +46568.5 33313.8 lineto +46512.2 33201.4 lineto +stroke +newpath +48199.5 33482.6 moveto +48199.5 32695.2 lineto +stroke +newpath +47918.3 33932.5 moveto +47637.1 33088.9 lineto +48368.3 33088.9 lineto +stroke +newpath +49380.6 33876.3 moveto +48818.2 33876.3 lineto +48762 33313.8 lineto +48818.2 33370.1 lineto +48930.7 33426.3 lineto +49211.9 33426.3 lineto +49324.4 33370.1 lineto +49380.6 33313.8 lineto +49436.9 33201.4 lineto +49436.9 32920.1 lineto +49380.6 32807.7 lineto +49324.4 32751.4 lineto +49211.9 32695.2 lineto +48930.7 32695.2 lineto +48818.2 32751.4 lineto +48762 32807.7 lineto +stroke +newpath +50842.9 32695.2 moveto +50842.9 33876.3 lineto +stroke +newpath +51349.1 32695.2 moveto +51349.1 33313.8 lineto +51292.9 33426.3 lineto +51180.4 33482.6 lineto +51011.7 33482.6 lineto +50899.2 33426.3 lineto +50842.9 33370.1 lineto +stroke +newpath +52080.3 32695.2 moveto +51967.8 32751.4 lineto +51911.6 32807.7 lineto +51855.3 32920.1 lineto +51855.3 33257.6 lineto +51911.6 33370.1 lineto +51967.8 33426.3 lineto +52080.3 33482.6 lineto +52249 33482.6 lineto +52361.5 33426.3 lineto +52417.7 33370.1 lineto +52474 33257.6 lineto +52474 32920.1 lineto +52417.7 32807.7 lineto +52361.5 32751.4 lineto +52249 32695.2 lineto +52080.3 32695.2 lineto +stroke +newpath +53148.9 32695.2 moveto +53036.4 32751.4 lineto +52980.2 32863.9 lineto +52980.2 33876.3 lineto +stroke +newpath +54048.8 32751.4 moveto +53936.3 32695.2 lineto +53711.3 32695.2 lineto +53598.9 32751.4 lineto +53542.6 32863.9 lineto +53542.6 33313.8 lineto +53598.9 33426.3 lineto +53711.3 33482.6 lineto +53936.3 33482.6 lineto +54048.8 33426.3 lineto +54105 33313.8 lineto +54105 33201.4 lineto +53542.6 33088.9 lineto +stroke +newpath +54555 32751.4 moveto +54667.5 32695.2 lineto +54892.4 32695.2 lineto +55004.9 32751.4 lineto +55061.2 32863.9 lineto +55061.2 32920.1 lineto +55004.9 33032.6 lineto +54892.4 33088.9 lineto +54723.7 33088.9 lineto +54611.2 33145.1 lineto +54555 33257.6 lineto +54555 33313.8 lineto +54611.2 33426.3 lineto +54723.7 33482.6 lineto +54892.4 33482.6 lineto +55004.9 33426.3 lineto +stroke +newpath +55454.9 32245.2 moveto +55511.1 32301.5 lineto +55623.6 32470.2 lineto +55679.8 32582.7 lineto +55736.1 32751.4 lineto +55792.3 33032.6 lineto +55792.3 33257.6 lineto +55736.1 33538.8 lineto +55679.8 33707.5 lineto +55623.6 33820 lineto +55511.1 33988.8 lineto +55454.9 34045 lineto +stroke +27510.9 31670.4 157.164 cir0 +0 0 0 setrgbcolor +0 0 0 setrgbcolor +newpath +29020.7 32317.2 moveto +29133.2 32317.2 lineto +29245.6 32261 lineto +29301.9 32204.7 lineto +29358.1 32092.2 lineto +29414.4 31867.3 lineto +29414.4 31586.1 lineto +29358.1 31361.1 lineto +29301.9 31248.6 lineto +29245.6 31192.4 lineto +29133.2 31136.1 lineto +29020.7 31136.1 lineto +28908.2 31192.4 lineto +28851.9 31248.6 lineto +28795.7 31361.1 lineto +28739.5 31586.1 lineto +28739.5 31867.3 lineto +28795.7 32092.2 lineto +28851.9 32204.7 lineto +28908.2 32261 lineto +29020.7 32317.2 lineto +stroke +newpath +29920.6 31248.6 moveto +29976.8 31192.4 lineto +29920.6 31136.1 lineto +29864.3 31192.4 lineto +29920.6 31248.6 lineto +29920.6 31136.1 lineto +stroke +newpath +30989.2 31923.5 moveto +30989.2 31136.1 lineto +stroke +newpath +30708 32373.5 moveto +30426.7 31529.8 lineto +31157.9 31529.8 lineto +stroke +newpath +31832.8 32317.2 moveto +31945.3 32317.2 lineto +32057.8 32261 lineto +32114 32204.7 lineto +32170.3 32092.2 lineto +32226.5 31867.3 lineto +32226.5 31586.1 lineto +32170.3 31361.1 lineto +32114 31248.6 lineto +32057.8 31192.4 lineto +31945.3 31136.1 lineto +31832.8 31136.1 lineto +31720.3 31192.4 lineto +31664.1 31248.6 lineto +31607.8 31361.1 lineto +31551.6 31586.1 lineto +31551.6 31867.3 lineto +31607.8 32092.2 lineto +31664.1 32204.7 lineto +31720.3 32261 lineto +31832.8 32317.2 lineto +stroke +newpath +32732.7 31136.1 moveto +32732.7 31923.5 lineto +stroke +newpath +32732.7 31811 moveto +32788.9 31867.3 lineto +32901.4 31923.5 lineto +33070.2 31923.5 lineto +33182.6 31867.3 lineto +33238.9 31754.8 lineto +33238.9 31136.1 lineto +stroke +newpath +33238.9 31754.8 moveto +33295.1 31867.3 lineto +33407.6 31923.5 lineto +33576.3 31923.5 lineto +33688.8 31867.3 lineto +33745.1 31754.8 lineto +33745.1 31136.1 lineto +stroke +newpath +34307.5 31136.1 moveto +34307.5 31923.5 lineto +stroke +newpath +34307.5 31811 moveto +34363.8 31867.3 lineto +34476.2 31923.5 lineto +34645 31923.5 lineto +34757.5 31867.3 lineto +34813.7 31754.8 lineto +34813.7 31136.1 lineto +stroke +newpath +34813.7 31754.8 moveto +34869.9 31867.3 lineto +34982.4 31923.5 lineto +35151.2 31923.5 lineto +35263.6 31867.3 lineto +35319.9 31754.8 lineto +35319.9 31136.1 lineto +stroke +newpath +37625.8 32373.5 moveto +36613.5 30854.9 lineto +stroke +newpath +39144.4 32317.2 moveto +39256.9 32317.2 lineto +39369.4 32261 lineto +39425.6 32204.7 lineto +39481.9 32092.2 lineto +39538.1 31867.3 lineto +39538.1 31586.1 lineto +39481.9 31361.1 lineto +39425.6 31248.6 lineto +39369.4 31192.4 lineto +39256.9 31136.1 lineto +39144.4 31136.1 lineto +39031.9 31192.4 lineto +38975.7 31248.6 lineto +38919.4 31361.1 lineto +38863.2 31586.1 lineto +38863.2 31867.3 lineto +38919.4 32092.2 lineto +38975.7 32204.7 lineto +39031.9 32261 lineto +39144.4 32317.2 lineto +stroke +newpath +40044.3 31248.6 moveto +40100.5 31192.4 lineto +40044.3 31136.1 lineto +39988 31192.4 lineto +40044.3 31248.6 lineto +40044.3 31136.1 lineto +stroke +newpath +40831.7 32317.2 moveto +40944.2 32317.2 lineto +41056.7 32261 lineto +41112.9 32204.7 lineto +41169.2 32092.2 lineto +41225.4 31867.3 lineto +41225.4 31586.1 lineto +41169.2 31361.1 lineto +41112.9 31248.6 lineto +41056.7 31192.4 lineto +40944.2 31136.1 lineto +40831.7 31136.1 lineto +40719.2 31192.4 lineto +40663 31248.6 lineto +40606.7 31361.1 lineto +40550.5 31586.1 lineto +40550.5 31867.3 lineto +40606.7 32092.2 lineto +40663 32204.7 lineto +40719.2 32261 lineto +40831.7 32317.2 lineto +stroke +newpath +42350.3 31136.1 moveto +41675.3 31136.1 lineto +stroke +newpath +42012.8 31136.1 moveto +42012.8 32317.2 lineto +41900.3 32148.5 lineto +41787.8 32036 lineto +41675.3 31979.8 lineto +stroke +newpath +43362.6 32317.2 moveto +43137.7 32317.2 lineto +43025.2 32261 lineto +42968.9 32204.7 lineto +42856.4 32036 lineto +42800.2 31811 lineto +42800.2 31361.1 lineto +42856.4 31248.6 lineto +42912.7 31192.4 lineto +43025.2 31136.1 lineto +43250.1 31136.1 lineto +43362.6 31192.4 lineto +43418.9 31248.6 lineto +43475.1 31361.1 lineto +43475.1 31642.3 lineto +43418.9 31754.8 lineto +43362.6 31811 lineto +43250.1 31867.3 lineto +43025.2 31867.3 lineto +42912.7 31811 lineto +42856.4 31754.8 lineto +42800.2 31642.3 lineto +stroke +newpath +43925.1 32317.2 moveto +43925.1 32092.2 lineto +stroke +newpath +44375 32317.2 moveto +44375 32092.2 lineto +stroke +newpath +46118.5 30686.2 moveto +46062.3 30742.4 lineto +45949.8 30911.1 lineto +45893.6 31023.6 lineto +45837.3 31192.4 lineto +45781.1 31473.6 lineto +45781.1 31698.5 lineto +45837.3 31979.8 lineto +45893.6 32148.5 lineto +45949.8 32261 lineto +46062.3 32429.7 lineto +46118.5 32485.9 lineto +stroke +newpath +46512.2 32204.7 moveto +46568.5 32261 lineto +46681 32317.2 lineto +46962.2 32317.2 lineto +47074.7 32261 lineto +47130.9 32204.7 lineto +47187.2 32092.2 lineto +47187.2 31979.8 lineto +47130.9 31811 lineto +46456 31136.1 lineto +47187.2 31136.1 lineto +stroke +newpath +47749.6 31136.1 moveto +47974.6 31136.1 lineto +48087 31192.4 lineto +48143.3 31248.6 lineto +48255.8 31417.3 lineto +48312 31642.3 lineto +48312 32092.2 lineto +48255.8 32204.7 lineto +48199.5 32261 lineto +48087 32317.2 lineto +47862.1 32317.2 lineto +47749.6 32261 lineto +47693.3 32204.7 lineto +47637.1 32092.2 lineto +47637.1 31811 lineto +47693.3 31698.5 lineto +47749.6 31642.3 lineto +47862.1 31586.1 lineto +48087 31586.1 lineto +48199.5 31642.3 lineto +48255.8 31698.5 lineto +48312 31811 lineto +stroke +newpath +49718.1 31136.1 moveto +49718.1 32317.2 lineto +stroke +newpath +50224.3 31136.1 moveto +50224.3 31754.8 lineto +50168 31867.3 lineto +50055.5 31923.5 lineto +49886.8 31923.5 lineto +49774.3 31867.3 lineto +49718.1 31811 lineto +stroke +newpath +50955.4 31136.1 moveto +50842.9 31192.4 lineto +50786.7 31248.6 lineto +50730.5 31361.1 lineto +50730.5 31698.5 lineto +50786.7 31811 lineto +50842.9 31867.3 lineto +50955.4 31923.5 lineto +51124.2 31923.5 lineto +51236.6 31867.3 lineto +51292.9 31811 lineto +51349.1 31698.5 lineto +51349.1 31361.1 lineto +51292.9 31248.6 lineto +51236.6 31192.4 lineto +51124.2 31136.1 lineto +50955.4 31136.1 lineto +stroke +newpath +52024 31136.1 moveto +51911.6 31192.4 lineto +51855.3 31304.8 lineto +51855.3 32317.2 lineto +stroke +newpath +52923.9 31192.4 moveto +52811.4 31136.1 lineto +52586.5 31136.1 lineto +52474 31192.4 lineto +52417.7 31304.8 lineto +52417.7 31754.8 lineto +52474 31867.3 lineto +52586.5 31923.5 lineto +52811.4 31923.5 lineto +52923.9 31867.3 lineto +52980.2 31754.8 lineto +52980.2 31642.3 lineto +52417.7 31529.8 lineto +stroke +newpath +53430.1 31192.4 moveto +53542.6 31136.1 lineto +53767.6 31136.1 lineto +53880.1 31192.4 lineto +53936.3 31304.8 lineto +53936.3 31361.1 lineto +53880.1 31473.6 lineto +53767.6 31529.8 lineto +53598.9 31529.8 lineto +53486.4 31586.1 lineto +53430.1 31698.5 lineto +53430.1 31754.8 lineto +53486.4 31867.3 lineto +53598.9 31923.5 lineto +53767.6 31923.5 lineto +53880.1 31867.3 lineto +stroke +newpath +54330 30686.2 moveto +54386.3 30742.4 lineto +54498.7 30911.1 lineto +54555 31023.6 lineto +54611.2 31192.4 lineto +54667.5 31473.6 lineto +54667.5 31698.5 lineto +54611.2 31979.8 lineto +54555 32148.5 lineto +54498.7 32261 lineto +54386.3 32429.7 lineto +54330 32485.9 lineto +stroke +newpath +27368.6 30410.8 moveto +27368.6 29812 lineto +stroke +newpath +27069.2 30111.4 moveto +27668 30111.4 lineto +stroke +0 0 0 setrgbcolor +0 0 0 setrgbcolor +newpath +29020.7 30758.2 moveto +29133.2 30758.2 lineto +29245.6 30701.9 lineto +29301.9 30645.7 lineto +29358.1 30533.2 lineto +29414.4 30308.2 lineto +29414.4 30027 lineto +29358.1 29802 lineto +29301.9 29689.5 lineto +29245.6 29633.3 lineto +29133.2 29577.1 lineto +29020.7 29577.1 lineto +28908.2 29633.3 lineto +28851.9 29689.5 lineto +28795.7 29802 lineto +28739.5 30027 lineto +28739.5 30308.2 lineto +28795.7 30533.2 lineto +28851.9 30645.7 lineto +28908.2 30701.9 lineto +29020.7 30758.2 lineto +stroke +newpath +29920.6 29689.5 moveto +29976.8 29633.3 lineto +29920.6 29577.1 lineto +29864.3 29633.3 lineto +29920.6 29689.5 lineto +29920.6 29577.1 lineto +stroke +newpath +30370.5 30758.2 moveto +31157.9 30758.2 lineto +30651.7 29577.1 lineto +stroke +newpath +32114 30758.2 moveto +31889.1 30758.2 lineto +31776.6 30701.9 lineto +31720.3 30645.7 lineto +31607.8 30477 lineto +31551.6 30252 lineto +31551.6 29802 lineto +31607.8 29689.5 lineto +31664.1 29633.3 lineto +31776.6 29577.1 lineto +32001.5 29577.1 lineto +32114 29633.3 lineto +32170.3 29689.5 lineto +32226.5 29802 lineto +32226.5 30083.2 lineto +32170.3 30195.7 lineto +32114 30252 lineto +32001.5 30308.2 lineto +31776.6 30308.2 lineto +31664.1 30252 lineto +31607.8 30195.7 lineto +31551.6 30083.2 lineto +stroke +newpath +32732.7 29577.1 moveto +32732.7 30364.5 lineto +stroke +newpath +32732.7 30252 moveto +32788.9 30308.2 lineto +32901.4 30364.5 lineto +33070.2 30364.5 lineto +33182.6 30308.2 lineto +33238.9 30195.7 lineto +33238.9 29577.1 lineto +stroke +newpath +33238.9 30195.7 moveto +33295.1 30308.2 lineto +33407.6 30364.5 lineto +33576.3 30364.5 lineto +33688.8 30308.2 lineto +33745.1 30195.7 lineto +33745.1 29577.1 lineto +stroke +newpath +34307.5 29577.1 moveto +34307.5 30364.5 lineto +stroke +newpath +34307.5 30252 moveto +34363.8 30308.2 lineto +34476.2 30364.5 lineto +34645 30364.5 lineto +34757.5 30308.2 lineto +34813.7 30195.7 lineto +34813.7 29577.1 lineto +stroke +newpath +34813.7 30195.7 moveto +34869.9 30308.2 lineto +34982.4 30364.5 lineto +35151.2 30364.5 lineto +35263.6 30308.2 lineto +35319.9 30195.7 lineto +35319.9 29577.1 lineto +stroke +newpath +37625.8 30814.4 moveto +36613.5 29295.8 lineto +stroke +newpath +39144.4 30758.2 moveto +39256.9 30758.2 lineto +39369.4 30701.9 lineto +39425.6 30645.7 lineto +39481.9 30533.2 lineto +39538.1 30308.2 lineto +39538.1 30027 lineto +39481.9 29802 lineto +39425.6 29689.5 lineto +39369.4 29633.3 lineto +39256.9 29577.1 lineto +39144.4 29577.1 lineto +39031.9 29633.3 lineto +38975.7 29689.5 lineto +38919.4 29802 lineto +38863.2 30027 lineto +38863.2 30308.2 lineto +38919.4 30533.2 lineto +38975.7 30645.7 lineto +39031.9 30701.9 lineto +39144.4 30758.2 lineto +stroke +newpath +40044.3 29689.5 moveto +40100.5 29633.3 lineto +40044.3 29577.1 lineto +39988 29633.3 lineto +40044.3 29689.5 lineto +40044.3 29577.1 lineto +stroke +newpath +40831.7 30758.2 moveto +40944.2 30758.2 lineto +41056.7 30701.9 lineto +41112.9 30645.7 lineto +41169.2 30533.2 lineto +41225.4 30308.2 lineto +41225.4 30027 lineto +41169.2 29802 lineto +41112.9 29689.5 lineto +41056.7 29633.3 lineto +40944.2 29577.1 lineto +40831.7 29577.1 lineto +40719.2 29633.3 lineto +40663 29689.5 lineto +40606.7 29802 lineto +40550.5 30027 lineto +40550.5 30308.2 lineto +40606.7 30533.2 lineto +40663 30645.7 lineto +40719.2 30701.9 lineto +40831.7 30758.2 lineto +stroke +newpath +41619.1 30758.2 moveto +42350.3 30758.2 lineto +41956.6 30308.2 lineto +42125.3 30308.2 lineto +42237.8 30252 lineto +42294 30195.7 lineto +42350.3 30083.2 lineto +42350.3 29802 lineto +42294 29689.5 lineto +42237.8 29633.3 lineto +42125.3 29577.1 lineto +41787.8 29577.1 lineto +41675.3 29633.3 lineto +41619.1 29689.5 lineto +stroke +newpath +43081.4 30758.2 moveto +43193.9 30758.2 lineto +43306.4 30701.9 lineto +43362.6 30645.7 lineto +43418.9 30533.2 lineto +43475.1 30308.2 lineto +43475.1 30027 lineto +43418.9 29802 lineto +43362.6 29689.5 lineto +43306.4 29633.3 lineto +43193.9 29577.1 lineto +43081.4 29577.1 lineto +42968.9 29633.3 lineto +42912.7 29689.5 lineto +42856.4 29802 lineto +42800.2 30027 lineto +42800.2 30308.2 lineto +42856.4 30533.2 lineto +42912.7 30645.7 lineto +42968.9 30701.9 lineto +43081.4 30758.2 lineto +stroke +newpath +43925.1 30758.2 moveto +43925.1 30533.2 lineto +stroke +newpath +44375 30758.2 moveto +44375 30533.2 lineto +stroke +newpath +46118.5 29127.1 moveto +46062.3 29183.4 lineto +45949.8 29352.1 lineto +45893.6 29464.6 lineto +45837.3 29633.3 lineto +45781.1 29914.5 lineto +45781.1 30139.5 lineto +45837.3 30420.7 lineto +45893.6 30589.4 lineto +45949.8 30701.9 lineto +46062.3 30870.7 lineto +46118.5 30926.9 lineto +stroke +newpath +47187.2 29577.1 moveto +46512.2 29577.1 lineto +stroke +newpath +46849.7 29577.1 moveto +46849.7 30758.2 lineto +46737.2 30589.4 lineto +46624.7 30477 lineto +46512.2 30420.7 lineto +stroke +newpath +48593.2 29577.1 moveto +48593.2 30758.2 lineto +stroke +newpath +49099.4 29577.1 moveto +49099.4 30195.7 lineto +49043.2 30308.2 lineto +48930.7 30364.5 lineto +48762 30364.5 lineto +48649.5 30308.2 lineto +48593.2 30252 lineto +stroke +newpath +49830.6 29577.1 moveto +49718.1 29633.3 lineto +49661.8 29689.5 lineto +49605.6 29802 lineto +49605.6 30139.5 lineto +49661.8 30252 lineto +49718.1 30308.2 lineto +49830.6 30364.5 lineto +49999.3 30364.5 lineto +50111.8 30308.2 lineto +50168 30252 lineto +50224.3 30139.5 lineto +50224.3 29802 lineto +50168 29689.5 lineto +50111.8 29633.3 lineto +49999.3 29577.1 lineto +49830.6 29577.1 lineto +stroke +newpath +50899.2 29577.1 moveto +50786.7 29633.3 lineto +50730.5 29745.8 lineto +50730.5 30758.2 lineto +stroke +newpath +51799.1 29633.3 moveto +51686.6 29577.1 lineto +51461.6 29577.1 lineto +51349.1 29633.3 lineto +51292.9 29745.8 lineto +51292.9 30195.7 lineto +51349.1 30308.2 lineto +51461.6 30364.5 lineto +51686.6 30364.5 lineto +51799.1 30308.2 lineto +51855.3 30195.7 lineto +51855.3 30083.2 lineto +51292.9 29970.8 lineto +stroke +newpath +52249 29127.1 moveto +52305.3 29183.4 lineto +52417.7 29352.1 lineto +52474 29464.6 lineto +52530.2 29633.3 lineto +52586.5 29914.5 lineto +52586.5 30139.5 lineto +52530.2 30420.7 lineto +52474 30589.4 lineto +52417.7 30701.9 lineto +52305.3 30870.7 lineto +52249 30926.9 lineto +stroke +newpath +27541.4 28246.7 moveto +27541.4 28857.9 lineto +26930.2 28857.9 lineto +26930.2 28246.7 lineto +27541.4 28246.7 lineto +poly0 +0 0 0 setrgbcolor +0 0 0 setrgbcolor +newpath +29414.4 28018 moveto +28739.5 28018 lineto +stroke +newpath +29076.9 28018 moveto +29076.9 29199.1 lineto +28964.4 29030.4 lineto +28851.9 28917.9 lineto +28739.5 28861.7 lineto +stroke +newpath +29920.6 28130.5 moveto +29976.8 28074.3 lineto +29920.6 28018 lineto +29864.3 28074.3 lineto +29920.6 28130.5 lineto +29920.6 28018 lineto +stroke +newpath +31101.7 28018 moveto +30426.7 28018 lineto +stroke +newpath +30764.2 28018 moveto +30764.2 29199.1 lineto +30651.7 29030.4 lineto +30539.2 28917.9 lineto +30426.7 28861.7 lineto +stroke +newpath +31832.8 29199.1 moveto +31945.3 29199.1 lineto +32057.8 29142.9 lineto +32114 29086.6 lineto +32170.3 28974.1 lineto +32226.5 28749.2 lineto +32226.5 28468 lineto +32170.3 28243 lineto +32114 28130.5 lineto +32057.8 28074.3 lineto +31945.3 28018 lineto +31832.8 28018 lineto +31720.3 28074.3 lineto +31664.1 28130.5 lineto +31607.8 28243 lineto +31551.6 28468 lineto +31551.6 28749.2 lineto +31607.8 28974.1 lineto +31664.1 29086.6 lineto +31720.3 29142.9 lineto +31832.8 29199.1 lineto +stroke +newpath +32732.7 28018 moveto +32732.7 28805.4 lineto +stroke +newpath +32732.7 28692.9 moveto +32788.9 28749.2 lineto +32901.4 28805.4 lineto +33070.2 28805.4 lineto +33182.6 28749.2 lineto +33238.9 28636.7 lineto +33238.9 28018 lineto +stroke +newpath +33238.9 28636.7 moveto +33295.1 28749.2 lineto +33407.6 28805.4 lineto +33576.3 28805.4 lineto +33688.8 28749.2 lineto +33745.1 28636.7 lineto +33745.1 28018 lineto +stroke +newpath +34307.5 28018 moveto +34307.5 28805.4 lineto +stroke +newpath +34307.5 28692.9 moveto +34363.8 28749.2 lineto +34476.2 28805.4 lineto +34645 28805.4 lineto +34757.5 28749.2 lineto +34813.7 28636.7 lineto +34813.7 28018 lineto +stroke +newpath +34813.7 28636.7 moveto +34869.9 28749.2 lineto +34982.4 28805.4 lineto +35151.2 28805.4 lineto +35263.6 28749.2 lineto +35319.9 28636.7 lineto +35319.9 28018 lineto +stroke +newpath +37625.8 29255.4 moveto +36613.5 27736.8 lineto +stroke +newpath +39144.4 29199.1 moveto +39256.9 29199.1 lineto +39369.4 29142.9 lineto +39425.6 29086.6 lineto +39481.9 28974.1 lineto +39538.1 28749.2 lineto +39538.1 28468 lineto +39481.9 28243 lineto +39425.6 28130.5 lineto +39369.4 28074.3 lineto +39256.9 28018 lineto +39144.4 28018 lineto +39031.9 28074.3 lineto +38975.7 28130.5 lineto +38919.4 28243 lineto +38863.2 28468 lineto +38863.2 28749.2 lineto +38919.4 28974.1 lineto +38975.7 29086.6 lineto +39031.9 29142.9 lineto +39144.4 29199.1 lineto +stroke +newpath +40044.3 28130.5 moveto +40100.5 28074.3 lineto +40044.3 28018 lineto +39988 28074.3 lineto +40044.3 28130.5 lineto +40044.3 28018 lineto +stroke +newpath +40831.7 29199.1 moveto +40944.2 29199.1 lineto +41056.7 29142.9 lineto +41112.9 29086.6 lineto +41169.2 28974.1 lineto +41225.4 28749.2 lineto +41225.4 28468 lineto +41169.2 28243 lineto +41112.9 28130.5 lineto +41056.7 28074.3 lineto +40944.2 28018 lineto +40831.7 28018 lineto +40719.2 28074.3 lineto +40663 28130.5 lineto +40606.7 28243 lineto +40550.5 28468 lineto +40550.5 28749.2 lineto +40606.7 28974.1 lineto +40663 29086.6 lineto +40719.2 29142.9 lineto +40831.7 29199.1 lineto +stroke +newpath +42237.8 28805.4 moveto +42237.8 28018 lineto +stroke +newpath +41956.6 29255.4 moveto +41675.3 28411.7 lineto +42406.5 28411.7 lineto +stroke +newpath +42744 29199.1 moveto +43475.1 29199.1 lineto +43081.4 28749.2 lineto +43250.1 28749.2 lineto +43362.6 28692.9 lineto +43418.9 28636.7 lineto +43475.1 28524.2 lineto +43475.1 28243 lineto +43418.9 28130.5 lineto +43362.6 28074.3 lineto +43250.1 28018 lineto +42912.7 28018 lineto +42800.2 28074.3 lineto +42744 28130.5 lineto +stroke +newpath +43925.1 29199.1 moveto +43925.1 28974.1 lineto +stroke +newpath +44375 29199.1 moveto +44375 28974.1 lineto +stroke +newpath +46118.5 27568.1 moveto +46062.3 27624.3 lineto +45949.8 27793 lineto +45893.6 27905.5 lineto +45837.3 28074.3 lineto +45781.1 28355.5 lineto +45781.1 28580.4 lineto +45837.3 28861.7 lineto +45893.6 29030.4 lineto +45949.8 29142.9 lineto +46062.3 29311.6 lineto +46118.5 29367.8 lineto +stroke +newpath +47074.7 28805.4 moveto +47074.7 28018 lineto +stroke +newpath +46793.4 29255.4 moveto +46512.2 28411.7 lineto +47243.4 28411.7 lineto +stroke +newpath +48593.2 28018 moveto +48593.2 29199.1 lineto +stroke +newpath +49099.4 28018 moveto +49099.4 28636.7 lineto +49043.2 28749.2 lineto +48930.7 28805.4 lineto +48762 28805.4 lineto +48649.5 28749.2 lineto +48593.2 28692.9 lineto +stroke +newpath +49830.6 28018 moveto +49718.1 28074.3 lineto +49661.8 28130.5 lineto +49605.6 28243 lineto +49605.6 28580.4 lineto +49661.8 28692.9 lineto +49718.1 28749.2 lineto +49830.6 28805.4 lineto +49999.3 28805.4 lineto +50111.8 28749.2 lineto +50168 28692.9 lineto +50224.3 28580.4 lineto +50224.3 28243 lineto +50168 28130.5 lineto +50111.8 28074.3 lineto +49999.3 28018 lineto +49830.6 28018 lineto +stroke +newpath +50899.2 28018 moveto +50786.7 28074.3 lineto +50730.5 28186.7 lineto +50730.5 29199.1 lineto +stroke +newpath +51799.1 28074.3 moveto +51686.6 28018 lineto +51461.6 28018 lineto +51349.1 28074.3 lineto +51292.9 28186.7 lineto +51292.9 28636.7 lineto +51349.1 28749.2 lineto +51461.6 28805.4 lineto +51686.6 28805.4 lineto +51799.1 28749.2 lineto +51855.3 28636.7 lineto +51855.3 28524.2 lineto +51292.9 28411.7 lineto +stroke +newpath +52305.3 28074.3 moveto +52417.7 28018 lineto +52642.7 28018 lineto +52755.2 28074.3 lineto +52811.4 28186.7 lineto +52811.4 28243 lineto +52755.2 28355.5 lineto +52642.7 28411.7 lineto +52474 28411.7 lineto +52361.5 28468 lineto +52305.3 28580.4 lineto +52305.3 28636.7 lineto +52361.5 28749.2 lineto +52474 28805.4 lineto +52642.7 28805.4 lineto +52755.2 28749.2 lineto +stroke +newpath +53205.1 27568.1 moveto +53261.4 27624.3 lineto +53373.9 27793 lineto +53430.1 27905.5 lineto +53486.4 28074.3 lineto +53542.6 28355.5 lineto +53542.6 28580.4 lineto +53486.4 28861.7 lineto +53430.1 29030.4 lineto +53373.9 29142.9 lineto +53261.4 29311.6 lineto +53205.1 29367.8 lineto +stroke +showpage +grestore +%%EOF diff --git a/gerber/RAM2E.drl b/gerber/RAM2E.drl new file mode 100644 index 0000000..5879cd3 --- /dev/null +++ b/gerber/RAM2E.drl @@ -0,0 +1,699 @@ +M48 +; DRILL file {KiCad (5.1.2-1)-1} date Tuesday, July 30, 2019 at 05:06:58 PM +; FORMAT={-:-/ absolute / inch / decimal} +; #@! TF.CreationDate,2019-07-30T17:06:58-04:00 +; #@! TF.GenerationSoftware,Kicad,Pcbnew,(5.1.2-1)-1 +FMAT,2 +INCH +T1C0.0118 +T2C0.0157 +T3C0.0300 +T4C0.0433 +% +G90 +G05 +T1 +X7.84Y-3.55 +X7.84Y-3.65 +X7.84Y-3.75 +X7.84Y-3.85 +X7.84Y-3.95 +X7.84Y-4.05 +X7.84Y-4.15 +X7.84Y-4.25 +X7.84Y-4.35 +X7.84Y-4.45 +X7.84Y-4.55 +X7.84Y-4.65 +X7.84Y-4.75 +X7.84Y-4.85 +X7.84Y-4.95 +X7.84Y-5.05 +X7.84Y-5.16 +X7.865Y-3.465 +X7.895Y-3.6 +X7.895Y-3.7 +X7.895Y-3.8 +X7.895Y-3.9 +X7.895Y-4.0 +X7.895Y-4.1 +X7.895Y-4.2 +X7.895Y-4.3 +X7.895Y-4.4 +X7.895Y-4.5 +X7.895Y-4.6 +X7.895Y-4.7 +X7.895Y-4.8 +X7.895Y-4.9 +X7.895Y-5.0 +X7.925Y-5.11 +X7.95Y-3.65 +X7.95Y-3.75 +X7.95Y-3.85 +X7.95Y-3.95 +X7.95Y-4.05 +X7.95Y-4.15 +X7.95Y-4.25 +X7.95Y-4.35 +X7.95Y-4.45 +X7.95Y-4.55 +X7.95Y-4.65 +X7.95Y-4.75 +X7.95Y-4.85 +X7.95Y-4.95 +X7.95Y-5.16 +X7.955Y-3.445 +X8.0Y-3.5 +X8.0Y-3.6 +X8.0Y-3.7 +X8.0Y-3.8 +X8.0Y-3.9 +X8.0Y-4.0 +X8.0Y-4.1 +X8.0Y-4.2 +X8.0Y-4.3 +X8.0Y-4.4 +X8.0Y-4.6 +X8.0Y-4.7 +X8.0Y-4.8 +X8.0Y-4.9 +X8.0Y-5.035 +X8.015Y-4.51 +X8.045Y-4.55 +X8.05Y-3.445 +X8.05Y-3.65 +X8.05Y-4.65 +X8.05Y-4.75 +X8.05Y-4.85 +X8.05Y-5.16 +X8.075Y-5.075 +X8.1Y-3.6 +X8.1Y-5.015 +X8.105Y-4.7 +X8.105Y-4.8 +X8.115Y-4.545 +X8.115Y-4.92 +X8.13Y-3.74 +X8.13Y-3.85 +X8.13Y-3.95 +X8.13Y-4.05 +X8.13Y-4.15 +X8.13Y-4.25 +X8.13Y-4.35 +X8.15Y-3.445 +X8.15Y-3.55 +X8.16Y-4.45 +X8.16Y-4.59 +X8.16Y-4.66 +X8.16Y-4.75 +X8.16Y-4.85 +X8.17Y-3.69 +X8.175Y-3.795 +X8.175Y-3.9 +X8.175Y-4.0 +X8.175Y-4.1 +X8.175Y-4.2 +X8.175Y-4.3 +X8.2Y-3.5 +X8.215Y-3.645 +X8.215Y-3.745 +X8.215Y-3.85 +X8.215Y-3.95 +X8.215Y-4.05 +X8.215Y-4.15 +X8.215Y-4.25 +X8.215Y-4.35 +X8.215Y-4.97 +X8.2325Y-4.8375 +X8.235Y-4.87 +X8.24Y-4.92 +X8.25Y-3.445 +X8.25Y-5.065 +X8.25Y-5.16 +X8.265Y-3.595 +X8.265Y-3.685 +X8.265Y-3.795 +X8.265Y-3.9 +X8.265Y-4.0 +X8.265Y-4.1 +X8.265Y-4.2 +X8.265Y-4.3 +X8.2675Y-4.8725 +X8.27Y-4.905 +X8.27Y-4.97 +X8.295Y-4.59 +X8.295Y-4.66 +X8.3Y-5.01 +X8.3025Y-4.9075 +X8.305Y-4.51 +X8.305Y-4.94 +X8.315Y-3.545 +X8.315Y-3.645 +X8.315Y-3.745 +X8.315Y-3.85 +X8.315Y-3.95 +X8.315Y-4.05 +X8.315Y-4.15 +X8.315Y-4.25 +X8.3325Y-4.7375 +X8.3375Y-4.9425 +X8.34Y-4.975 +X8.35Y-3.445 +X8.35Y-5.03 +X8.35Y-5.16 +X8.355Y-3.595 +X8.355Y-3.685 +X8.355Y-3.795 +X8.365Y-4.74 +X8.3675Y-4.7725 +X8.37Y-4.695 +X8.3725Y-4.9775 +X8.375Y-5.01 +X8.39Y-3.725 +X8.395Y-3.545 +X8.395Y-3.645 +X8.4Y-4.775 +X8.4Y-5.09 +X8.4025Y-4.8075 +X8.4075Y-5.0125 +X8.41Y-5.045 +X8.43Y-5.1 +X8.435Y-4.81 +X8.4375Y-4.8425 +X8.4425Y-5.0475 +X8.45Y-3.445 +X8.45Y-5.16 +X8.465Y-3.545 +X8.465Y-3.645 +X8.47Y-4.845 +X8.4725Y-4.8775 +X8.5Y-5.145 +X8.505Y-3.595 +X8.505Y-4.88 +X8.5075Y-4.9125 +X8.54Y-4.915 +X8.5425Y-4.9475 +X8.55Y-3.445 +X8.55Y-3.545 +X8.55Y-3.645 +X8.55Y-5.16 +X8.575Y-3.875 +X8.575Y-4.15 +X8.575Y-4.525 +X8.575Y-4.85 +X8.575Y-4.9 +X8.5825Y-4.9575 +X8.585Y-3.925 +X8.585Y-3.975 +X8.585Y-4.025 +X8.585Y-4.075 +X8.585Y-4.225 +X8.585Y-4.275 +X8.595Y-4.995 +X8.6Y-3.695 +X8.6Y-3.795 +X8.61Y-4.83 +X8.645Y-4.85 +X8.645Y-4.9 +X8.65Y-3.445 +X8.65Y-3.545 +X8.65Y-3.645 +X8.65Y-3.745 +X8.65Y-5.16 +X8.665Y-4.705 +X8.675Y-4.995 +X8.7Y-3.695 +X8.7Y-3.795 +X8.7Y-4.975 +X8.705Y-4.735 +X8.72Y-4.845 +X8.725Y-4.995 +X8.73Y-4.905 +X8.735Y-4.875 +X8.74Y-4.935 +X8.75Y-3.445 +X8.75Y-3.545 +X8.75Y-3.645 +X8.75Y-5.125 +X8.75Y-5.16 +X8.765Y-4.875 +X8.77Y-4.945 +X8.795Y-4.88 +X8.8Y-3.795 +X8.8Y-4.845 +X8.805Y-4.91 +X8.82Y-5.105 +X8.825Y-4.995 +X8.835Y-4.56 +X8.84Y-4.735 +X8.845Y-4.325 +X8.85Y-3.445 +X8.85Y-3.545 +X8.85Y-3.645 +X8.85Y-3.845 +X8.85Y-4.975 +X8.85Y-5.16 +X8.855Y-4.1 +X8.865Y-4.78 +X8.87Y-4.565 +X8.875Y-4.15 +X8.875Y-4.225 +X8.875Y-4.995 +X8.88Y-4.595 +X8.88Y-4.91 +X8.885Y-4.045 +X8.895Y-4.785 +X8.895Y-5.105 +X8.9Y-3.795 +X8.9Y-3.895 +X8.91Y-4.6 +X8.915Y-4.63 +X8.925Y-4.175 +X8.945Y-4.635 +X8.95Y-3.445 +X8.95Y-3.545 +X8.95Y-3.645 +X8.95Y-3.845 +X8.95Y-4.665 +X8.95Y-4.995 +X8.95Y-5.09 +X8.95Y-5.125 +X8.95Y-5.16 +X8.955Y-4.475 +X8.975Y-4.035 +X8.975Y-4.1 +X8.975Y-4.15 +X8.975Y-4.225 +X8.98Y-4.67 +X8.985Y-4.525 +X8.985Y-4.7 +X9.0Y-3.795 +X9.0Y-3.895 +X9.015Y-4.705 +X9.015Y-4.74 +X9.02Y-4.575 +X9.025Y-4.175 +X9.03Y-4.77 +X9.035Y-4.995 +X9.035Y-5.075 +X9.05Y-3.445 +X9.05Y-3.545 +X9.05Y-3.645 +X9.05Y-3.845 +X9.05Y-5.125 +X9.05Y-5.16 +X9.065Y-4.045 +X9.065Y-4.365 +X9.075Y-4.15 +X9.075Y-4.225 +X9.09Y-4.1 +X9.09Y-4.31 +X9.095Y-4.755 +X9.095Y-4.825 +X9.1Y-3.795 +X9.1Y-3.895 +X9.1Y-4.675 +X9.1Y-4.995 +X9.1Y-5.06 +X9.125Y-4.175 +X9.135Y-4.35 +X9.135Y-4.6 +X9.15Y-3.445 +X9.15Y-3.545 +X9.15Y-3.645 +X9.15Y-3.845 +X9.15Y-5.16 +X9.2Y-3.695 +X9.2Y-3.795 +X9.205Y-5.03 +X9.235Y-4.35 +X9.235Y-4.6 +X9.25Y-3.445 +X9.25Y-3.545 +X9.25Y-3.645 +X9.25Y-3.745 +X9.25Y-3.785 +X9.25Y-3.885 +X9.25Y-4.225 +X9.25Y-5.125 +X9.25Y-5.16 +X9.255Y-4.65 +X9.26Y-3.95 +X9.27Y-4.0 +X9.275Y-4.775 +X9.275Y-5.1 +X9.28Y-4.05 +X9.28Y-4.425 +X9.28Y-4.475 +X9.28Y-4.525 +X9.28Y-4.575 +X9.28Y-4.625 +X9.29Y-4.1 +X9.3Y-3.695 +X9.305Y-4.4 +X9.305Y-4.45 +X9.305Y-4.5 +X9.305Y-4.55 +X9.305Y-4.6 +X9.31Y-4.3 +X9.33Y-4.625 +X9.345Y-4.115 +X9.35Y-3.445 +X9.35Y-3.545 +X9.35Y-3.645 +X9.35Y-3.745 +X9.35Y-4.85 +X9.35Y-5.015 +X9.35Y-5.16 +X9.355Y-4.6 +X9.375Y-4.325 +X9.38Y-4.575 +X9.4Y-3.595 +X9.4Y-3.695 +X9.4Y-4.65 +X9.4Y-4.705 +X9.4Y-4.8 +X9.4Y-5.015 +X9.425Y-4.275 +X9.45Y-3.445 +X9.45Y-3.745 +X9.45Y-4.7 +X9.45Y-4.85 +X9.45Y-5.16 +X9.475Y-4.225 +X9.5Y-4.65 +X9.5Y-4.8 +X9.5Y-4.915 +X9.5Y-5.015 +X9.525Y-4.175 +X9.55Y-3.445 +X9.55Y-4.6 +X9.55Y-4.7 +X9.55Y-4.85 +X9.55Y-5.16 +X9.575Y-4.125 +X9.6Y-3.655 +X9.6Y-4.55 +X9.6Y-4.65 +X9.6Y-4.8 +X9.6Y-5.015 +X9.625Y-4.075 +X9.65Y-3.445 +X9.65Y-3.545 +X9.65Y-3.74 +X9.65Y-4.5 +X9.65Y-4.6 +X9.65Y-4.7 +X9.65Y-4.85 +X9.65Y-5.06 +X9.65Y-5.16 +X9.675Y-4.025 +X9.7Y-3.655 +X9.7Y-4.35 +X9.7Y-4.45 +X9.7Y-4.55 +X9.7Y-4.65 +X9.7Y-4.8 +X9.7Y-5.015 +X9.725Y-3.975 +X9.75Y-3.445 +X9.75Y-3.545 +X9.75Y-3.74 +X9.75Y-4.3 +X9.75Y-4.5 +X9.75Y-4.6 +X9.75Y-4.7 +X9.75Y-4.85 +X9.75Y-5.16 +X9.76Y-4.125 +X9.76Y-4.175 +X9.76Y-4.225 +X9.8Y-3.655 +X9.8Y-4.35 +X9.8Y-4.45 +X9.8Y-4.55 +X9.8Y-4.65 +X9.8Y-4.8 +X9.81Y-3.975 +X9.81Y-4.025 +X9.81Y-4.075 +X9.85Y-3.445 +X9.85Y-3.545 +X9.85Y-4.125 +X9.85Y-4.3 +X9.85Y-4.5 +X9.85Y-4.6 +X9.85Y-4.7 +X9.85Y-5.06 +X9.85Y-5.16 +X9.855Y-3.74 +X9.9Y-3.655 +X9.9Y-3.785 +X9.9Y-3.885 +X9.9Y-4.075 +X9.9Y-4.175 +X9.9Y-4.225 +X9.9Y-4.35 +X9.9Y-4.45 +X9.9Y-4.55 +X9.9Y-4.65 +X9.9Y-4.8 +X9.9Y-5.015 +X9.95Y-3.445 +X9.95Y-3.545 +X9.95Y-3.74 +X9.95Y-4.125 +X9.95Y-4.3 +X9.95Y-4.5 +X9.95Y-4.6 +X9.95Y-4.7 +X9.95Y-4.85 +X9.95Y-5.015 +X9.95Y-5.16 +X10.0Y-3.655 +X10.0Y-4.075 +X10.0Y-4.175 +X10.0Y-4.225 +X10.0Y-4.35 +X10.0Y-4.45 +X10.0Y-4.55 +X10.0Y-4.65 +X10.0Y-4.8 +X10.05Y-3.445 +X10.05Y-3.545 +X10.05Y-3.74 +X10.05Y-4.125 +X10.05Y-4.3 +X10.05Y-4.5 +X10.05Y-4.6 +X10.05Y-4.7 +X10.05Y-4.85 +X10.05Y-5.16 +X10.1Y-3.655 +X10.1Y-4.075 +X10.1Y-4.175 +X10.1Y-4.225 +X10.1Y-4.35 +X10.1Y-4.45 +X10.1Y-4.55 +X10.1Y-4.65 +X10.1Y-4.8 +X10.1Y-5.11 +X10.15Y-3.445 +X10.15Y-3.545 +X10.15Y-3.74 +X10.15Y-4.125 +X10.15Y-4.3 +X10.15Y-4.5 +X10.15Y-4.6 +X10.15Y-4.7 +X10.15Y-4.85 +X10.15Y-4.915 +X10.15Y-5.015 +X10.15Y-5.16 +X10.2Y-3.975 +X10.2Y-4.025 +X10.2Y-4.075 +X10.2Y-4.175 +X10.2Y-4.225 +X10.2Y-4.35 +X10.2Y-4.45 +X10.2Y-4.55 +X10.2Y-4.65 +X10.2Y-4.8 +X10.2Y-5.015 +X10.25Y-3.445 +X10.25Y-3.74 +X10.25Y-5.015 +X10.25Y-5.16 +X10.265Y-4.1 +X10.265Y-4.55 +X10.295Y-3.795 +X10.3Y-5.105 +X10.35Y-3.445 +X10.35Y-3.545 +X10.35Y-3.645 +X10.35Y-3.73 +X10.35Y-3.85 +X10.35Y-5.05 +X10.35Y-5.05 +X10.35Y-5.16 +X10.365Y-4.1 +X10.365Y-4.5 +X10.365Y-4.55 +X10.365Y-4.6 +X10.365Y-4.65 +X10.365Y-4.7 +X10.365Y-4.8 +X10.4Y-3.595 +X10.4Y-3.685 +X10.4Y-3.795 +X10.4Y-4.0 +X10.4Y-4.2 +X10.4Y-4.4 +X10.4Y-4.785 +X10.405Y-4.625 +X10.405Y-4.675 +X10.45Y-3.445 +X10.45Y-3.545 +X10.45Y-3.645 +X10.45Y-3.73 +X10.45Y-3.85 +X10.45Y-3.95 +X10.45Y-4.05 +X10.45Y-4.15 +X10.45Y-4.25 +X10.45Y-4.35 +X10.45Y-4.45 +X10.45Y-4.55 +X10.45Y-4.715 +X10.45Y-4.785 +X10.45Y-4.9 +X10.45Y-5.0 +X10.45Y-5.16 +X10.5Y-4.4 +X10.5Y-4.785 +X10.5Y-4.85 +X10.5Y-4.95 +X10.5Y-5.05 +X10.51Y-3.595 +X10.51Y-3.695 +X10.51Y-4.1 +X10.55Y-3.445 +X10.55Y-4.35 +X10.55Y-4.45 +X10.555Y-4.82 +X10.555Y-4.9 +X10.555Y-5.0 +X10.56Y-3.645 +X10.56Y-4.05 +X10.565Y-3.545 +X10.565Y-4.575 +X10.6Y-4.4 +X10.6Y-4.5 +X10.605Y-4.1 +X10.61Y-3.595 +X10.61Y-4.785 +X10.61Y-4.85 +X10.61Y-4.95 +X10.61Y-5.05 +X10.65Y-3.445 +X10.65Y-3.75 +X10.65Y-3.85 +X10.65Y-3.95 +X10.65Y-4.05 +X10.65Y-4.15 +X10.65Y-4.265 +X10.65Y-4.35 +X10.65Y-4.45 +X10.65Y-4.55 +X10.65Y-5.16 +X10.67Y-4.715 +X10.67Y-4.785 +X10.67Y-4.85 +X10.67Y-4.95 +X10.695Y-3.495 +X10.7Y-3.7 +X10.7Y-3.8 +X10.7Y-3.9 +X10.7Y-4.0 +X10.7Y-4.1 +X10.7Y-4.21 +X10.7Y-4.4 +X10.7Y-4.5 +X10.7Y-4.6 +X10.745Y-3.445 +X10.75Y-3.75 +X10.75Y-3.85 +X10.75Y-3.95 +X10.75Y-4.05 +X10.75Y-4.15 +X10.75Y-4.265 +X10.75Y-4.335 +X10.75Y-4.45 +X10.75Y-4.55 +X10.75Y-4.65 +X10.75Y-4.715 +X10.75Y-4.785 +X10.75Y-4.85 +X10.75Y-4.95 +X10.75Y-5.05 +X10.75Y-5.16 +X10.8Y-3.6 +X10.835Y-3.465 +X10.86Y-3.55 +X10.86Y-3.65 +X10.86Y-3.75 +X10.86Y-3.85 +X10.86Y-3.95 +X10.86Y-4.05 +X10.86Y-4.15 +X10.86Y-4.25 +X10.86Y-4.35 +X10.86Y-4.45 +X10.86Y-4.55 +X10.86Y-4.65 +X10.86Y-4.75 +X10.86Y-4.85 +X10.86Y-4.95 +X10.86Y-5.05 +X10.86Y-5.16 +T2 +X8.145Y-4.625 +X8.315Y-4.625 +X8.495Y-3.725 +X8.495Y-4.675 +X8.54Y-3.775 +X8.54Y-4.625 +X8.72Y-3.84 +X8.72Y-4.56 +X8.825Y-3.875 +X8.825Y-4.175 +X8.825Y-4.525 +X8.9Y-4.335 +X8.9Y-4.4 +X8.9Y-4.835 +X8.95Y-4.79 +X9.0Y-4.335 +X9.0Y-4.835 +X9.44Y-3.6 +X9.485Y-3.55 +X9.485Y-3.65 +X10.27Y-3.55 +X10.27Y-3.65 +X10.31Y-3.6 +X10.5Y-4.235 +X10.5Y-4.685 +X10.55Y-4.19 +X10.55Y-4.64 +X10.6Y-4.235 +X10.6Y-4.685 +T3 +X8.08Y-4.4 +T4 +X10.63Y-3.665 +X8.07Y-3.515 +X8.15Y-5.1 +X10.55Y-5.1 +T0 +M30 diff --git a/sym-lib-table b/sym-lib-table new file mode 100644 index 0000000..2be72c9 --- /dev/null +++ b/sym-lib-table @@ -0,0 +1,4 @@ +(sym_lib_table + (lib (name GW_PLD.lib)(type Legacy)(uri ${KIPRJMOD}/../GW_Parts/GW_PLD.lib)(options "")(descr "")) + (lib (name GW_RAM.lib)(type Legacy)(uri ${KIPRJMOD}/../GW_Parts/GW_RAM.lib)(options "")(descr "")) +)