From e73d7034d8543e81be6899a66e266ecff2597362 Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Thu, 28 Dec 2023 23:12:12 -0500 Subject: [PATCH] RC --- CPLD/LCMXO2-1200HC/RAM2E_LCMXO2_1200HC.ldf | 5 +- .../RAM2E_LCMXO2_1200HC_tcl.html | 51 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1.alt | 41 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1.areasrr | 52 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1.bgn | 6 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1.bit | Bin 9265 -> 9711 bytes .../impl1/RAM2E_LCMXO2_1200HC_impl1.edi | 6972 ++++++++++------- .../impl1/RAM2E_LCMXO2_1200HC_impl1.jed | 1183 ++- .../impl1/RAM2E_LCMXO2_1200HC_impl1.mrp | 356 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1.pad | 126 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1.prf | 75 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1.srr | 377 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1.tw1 | 66 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1.twr | 1298 +-- .../impl1/RAM2E_LCMXO2_1200HC_impl1_bgn.html | 2 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1_cck.rpt | 36 +- .../RAM2E_LCMXO2_1200HC_impl1_iotiming.html | 140 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1_mapvo.sdf | 4860 +++++++----- .../impl1/RAM2E_LCMXO2_1200HC_impl1_mapvo.vo | 6417 +++++++++------ .../impl1/RAM2E_LCMXO2_1200HC_impl1_mrp.html | 290 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1_pad.html | 126 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1_par.html | 86 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1_scck.rpt | 6 +- .../RAM2E_LCMXO2_1200HC_impl1_summary.html | 2 +- .../RAM2E_LCMXO2_1200HC_impl1_synplify.html | 377 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1_tw1.html | 72 +- .../impl1/RAM2E_LCMXO2_1200HC_impl1_twr.html | 1304 +-- .../impl1/RAM2E_LCMXO2_1200HC_impl1_vo.sdf | 5427 +++++++------ .../impl1/RAM2E_LCMXO2_1200HC_impl1_vo.vo | 6568 +++++++++------- .../impl1/hdla_gen_hierarchy.html | 8 +- .../impl1/ram2e_lcmxo2_1200hc_impl1.ior | 140 +- CPLD/LCMXO2-1200HC/promote.xml | 2 +- CPLD/LCMXO2-1200HC/reportview.xml | 2 +- CPLD/LCMXO2-640HC/RAM2E_LCMXO2_640HC.ldf | 5 +- CPLD/LCMXO2-640HC/RAM2E_LCMXO2_640HC_tcl.html | 37 +- .../impl1/RAM2E_LCMXO2_640HC_impl1.alt | 41 +- .../impl1/RAM2E_LCMXO2_640HC_impl1.areasrr | 52 +- .../impl1/RAM2E_LCMXO2_640HC_impl1.bgn | 4 +- .../impl1/RAM2E_LCMXO2_640HC_impl1.bit | Bin 6447 -> 6942 bytes .../impl1/RAM2E_LCMXO2_640HC_impl1.edi | 6972 ++++++++++------- .../impl1/RAM2E_LCMXO2_640HC_impl1.jed | 859 +- .../impl1/RAM2E_LCMXO2_640HC_impl1.mrp | 370 +- .../impl1/RAM2E_LCMXO2_640HC_impl1.pad | 126 +- .../impl1/RAM2E_LCMXO2_640HC_impl1.prf | 75 +- .../impl1/RAM2E_LCMXO2_640HC_impl1.srr | 471 +- .../impl1/RAM2E_LCMXO2_640HC_impl1.tw1 | 70 +- .../impl1/RAM2E_LCMXO2_640HC_impl1.twr | 1534 ++-- .../impl1/RAM2E_LCMXO2_640HC_impl1_bgn.html | 8 +- .../impl1/RAM2E_LCMXO2_640HC_impl1_cck.rpt | 38 +- .../RAM2E_LCMXO2_640HC_impl1_iotiming.html | 143 +- .../impl1/RAM2E_LCMXO2_640HC_impl1_mapvo.sdf | 4860 +++++++----- .../impl1/RAM2E_LCMXO2_640HC_impl1_mapvo.vo | 6419 +++++++++------ .../impl1/RAM2E_LCMXO2_640HC_impl1_mrp.html | 300 +- .../impl1/RAM2E_LCMXO2_640HC_impl1_pad.html | 126 +- .../impl1/RAM2E_LCMXO2_640HC_impl1_par.html | 101 +- .../impl1/RAM2E_LCMXO2_640HC_impl1_scck.rpt | 8 +- .../RAM2E_LCMXO2_640HC_impl1_summary.html | 6 +- .../RAM2E_LCMXO2_640HC_impl1_synplify.html | 471 +- .../impl1/RAM2E_LCMXO2_640HC_impl1_tw1.html | 76 +- .../impl1/RAM2E_LCMXO2_640HC_impl1_twr.html | 1540 ++-- .../impl1/RAM2E_LCMXO2_640HC_impl1_vo.sdf | 5470 +++++++------ .../impl1/RAM2E_LCMXO2_640HC_impl1_vo.vo | 6457 +++++++++------ .../impl1/hdla_gen_hierarchy.html | 14 +- .../impl1/ram2e_lcmxo2_640hc_impl1.ior | 143 +- CPLD/LCMXO2-640HC/promote.xml | 2 +- CPLD/LCMXO2-640HC/reportview.xml | 2 +- CPLD/MAXII/RAM2E.qsf | 108 +- CPLD/MAXII/output_files/RAM2E.asm.rpt | 48 +- CPLD/MAXII/output_files/RAM2E.done | 2 +- CPLD/MAXII/output_files/RAM2E.fit.rpt | 584 +- CPLD/MAXII/output_files/RAM2E.fit.summary | 6 +- CPLD/MAXII/output_files/RAM2E.flow.rpt | 48 +- CPLD/MAXII/output_files/RAM2E.jdi | 2 +- CPLD/MAXII/output_files/RAM2E.map.rpt | 205 +- CPLD/MAXII/output_files/RAM2E.map.smsg | 6 +- CPLD/MAXII/output_files/RAM2E.map.summary | 6 +- CPLD/MAXII/output_files/RAM2E.pin | 36 +- CPLD/MAXII/output_files/RAM2E.pof | Bin 7861 -> 7877 bytes CPLD/MAXII/output_files/RAM2E.sta.rpt | 803 +- CPLD/MAXII/output_files/RAM2E.sta.summary | 34 +- CPLD/MAXV/RAM2E.qsf | 108 +- CPLD/MAXV/RAM2E.qws | Bin 619 -> 619 bytes CPLD/MAXV/output_files/RAM2E.asm.rpt | 46 +- CPLD/MAXV/output_files/RAM2E.done | 2 +- CPLD/MAXV/output_files/RAM2E.fit.rpt | 596 +- CPLD/MAXV/output_files/RAM2E.fit.summary | 6 +- CPLD/MAXV/output_files/RAM2E.flow.rpt | 48 +- CPLD/MAXV/output_files/RAM2E.jdi | 2 +- CPLD/MAXV/output_files/RAM2E.map.rpt | 205 +- CPLD/MAXV/output_files/RAM2E.map.smsg | 6 +- CPLD/MAXV/output_files/RAM2E.map.summary | 6 +- CPLD/MAXV/output_files/RAM2E.pin | 36 +- CPLD/MAXV/output_files/RAM2E.pof | Bin 7861 -> 7877 bytes CPLD/MAXV/output_files/RAM2E.sta.rpt | 800 +- CPLD/MAXV/output_files/RAM2E.sta.summary | 34 +- CPLD/RAM2E-LCMXO2.lpf | 118 +- CPLD/RAM2E-MAX.sdc | 4 +- CPLD/RAM2E.mif | 51 +- CPLD/RAM2E.qsf | 259 - CPLD/RAM2E.v | 92 +- CPLD/UFM-LCMXO2.v | 5 +- CPLD/UFM-MAX.v | 3 +- Documentation/GW4203BDevNote.pdf | Bin 720747 -> 720318 bytes Documentation/GW4203BManual.pdf | Bin 1236565 -> 1236529 bytes 104 files changed, 44355 insertions(+), 33233 deletions(-) delete mode 100644 CPLD/RAM2E.qsf diff --git a/CPLD/LCMXO2-1200HC/RAM2E_LCMXO2_1200HC.ldf b/CPLD/LCMXO2-1200HC/RAM2E_LCMXO2_1200HC.ldf index 0468376..8ce05d9 100644 --- a/CPLD/LCMXO2-1200HC/RAM2E_LCMXO2_1200HC.ldf +++ b/CPLD/LCMXO2-1200HC/RAM2E_LCMXO2_1200HC.ldf @@ -3,9 +3,12 @@ - + + + + diff --git a/CPLD/LCMXO2-1200HC/RAM2E_LCMXO2_1200HC_tcl.html b/CPLD/LCMXO2-1200HC/RAM2E_LCMXO2_1200HC_tcl.html index cf1147b..be4e2bb 100644 --- a/CPLD/LCMXO2-1200HC/RAM2E_LCMXO2_1200HC_tcl.html +++ b/CPLD/LCMXO2-1200HC/RAM2E_LCMXO2_1200HC_tcl.html @@ -6,13 +6,56 @@ --> -
pn230921045934
-#Start recording tcl command: 9/21/2023 04:58:28
+
pn231218062259
+#Start recording tcl command: 12/5/2023 23:09:24
 #Project Location: //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC; Project name: RAM2E_LCMXO2_1200HC
 prj_project open "//Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC/RAM2E_LCMXO2_1200HC.ldf"
-prj_run PAR -impl impl1 -task IOTiming
+prj_run Export -impl impl1
+prj_run Export -impl impl1
+#Stop recording: 12/18/2023 06:22:59
+
+
+
+pn231226182753
+#Start recording tcl command: 12/26/2023 18:26:59
+#Project Location: //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC; Project name: RAM2E_LCMXO2_1200HC
+prj_project open "//Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC/RAM2E_LCMXO2_1200HC.ldf"
+#Stop recording: 12/26/2023 18:27:53
+
+
+
+pn231226232448
+#Start recording tcl command: 12/26/2023 21:40:03
+#Project Location: //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC; Project name: RAM2E_LCMXO2_1200HC
+prj_project open "//Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC/RAM2E_LCMXO2_1200HC.ldf"
+prj_run Export -impl impl1
 prj_run Export -impl impl1 -forceAll
-#Stop recording: 9/21/2023 04:59:34
+prj_run Export -impl impl1 -forceAll
+prj_run Export -impl impl1 -forceAll
+prj_run Export -impl impl1 -forceAll
+prj_src exclude "//Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-LCMXO2.v"
+prj_src remove "//Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-LCMXO2.v"
+#Stop recording: 12/26/2023 23:24:48
+
+
+
+pn231226233648
+#Start recording tcl command: 12/26/2023 23:26:30
+#Project Location: //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC; Project name: RAM2E_LCMXO2_1200HC
+prj_project open "//Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC/RAM2E_LCMXO2_1200HC.ldf"
+prj_run Export -impl impl1
+prj_run Export -impl impl1
+prj_run Export -impl impl1
+prj_run Export -impl impl1 -forceAll
+#Stop recording: 12/26/2023 23:36:48
+
+
+
+pn231226233754
+#Start recording tcl command: 12/26/2023 23:36:58
+#Project Location: //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC; Project name: RAM2E_LCMXO2_1200HC
+prj_project open "//Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC/RAM2E_LCMXO2_1200HC.ldf"
+#Stop recording: 12/26/2023 23:37:54
 
 
 
diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.alt b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.alt
index 0f72508..6a839f5 100644
--- a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.alt
+++ b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.alt
@@ -1,14 +1,12 @@
 NOTE Copyright (C), 1992-2010, Lattice Semiconductor Corporation *
 NOTE All Rights Reserved *
-NOTE DATE CREATED: Thu Sep 21 05:35:26 2023 *
+NOTE DATE CREATED: Thu Dec 28 23:10:34 2023 *
 NOTE DESIGN NAME: RAM2E *
 NOTE DEVICE NAME: LCMXO2-1200HC-4TQFP100 *
 NOTE PIN ASSIGNMENTS *
 NOTE PINS RD[0] : 36 : inout *
 NOTE PINS LED : 35 : out *
 NOTE PINS C14M : 62 : in *
-NOTE PINS DQMH : 49 : out *
-NOTE PINS DQML : 48 : out *
 NOTE PINS RD[7] : 43 : inout *
 NOTE PINS RD[6] : 42 : inout *
 NOTE PINS RD[5] : 41 : inout *
@@ -16,25 +14,27 @@ NOTE PINS RD[4] : 40 : inout *
 NOTE PINS RD[3] : 39 : inout *
 NOTE PINS RD[2] : 38 : inout *
 NOTE PINS RD[1] : 37 : inout *
-NOTE PINS RA[11] : 59 : out *
-NOTE PINS RA[10] : 64 : out *
-NOTE PINS RA[9] : 63 : out *
-NOTE PINS RA[8] : 65 : out *
-NOTE PINS RA[7] : 67 : out *
-NOTE PINS RA[6] : 69 : out *
-NOTE PINS RA[5] : 71 : out *
-NOTE PINS RA[4] : 75 : out *
-NOTE PINS RA[3] : 74 : out *
-NOTE PINS RA[2] : 70 : out *
-NOTE PINS RA[1] : 68 : out *
-NOTE PINS RA[0] : 66 : out *
+NOTE PINS DQMH : 49 : out *
+NOTE PINS DQML : 48 : out *
+NOTE PINS RAout[11] : 59 : out *
+NOTE PINS RAout[10] : 64 : out *
+NOTE PINS RAout[9] : 63 : out *
+NOTE PINS RAout[8] : 65 : out *
+NOTE PINS RAout[7] : 67 : out *
+NOTE PINS RAout[6] : 69 : out *
+NOTE PINS RAout[5] : 71 : out *
+NOTE PINS RAout[4] : 75 : out *
+NOTE PINS RAout[3] : 74 : out *
+NOTE PINS RAout[2] : 70 : out *
+NOTE PINS RAout[1] : 68 : out *
+NOTE PINS RAout[0] : 66 : out *
 NOTE PINS BA[1] : 60 : out *
 NOTE PINS BA[0] : 58 : out *
-NOTE PINS nRWE : 51 : out *
-NOTE PINS nCAS : 52 : out *
-NOTE PINS nRAS : 54 : out *
-NOTE PINS nCS : 57 : out *
-NOTE PINS CKE : 53 : out *
+NOTE PINS nRWEout : 51 : out *
+NOTE PINS nCASout : 52 : out *
+NOTE PINS nRASout : 54 : out *
+NOTE PINS nCSout : 57 : out *
+NOTE PINS CKEout : 53 : out *
 NOTE PINS nVOE : 10 : out *
 NOTE PINS Vout[7] : 12 : out *
 NOTE PINS Vout[6] : 14 : out *
@@ -71,7 +71,6 @@ NOTE PINS Ain[1] : 2 : in *
 NOTE PINS Ain[0] : 3 : in *
 NOTE PINS nC07X : 34 : in *
 NOTE PINS nEN80 : 82 : in *
-NOTE PINS nWE80 : 83 : in *
 NOTE PINS nWE : 29 : in *
 NOTE PINS PHI1 : 85 : in *
 NOTE CONFIGURATION MODE: NONE *
diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.areasrr b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.areasrr
index 717f690..927a5fd 100644
--- a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.areasrr
+++ b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.areasrr
@@ -1,41 +1,61 @@
 ----------------------------------------------------------------------
 Report for cell RAM2E.verilog
 
-Register bits: 111 of 1280 (9%)
+Register bits: 122 of 1280 (10%)
 PIC Latch:       0
-I/O cells:       70
+I/O cells:       69
                                           Cell usage:
                                cell       count    Res Usage(%)
                                  BB        8       100.0
                               CCU2D        9       100.0
                                 EFB        1       100.0
-                            FD1P3AX       48       100.0
+                            FD1P3AX       61       100.0
                             FD1P3IX        1       100.0
-                            FD1S3AX       22       100.0
-                            FD1S3IX        4       100.0
+                            FD1S3AX       21       100.0
+                            FD1S3AY        4       100.0
+                            FD1S3IX        6       100.0
                                 GSR        1       100.0
-                                 IB       22       100.0
+                                 IB       21       100.0
                            IFS1P3DX        1       100.0
                                 INV        1       100.0
                                  OB       40       100.0
-                           OFS1P3BX        6       100.0
-                           OFS1P3DX       27       100.0
+                           OFS1P3BX        5       100.0
+                           OFS1P3DX       21       100.0
                            OFS1P3IX        2       100.0
-                           ORCALUT4      221       100.0
+                           ORCALUT4      277       100.0
+                              PFUMX        3       100.0
                                 PUR        1       100.0
-                                VHI        2       100.0
-                                VLO        2       100.0
+                                VHI        3       100.0
+                                VLO        3       100.0
 SUB MODULES 
+                          RAM2E_UFM        1       100.0
                                REFB        1       100.0
                             
-                         TOTAL           420           
+                         TOTAL           492           
 ----------------------------------------------------------------------
-Report for cell REFB.netlist
-     Instance path:  ufmefb
+Report for cell RAM2E_UFM.netlist
+     Instance path:  ram2e_ufm
                                           Cell usage:
                                cell       count    Res Usage(%)
                                 EFB        1       100.0
-                                VHI        1        50.0
-                                VLO        1        50.0
+                            FD1P3AX       30        49.2
+                            FD1P3IX        1       100.0
+                            FD1S3IX        1        16.7
+                           ORCALUT4      272        98.2
+                              PFUMX        3       100.0
+                                VHI        2        66.7
+                                VLO        2        66.7
+SUB MODULES 
+                               REFB        1       100.0
+                            
+                         TOTAL           313           
+----------------------------------------------------------------------
+Report for cell REFB.netlist
+     Instance path:  ram2e_ufm.ufmefb
+                                          Cell usage:
+                               cell       count    Res Usage(%)
+                                EFB        1       100.0
+                                VHI        1        33.3
+                                VLO        1        33.3
                             
                          TOTAL             3           
diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.bgn b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.bgn
index 0881a22..f295f02 100644
--- a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.bgn
+++ b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.bgn
@@ -4,7 +4,7 @@ Copyright (c) 1995 AT&T Corp.   All rights reserved.
 Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
 Copyright (c) 2001 Agere Systems   All rights reserved.
 Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
-Thu Sep 21 05:35:21 2023
+Thu Dec 28 23:10:30 2023
 
 
 Command: bitgen -g RamCfg:Reset -path //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC -w -jedec -gui -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC/promote.xml RAM2E_LCMXO2_1200HC_impl1.ncd RAM2E_LCMXO2_1200HC_impl1.prf 
@@ -81,6 +81,6 @@ UFM Utilization: General Purpose Flash Memory.
 Available General Purpose Flash Memory:  511 Pages (Page 0 to Page 510).
 Initialized UFM Pages:                   321 Pages (Page 190 to Page 510).
  
-Total CPU Time: 4 secs 
-Total REAL Time: 5 secs 
+Total CPU Time: 3 secs 
+Total REAL Time: 4 secs 
 Peak Memory Usage: 275 MB
diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.bit b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1.bit
index 47ca44149c567b7f41421f81f15a82f1a873b147..6ed6435046874e1cba51ec42089e1e08797fefe0 100644
GIT binary patch
literal 9711
zcmbta3s_Ufww}G&i3vvC2~i$W-9QKr(UXK|flD=k@H9joYO&9Rhd>W1Vr;SX$Ob|L
zj2Z!Lu(Ss8+4i*f=&jmUz^GWMfVQXheC-h_$6}AC;-f9rNAAo{0@2%Z&;9OQ-Q;cIh2?9YB#v^EszkQ}_MyV($!F)4=p(4;Ia1ji=^Xi7IT-6k<>+<#wQJ(2couPMY0#AGKnNL@x_uAWu?+NEAtD0
zvOK@IL|>S%Ut12b6{}?V5(&uEmFwduXP4Q|F0LJ3IUcNnKuf#)&Sgw{3jEOjf0HmXq0KAoJ8xuPjyyP(foUO)S
zuM2xj4EQRKAsHoJHmxdF)RpOh^aX%Lfz45b%|nQ50R`~Xi_`2LLde1=QEz20qdla!
z5;jPMh3_c@APV4OTeAlavK$)D=Mln(;U44bY@R}+7O1#3Aoi3&o}&PM^Cx=`K0Dc8ACKV7AF%SgEZt^_fEN2VH6$W4+t2q&56=4NL&cN3SACIvB
zSeS|dB7?*N;DUK8#EI@bBceq?7SOj3$NV<86-!Z{dAJsqkT7YiM2vBWBPo1&za~%t
z7tIz1ONOW*iU2sJ2vdY2+Z(qGxO$ib;AK#_)CmQ^V${O5U|9`F@bs9uskyBbn5bx#
zSmDEr;Mp++O$KRz8B|M{4hg6iqB`GzHsNq*V3sP8m&hA_g19DaO<#w$>uD1#7z%@n
zwIi3>%*rhHH<@O!ljZ72y%nm)9}72Xt6*VZc3EqJK4;+KJN7gM01_L-xJZm=4wS7V
zhQxixXCxFHDvn<~05xN?X?S^W9Bw0qE2`UwpQyg0(>l$=(H9`%B#5SA#ZV9c?5_d~
zo>MpWyrZi2w?Sd3Qv*v@&_qK)74K$l|v^&l+jR*mIwQo_TqwD1FQVXV{G1*S0gA(6-pd5*
zY@uA4h#ZP3jTfHE-I8cReci<0t2U|mm_P5hAfikCChyRGq`}-6Zfmu?OD+N`vMgRG
z{c*?1sOy=h#TEo{TP9afFQCOACkgM{&mLabK9DtZLZCo{xM=3j4;=T-u5$d+blLIo
z4u>O~#aVyy_Q@yq2hIIbJR-jA=w5N#jYYNpFVp{4^ojBAF5M?rU%Rxb<&JjUwb(|J
zOmm@P^zwUY`?ps9D5~jpzaZ){{o$iM?rQ~W#3`2!ycHM!bD+HWT*h
zJRg3FuVJYOD=;%t&vyDPp@pCz3%4p#;V3Pq3O9@QiqZ0t#f9W+S8p27GsG&@Yf;fs|1Ql6DVA0w+tsC2t?
z>*o4AvsjQJPrVtjpX^a3NUZsh{i2ghv~xT3Y$BoHNIu)JMJV{%+8b1x=o2HDIdYlqmpD%K^r;Cvm_yS$u5%^-YEZ}}p4HJ#hh%a>RHs5Br5Nn+p3gbpy8k{&&Mdu>5j2jy80mq-#r1)asq0+ez_juyBBzArcW5`A}?cO0@O{tRH+r7fB`86?f$Bc&;cr
zCF<3ur;2P4;;n??5fQ#LV#goZ2Vc{s*q0nq6Sk1*w8o)r{(NE{R7yr%`vGu2CGSv=
zF}ci0CDX&Lrm9-eB6}LM&0Jzwbs$NB1|#~*$zI-O?@U7r+r~}c9*x*1>TJ*3R?6=|
zYHq3mRRHk4?BDO-S#n67V&QKyq(yc%=4G#*A^+BY$wP0rBD{Dw8$?Ml$<&UgCKxOQ
zPnOQ$9UZL4pGhr>3~r1qtd7a|bw&hN%qNcRk=1n)@l~b%QEe3id+kL{b<1UUCeW>D
zAa~@!L|YV{hl8eUlT^jDs#?;g4qRd)7e*lzG&a66rhh&6d%sL6-^Y7$n9@Ig&cOc0
z_~{L&nWr%*h`ayuGjh|zc;7c>os}{H}nlw4!e+O(4S|0
z*0S}-;aRKsAKsmL{nZ1#BVR0@`SKg$qS2HGcW=!fb$l*blSO-Us2>$v*^oc=tq=J#
z7JM6jzZD<6My4R;Og>kt8P3P
z8C>&$mmawmtKYHLMx{Z?}NLqg$)vj
z6XjNbdg+=3z@__ddqS08Y-sOKeaFwFMW!4s#4Y$d73Pcc|b9_V|P-flrlW
zEHv^Vf}fNdIw9C(!gKYhFTv!93_{(C4AB6duD~myPsvGCM{KfjmZ!otVS@;|sHq9^
zFw)3}>-VaYfp?ldf}SLrSnWR`wm@^n`<{(s0Iajz0gc(1X~1JDgj(L-OkjEe%?KoP
zF!~fu%KR?ryT*cuj@_Zxww>Bt_AD^vWyRF(Pvo`fbJ`K8$kNSVOZnEI2EP1oa@Wn`
zzl+Tu8j8bh?^VPUzl!7GKBY6bu@Hs(DTe?H9+bF#Kbv`K<@UqS^iCl&HbX
zDTqV`al5zMKnU;LUM0y
zO3AuWM@>jp;0rWd&9cE%I2T(qtC#d;}MDqP2FOzHH|E*6??hGeI#7jSgf&dHqk-5v96iT;6DNQ*XEExcv2$1*~`p^{SxVkiecgPxZWM
zHd^3#8g(E9?|1D#u+UmJQhY3g-Q7f%K1*EBUYV%k2tKdj_s2x!5vp*D1b}2{OK2j1
zyRTWNE-sgbOq53C+EXul$HPQ^Edj@rkrO6`!&er=Qwxpk{XR#gs8MbY(VZH3)?-4=J0s
z?<_~#Woh7q47}S}`)#nqQO
zuIf3JO|Ohh(a-!xrQ;39{2nHLE?^$UI-D{XxvSE_H{Y
zNw_gBY{ygk%8)(;6!~>CKn_QHC|J9R-&%Z}Cwr#!%k~1QOi9u(ucCZG!Sy9I7qU8II(ILeB??aSHUjAnkw;xN6r?%e6H=!*kvHoYwI_I3myL!I5H26!
zb_O2SoRbyD7^EjBqBe3oczj5%mK#eZ6hfH0pJ0zd?3;YSG0aStbIH|4dM&6=di
zspfb4XeVL-2xxR6#I>P5L7`LF(kG>X`67F@DB<4U;cn9a-7k#gnTtUrATBQK3vQvd
zVA5?FX*`Tf8p+**M!_gmD`-Ys!dAB6OifsT*^3am4F_
zNc(YC_`x^lmCT%~3rM?gCQ^a40m18C=eX}uP1}@v+vYwz`0MTW@AYIo_{5=ncx&Ru
zD^LC~cyefz845WRBHxwmy!%ID7w|Um?4$J($IEf?-j2V9wD-LSGnkWSf{f1&em&Ig
z#5&8F8y2sL$)DL&^dLC1O|WiFgYTHLq^Njc+Dw
za2#j9(-#4gA*=nt&+Q{SFXPki-=#g!RG2h2FsQV?J8P8S+{eH1@@ZT^nh^k-GLB32
z=mBuJwf~0jObB3#e*^qKs4?_O2yLa)$C(k}H=O@QOxzil;vGOxU54Tmu5e3(L8}Q6
zoxB0J0M!&U?J$ByEL;R<1>iNn6-m$+Bsa|_a%eX{UNfubY@+Tf30A?l5^`t&j*=7n
zh!Bcw(9XwC0Y=;G(+*QhPr|jSB4--lA>}IAHrMM_xWzCi!#K}TxZD48&4Xr4Kx`1K
zq}tmwz-rFJpvUv*_4xaGs2J930stp6Idn49f_nr|fPfSz?A)df6n4oZZZj1i1@{`@
zm}KQDOkzR1;z_t*_5?P84i5-ZFcmDkH?lIZ#X*l@F(a|fYa&!XvUC%xS4(4{p<)!&
zxCsM!5m!jc)#TC)BWs}rhS@%K4f+^)f%KYW_=Cjx(IPFQ<1!^^*0c5~I1AHSTMy#}
z7P$>cowI~t6=K53C0Aw$Utle{AoF9COi+U$_U0&?#zZOc!+7lS&ciMJ@9oe+v73CV
zNW!pf6PXm%7;L)R-1{dHaH$F}92bW$F2eY39vu7I-M3l@mFJLxyepL>JoVoLUrP
zfqRdGe1SOKN2fIZAPe1Y%D`-=k#@`yaS2hjpXZleyQir%b6fEn1dY@#f|;LrtB{=&
zu05L*M7yP^Cf3lP;2GZOF!{T+M8%TE_N~dxQ{5Q0Xb6CMb^Xh{XRE)L*6S`cPG(bX
zC8~)dG^p{mw?EIi`LUPx_TZ@cabJXL);WNQhDJJ0PwgXnyU%AVb@_yI2(Jg%ZSf<6
zlYEvh_~F;YU$;N#eezzSw9ct?mPGUN)%5L?Z_6`2jc=$AceGo39ITmlT3WMc517{j
zt5*ZASzhzgdt1M0DY>9)`F6wl`Y;a!@VbA_7H1tM`)fk)wcQwA{;Gb#r$tY`5yEG#
z+2cr!B0;g$PK8mf-p->35HzmL4TTkegu)4o4FJ;uusPWNdiAY>*J&sNx*S-z+`}g$
zM!kpYH0el7bs5q_Y6j`FUxR`j%s2;uNk|$>RY(;rqycIGi~>62R0-rrWYk1zXG@9o
zQ>n-X8^CYz$tgO+5yT>+CT?du6)m!GyR=B+eRSJ?np7YbQB?ykne=joUBxt~OoL)T
ziu__yJh{)fMw@Qel1_!rjB1>M7qcpF&EzF%Lw{%t@?%+XEoP;8)2HZYs9p?z@Ez>8
zc$>NwsmorH(WpHs9>8D@FGKLR{P3y3x^?fcG>YNKBMH~x^i0S#b+mh5#Yz4PMO&-s
zVv8)z_b>&g8-qTaz^)95->enL#m)6r`;IW$0!0SEvcifXEZkxmXjf+lr#X)rg^+e>
zQtHFFu?J5OjaQzTx?OQv?!O-?ycP55hB6mKnr4h(hvvR06H1s^;bY)e0B_nIIR0Sj
z&eFt0?Bo@HQg4G@EWoH8+f80xnlU75NOIoX-DZMHE+A+Hm`Xzyk`kPVuZy(5GI4I?
z+l;{kgLtO~E@;xN^NBO&%4P4V8`kO2DgmP81rR2sT?kHpiZ1F{LHF^=NrA=d6-dhU
z5i{n2_@LLd)djwt#Hh(Ew_M%M(<0$iAgw(?Ar6ukd*|ZD#`Bw!^kizoWD1F}Zmn(1
z{KMO>zIMCvsN{tIR8IKXd-qgU1OaN7X+y({6J3;zIxc^jvTH`t*WHfxd&5nt2alvX
zFmk@5Iw8Jn+~BzW$#;CmCl^lmzbW{&@>?x4mb+jD9PIrfY4VK+I~xLx54#;7`l@V<
zZtTVbQyP@e0QeP$KT6O@$ypC7P|c8t7+@}{FvVofB)6QYpPZnS54a>m=OhYecq}HY
zVZamKyI@|JQuX08=DuK-zG+F
zl;IXxAOg=+F{fSQx~3y(7Z?=@$iEiRkcEG+f+TCHc4v96?VM!DSas?m;3&LPr
z!2%TI9@GaS`j`s6Lpl?7n5L`1GuB8oGyxHbNV3Tsr$ozgl141IKW9G)Kg1d>n
zTjKdv_tyj1V0IM+JG@LTwBbbLhvVZhLXcA5V@RLFUKL;zGzZ2kAM@Sul+iiHe78>mj(eA3
ziXY;B0O_(SVA+Ag$3ld04tElc3P|%>O_A3sczxuvD0i?{KY$A$aUb
zq*?&rDer?x@IL1u){3kjR|?ATG#e0E1THANWFQ|1B+=Z>fMr!cg?oKn7+7`fbMa2+
zWg2g5U9$0tMl4G^p7uH~Mra9{IDpiUC~pN8vj+fSJ8UGt&v^`?_bYQ|9j-OUNR+q0
zUBgop2*RgP=|MhK9u3680XRh}&u1|JfyZTx5fBOhT9X;pnTRl~tKix^TmOO|i;xkYMMJ{CyBULvprUG3!y$SPLh9rHKw2EQC%k=t39eW=4n2usJd4?J)^VIv`a--!PX-1
z?*hSA>o5TM3#QnBUGGmL979-5zF)6b3@3lfy1p}ko;M&&Xfd%TneyX#1EBMesi>-z
zke-PjhCrLEuWJOqN1DIaz)=SMDB;XqEfip}X6r~?TxV7Sv(t*r^5K-UX}*y3+1LkuBU
zRFF#nsYbL)Ti04^SGT$~Dq6Hu0o`4@YunVKwcY+JRkvDi`OjPe0srpvKhOX9WWMjb
z-+Ru?ob#RUoHOVK29w!boWG37S+=q`fAy+@HTmY%rG#d6Y02tRleu{HDk7=aTxKp^
zW?BiJTUJ^Ik$A!*+G5j6SceFk6*Dcb*c?toPgh4xS5J?b83Tr@5jkd)c}-b7p(|cx
zT0wA)5bEi1Gl6zlS#jYiVwGv-vUnmpF)b>2sX>#rFe7TII!dL|YnB$TELowRzAC=}
zB$nnE6`Pmko7a>=Zu#n{e3c4hno7;_1Y2bqTV+~IZk8@n4V_w(88UN=*1(r5A)?fT
zYG!=&%y{)PM3gEj8f3424L($KbTrVcUI8t&DptijH3VnI&5BWh>}95cJX8Mf*%!`O
zz8vH%%U@GkY+gHz48Nu(TN6*H%99ggB
zLz{#@NOS9;KH@^sp!yBLNC4;>UV>2oD7?z7Ipqn&8$eJOv*K)qxf}-#QWl2r!I9LIJAK&iojQ&d40;
z0D^jSVwe|J2Okm5wCG7W<%PCDTgS`uAc%mq=O&h;z+658I;&AhsGk1zJ-8_&U;JY11P%BLFznuJx2z4LbuP^OA-@
z8PW~FrvlI}13q58FSdkJNl1bVBhv|Bf2;e}Pz3<=06w&a*e3+B;S{oP$dd{XEQb=L
z3e$m)+`4!O_cBHf4~A0!pn_Rgju!=p52kd$8(>Cd0pW!U;gbW*>4gAvr$R(QAlDl#
z%JID~q0wi#-k8pKv3x}fG)$C+gBcW6oa8GQYv>XVvU$B7+v`JBH1uqNbI1dHJJ0}3
z{lXpE<9$!Td8_3qQPTD)iO`{P3aQ~LKyV9N*!Xwps2WJLf0O<=J=QAcFgXIon;>zn
zKDT<*paB$-S-()7DLgPtW-O&ac}X-pn4CA}T~lSZp9Gdn6bC^S0nj6v^If6Bb1XJ?
z*cUZHR+F4wGmh6aOlK{TWl@(C0I6}
z0>(r!9;OpHFJW7iq!eX)xSU1}H9JkUksC(AVDjGvD~jfwsc?;&tU!LUb6!H!xLf;{
zmpeyQlArIK*SW)b^uG6PX-?4JKCP&oR3+{iRUxuIZb|(;jDj%k1Yic#$-OWpzm3SX
zW?K(32{2?gf8)#032N~Z!D>S1duBY%wuJQsS>;qyJ3Kd2+@+!ks
zJhD+z(^V!`w1_jpGQy{JQvl3YDf0=)fLtTMyM;phuNtB|do1R-A>cUyXd?k93;uS}Y)etUo^$)}mv50$RtU=WW(>1MfXv
z0}|__)x(=rvmp<&Fm{$gkkiu6s0mb*G#iNV8Yd7y1^_(gw`vLi5zq=QSWl_8={9FS
zxZXOUGE69Yd&PO-il?CyJX>oecqfTiX<;outkynD4BGLcMqDXL6)3_NDM(hX1|%QhetEACV=c?`NL<
zyXIE|74aG54A(A5Fu5YE@P@P)aB@+fd51CMcsS+=dMM#sx5nBQ|-IoN{Z*_&8e(An_#5boFu&+@pNd3?zDee
zXmLk-?<)oWWxcmOq+Ypk>ViZ%r6ZCXuv_V&0ZrJy{AY=~iVmlqDZDB^ZMbdrtBQIx
zaMObLg`Y&O@z1)kWC8(cr<|Az=nyGp1VFrZN^@NOsUUgr%eKyf=O>0Ybid!Zt)!&M
ze$5W4{4jw<7>1E_^5fl7^sNb!T)LH&k#H+zf-lzC@pGQ*uGdz~vsd4~J^qg1
zd-r!of69I3Vxjv_nu4>a$fvc|*D|B*gZG_1CFkzHXLtSc
z$M<_qJ-z8A_tTRi6W#NV_bFwMzTGm{knYJbK`pmo?J_mxNWT8F=;5X0s((LQHt!#q
zH-5bJI$ynP(S)xijT@92%pj+@Jj0D}|9`f<2hi~6r_tOlA?Obs6{BaH6
ze{uhA$@SN@|2Tf7Ec%T*v+oCgaO8r!m13(AP+^p~>Fi|cdBu7eI#19wu%&CNa;g%h
zEZWK68U(M5Ofb5r378XIXm`R&4%|$#I0!-b&TK74_3j~y7B6wYAON#`w4BpdwgMbd
z^4>|$iQQylFlE63I8PbDowy~@QP-@IimOQ)@k~4fW{V(^s*b1A(m4whQcU6ms0tJ;
z4zRSRMupc{cgg!npG@eL6*e>gl(t|_)tjuzu^U^E63KmA=x=`X4j49YY>y-&gZe!Nv-u_%Y;gQsgl>XzyFZL0sZj3Sy7WORi4O*8RI)w
z?EDyS$WTwLxmL3pKk6vXPJ)bm5gZS(*0-d|!~P;`yU~AWk9x9fx~N%ORx(>M@YW9m
z#Kt5KI0d!6k8ksBv3R3N=Q|zUgj!jGRwncmqiDfJ52h$O;ZB|iPO){j6^NB73d
z1De2}wQ%?t27O5*(%TkU@=aIPBDLwQ3tO!RI`kn2i=9AN2@RBd`Z{hvUmUbX7Kebo;K=;LmzCWakCgm-<
zw!x~}fo72K;f>fisAv&l1wRN+#8^Rbv_4&yHdh-JT2R|h$U`6zf^UW&^9Bo>I9y4w$Yiw6ieC#o>Qz+SPF
z*j%tvs!^eXa8A%C!cdKhM*)IftPpF1G}Tz7h$dt$3dC?S2qt8z_#$BTHcT^V`+$Mx
zs59^?C*Ja@hbd(b(XJ%9gBxZPoSj&N+i^Q$6m$oW01;T!D!N_f8MY>dIM7Tq6EPDI
zD}+B_p}laSl(owEPTC4KpBhJJ4OY-%yYo<}Jrs@Io2_YvQ2~9u}bp&hf0+
z06?A4PAs|t=ov>#xv;h&y>)CvHr$UjV`XI3Tt_1RHU*cqLTNWk!NR|EG({}Br56vj
zWC}s}=jF9&lKSPPeo{nGDL@}4Fxdii!Fx)KimQZuvqYHgliaSWB^JcT%*}NI@KgfZ
z6u{1hyqVY~I$d`mu1$xUsjD&5{msuWt$XLy3G=t6!@CZr3qhshL&ay||S%PR&%n(#PzZdrHZCgP~nvdUtJOsck{
zMwr;>25Hd*D8{2jnL;I56Q83^ZI+k8bp(+YB>-h8w}v5p;ak&NH|FJ#y3KJpQ^bOf
zgO|_Vnzq5AT=@wBfLdEa#{(mlMY^1sSvFP8_?aC=3p-}jB8xY?XElQ(&TS$
zbyV%RzTq49vGHzq(H!@K6RYn;yT6)$^qHlDVRs~%9k3%jf}*AHpLtSy{k?5k?phO<
zHxE$sV1D5A@Sx_AIO$b{M-8CFDQ^S_D3FAbhXf-)SYQqjqe1U78)4qa6E+*HBVde3
zXC8sun6PUv=4h0mMi`DGMd4MP0%UJz0{lc55S)hr4o^!SVINfPDbm^}lYnO{ICH&0
zk*JQsNzdfvJfrqI5T!eUi(*f0*hN4;lF979M)rW9$sh92Ag8Aqt?TFu=Jd=my3{CU
zD$}M%YEk;GOd2TnV5$76FsewrzutrVXFb*lXEVG%Y)LbI9GqP2Rhr-$t_M4bT?~ey
z(H1HTgWYZ&f>9Mai0={*9uoRM!)v6#?)H}9S7U}Ww|nIj%rUi!X9UUI3}G5V8wtn@tSXVxm(!>l_P4-O$l*pjCE
z5{b-0$^1sWPcv@!+&Z@{D-qbeUeg#1?csKdXbqA9QB63nBLY=DdWRRjxgp$zB^%63J*fiE3eF0dSqLhE16QTbjuC7d@4>v=C
z$&KPEe#w50-L^bGsptg39%Na+X0C&{43-#lx`42k@moPZs@}G&t>k)vrjX57;f!xq
zWQUky3r?4PKIcqM9mUpVuB|bH=K#NMd=Ythx4t*4CV#h=v$hd_;^@SL;N&}PTVo5n
zJU|oElX@fppjT5a=U!~M-W%B#Dh{)G4on;q&-*b%xlPs_SuW2G+W+>{G8!LSDdpTR
zBset$uG(juzB#Vru(8uLJC{Bi3<>Y5<{1L$sEk<(OIQC{zjN8kRC^e2D95qxC`!o$
zf^QY)^xB*~6RDx9063mLdKcVHbg#PkNtGnw!oMFq_%SR?bKK!Yd353*XCD{UTV@=Z
z{L4+{S2sVq=|1?zj-M*7e7x3uY@Pdf$mG|JUi5n*{68lPEi(os75m)k&0FsElw9z!
zH*b`zAZ*VgNCL#<7EgCW-@w)J5lz
zNgJq&-mZ?OwS!vK;0PW}WJuTvrx#W$I8T=_ZfnJO=tMz(r=LsF+Dp;}$u6%VV}=4*
z3)j6K7eatK(rYbYa4kG4Z6iq!ht){%Lb$&fnepiZO#=uSvGhQ;uTxFYOO&@vta7nC
zLNAayFbXh|5f#K*^s>ZCki_{6HX_^5XGaCksU$fD
zj6Rs#*$rt3o1SS8%DD@z(ZE&$|2)8z8TDLYLmSRzX9!qX-#+H3z}SH-0AWi;A;63Wr&T4nJ?f@{C?{llAyHf!bp9Wl8g2^a+_>vRJ`WC=g7*ulYL60S6XluaP3I
zWXr?5V)*ujS}}uqj=XfCjtM42z@7aWKXw(~kC8e~KdIO^9LB{ILJXDIFk-XP5D2@7
zmMI1{66sGr?aUiBi4OqyV0GXV($1zYzL947_0s89TZfd85GGNA{!V!nzU);a?<~n2
zjwaB&|Eth%3a2ZVCYf5k5Q?Bj=znPg#qR0B0vuomD1b~QFbCLR-5y7h+nLTmCOO$U
zFuH)x#(MyN%#@KrUlwORstQV$@SX6cBjhv0nhCEy>(D`T1BB1eypfBa?&NV6`P
zVPlgLA3g-C-DT$iKZ0L)Vh|7?CAR!F6Tcro0X%L;wF_9j@G~+**uMHRc;Y%PJyRa!
z)J!ZpZ;SojD;5w*Gr6=jKLH*|ev1Oz84fqD(I*uO19_C7QW^>Y$Z6WaPB!{%3 F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+    (CLK => Q0) = (0:0:0,0:0:0);
+    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
+    $width (posedge CLK, 0:0:0);
+    $width (negedge CLK, 0:0:0);
+  endspecify
+
+endmodule
+
+module lut4 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'hFFFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module lut40003 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'hAAAB) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module vmuxregsre0004 ( input D0, D1, SD, SP, CK, LSR, output Q );
+
+  FL1P3BX INST01( .D0(D0), .D1(D1), .SP(SP), .CK(CK), .SD(SD), .PD(LSR), .Q(Q));
+  defparam INST01.GSR = "DISABLED";
+endmodule
+
+module SLICE_10 ( input B0, A0, DI0, CE, CLK, output F0, Q0, F1 );
   wire   GNDI, VCCI, DI0_dly, CLK_dly, CE_dly;
 
-  lut4 S_1( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
+  lut40005 GND( .A(GNDI), .B(GNDI), .C(GNDI), .D(GNDI), .Z(F1));
   gnd DRIVEGND( .PWR0(GNDI));
-  lut40003 \CmdTout_3_0_a2[0] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0));
+  lut40006 \ram2e_ufm/CmdTout_3_0_a3_0_a3[0] ( .A(A0), .B(B0), .C(GNDI), 
+    .D(GNDI), .Z(F0));
   vmuxregsre \CmdTout[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
     .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
   vcc DRIVEVCC( .PWR1(VCCI));
 
   specify
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
     (B0 => F0) = (0:0:0,0:0:0);
     (A0 => F0) = (0:0:0,0:0:0);
     (CLK => Q0) = (0:0:0,0:0:0);
@@ -964,27 +1312,59 @@ module SLICE_9 ( input C1, B1, A1, B0, A0, DI0, CE, CLK, output F0, Q0, F1 );
 
 endmodule
 
-module lut4 ( input A, B, C, D, output Z );
+module lut40005 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h2020) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'h0000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module lut40003 ( input A, B, C, D, output Z );
+module lut40006 ( input A, B, C, D, output Z );
 
   ROM16X1A #(16'h1111) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module SLICE_10 ( input D1, C1, B1, A1, C0, B0, A0, DI1, DI0, LSR, CLK, 
-    output F0, Q0, F1, Q1 );
-  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, LSR_dly;
+module SLICE_11 ( input B1, A1, C0, B0, A0, DI0, CE, CLK, output F0, Q0, F1 );
+  wire   GNDI, VCCI, DI0_dly, CLK_dly, CE_dly;
 
-  lut40004 \CS_RNO[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40005 \CS_RNO[0] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
+  lut40006 \ram2e_ufm/RC_3_0_0_a3_1[1] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), 
+    .Z(F1));
   gnd DRIVEGND( .PWR0(GNDI));
-  vmuxregsre0006 \CS[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(VCCI), 
+  lut40007 \ram2e_ufm/N_360_i ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
+  vmuxregsre \RC[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
+    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
+  vcc DRIVEVCC( .PWR1(VCCI));
+
+  specify
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+    (CLK => Q0) = (0:0:0,0:0:0);
+    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
+    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
+    $width (posedge CLK, 0:0:0);
+    $width (negedge CLK, 0:0:0);
+  endspecify
+
+endmodule
+
+module lut40007 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'h1515) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module SLICE_12 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, LSR, CLK, 
+    output F0, Q0, F1, Q1 );
+  wire   VCCI, DI1_dly, CLK_dly, DI0_dly, LSR_dly;
+
+  lut40008 \ram2e_ufm/CmdExecMXO2_3_0_a3_0_RNI6S1P8 ( .A(A1), .B(B1), .C(C1), 
+    .D(D1), .Z(F1));
+  lut40009 \ram2e_ufm/S_r_i_0_o2_RNIVM0LF[1] ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
+  vmuxregsre0010 \CS[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(VCCI), 
     .CK(CLK_dly), .LSR(LSR_dly), .Q(Q1));
   vcc DRIVEVCC( .PWR1(VCCI));
-  vmuxregsre0006 \CS[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), 
+  vmuxregsre0010 \CS[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), 
     .CK(CLK_dly), .LSR(LSR_dly), .Q(Q0));
 
   specify
@@ -992,6 +1372,7 @@ module SLICE_10 ( input D1, C1, B1, A1, C0, B0, A0, DI1, DI0, LSR, CLK,
     (C1 => F1) = (0:0:0,0:0:0);
     (B1 => F1) = (0:0:0,0:0:0);
     (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
     (C0 => F0) = (0:0:0,0:0:0);
     (B0 => F0) = (0:0:0,0:0:0);
     (A0 => F0) = (0:0:0,0:0:0);
@@ -1008,37 +1389,40 @@ module SLICE_10 ( input D1, C1, B1, A1, C0, B0, A0, DI1, DI0, LSR, CLK,
 
 endmodule
 
-module lut40004 ( input A, B, C, D, output Z );
+module lut40008 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'hA9AA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'hFF54) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module lut40005 ( input A, B, C, D, output Z );
+module lut40009 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'hA9A9) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'h00F7) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module vmuxregsre0006 ( input D0, D1, SD, SP, CK, LSR, output Q );
+module vmuxregsre0010 ( input D0, D1, SD, SP, CK, LSR, output Q );
 
   FL1P3IY INST01( .D0(D0), .D1(D1), .SP(SP), .CK(CK), .SD(SD), .CD(LSR), .Q(Q));
   defparam INST01.GSR = "DISABLED";
 endmodule
 
-module SLICE_11 ( input C1, B1, A1, C0, B0, A0, DI0, LSR, CLK, output F0, Q0, 
-    F1 );
-  wire   GNDI, VCCI, DI0_dly, CLK_dly, LSR_dly;
+module SLICE_13 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, LSR, CLK, output 
+    F0, Q0, F1 );
+  wire   VCCI, DI0_dly, CLK_dly, LSR_dly;
 
-  lut40007 \CS_RNO_0[2] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
-  gnd DRIVEGND( .PWR0(GNDI));
-  lut40008 \CS_RNO[2] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
-  vmuxregsre0006 \CS[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), 
+  lut40011 \ram2e_ufm/CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514_0 ( .A(A1), 
+    .B(B1), .C(C1), .D(D1), .Z(F1));
+  lut40012 \ram2e_ufm/CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514 ( .A(A0), .B(B0), 
+    .C(C0), .D(D0), .Z(F0));
+  vmuxregsre0010 \CS[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), 
     .CK(CLK_dly), .LSR(LSR_dly), .Q(Q0));
   vcc DRIVEVCC( .PWR1(VCCI));
 
   specify
+    (D1 => F1) = (0:0:0,0:0:0);
     (C1 => F1) = (0:0:0,0:0:0);
     (B1 => F1) = (0:0:0,0:0:0);
     (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
     (C0 => F0) = (0:0:0,0:0:0);
     (B0 => F0) = (0:0:0,0:0:0);
     (A0 => F0) = (0:0:0,0:0:0);
@@ -1053,138 +1437,34 @@ module SLICE_11 ( input C1, B1, A1, C0, B0, A0, DI0, LSR, CLK, output F0, Q0,
 
 endmodule
 
-module lut40007 ( input A, B, C, D, output Z );
-
-  ROM16X1A #(16'h0202) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module lut40008 ( input A, B, C, D, output Z );
-
-  ROM16X1A #(16'h6C6C) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module SLICE_12 ( input C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, 
-    Q0, F1 );
-  wire   GNDI, VCCI, DI0_dly, CLK_dly, CE_dly;
-
-  lut40009 CmdBitbangMXO2_4_u_0_0_a2_0_1( .A(A1), .B(B1), .C(C1), .D(GNDI), 
-    .Z(F1));
-  gnd DRIVEGND( .PWR0(GNDI));
-  lut40010 CmdBitbangMXO2_4_u_0_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
-  vmuxregsre CmdBitbangMXO2( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
-    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
-  vcc DRIVEVCC( .PWR1(VCCI));
-
-  specify
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (D0 => F0) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-    (CLK => Q0) = (0:0:0,0:0:0);
-    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
-    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
-    $width (posedge CLK, 0:0:0);
-    $width (negedge CLK, 0:0:0);
-  endspecify
-
-endmodule
-
-module lut40009 ( input A, B, C, D, output Z );
-
-  ROM16X1A #(16'h4040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module lut40010 ( input A, B, C, D, output Z );
-
-  ROM16X1A #(16'hC0EA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module SLICE_13 ( input C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, 
-    Q0, F1 );
-  wire   GNDI, VCCI, DI0_dly, CLK_dly, CE_dly;
-
-  lut40011 un1_CS_0_sqmuxa_0_0_a2_7( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
-  gnd DRIVEGND( .PWR0(GNDI));
-  lut40010 CmdExecMXO2_4_u_0_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
-  vmuxregsre CmdExecMXO2( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
-    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
-  vcc DRIVEVCC( .PWR1(VCCI));
-
-  specify
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (D0 => F0) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-    (CLK => Q0) = (0:0:0,0:0:0);
-    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
-    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
-    $width (posedge CLK, 0:0:0);
-    $width (negedge CLK, 0:0:0);
-  endspecify
-
-endmodule
-
 module lut40011 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h8080) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module SLICE_14 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output 
-    F0, Q0, F1 );
-  wire   VCCI, GNDI, DI0_dly, CLK_dly, CE_dly;
-
-  lut40012 CmdLEDGet_4_u_0_0_a2_0_2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40010 CmdLEDGet_4_u_0_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
-  vmuxregsre CmdLEDGet( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
-    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
-  vcc DRIVEVCC( .PWR1(VCCI));
-  gnd DRIVEGND( .PWR0(GNDI));
-
-  specify
-    (D1 => F1) = (0:0:0,0:0:0);
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (D0 => F0) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-    (CLK => Q0) = (0:0:0,0:0:0);
-    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
-    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
-    $width (posedge CLK, 0:0:0);
-    $width (negedge CLK, 0:0:0);
-  endspecify
-
+  ROM16X1A #(16'hA2A0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
 module lut40012 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h0800) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'hC4C6) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module SLICE_15 ( input D1, C1, B1, A1, C0, B0, A0, DI0, CE, CLK, output F0, 
+module SLICE_14 ( input C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, 
     Q0, F1 );
   wire   GNDI, VCCI, DI0_dly, CLK_dly, CE_dly;
 
-  lut40013 CmdLEDSet_4_u_0_0_a2_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40014 CmdLEDSet_4_u_0_0_0( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
+  lut40013 \ram2e_ufm/CmdLEDGet_3_0_a3_0 ( .A(A1), .B(B1), .C(C1), .D(GNDI), 
+    .Z(F1));
   gnd DRIVEGND( .PWR0(GNDI));
-  vmuxregsre CmdLEDSet( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
+  lut40014 \ram2e_ufm/CmdLEDGet_3_0_a3 ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
+  vmuxregsre CmdLEDGet( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
     .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
   vcc DRIVEVCC( .PWR1(VCCI));
 
   specify
-    (D1 => F1) = (0:0:0,0:0:0);
     (C1 => F1) = (0:0:0,0:0:0);
     (B1 => F1) = (0:0:0,0:0:0);
     (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
     (C0 => F0) = (0:0:0,0:0:0);
     (B0 => F0) = (0:0:0,0:0:0);
     (A0 => F0) = (0:0:0,0:0:0);
@@ -1199,23 +1479,24 @@ endmodule
 
 module lut40013 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h2000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'h4040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
 module lut40014 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'hCECE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'h2000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module SLICE_16 ( input C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, 
+module SLICE_15 ( input C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, 
     Q0, F1 );
   wire   GNDI, VCCI, DI0_dly, CLK_dly, CE_dly;
 
-  lut40007 CmdBitbangMXO2_4_u_0_0_a2_1( .A(A1), .B(B1), .C(C1), .D(GNDI), 
-    .Z(F1));
+  lut40013 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_5_1 ( .A(A1), .B(B1), .C(C1), 
+    .D(GNDI), .Z(F1));
   gnd DRIVEGND( .PWR0(GNDI));
-  lut40010 CmdRWMaskSet_4_u_0_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
-  vmuxregsre CmdRWMaskSet( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
+  lut40015 \ram2e_ufm/CmdLEDSet_3_0_a8_0_a3 ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
+  vmuxregsre CmdLEDSet( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
     .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
   vcc DRIVEVCC( .PWR1(VCCI));
 
@@ -1236,24 +1517,30 @@ module SLICE_16 ( input C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0,
 
 endmodule
 
-module SLICE_17 ( input D1, C1, B1, A1, C0, B0, A0, DI0, CE, CLK, output F0, 
-    Q0, F1 );
-  wire   GNDI, VCCI, DI0_dly, CLK_dly, CE_dly;
+module lut40015 ( input A, B, C, D, output Z );
 
-  lut40015 CmdSetRWBankFFLED_4_u_0_0_a2_0( .A(A1), .B(B1), .C(C1), .D(D1), 
-    .Z(F1));
-  lut40014 CmdSetRWBankFFLED_4_u_0_0_0( .A(A0), .B(B0), .C(C0), .D(GNDI), 
+  ROM16X1A #(16'h0080) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module SLICE_16 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output 
+    F0, Q0, F1 );
+  wire   VCCI, GNDI, DI0_dly, CLK_dly, CE_dly;
+
+  lut40016 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_9 ( .A(A1), .B(B1), .C(C1), 
+    .D(D1), .Z(F1));
+  lut40017 \ram2e_ufm/CmdRWMaskSet_3_0_a3 ( .A(A0), .B(B0), .C(C0), .D(D0), 
     .Z(F0));
-  gnd DRIVEGND( .PWR0(GNDI));
-  vmuxregsre CmdSetRWBankFFLED( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
-    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
+  vmuxregsre CmdRWMaskSet( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
+    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
   vcc DRIVEVCC( .PWR1(VCCI));
+  gnd DRIVEGND( .PWR0(GNDI));
 
   specify
     (D1 => F1) = (0:0:0,0:0:0);
     (C1 => F1) = (0:0:0,0:0:0);
     (B1 => F1) = (0:0:0,0:0:0);
     (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
     (C0 => F0) = (0:0:0,0:0:0);
     (B0 => F0) = (0:0:0,0:0:0);
     (A0 => F0) = (0:0:0,0:0:0);
@@ -1266,21 +1553,26 @@ module SLICE_17 ( input D1, C1, B1, A1, C0, B0, A0, DI0, CE, CLK, output F0,
 
 endmodule
 
-module lut40015 ( input A, B, C, D, output Z );
+module lut40016 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h4000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'h0001) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module SLICE_18 ( input C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, 
+module lut40017 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'h0020) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module SLICE_17 ( input C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, 
     Q0, F1 );
   wire   GNDI, VCCI, DI0_dly, CLK_dly, CE_dly;
 
-  lut40011 CmdSetRWBankFFLED_4_u_0_0_a2_1( .A(A1), .B(B1), .C(C1), .D(GNDI), 
+  lut40018 \ram2e_ufm/CmdRWMaskSet_3_0_a3_0 ( .A(A1), .B(B1), .C(C1), .D(GNDI), 
     .Z(F1));
   gnd DRIVEGND( .PWR0(GNDI));
-  lut40010 CmdSetRWBankFFMXO2_4_u_0_0_0( .A(A0), .B(B0), .C(C0), .D(D0), 
-    .Z(F0));
-  vmuxregsre CmdSetRWBankFFMXO2( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
+  lut40019 \ram2e_ufm/CmdSetRWBankFFLED_4_0_a8_0_a3 ( .A(A0), .B(B0), .C(C0), 
+    .D(D0), .Z(F0));
+  vmuxregsre CmdSetRWBankFFLED( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
     .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
   vcc DRIVEVCC( .PWR1(VCCI));
 
@@ -1301,12 +1593,22 @@ module SLICE_18 ( input C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0,
 
 endmodule
 
-module SLICE_19 ( input D1, C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output 
+module lut40018 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'h1010) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module lut40019 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'h4000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module SLICE_18 ( input D1, C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output 
     F0, Q0, F1, Q1 );
   wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
 
-  lut40016 \CmdTout_RNO[2] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40017 \CmdTout_RNO[1] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
+  lut40020 \ram2e_ufm/N_369_i ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
+  lut40021 \ram2e_ufm/N_368_i ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
   gnd DRIVEGND( .PWR0(GNDI));
   vmuxregsre \CmdTout[2] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
     .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
@@ -1333,26 +1635,65 @@ module SLICE_19 ( input D1, C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output
 
 endmodule
 
-module lut40016 ( input A, B, C, D, output Z );
+module lut40020 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h006A) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'h0078) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module lut40017 ( input A, B, C, D, output Z );
+module lut40021 ( input A, B, C, D, output Z );
 
   ROM16X1A #(16'h0606) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module SLICE_20 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, 
-    Q0, F1 );
-  wire   VCCI, GNDI, DI0_dly, CLK_dly;
+module SLICE_19 ( input B1, A1, B0, A0, M0, LSR, CLK, output F0, Q0, F1 );
+  wire   GNDI, VCCI, M0_dly, CLK_dly, LSR_dly;
 
-  lut40018 \S_RNII9DO1_2[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40019 DOEEN_RNO( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
-  vmuxregsre DOEEN( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), 
-    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
+  lut40022 \ram2e_ufm/SUM0_i_o2 ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40023 \ram2e_ufm/RA_35_i_i_0_a3_0[1] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), 
+    .Z(F0));
+  vmuxregsre0010 DOEEN( .D0(M0_dly), .D1(VCCI), .SD(GNDI), .SP(VCCI), 
+    .CK(CLK_dly), .LSR(LSR_dly), .Q(Q0));
+  vcc DRIVEVCC( .PWR1(VCCI));
+
+  specify
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+    (CLK => Q0) = (0:0:0,0:0:0);
+    $setuphold (posedge CLK, M0, 0:0:0, 0:0:0,,,, CLK_dly, M0_dly);
+    $setuphold (posedge CLK, LSR, 0:0:0, 0:0:0,,,, CLK_dly, LSR_dly);
+    $width (posedge LSR, 0:0:0);
+    $width (negedge LSR, 0:0:0);
+    $width (posedge CLK, 0:0:0);
+    $width (negedge CLK, 0:0:0);
+  endspecify
+
+endmodule
+
+module lut40022 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'h7777) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module lut40023 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'h8888) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module SLICE_20 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, 
+    output F0, Q0, F1, Q1 );
+  wire   VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
+
+  lut40024 \ram2e_ufm/RA_35_i_i_0[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
+  lut40025 \ram2e_ufm/RA_35_0_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  vmuxregsre \RA[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
+    .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
   vcc DRIVEVCC( .PWR1(VCCI));
   gnd DRIVEGND( .PWR0(GNDI));
+  vmuxregsre \RA[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
+    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
 
   specify
     (D1 => F1) = (0:0:0,0:0:0);
@@ -1364,42 +1705,8 @@ module SLICE_20 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0,
     (B0 => F0) = (0:0:0,0:0:0);
     (A0 => F0) = (0:0:0,0:0:0);
     (CLK => Q0) = (0:0:0,0:0:0);
-    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
-    $width (posedge CLK, 0:0:0);
-    $width (negedge CLK, 0:0:0);
-  endspecify
-
-endmodule
-
-module lut40018 ( input A, B, C, D, output Z );
-
-  ROM16X1A #(16'h0008) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module lut40019 ( input A, B, C, D, output Z );
-
-  ROM16X1A #(16'hA888) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module SLICE_21 ( input C1, B1, A1, C0, B0, A0, DI0, CE, CLK, output F0, Q0, 
-    F1 );
-  wire   GNDI, VCCI, DI0_dly, CLK_dly, CE_dly;
-
-  lut40020 \RA_0io_RNO[1] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
-  gnd DRIVEGND( .PWR0(GNDI));
-  lut40021 LEDEN_RNO( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
-  vmuxregsre LEDEN( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
-    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
-  vcc DRIVEVCC( .PWR1(VCCI));
-
-  specify
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-    (CLK => Q0) = (0:0:0,0:0:0);
+    (CLK => Q1) = (0:0:0,0:0:0);
+    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
     $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
     $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
     $width (posedge CLK, 0:0:0);
@@ -1408,30 +1715,68 @@ module SLICE_21 ( input C1, B1, A1, C0, B0, A0, DI0, CE, CLK, output F0, Q0,
 
 endmodule
 
-module lut40020 ( input A, B, C, D, output Z );
+module lut40024 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'hA8A8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'hFFF8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module lut40021 ( input A, B, C, D, output Z );
+module lut40025 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'hB8B8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'hECFF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module SLICE_22 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, 
-    Q0, F1, Q1 );
+module SLICE_21 ( input C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, output 
+    F0, Q0, F1, Q1 );
   wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
 
-  lut40020 \RA_RNO[3] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
+  lut40026 \ram2e_ufm/RA_35_0_0[3] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
   gnd DRIVEGND( .PWR0(GNDI));
-  lut40020 \RA_RNO[0] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
+  lut40024 \ram2e_ufm/RA_35_0_0[2] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
   vmuxregsre \RA[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
     .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
   vcc DRIVEVCC( .PWR1(VCCI));
-  vmuxregsre \RA[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
+  vmuxregsre \RA[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
     .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
 
   specify
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+    (CLK => Q0) = (0:0:0,0:0:0);
+    (CLK => Q1) = (0:0:0,0:0:0);
+    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
+    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
+    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
+    $width (posedge CLK, 0:0:0);
+    $width (negedge CLK, 0:0:0);
+  endspecify
+
+endmodule
+
+module lut40026 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'hF8F8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module SLICE_22 ( input D1, C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output 
+    F0, Q0, F1, Q1 );
+  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
+
+  lut40024 \ram2e_ufm/RA_35_0_0[5] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
+  lut40026 \ram2e_ufm/RA_35_0_0[4] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
+  gnd DRIVEGND( .PWR0(GNDI));
+  vmuxregsre \RA[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
+    .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
+  vcc DRIVEVCC( .PWR1(VCCI));
+  vmuxregsre \RA[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
+    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
+
+  specify
+    (D1 => F1) = (0:0:0,0:0:0);
     (C1 => F1) = (0:0:0,0:0:0);
     (B1 => F1) = (0:0:0,0:0:0);
     (A1 => F1) = (0:0:0,0:0:0);
@@ -1453,9 +1798,160 @@ module SLICE_23 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0,
     Q0, F1, Q1 );
   wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
 
-  lut40014 \RWBank_5_0[1] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
+  lut40026 \ram2e_ufm/RA_35_0_0_0[7] ( .A(A1), .B(B1), .C(C1), .D(GNDI), 
+    .Z(F1));
   gnd DRIVEGND( .PWR0(GNDI));
-  lut40014 \RWBank_5_0[0] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
+  lut40026 \ram2e_ufm/RA_35_0_0_0[6] ( .A(A0), .B(B0), .C(C0), .D(GNDI), 
+    .Z(F0));
+  vmuxregsre \RA[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
+    .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
+  vcc DRIVEVCC( .PWR1(VCCI));
+  vmuxregsre \RA[6] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
+    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
+
+  specify
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+    (CLK => Q0) = (0:0:0,0:0:0);
+    (CLK => Q1) = (0:0:0,0:0:0);
+    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
+    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
+    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
+    $width (posedge CLK, 0:0:0);
+    $width (negedge CLK, 0:0:0);
+  endspecify
+
+endmodule
+
+module SLICE_24 ( input C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, output 
+    F0, Q0, F1, Q1 );
+  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
+
+  lut40026 \ram2e_ufm/RA_35_0_0[9] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40027 \ram2e_ufm/un2_S_2_i_0_0_o3_RNIHFHN3 ( .A(A0), .B(B0), .C(C0), 
+    .D(D0), .Z(F0));
+  vmuxregsre \RA[9] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
+    .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
+  vcc DRIVEVCC( .PWR1(VCCI));
+  vmuxregsre \RA[8] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
+    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
+
+  specify
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+    (CLK => Q0) = (0:0:0,0:0:0);
+    (CLK => Q1) = (0:0:0,0:0:0);
+    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
+    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
+    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
+    $width (posedge CLK, 0:0:0);
+    $width (negedge CLK, 0:0:0);
+  endspecify
+
+endmodule
+
+module lut40027 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'hFEFC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module SLICE_25 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, 
+    output F0, Q0, F1, Q1 );
+  wire   VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
+
+  lut40028 \ram2e_ufm/RA_35_0_0[11] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
+  lut4 \ram2e_ufm/RA_35_2_0[10] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  vmuxregsre \RA[11] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
+    .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
+  vcc DRIVEVCC( .PWR1(VCCI));
+  gnd DRIVEGND( .PWR0(GNDI));
+  vmuxregsre \RA[10] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
+    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
+
+  specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+    (CLK => Q0) = (0:0:0,0:0:0);
+    (CLK => Q1) = (0:0:0,0:0:0);
+    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
+    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
+    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
+    $width (posedge CLK, 0:0:0);
+    $width (negedge CLK, 0:0:0);
+  endspecify
+
+endmodule
+
+module lut40028 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'hECA0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module SLICE_26 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, 
+    Q0, F1, Q1 );
+  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
+
+  lut40029 \ram2e_ufm/RC_3_0_0[2] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40030 \ram2e_ufm/RC_3_0_0[1] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
+  vmuxregsre \RC[2] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
+    .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
+  vcc DRIVEVCC( .PWR1(VCCI));
+  vmuxregsre \RC[1] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
+    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
+
+  specify
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+    (CLK => Q0) = (0:0:0,0:0:0);
+    (CLK => Q1) = (0:0:0,0:0:0);
+    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
+    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
+    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
+    $width (posedge CLK, 0:0:0);
+    $width (negedge CLK, 0:0:0);
+  endspecify
+
+endmodule
+
+module lut40029 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'h3838) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module lut40030 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'h4646) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module SLICE_27 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, 
+    Q0, F1, Q1 );
+  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
+
+  lut40031 \ram2e_ufm/RWBank_3_0[1] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40031 \ram2e_ufm/RWBank_3_0_0[0] ( .A(A0), .B(B0), .C(C0), .D(GNDI), 
+    .Z(F0));
   vmuxregsre \RWBank[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
     .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
   vcc DRIVEVCC( .PWR1(VCCI));
@@ -1480,13 +1976,18 @@ module SLICE_23 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0,
 
 endmodule
 
-module SLICE_24 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, 
+module lut40031 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'hCECE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module SLICE_28 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, 
     Q0, F1, Q1 );
   wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
 
-  lut40014 \RWBank_5_0[3] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
+  lut40031 \ram2e_ufm/RWBank_3_0[3] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
   gnd DRIVEGND( .PWR0(GNDI));
-  lut40014 \RWBank_5_0[2] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
+  lut40031 \ram2e_ufm/RWBank_3_0[2] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
   vmuxregsre \RWBank[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
     .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
   vcc DRIVEVCC( .PWR1(VCCI));
@@ -1511,13 +2012,14 @@ module SLICE_24 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0,
 
 endmodule
 
-module SLICE_25 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, 
+module SLICE_29 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, 
     Q0, F1, Q1 );
   wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
 
-  lut40014 \RWBank_5_0[5] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
+  lut40031 \ram2e_ufm/RWBank_3_0[5] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
   gnd DRIVEGND( .PWR0(GNDI));
-  lut40014 \RWBank_5_0[4] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
+  lut40031 \ram2e_ufm/RWBank_3_0_0[4] ( .A(A0), .B(B0), .C(C0), .D(GNDI), 
+    .Z(F0));
   vmuxregsre \RWBank[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
     .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
   vcc DRIVEVCC( .PWR1(VCCI));
@@ -1542,13 +2044,13 @@ module SLICE_25 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0,
 
 endmodule
 
-module SLICE_26 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, 
+module SLICE_30 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, 
     Q0, F1, Q1 );
   wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
 
-  lut40022 \RWBank_5_0_0[7] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
+  lut40032 \ram2e_ufm/RWBank_3_0[7] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
   gnd DRIVEGND( .PWR0(GNDI));
-  lut40014 \RWBank_5_0[6] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
+  lut40031 \ram2e_ufm/RWBank_3_0[6] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
   vmuxregsre \RWBank[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
     .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
   vcc DRIVEVCC( .PWR1(VCCI));
@@ -1573,152 +2075,25 @@ module SLICE_26 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0,
 
 endmodule
 
-module lut40022 ( input A, B, C, D, output Z );
+module lut40032 ( input A, B, C, D, output Z );
 
   ROM16X1A #(16'hECEC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module SLICE_27 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, 
-    Q0, F1, Q1 );
-  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
+module SLICE_31 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output 
+    F0, Q0, F1 );
+  wire   VCCI, GNDI, DI0_dly, CLK_dly, CE_dly;
 
-  lut40023 \RWMask_RNO[1] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
-  gnd DRIVEGND( .PWR0(GNDI));
-  lut40023 \RWMask_RNO[0] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
-  vmuxregsre \RWMask[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
-    .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
-  vcc DRIVEVCC( .PWR1(VCCI));
-  vmuxregsre \RWMask[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
-    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
-
-  specify
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-    (CLK => Q0) = (0:0:0,0:0:0);
-    (CLK => Q1) = (0:0:0,0:0:0);
-    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
-    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
-    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
-    $width (posedge CLK, 0:0:0);
-    $width (negedge CLK, 0:0:0);
-  endspecify
-
-endmodule
-
-module lut40023 ( input A, B, C, D, output Z );
-
-  ROM16X1A #(16'h7474) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module SLICE_28 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, 
-    Q0, F1, Q1 );
-  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
-
-  lut40023 \RWMask_RNO[3] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
-  gnd DRIVEGND( .PWR0(GNDI));
-  lut40023 \RWMask_RNO[2] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
-  vmuxregsre \RWMask[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
-    .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
-  vcc DRIVEVCC( .PWR1(VCCI));
-  vmuxregsre \RWMask[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
-    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
-
-  specify
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-    (CLK => Q0) = (0:0:0,0:0:0);
-    (CLK => Q1) = (0:0:0,0:0:0);
-    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
-    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
-    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
-    $width (posedge CLK, 0:0:0);
-    $width (negedge CLK, 0:0:0);
-  endspecify
-
-endmodule
-
-module SLICE_29 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, 
-    Q0, F1, Q1 );
-  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
-
-  lut40023 \RWMask_RNO[5] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
-  gnd DRIVEGND( .PWR0(GNDI));
-  lut40023 \RWMask_RNO[4] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
-  vmuxregsre \RWMask[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
-    .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
-  vcc DRIVEVCC( .PWR1(VCCI));
-  vmuxregsre \RWMask[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
-    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
-
-  specify
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-    (CLK => Q0) = (0:0:0,0:0:0);
-    (CLK => Q1) = (0:0:0,0:0:0);
-    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
-    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
-    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
-    $width (posedge CLK, 0:0:0);
-    $width (negedge CLK, 0:0:0);
-  endspecify
-
-endmodule
-
-module SLICE_30 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, 
-    Q0, F1, Q1 );
-  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
-
-  lut40021 \RWMask_RNO[7] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
-  gnd DRIVEGND( .PWR0(GNDI));
-  lut40023 \RWMask_RNO[6] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
-  vmuxregsre \RWMask[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
-    .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
-  vcc DRIVEVCC( .PWR1(VCCI));
-  vmuxregsre \RWMask[6] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
-    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
-
-  specify
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-    (CLK => Q0) = (0:0:0,0:0:0);
-    (CLK => Q1) = (0:0:0,0:0:0);
-    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
-    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
-    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
-    $width (posedge CLK, 0:0:0);
-    $width (negedge CLK, 0:0:0);
-  endspecify
-
-endmodule
-
-module SLICE_31 ( input C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, 
-    Q0, F1 );
-  wire   GNDI, VCCI, DI0_dly, CLK_dly, CE_dly;
-
-  lut40024 nDOE_pad_RNO( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
-  gnd DRIVEGND( .PWR0(GNDI));
-  lut40025 RWSel_2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  lut40033 \ram2e_ufm/RA_35_0_0_0[3] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
+  lut40034 \ram2e_ufm/RWSel_2_0_a3_0_a3 ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
   vmuxregsre RWSel( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
     .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
   vcc DRIVEVCC( .PWR1(VCCI));
+  gnd DRIVEGND( .PWR0(GNDI));
 
   specify
+    (D1 => F1) = (0:0:0,0:0:0);
     (C1 => F1) = (0:0:0,0:0:0);
     (B1 => F1) = (0:0:0,0:0:0);
     (A1 => F1) = (0:0:0,0:0:0);
@@ -1735,12 +2110,12 @@ module SLICE_31 ( input C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0,
 
 endmodule
 
-module lut40024 ( input A, B, C, D, output Z );
+module lut40033 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'hDFDF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'hF888) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module lut40025 ( input A, B, C, D, output Z );
+module lut40034 ( input A, B, C, D, output Z );
 
   ROM16X1A #(16'h0002) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
@@ -1748,8 +2123,8 @@ endmodule
 module SLICE_32 ( input D1, C1, B1, A1, B0, A0, DI0, CLK, output F0, Q0, F1 );
   wire   GNDI, VCCI, DI0_dly, CLK_dly;
 
-  lut40026 Ready_0_sqmuxa_0_a2_6_a2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40027 Ready_RNO( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0));
+  lut40035 \ram2e_ufm/Ready3_0_a3 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
+  lut40036 Ready_RNO( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0));
   gnd DRIVEGND( .PWR0(GNDI));
   vmuxregsre Ready( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), 
     .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
@@ -1770,12 +2145,12 @@ module SLICE_32 ( input D1, C1, B1, A1, B0, A0, DI0, CLK, output F0, Q0, F1 );
 
 endmodule
 
-module lut40026 ( input A, B, C, D, output Z );
+module lut40035 ( input A, B, C, D, output Z );
 
   ROM16X1A #(16'h8000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module lut40027 ( input A, B, C, D, output Z );
+module lut40036 ( input A, B, C, D, output Z );
 
   ROM16X1A #(16'hEEEE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
@@ -1784,8 +2159,9 @@ module SLICE_33 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CLK, output
     F0, Q0, F1, Q1 );
   wire   VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly;
 
-  lut40028 \S_RNO[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40029 \S_s_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  lut40037 \ram2e_ufm/S_r_i_0_o2_0_RNI36E21[1] ( .A(A1), .B(B1), .C(C1), 
+    .D(D1), .Z(F1));
+  lut40038 \ram2e_ufm/S_s_0_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
   vmuxregsre \S[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(VCCI), 
     .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
   vcc DRIVEVCC( .PWR1(VCCI));
@@ -1812,22 +2188,24 @@ module SLICE_33 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CLK, output
 
 endmodule
 
-module lut40028 ( input A, B, C, D, output Z );
+module lut40037 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h5100) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'h4500) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module lut40029 ( input A, B, C, D, output Z );
+module lut40038 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'hFFBA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'hFBFA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
 module SLICE_34 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CLK, output 
     F0, Q0, F1, Q1 );
   wire   VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly;
 
-  lut40030 \S_RNO[3] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40031 \S_RNO[2] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  lut40039 \ram2e_ufm/S_r_i_0_o2_RNIFNP81_0[2] ( .A(A1), .B(B1), .C(C1), 
+    .D(D1), .Z(F1));
+  lut40040 \ram2e_ufm/S_r_i_0_o2_RNIFNP81[2] ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
   vmuxregsre \S[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(VCCI), 
     .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
   vcc DRIVEVCC( .PWR1(VCCI));
@@ -1854,354 +2232,62 @@ module SLICE_34 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CLK, output
 
 endmodule
 
-module lut40030 ( input A, B, C, D, output Z );
-
-  ROM16X1A #(16'h5510) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module lut40031 ( input A, B, C, D, output Z );
-
-  ROM16X1A #(16'h5141) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module SLICE_35 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, 
-    output F0, Q0, F1, Q1 );
-  wire   VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
-
-  lut40032 \wb_adr_RNO[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40032 \wb_adr_7_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
-  vmuxregsre \wb_adr[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
-    .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
-  vcc DRIVEVCC( .PWR1(VCCI));
-  gnd DRIVEGND( .PWR0(GNDI));
-  vmuxregsre \wb_adr[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
-    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
-
-  specify
-    (D1 => F1) = (0:0:0,0:0:0);
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (D0 => F0) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-    (CLK => Q0) = (0:0:0,0:0:0);
-    (CLK => Q1) = (0:0:0,0:0:0);
-    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
-    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
-    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
-    $width (posedge CLK, 0:0:0);
-    $width (negedge CLK, 0:0:0);
-  endspecify
-
-endmodule
-
-module lut40032 ( input A, B, C, D, output Z );
-
-  ROM16X1A #(16'hFFEC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module SLICE_36 ( input B1, A1, B0, A0, DI1, DI0, CE, CLK, output F0, Q0, F1, 
-    Q1 );
-  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
-
-  lut40033 \wb_adr_RNO[3] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1));
-  gnd DRIVEGND( .PWR0(GNDI));
-  lut40033 \wb_adr_RNO[2] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0));
-  vmuxregsre \wb_adr[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
-    .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
-  vcc DRIVEVCC( .PWR1(VCCI));
-  vmuxregsre \wb_adr[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
-    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
-
-  specify
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-    (CLK => Q0) = (0:0:0,0:0:0);
-    (CLK => Q1) = (0:0:0,0:0:0);
-    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
-    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
-    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
-    $width (posedge CLK, 0:0:0);
-    $width (negedge CLK, 0:0:0);
-  endspecify
-
-endmodule
-
-module lut40033 ( input A, B, C, D, output Z );
-
-  ROM16X1A #(16'h8888) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module SLICE_37 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, 
-    Q0, F1, Q1 );
-  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
-
-  lut40034 \wb_adr_RNO[5] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
-  gnd DRIVEGND( .PWR0(GNDI));
-  lut40034 \wb_adr_RNO[4] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
-  vmuxregsre \wb_adr[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
-    .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
-  vcc DRIVEVCC( .PWR1(VCCI));
-  vmuxregsre \wb_adr[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
-    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
-
-  specify
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-    (CLK => Q0) = (0:0:0,0:0:0);
-    (CLK => Q1) = (0:0:0,0:0:0);
-    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
-    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
-    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
-    $width (posedge CLK, 0:0:0);
-    $width (negedge CLK, 0:0:0);
-  endspecify
-
-endmodule
-
-module lut40034 ( input A, B, C, D, output Z );
-
-  ROM16X1A #(16'hA3A3) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module SLICE_38 ( input B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, Q0, 
-    F1, Q1 );
-  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
-
-  lut40033 \wb_adr_RNO[7] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1));
-  gnd DRIVEGND( .PWR0(GNDI));
-  lut40034 \wb_adr_RNO[6] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
-  vmuxregsre \wb_adr[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
-    .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
-  vcc DRIVEVCC( .PWR1(VCCI));
-  vmuxregsre \wb_adr[6] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
-    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
-
-  specify
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-    (CLK => Q0) = (0:0:0,0:0:0);
-    (CLK => Q1) = (0:0:0,0:0:0);
-    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
-    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
-    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
-    $width (posedge CLK, 0:0:0);
-    $width (negedge CLK, 0:0:0);
-  endspecify
-
-endmodule
-
-module SLICE_39 ( input D1, C1, B1, A1, C0, B0, A0, DI0, CE, CLK, output F0, 
-    Q0, F1 );
-  wire   GNDI, VCCI, DI0_dly, CLK_dly, CE_dly;
-
-  lut40035 wb_cyc_stb_RNO_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40022 wb_cyc_stb_RNO( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
-  gnd DRIVEGND( .PWR0(GNDI));
-  vmuxregsre wb_cyc_stb( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
-    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
-  vcc DRIVEVCC( .PWR1(VCCI));
-
-  specify
-    (D1 => F1) = (0:0:0,0:0:0);
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-    (CLK => Q0) = (0:0:0,0:0:0);
-    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
-    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
-    $width (posedge CLK, 0:0:0);
-    $width (negedge CLK, 0:0:0);
-  endspecify
-
-endmodule
-
-module lut40035 ( input A, B, C, D, output Z );
-
-  ROM16X1A #(16'h000E) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module SLICE_40 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, 
-    output F0, Q0, F1, Q1 );
-  wire   VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
-
-  lut40036 \wb_dati_7_0[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40037 \wb_dati_7_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
-  vmuxregsre \wb_dati[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
-    .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
-  vcc DRIVEVCC( .PWR1(VCCI));
-  gnd DRIVEGND( .PWR0(GNDI));
-  vmuxregsre \wb_dati[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
-    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
-
-  specify
-    (D1 => F1) = (0:0:0,0:0:0);
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (D0 => F0) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-    (CLK => Q0) = (0:0:0,0:0:0);
-    (CLK => Q1) = (0:0:0,0:0:0);
-    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
-    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
-    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
-    $width (posedge CLK, 0:0:0);
-    $width (negedge CLK, 0:0:0);
-  endspecify
-
-endmodule
-
-module lut40036 ( input A, B, C, D, output Z );
-
-  ROM16X1A #(16'hFFEA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module lut40037 ( input A, B, C, D, output Z );
-
-  ROM16X1A #(16'hEAC0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module SLICE_41 ( input C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, output 
-    F0, Q0, F1, Q1 );
-  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
-
-  lut40038 \wb_dati_7_0[3] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
-  gnd DRIVEGND( .PWR0(GNDI));
-  lut40036 \wb_dati_7_0[2] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
-  vmuxregsre \wb_dati[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
-    .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
-  vcc DRIVEVCC( .PWR1(VCCI));
-  vmuxregsre \wb_dati[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
-    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
-
-  specify
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (D0 => F0) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-    (CLK => Q0) = (0:0:0,0:0:0);
-    (CLK => Q1) = (0:0:0,0:0:0);
-    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
-    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
-    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
-    $width (posedge CLK, 0:0:0);
-    $width (negedge CLK, 0:0:0);
-  endspecify
-
-endmodule
-
-module lut40038 ( input A, B, C, D, output Z );
-
-  ROM16X1A #(16'hFEFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module SLICE_42 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, 
-    output F0, Q0, F1, Q1 );
-  wire   VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
-
-  lut40036 \wb_dati_7_0[5] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40039 \wb_dati_7_0[4] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
-  vmuxregsre \wb_dati[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
-    .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
-  vcc DRIVEVCC( .PWR1(VCCI));
-  gnd DRIVEGND( .PWR0(GNDI));
-  vmuxregsre \wb_dati[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
-    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
-
-  specify
-    (D1 => F1) = (0:0:0,0:0:0);
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (D0 => F0) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-    (CLK => Q0) = (0:0:0,0:0:0);
-    (CLK => Q1) = (0:0:0,0:0:0);
-    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
-    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
-    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
-    $width (posedge CLK, 0:0:0);
-    $width (negedge CLK, 0:0:0);
-  endspecify
-
-endmodule
-
 module lut40039 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'hFFFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module SLICE_43 ( input D1, C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output 
-    F0, Q0, F1, Q1 );
-  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
-
-  lut40039 \wb_dati_7_0[7] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40040 \wb_dati_7_0[6] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
-  gnd DRIVEGND( .PWR0(GNDI));
-  vmuxregsre \wb_dati[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
-    .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
-  vcc DRIVEVCC( .PWR1(VCCI));
-  vmuxregsre \wb_dati[6] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
-    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
-
-  specify
-    (D1 => F1) = (0:0:0,0:0:0);
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-    (CLK => Q0) = (0:0:0,0:0:0);
-    (CLK => Q1) = (0:0:0,0:0:0);
-    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
-    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
-    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
-    $width (posedge CLK, 0:0:0);
-    $width (negedge CLK, 0:0:0);
-  endspecify
-
+  ROM16X1A #(16'h0B0A) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
 module lut40040 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'hF8F8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'h5141) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module SLICE_44 ( input C1, B1, A1, D0, C0, B0, A0, DI0, CE, LSR, CLK, output 
-    F0, Q0, F1 );
-  wire   GNDI, VCCI, DI0_dly, CLK_dly, CE_dly, LSR_dly;
+module SLICE_35 ( input D1, C1, B1, A1, B0, A0, DI0, LSR, CLK, output F0, Q0, 
+    F1 );
+  wire   GNDI, VCCI, DI0_dly, CLK_dly, LSR_dly;
 
-  lut40011 wb_req_RNO_1( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
+  lut40016 \ram2e_ufm/CKE_7_m1_0_0_o2_RNICM8E1 ( .A(A1), .B(B1), .C(C1), 
+    .D(D1), .Z(F1));
+  lut40036 \ram2e_ufm/CKE_7_m1_0_0_o2 ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), 
+    .Z(F0));
   gnd DRIVEGND( .PWR0(GNDI));
-  lut40041 wb_req_RNO( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
-  vmuxregsre0006 wb_req( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
+  vmuxregsre0010 VOEEN( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), 
     .CK(CLK_dly), .LSR(LSR_dly), .Q(Q0));
   vcc DRIVEVCC( .PWR1(VCCI));
 
   specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+    (CLK => Q0) = (0:0:0,0:0:0);
+    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
+    $setuphold (posedge CLK, LSR, 0:0:0, 0:0:0,,,, CLK_dly, LSR_dly);
+    $width (posedge LSR, 0:0:0);
+    $width (negedge LSR, 0:0:0);
+    $width (posedge CLK, 0:0:0);
+    $width (negedge CLK, 0:0:0);
+  endspecify
+
+endmodule
+
+module SLICE_36 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, 
+    Q0, F1 );
+  wire   VCCI, GNDI, DI0_dly, CLK_dly;
+
+  lut40041 \ram2e_ufm/nRAS_s_i_0_a3_5_RNIH7J73 ( .A(A1), .B(B1), .C(C1), 
+    .D(D1), .Z(F1));
+  lut40042 \ram2e_ufm/nCAS_s_i_0_a3_RNIO1UQ3 ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
+  vmuxregsre0004 nCAS( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), 
+    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
+  vcc DRIVEVCC( .PWR1(VCCI));
+  gnd DRIVEGND( .PWR0(GNDI));
+
+  specify
+    (D1 => F1) = (0:0:0,0:0:0);
     (C1 => F1) = (0:0:0,0:0:0);
     (B1 => F1) = (0:0:0,0:0:0);
     (A1 => F1) = (0:0:0,0:0:0);
@@ -2211,10 +2297,6 @@ module SLICE_44 ( input C1, B1, A1, D0, C0, B0, A0, DI0, CE, LSR, CLK, output
     (A0 => F0) = (0:0:0,0:0:0);
     (CLK => Q0) = (0:0:0,0:0:0);
     $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
-    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
-    $setuphold (posedge CLK, LSR, 0:0:0, 0:0:0,,,, CLK_dly, LSR_dly);
-    $width (posedge LSR, 0:0:0);
-    $width (negedge LSR, 0:0:0);
     $width (posedge CLK, 0:0:0);
     $width (negedge CLK, 0:0:0);
   endspecify
@@ -2223,43 +2305,93 @@ endmodule
 
 module lut40041 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h0001) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'h0008) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module SLICE_45 ( input B1, A1, B0, A0, DI0, LSR, CLK, output F0, Q0, F1 );
-  wire   GNDI, VCCI, DI0_dly, CLK_dly, LSR_dly;
+module lut40042 ( input A, B, C, D, output Z );
 
-  lut40027 \un1_LEDEN13_2_i_o2[0] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1));
-  gnd DRIVEGND( .PWR0(GNDI));
-  lut40003 wb_rst8_0_a2( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0));
-  vmuxregsre0006 wb_rst( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), 
-    .CK(CLK_dly), .LSR(LSR_dly), .Q(Q0));
+  ROM16X1A #(16'h1101) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module SLICE_37 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, 
+    Q0, F1 );
+  wire   VCCI, GNDI, DI0_dly, CLK_dly;
+
+  lut40034 \ram2e_ufm/nRAS_s_i_0_a3_5_RNIH7J73_0 ( .A(A1), .B(B1), .C(C1), 
+    .D(D1), .Z(F1));
+  lut40016 \ram2e_ufm/nRAS_s_i_0_0_RNI0PC64 ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
+  vmuxregsre0004 nRAS( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), 
+    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
   vcc DRIVEVCC( .PWR1(VCCI));
+  gnd DRIVEGND( .PWR0(GNDI));
 
   specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
     (B1 => F1) = (0:0:0,0:0:0);
     (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
     (B0 => F0) = (0:0:0,0:0:0);
     (A0 => F0) = (0:0:0,0:0:0);
     (CLK => Q0) = (0:0:0,0:0:0);
     $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
-    $setuphold (posedge CLK, LSR, 0:0:0, 0:0:0,,,, CLK_dly, LSR_dly);
-    $width (posedge LSR, 0:0:0);
-    $width (negedge LSR, 0:0:0);
     $width (posedge CLK, 0:0:0);
     $width (negedge CLK, 0:0:0);
   endspecify
 
 endmodule
 
-module SLICE_46 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output 
-    F0, Q0, F1 );
+module SLICE_38 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, 
+    Q0, F1 );
+  wire   VCCI, GNDI, DI0_dly, CLK_dly;
+
+  lut40043 \ram2e_ufm/nRAS_s_i_0_a3_0 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
+  lut40044 \ram2e_ufm/nRAS_s_i_0_a3_0_RNIIR094 ( .A(A0), .B(B0), .C(C0), 
+    .D(D0), .Z(F0));
+  vmuxregsre0004 nRWE( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), 
+    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
+  vcc DRIVEVCC( .PWR1(VCCI));
+  gnd DRIVEGND( .PWR0(GNDI));
+
+  specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+    (CLK => Q0) = (0:0:0,0:0:0);
+    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
+    $width (posedge CLK, 0:0:0);
+    $width (negedge CLK, 0:0:0);
+  endspecify
+
+endmodule
+
+module lut40043 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'h0400) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module lut40044 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'h0111) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_39 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, 
+    output F0, Q0, F1 );
   wire   VCCI, GNDI, DI0_dly, CLK_dly, CE_dly;
 
-  lut40042 wb_we_RNO_1( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40043 wb_we_RNO( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
-  vmuxregsre wb_we( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
-    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
+  lut40045 \ram2e_ufm/CmdBitbangMXO2_3_0_a3_1 ( .A(A1), .B(B1), .C(C1), .D(D1), 
+    .Z(F1));
+  lut40019 \ram2e_ufm/CmdBitbangMXO2_3_0_a3 ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
+  vmuxregsre \ram2e_ufm/CmdBitbangMXO2 ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
+    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
   vcc DRIVEVCC( .PWR1(VCCI));
   gnd DRIVEGND( .PWR0(GNDI));
 
@@ -2281,122 +2413,254 @@ module SLICE_46 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output
 
 endmodule
 
-module lut40042 ( input A, B, C, D, output Z );
-
-  ROM16X1A #(16'h2BBB) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module lut40043 ( input A, B, C, D, output Z );
-
-  ROM16X1A #(16'hFF40) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module SLICE_47 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
-
-  lut40044 DQMH_0io_RNO( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40039 \S_RNII9DO1[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
-
-  specify
-    (D1 => F1) = (0:0:0,0:0:0);
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (D0 => F0) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-  endspecify
-
-endmodule
-
-module lut40044 ( input A, B, C, D, output Z );
-
-  ROM16X1A #(16'hFF7F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module SLICE_48 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
-
-  lut40045 Vout3_0_a2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40046 nCAS_0io_RNO_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
-
-  specify
-    (D1 => F1) = (0:0:0,0:0:0);
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (D0 => F0) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-  endspecify
-
-endmodule
-
 module lut40045 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h0040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'h1000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_40 ( input D1, C1, B1, A1, B0, A0, DI0, CE, CLK, 
+    output F0, Q0, F1 );
+  wire   GNDI, VCCI, DI0_dly, CLK_dly, CE_dly;
+
+  lut40014 \ram2e_ufm/CmdBitbangMXO2_3_0_a3_0 ( .A(A1), .B(B1), .C(C1), .D(D1), 
+    .Z(F1));
+  lut40023 \ram2e_ufm/CmdExecMXO2_3_0_a3 ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), 
+    .Z(F0));
+  gnd DRIVEGND( .PWR0(GNDI));
+  vmuxregsre \ram2e_ufm/CmdExecMXO2 ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
+    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
+  vcc DRIVEVCC( .PWR1(VCCI));
+
+  specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+    (CLK => Q0) = (0:0:0,0:0:0);
+    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
+    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
+    $width (posedge CLK, 0:0:0);
+    $width (negedge CLK, 0:0:0);
+  endspecify
+
+endmodule
+
+module ram2e_ufm_SLICE_41 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, 
+    output F0, Q0, F1 );
+  wire   VCCI, GNDI, DI0_dly, CLK_dly, CE_dly;
+
+  lut40046 \ram2e_ufm/CmdSetRWBankFFChip_3_0_a8_0_a3_0_0 ( .A(A1), .B(B1), 
+    .C(C1), .D(D1), .Z(F1));
+  lut40014 \ram2e_ufm/CmdSetRWBankFFChip_3_0_a8_0_a3 ( .A(A0), .B(B0), .C(C0), 
+    .D(D0), .Z(F0));
+  vmuxregsre \ram2e_ufm/CmdSetRWBankFFChip ( .D0(VCCI), .D1(DI0_dly), 
+    .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
+  vcc DRIVEVCC( .PWR1(VCCI));
+  gnd DRIVEGND( .PWR0(GNDI));
+
+  specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+    (CLK => Q0) = (0:0:0,0:0:0);
+    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
+    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
+    $width (posedge CLK, 0:0:0);
+    $width (negedge CLK, 0:0:0);
+  endspecify
+
 endmodule
 
 module lut40046 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'hF222) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'h0800) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module SLICE_49 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
+module ram2e_ufm_SLICE_42 ( input C1, B1, A1, C0, B0, A0, DI0, CE, CLK, 
+    output F0, Q0, F1 );
+  wire   GNDI, VCCI, DI0_dly, CLK_dly, CE_dly;
 
-  lut40015 un1_CS_0_sqmuxa_0_0_a2_1( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40047 un1_CS_0_sqmuxa_0_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  lut40047 \ram2e_ufm/SUM1_0_o3_0 ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40048 \ram2e_ufm/LEDEN_RNO ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
+  vmuxregsre \ram2e_ufm/LEDEN ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
+    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
+  vcc DRIVEVCC( .PWR1(VCCI));
 
   specify
-    (D1 => F1) = (0:0:0,0:0:0);
     (C1 => F1) = (0:0:0,0:0:0);
     (B1 => F1) = (0:0:0,0:0:0);
     (A1 => F1) = (0:0:0,0:0:0);
-    (D0 => F0) = (0:0:0,0:0:0);
     (C0 => F0) = (0:0:0,0:0:0);
     (B0 => F0) = (0:0:0,0:0:0);
     (A0 => F0) = (0:0:0,0:0:0);
+    (CLK => Q0) = (0:0:0,0:0:0);
+    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
+    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
+    $width (posedge CLK, 0:0:0);
+    $width (negedge CLK, 0:0:0);
   endspecify
 
 endmodule
 
 module lut40047 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'hF8F0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module SLICE_50 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
-
-  lut40048 \wb_dati_7_0_a2_0_2[7] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40049 \wb_dati_7_0_RNO[7] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
-
-  specify
-    (D1 => F1) = (0:0:0,0:0:0);
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (D0 => F0) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-  endspecify
-
+  ROM16X1A #(16'hFEFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
 module lut40048 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h0D00) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'hB8B8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_43 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, 
+    output F0, Q0, F1, Q1 );
+  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
+
+  lut40049 \ram2e_ufm/RWMask_RNO[1] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40049 \ram2e_ufm/RWMask_RNO[0] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
+  vmuxregsre \ram2e_ufm/RWMask[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), 
+    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
+  vcc DRIVEVCC( .PWR1(VCCI));
+  vmuxregsre \ram2e_ufm/RWMask[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
+    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
+
+  specify
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+    (CLK => Q0) = (0:0:0,0:0:0);
+    (CLK => Q1) = (0:0:0,0:0:0);
+    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
+    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
+    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
+    $width (posedge CLK, 0:0:0);
+    $width (negedge CLK, 0:0:0);
+  endspecify
+
 endmodule
 
 module lut40049 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'hF010) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'h7474) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module SLICE_51 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
+module ram2e_ufm_SLICE_44 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, 
+    output F0, Q0, F1, Q1 );
+  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
 
-  lut40050 CKE_6_iv_i_0_1( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40051 CKE_6_iv_i_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  lut40049 \ram2e_ufm/RWMask_RNO[3] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40049 \ram2e_ufm/RWMask_RNO[2] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
+  vmuxregsre \ram2e_ufm/RWMask[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), 
+    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
+  vcc DRIVEVCC( .PWR1(VCCI));
+  vmuxregsre \ram2e_ufm/RWMask[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
+    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
+
+  specify
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+    (CLK => Q0) = (0:0:0,0:0:0);
+    (CLK => Q1) = (0:0:0,0:0:0);
+    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
+    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
+    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
+    $width (posedge CLK, 0:0:0);
+    $width (negedge CLK, 0:0:0);
+  endspecify
+
+endmodule
+
+module ram2e_ufm_SLICE_45 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, 
+    output F0, Q0, F1, Q1 );
+  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
+
+  lut40049 \ram2e_ufm/RWMask_RNO[5] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40049 \ram2e_ufm/RWMask_RNO[4] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
+  vmuxregsre \ram2e_ufm/RWMask[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), 
+    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
+  vcc DRIVEVCC( .PWR1(VCCI));
+  vmuxregsre \ram2e_ufm/RWMask[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
+    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
+
+  specify
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+    (CLK => Q0) = (0:0:0,0:0:0);
+    (CLK => Q1) = (0:0:0,0:0:0);
+    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
+    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
+    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
+    $width (posedge CLK, 0:0:0);
+    $width (negedge CLK, 0:0:0);
+  endspecify
+
+endmodule
+
+module ram2e_ufm_SLICE_46 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, 
+    output F0, Q0, F1, Q1 );
+  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
+
+  lut40048 \ram2e_ufm/RWMask_RNO[7] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40049 \ram2e_ufm/RWMask_RNO[6] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
+  vmuxregsre \ram2e_ufm/RWMask[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), 
+    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
+  vcc DRIVEVCC( .PWR1(VCCI));
+  vmuxregsre \ram2e_ufm/RWMask[6] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
+    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
+
+  specify
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+    (CLK => Q0) = (0:0:0,0:0:0);
+    (CLK => Q1) = (0:0:0,0:0:0);
+    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
+    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
+    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
+    $width (posedge CLK, 0:0:0);
+    $width (negedge CLK, 0:0:0);
+  endspecify
+
+endmodule
+
+module ram2e_ufm_SLICE_47 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, 
+    CLK, output F0, Q0, F1, Q1 );
+  wire   VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
+
+  lut40050 \ram2e_ufm/wb_adr_RNO[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
+  lut40024 \ram2e_ufm/wb_adr_7_i_i[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  vmuxregsre \ram2e_ufm/wb_adr[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), 
+    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
+  vcc DRIVEVCC( .PWR1(VCCI));
+  gnd DRIVEGND( .PWR0(GNDI));
+  vmuxregsre \ram2e_ufm/wb_adr[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
+    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
 
   specify
     (D1 => F1) = (0:0:0,0:0:0);
@@ -2407,53 +2671,174 @@ module SLICE_51 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
     (C0 => F0) = (0:0:0,0:0:0);
     (B0 => F0) = (0:0:0,0:0:0);
     (A0 => F0) = (0:0:0,0:0:0);
+    (CLK => Q0) = (0:0:0,0:0:0);
+    (CLK => Q1) = (0:0:0,0:0:0);
+    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
+    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
+    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
+    $width (posedge CLK, 0:0:0);
+    $width (negedge CLK, 0:0:0);
   endspecify
 
 endmodule
 
 module lut40050 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h2F2C) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'hFFEC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_48 ( input B1, A1, B0, A0, DI1, DI0, CE, CLK, output F0, 
+    Q0, F1, Q1 );
+  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
+
+  lut40023 \ram2e_ufm/wb_adr_RNO[3] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), 
+    .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40023 \ram2e_ufm/wb_adr_RNO[2] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), 
+    .Z(F0));
+  vmuxregsre \ram2e_ufm/wb_adr[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), 
+    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
+  vcc DRIVEVCC( .PWR1(VCCI));
+  vmuxregsre \ram2e_ufm/wb_adr[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
+    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
+
+  specify
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+    (CLK => Q0) = (0:0:0,0:0:0);
+    (CLK => Q1) = (0:0:0,0:0:0);
+    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
+    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
+    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
+    $width (posedge CLK, 0:0:0);
+    $width (negedge CLK, 0:0:0);
+  endspecify
+
+endmodule
+
+module ram2e_ufm_SLICE_49 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, 
+    output F0, Q0, F1, Q1 );
+  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
+
+  lut40051 \ram2e_ufm/wb_adr_RNO[5] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40051 \ram2e_ufm/wb_adr_RNO[4] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
+  vmuxregsre \ram2e_ufm/wb_adr[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), 
+    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
+  vcc DRIVEVCC( .PWR1(VCCI));
+  vmuxregsre \ram2e_ufm/wb_adr[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
+    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
+
+  specify
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+    (CLK => Q0) = (0:0:0,0:0:0);
+    (CLK => Q1) = (0:0:0,0:0:0);
+    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
+    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
+    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
+    $width (posedge CLK, 0:0:0);
+    $width (negedge CLK, 0:0:0);
+  endspecify
+
 endmodule
 
 module lut40051 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'hAAEA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'hA3A3) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module SLICE_52 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
+module ram2e_ufm_SLICE_50 ( input B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, 
+    output F0, Q0, F1, Q1 );
+  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
 
-  lut40052 \un1_LEDEN13_2_i_a2_0[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40053 \un1_LEDEN13_2_i_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  lut40023 \ram2e_ufm/wb_adr_RNO[7] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), 
+    .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40051 \ram2e_ufm/wb_adr_RNO[6] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
+  vmuxregsre \ram2e_ufm/wb_adr[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), 
+    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
+  vcc DRIVEVCC( .PWR1(VCCI));
+  vmuxregsre \ram2e_ufm/wb_adr[6] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
+    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
+
+  specify
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+    (CLK => Q0) = (0:0:0,0:0:0);
+    (CLK => Q1) = (0:0:0,0:0:0);
+    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
+    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
+    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
+    $width (posedge CLK, 0:0:0);
+    $width (negedge CLK, 0:0:0);
+  endspecify
+
+endmodule
+
+module ram2e_ufm_SLICE_51 ( input D1, C1, B1, A1, C0, B0, A0, DI0, CE, CLK, 
+    output F0, Q0, F1 );
+  wire   GNDI, VCCI, DI0_dly, CLK_dly, CE_dly;
+
+  lut40052 \ram2e_ufm/wb_cyc_stb_RNO_0 ( .A(A1), .B(B1), .C(C1), .D(D1), 
+    .Z(F1));
+  lut40053 \ram2e_ufm/wb_cyc_stb_RNO ( .A(A0), .B(B0), .C(C0), .D(GNDI), 
+    .Z(F0));
+  gnd DRIVEGND( .PWR0(GNDI));
+  vmuxregsre \ram2e_ufm/wb_cyc_stb ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
+    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
+  vcc DRIVEVCC( .PWR1(VCCI));
 
   specify
     (D1 => F1) = (0:0:0,0:0:0);
     (C1 => F1) = (0:0:0,0:0:0);
     (B1 => F1) = (0:0:0,0:0:0);
     (A1 => F1) = (0:0:0,0:0:0);
-    (D0 => F0) = (0:0:0,0:0:0);
     (C0 => F0) = (0:0:0,0:0:0);
     (B0 => F0) = (0:0:0,0:0:0);
     (A0 => F0) = (0:0:0,0:0:0);
+    (CLK => Q0) = (0:0:0,0:0:0);
+    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
+    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
+    $width (posedge CLK, 0:0:0);
+    $width (negedge CLK, 0:0:0);
   endspecify
 
 endmodule
 
 module lut40052 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h3010) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'h0032) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
 module lut40053 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'hFEEE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'hEAEA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module SLICE_53 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
+module ram2e_ufm_SLICE_52 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, 
+    CLK, output F0, Q0, F1, Q1 );
+  wire   VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
 
-  lut40054 \un1_wb_adr_0_sqmuxa_2_0_1[0] ( .A(A1), .B(B1), .C(C1), .D(D1), 
+  lut40054 \ram2e_ufm/wb_dati_7_0_0[1] ( .A(A1), .B(B1), .C(C1), .D(D1), 
     .Z(F1));
-  lut40055 wb_we_RNO_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  lut40055 \ram2e_ufm/wb_dati_7_0_0_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
+  vmuxregsre \ram2e_ufm/wb_dati[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), 
+    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
+  vcc DRIVEVCC( .PWR1(VCCI));
+  gnd DRIVEGND( .PWR0(GNDI));
+  vmuxregsre \ram2e_ufm/wb_dati[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
+    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
 
   specify
     (D1 => F1) = (0:0:0,0:0:0);
@@ -2464,24 +2849,41 @@ module SLICE_53 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
     (C0 => F0) = (0:0:0,0:0:0);
     (B0 => F0) = (0:0:0,0:0:0);
     (A0 => F0) = (0:0:0,0:0:0);
+    (CLK => Q0) = (0:0:0,0:0:0);
+    (CLK => Q1) = (0:0:0,0:0:0);
+    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
+    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
+    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
+    $width (posedge CLK, 0:0:0);
+    $width (negedge CLK, 0:0:0);
   endspecify
 
 endmodule
 
 module lut40054 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h3FF5) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'hFFEA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
 module lut40055 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h000B) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'hEAC0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module SLICE_54 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
+module ram2e_ufm_SLICE_53 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, 
+    CLK, output F0, Q0, F1, Q1 );
+  wire   VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
 
-  lut40056 un1_CS_0_sqmuxa_0_0_a2_15( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40057 un1_CS_0_sqmuxa_0_0_o2_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  lut40054 \ram2e_ufm/wb_dati_7_0_0_0[3] ( .A(A1), .B(B1), .C(C1), .D(D1), 
+    .Z(F1));
+  lut40054 \ram2e_ufm/wb_dati_7_0_0[2] ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
+  vmuxregsre \ram2e_ufm/wb_dati[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), 
+    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
+  vcc DRIVEVCC( .PWR1(VCCI));
+  gnd DRIVEGND( .PWR0(GNDI));
+  vmuxregsre \ram2e_ufm/wb_dati[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
+    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
 
   specify
     (D1 => F1) = (0:0:0,0:0:0);
@@ -2492,24 +2894,161 @@ module SLICE_54 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
     (C0 => F0) = (0:0:0,0:0:0);
     (B0 => F0) = (0:0:0,0:0:0);
     (A0 => F0) = (0:0:0,0:0:0);
+    (CLK => Q0) = (0:0:0,0:0:0);
+    (CLK => Q1) = (0:0:0,0:0:0);
+    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
+    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
+    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
+    $width (posedge CLK, 0:0:0);
+    $width (negedge CLK, 0:0:0);
+  endspecify
+
+endmodule
+
+module ram2e_ufm_SLICE_54 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, 
+    CLK, output F0, Q0, F1, Q1 );
+  wire   VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
+
+  lut40054 \ram2e_ufm/wb_dati_7_0_0[5] ( .A(A1), .B(B1), .C(C1), .D(D1), 
+    .Z(F1));
+  lut4 \ram2e_ufm/wb_dati_7_0_0[4] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  vmuxregsre \ram2e_ufm/wb_dati[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), 
+    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
+  vcc DRIVEVCC( .PWR1(VCCI));
+  gnd DRIVEGND( .PWR0(GNDI));
+  vmuxregsre \ram2e_ufm/wb_dati[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
+    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
+
+  specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+    (CLK => Q0) = (0:0:0,0:0:0);
+    (CLK => Q1) = (0:0:0,0:0:0);
+    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
+    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
+    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
+    $width (posedge CLK, 0:0:0);
+    $width (negedge CLK, 0:0:0);
+  endspecify
+
+endmodule
+
+module ram2e_ufm_SLICE_55 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, 
+    CLK, output F0, Q0, F1, Q1 );
+  wire   VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
+
+  lut4 \ram2e_ufm/wb_dati_7_0_0_0[7] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
+  lut40054 \ram2e_ufm/wb_dati_7_0_0[6] ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
+  vmuxregsre \ram2e_ufm/wb_dati[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), 
+    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
+  vcc DRIVEVCC( .PWR1(VCCI));
+  gnd DRIVEGND( .PWR0(GNDI));
+  vmuxregsre \ram2e_ufm/wb_dati[6] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
+    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
+
+  specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+    (CLK => Q0) = (0:0:0,0:0:0);
+    (CLK => Q1) = (0:0:0,0:0:0);
+    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
+    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
+    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
+    $width (posedge CLK, 0:0:0);
+    $width (negedge CLK, 0:0:0);
+  endspecify
+
+endmodule
+
+module ram2e_ufm_SLICE_56 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, LSR, 
+    CLK, output F0, Q0, F1 );
+  wire   VCCI, DI0_dly, CLK_dly, CE_dly, LSR_dly;
+
+  lut4 \ram2e_ufm/wb_reqc_1_0 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
+  lut40056 \ram2e_ufm/wb_req_RNO ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  vmuxregsre0010 \ram2e_ufm/wb_req ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
+    .SP(CE_dly), .CK(CLK_dly), .LSR(LSR_dly), .Q(Q0));
+  vcc DRIVEVCC( .PWR1(VCCI));
+
+  specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+    (CLK => Q0) = (0:0:0,0:0:0);
+    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
+    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
+    $setuphold (posedge CLK, LSR, 0:0:0, 0:0:0,,,, CLK_dly, LSR_dly);
+    $width (posedge LSR, 0:0:0);
+    $width (negedge LSR, 0:0:0);
+    $width (posedge CLK, 0:0:0);
+    $width (negedge CLK, 0:0:0);
   endspecify
 
 endmodule
 
 module lut40056 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h0020) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'h007F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module lut40057 ( input A, B, C, D, output Z );
+module ram2e_ufm_SLICE_57 ( input D1, C1, B1, A1, B0, A0, DI0, LSR, CLK, 
+    output F0, Q0, F1 );
+  wire   GNDI, VCCI, DI0_dly, CLK_dly, LSR_dly;
+
+  lut40035 \ram2e_ufm/Ready3_0_a3_4 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
+  lut40006 \ram2e_ufm/wb_rst8_0_a3_0_a3 ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), 
+    .Z(F0));
+  gnd DRIVEGND( .PWR0(GNDI));
+  vmuxregsre0010 \ram2e_ufm/wb_rst ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
+    .SP(VCCI), .CK(CLK_dly), .LSR(LSR_dly), .Q(Q0));
+  vcc DRIVEVCC( .PWR1(VCCI));
+
+  specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+    (CLK => Q0) = (0:0:0,0:0:0);
+    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
+    $setuphold (posedge CLK, LSR, 0:0:0, 0:0:0,,,, CLK_dly, LSR_dly);
+    $width (posedge LSR, 0:0:0);
+    $width (negedge LSR, 0:0:0);
+    $width (posedge CLK, 0:0:0);
+    $width (negedge CLK, 0:0:0);
+  endspecify
 
-  ROM16X1A #(16'hFF20) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module SLICE_55 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
+module ram2e_ufm_SLICE_58 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, 
+    output F0, Q0, F1 );
+  wire   VCCI, GNDI, DI0_dly, CLK_dly, CE_dly;
 
-  lut40058 nCS_6_u_i_o2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40018 un1_nCS61_1_0_a2_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  lut40057 \ram2e_ufm/wb_we_RNO_2 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
+  lut40057 \ram2e_ufm/wb_we_RNO ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  vmuxregsre \ram2e_ufm/wb_we ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
+    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
+  vcc DRIVEVCC( .PWR1(VCCI));
+  gnd DRIVEGND( .PWR0(GNDI));
 
   specify
     (D1 => F1) = (0:0:0,0:0:0);
@@ -2520,98 +3059,154 @@ module SLICE_55 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
     (C0 => F0) = (0:0:0,0:0:0);
     (B0 => F0) = (0:0:0,0:0:0);
     (A0 => F0) = (0:0:0,0:0:0);
+    (CLK => Q0) = (0:0:0,0:0:0);
+    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
+    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
+    $width (posedge CLK, 0:0:0);
+    $width (negedge CLK, 0:0:0);
+  endspecify
+
+endmodule
+
+module lut40057 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'hFF40) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SUM0_i_m3_0_SLICE_59 ( input C1, B1, A1, C0, B0, A0, M0, 
+    output OFX0 );
+  wire   GNDI, 
+         \ram2e_ufm/SUM0_i_m3_0/SLICE_59/ram2e_ufm/SUM0_i_m3_0/SLICE_59_K1_H1 , 
+         \ram2e_ufm/SUM0_i_m3_0/SLICE_59/ram2e_ufm/SUM0_i_m3_0/GATE_H0 ;
+
+  lut40058 \ram2e_ufm/SUM0_i_m3_0/SLICE_59_K1 ( .A(A1), .B(B1), .C(C1), 
+    .D(GNDI), 
+    .Z(\ram2e_ufm/SUM0_i_m3_0/SLICE_59/ram2e_ufm/SUM0_i_m3_0/SLICE_59_K1_H1 ));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40059 \ram2e_ufm/SUM0_i_m3_0/GATE ( .A(A0), .B(B0), .C(C0), .D(GNDI), 
+    .Z(\ram2e_ufm/SUM0_i_m3_0/SLICE_59/ram2e_ufm/SUM0_i_m3_0/GATE_H0 ));
+  selmux2 \ram2e_ufm/SUM0_i_m3_0/SLICE_59_K0K1MUX ( 
+    .D0(\ram2e_ufm/SUM0_i_m3_0/SLICE_59/ram2e_ufm/SUM0_i_m3_0/GATE_H0 ), 
+    .D1(\ram2e_ufm/SUM0_i_m3_0/SLICE_59/ram2e_ufm/SUM0_i_m3_0/SLICE_59_K1_H1 ), 
+    .SD(M0), .Z(OFX0));
+
+  specify
+    (C1 => OFX0) = (0:0:0,0:0:0);
+    (B1 => OFX0) = (0:0:0,0:0:0);
+    (A1 => OFX0) = (0:0:0,0:0:0);
+    (C0 => OFX0) = (0:0:0,0:0:0);
+    (B0 => OFX0) = (0:0:0,0:0:0);
+    (A0 => OFX0) = (0:0:0,0:0:0);
+    (M0 => OFX0) = (0:0:0,0:0:0);
   endspecify
 
 endmodule
 
 module lut40058 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'hFFF1) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module SLICE_56 ( input C1, B1, A1, C0, B0, A0, output F0, F1 );
-  wire   GNDI;
-
-  lut40059 \wb_dati_7_0_a2_5[1] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
-  gnd DRIVEGND( .PWR0(GNDI));
-  lut40060 \wb_dati_7_0_a2_6[1] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
-
-  specify
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-  endspecify
-
+  ROM16X1A #(16'hF7F7) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
 module lut40059 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h1010) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'hFEFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module selmux2 ( input D0, D1, SD, output Z );
+
+  MUX21 INST1( .D0(D0), .D1(D1), .SD(SD), .Z(Z));
+endmodule
+
+module ram2e_ufm_un1_CS_0_sqmuxa_0_0_0_SLICE_60 ( input D1, C1, B1, A1, C0, B0, 
+    A0, M0, output OFX0 );
+  wire   
+         \ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60/ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60_K1_H1 
+         , GNDI, 
+         \ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60/ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/GATE_H0 
+         ;
+
+  lut40060 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60_K1 ( .A(A1), .B(B1), 
+    .C(C1), .D(D1), 
+    .Z(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60/ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60_K1_H1 )
+    );
+  lut40061 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/GATE ( .A(A0), .B(B0), .C(C0), 
+    .D(GNDI), 
+    .Z(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60/ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/GATE_H0 )
+    );
+  gnd DRIVEGND( .PWR0(GNDI));
+  selmux2 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60_K0K1MUX ( 
+    .D0(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60/ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/GATE_H0 )
+    , 
+    .D1(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60/ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60_K1_H1 )
+    , .SD(M0), .Z(OFX0));
+
+  specify
+    (D1 => OFX0) = (0:0:0,0:0:0);
+    (C1 => OFX0) = (0:0:0,0:0:0);
+    (B1 => OFX0) = (0:0:0,0:0:0);
+    (A1 => OFX0) = (0:0:0,0:0:0);
+    (C0 => OFX0) = (0:0:0,0:0:0);
+    (B0 => OFX0) = (0:0:0,0:0:0);
+    (A0 => OFX0) = (0:0:0,0:0:0);
+    (M0 => OFX0) = (0:0:0,0:0:0);
+  endspecify
+
 endmodule
 
 module lut40060 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h0808) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module SLICE_57 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
-
-  lut40061 \un1_LEDEN13_2_i_a2[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40062 \S_RNII9DO1_0[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
-
-  specify
-    (D1 => F1) = (0:0:0,0:0:0);
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (D0 => F0) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-  endspecify
-
+  ROM16X1A #(16'h55D5) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
 module lut40061 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h00E0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'h7F7F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module lut40062 ( input A, B, C, D, output Z );
+module ram2e_ufm_CKE_7_SLICE_61 ( input C1, B1, A1, C0, B0, A0, M0, output 
+    OFX0 );
+  wire   GNDI, \ram2e_ufm/CKE_7/SLICE_61/ram2e_ufm/CKE_7/SLICE_61_K1_H1 , 
+         \ram2e_ufm/CKE_7/SLICE_61/ram2e_ufm/CKE_7/GATE_H0 ;
 
-  ROM16X1A #(16'hFFF7) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module SLICE_58 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
-
-  lut40063 \wb_dati_7_0_2[3] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40025 \wb_dati_7_0_2_RNO[3] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  lut40062 \ram2e_ufm/CKE_7/SLICE_61_K1 ( .A(A1), .B(B1), .C(C1), .D(GNDI), 
+    .Z(\ram2e_ufm/CKE_7/SLICE_61/ram2e_ufm/CKE_7/SLICE_61_K1_H1 ));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40063 \ram2e_ufm/CKE_7/GATE ( .A(A0), .B(B0), .C(C0), .D(GNDI), 
+    .Z(\ram2e_ufm/CKE_7/SLICE_61/ram2e_ufm/CKE_7/GATE_H0 ));
+  selmux2 \ram2e_ufm/CKE_7/SLICE_61_K0K1MUX ( 
+    .D0(\ram2e_ufm/CKE_7/SLICE_61/ram2e_ufm/CKE_7/GATE_H0 ), 
+    .D1(\ram2e_ufm/CKE_7/SLICE_61/ram2e_ufm/CKE_7/SLICE_61_K1_H1 ), .SD(M0), 
+    .Z(OFX0));
 
   specify
-    (D1 => F1) = (0:0:0,0:0:0);
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (D0 => F0) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
+    (C1 => OFX0) = (0:0:0,0:0:0);
+    (B1 => OFX0) = (0:0:0,0:0:0);
+    (A1 => OFX0) = (0:0:0,0:0:0);
+    (C0 => OFX0) = (0:0:0,0:0:0);
+    (B0 => OFX0) = (0:0:0,0:0:0);
+    (A0 => OFX0) = (0:0:0,0:0:0);
+    (M0 => OFX0) = (0:0:0,0:0:0);
   endspecify
 
 endmodule
 
-module lut40063 ( input A, B, C, D, output Z );
+module lut40062 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h4440) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'h5D5D) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module SLICE_59 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
+module lut40063 ( input A, B, C, D, output Z );
 
-  lut40064 DQML_0io_RNO( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40065 DQML_0io_RNO_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  ROM16X1A #(16'h0808) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_62 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
+    F1 );
+
+  lut40043 \ram2e_ufm/CmdExecMXO2_3_0_a3_0_RNIAJ811 ( .A(A1), .B(B1), .C(C1), 
+    .D(D1), .Z(F1));
+  lut40064 \ram2e_ufm/CmdExecMXO2_3_0_a3_0_RNIPG3P2 ( .A(A0), .B(B0), .C(C0), 
+    .D(D0), .Z(F0));
 
   specify
     (D1 => F1) = (0:0:0,0:0:0);
@@ -2628,26 +3223,23 @@ endmodule
 
 module lut40064 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h7377) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'hFF02) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module lut40065 ( input A, B, C, D, output Z );
+module ram2e_ufm_SLICE_63 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
+    F1 );
 
-  ROM16X1A #(16'h1000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module SLICE_60 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 );
-  wire   GNDI;
-
-  lut40066 \wb_adr_RNO_1[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40009 \wb_adr_RNO_3[1] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
-  gnd DRIVEGND( .PWR0(GNDI));
+  lut40065 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_o3_0_RNIA0N95 ( .A(A1), .B(B1), 
+    .C(C1), .D(D1), .Z(F1));
+  lut40066 \ram2e_ufm/S_r_i_0_o2_RNI3VQTC[1] ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
 
   specify
     (D1 => F1) = (0:0:0,0:0:0);
     (C1 => F1) = (0:0:0,0:0:0);
     (B1 => F1) = (0:0:0,0:0:0);
     (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
     (C0 => F0) = (0:0:0,0:0:0);
     (B0 => F0) = (0:0:0,0:0:0);
     (A0 => F0) = (0:0:0,0:0:0);
@@ -2655,17 +3247,24 @@ module SLICE_60 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 );
 
 endmodule
 
-module lut40066 ( input A, B, C, D, output Z );
+module lut40065 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h2A20) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'hFF10) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module SLICE_61 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
+module lut40066 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'hFFF4) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_64 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
   wire   GNDI;
 
-  lut40011 \wb_dati_7_0_a2_2_0[1] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
+  lut40067 \ram2e_ufm/wb_adr_7_i_i_a3_6[0] ( .A(A1), .B(B1), .C(C1), .D(GNDI), 
+    .Z(F1));
   gnd DRIVEGND( .PWR0(GNDI));
-  lut40067 \FS_RNIOD6E_1[8] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  lut40068 \ram2e_ufm/wb_adr_7_i_i_5[0] ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
 
   specify
     (C1 => F1) = (0:0:0,0:0:0);
@@ -2681,50 +3280,27 @@ endmodule
 
 module lut40067 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h0004) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module SLICE_62 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 );
-  wire   GNDI;
-
-  lut40068 \wb_adr_RNO_0[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40069 \wb_adr_RNO_2[1] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
-  gnd DRIVEGND( .PWR0(GNDI));
-
-  specify
-    (D1 => F1) = (0:0:0,0:0:0);
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-  endspecify
-
+  ROM16X1A #(16'h2020) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
 module lut40068 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h0200) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'hF4F0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module lut40069 ( input A, B, C, D, output Z );
+module ram2e_ufm_SLICE_65 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
+    F1 );
 
-  ROM16X1A #(16'h9595) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module SLICE_63 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 );
-  wire   GNDI;
-
-  lut40039 \un1_LEDEN13_2_i_o2_2[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40038 \FS_RNI9FGA[1] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
-  gnd DRIVEGND( .PWR0(GNDI));
+  lut40069 \ram2e_ufm/SUM0_i_a3_1 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
+  lut40065 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_o3_0_RNIN3AF2 ( .A(A0), .B(B0), 
+    .C(C0), .D(D0), .Z(F0));
 
   specify
     (D1 => F1) = (0:0:0,0:0:0);
     (C1 => F1) = (0:0:0,0:0:0);
     (B1 => F1) = (0:0:0,0:0:0);
     (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
     (C0 => F0) = (0:0:0,0:0:0);
     (B0 => F0) = (0:0:0,0:0:0);
     (A0 => F0) = (0:0:0,0:0:0);
@@ -2732,15 +3308,21 @@ module SLICE_63 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 );
 
 endmodule
 
-module SLICE_64 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
-  wire   GNDI;
+module lut40069 ( input A, B, C, D, output Z );
 
-  lut40070 \FS_RNI6JJA[8] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
-  gnd DRIVEGND( .PWR0(GNDI));
-  lut40013 \un1_RWMask_0_sqmuxa_1_i_0_RNO[0] ( .A(A0), .B(B0), .C(C0), .D(D0), 
-    .Z(F0));
+  ROM16X1A #(16'h1500) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_66 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
+    F1 );
+
+  lut40070 \ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_0[0] ( .A(A1), .B(B1), 
+    .C(C1), .D(D1), .Z(F1));
+  lut40071 \ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0[0] ( .A(A0), .B(B0), 
+    .C(C0), .D(D0), .Z(F0));
 
   specify
+    (D1 => F1) = (0:0:0,0:0:0);
     (C1 => F1) = (0:0:0,0:0:0);
     (B1 => F1) = (0:0:0,0:0:0);
     (A1 => F1) = (0:0:0,0:0:0);
@@ -2754,59 +3336,23 @@ endmodule
 
 module lut40070 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h7F7F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module SLICE_65 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
-  wire   GNDI;
-
-  lut40007 \wb_dati_7_0_a2_0_0[6] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
-  gnd DRIVEGND( .PWR0(GNDI));
-  lut40026 \wb_dati_7_0_0_RNO[7] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
-
-  specify
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (D0 => F0) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-  endspecify
-
-endmodule
-
-module SLICE_66 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
-  wire   GNDI;
-
-  lut40003 \FS_RNIJ9MH[14] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1));
-  gnd DRIVEGND( .PWR0(GNDI));
-  lut40071 wb_we_RNO_3( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
-
-  specify
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (D0 => F0) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-  endspecify
-
+  ROM16X1A #(16'hCCC8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
 module lut40071 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h2202) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'hF5F4) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module SLICE_67 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
+module ram2e_ufm_SLICE_67 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
   wire   GNDI;
 
-  lut40027 wb_reqc_1( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1));
+  lut40072 \ram2e_ufm/nRAS_s_i_0_m3 ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
   gnd DRIVEGND( .PWR0(GNDI));
-  lut40072 wb_reqc_1_RNIRU4M1( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  lut40073 \ram2e_ufm/nRAS_s_i_0_o2_0 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
 
   specify
+    (C1 => F1) = (0:0:0,0:0:0);
     (B1 => F1) = (0:0:0,0:0:0);
     (A1 => F1) = (0:0:0,0:0:0);
     (D0 => F0) = (0:0:0,0:0:0);
@@ -2819,36 +3365,21 @@ endmodule
 
 module lut40072 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h0080) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module SLICE_68 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
-
-  lut40073 \wb_dati_7_0_0[3] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40015 \FS_RNIOD6E_0[8] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
-
-  specify
-    (D1 => F1) = (0:0:0,0:0:0);
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (D0 => F0) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-  endspecify
-
+  ROM16X1A #(16'h8B8B) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
 module lut40073 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'hF888) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'hFFF6) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module SLICE_69 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
+module ram2e_ufm_SLICE_68 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
+    F1 );
 
-  lut40074 \RA_42_0[10] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40075 \RA_42_0_RNO[10] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  lut40074 \ram2e_ufm/wb_adr_7_i_i_3[0] ( .A(A1), .B(B1), .C(C1), .D(D1), 
+    .Z(F1));
+  lut40075 \ram2e_ufm/wb_adr_7_i_i_3_1[0] ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
 
   specify
     (D1 => F1) = (0:0:0,0:0:0);
@@ -2865,18 +3396,19 @@ endmodule
 
 module lut40074 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'hFFDC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'h0090) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
 module lut40075 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h0208) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'h01A1) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module SLICE_70 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
+module ram2e_ufm_SLICE_69 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
+    F1 );
 
-  lut40063 \wb_dati_7_0_a2[4] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40076 \FS_RNIOD6E[8] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  lut40076 \ram2e_ufm/nCAS_s_i_0_a3_0 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
+  lut4 \ram2e_ufm/wb_rst16_i_i_i_o3 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
 
   specify
     (D1 => F1) = (0:0:0,0:0:0);
@@ -2893,16 +3425,19 @@ endmodule
 
 module lut40076 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h4888) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'h0400) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module SLICE_71 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
+module ram2e_ufm_SLICE_70 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
+  wire   GNDI;
 
-  lut40077 nRWE_r_0_RNO( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40018 \S_RNII9DO1_3[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  lut40077 \ram2e_ufm/wb_dati_7_0_0_a3_12[7] ( .A(A1), .B(B1), .C(C1), 
+    .D(GNDI), .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40078 \ram2e_ufm/wb_dati_7_0_0_0_RNO[7] ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
 
   specify
-    (D1 => F1) = (0:0:0,0:0:0);
     (C1 => F1) = (0:0:0,0:0:0);
     (B1 => F1) = (0:0:0,0:0:0);
     (A1 => F1) = (0:0:0,0:0:0);
@@ -2916,120 +3451,27 @@ endmodule
 
 module lut40077 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h00BF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module SLICE_72 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
-
-  lut40026 Ready_0_sqmuxa_0_a2_6_a2_4( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40025 \FS_RNI5OOF1[15] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
-
-  specify
-    (D1 => F1) = (0:0:0,0:0:0);
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (D0 => F0) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-  endspecify
-
-endmodule
-
-module SLICE_73 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
-
-  lut40078 \wb_adr_7_0_a2_1[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40067 \FS_RNIK5632[15] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
-
-  specify
-    (D1 => F1) = (0:0:0,0:0:0);
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (D0 => F0) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-  endspecify
-
+  ROM16X1A #(16'h0404) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
 module lut40078 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h00D0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'h8808) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module SLICE_74 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
+module ram2e_ufm_SLICE_71 ( input C1, B1, A1, C0, B0, A0, output F0, F1 );
   wire   GNDI;
 
-  lut4 \wb_dati_7_0_a2_5[4] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
+  lut40013 \ram2e_ufm/RA_35_0_0_a3_4[7] ( .A(A1), .B(B1), .C(C1), .D(GNDI), 
+    .Z(F1));
   gnd DRIVEGND( .PWR0(GNDI));
-  lut40026 \wb_dati_7_0_a2_5_RNIC22J[4] ( .A(A0), .B(B0), .C(C0), .D(D0), 
+  lut40079 \ram2e_ufm/nRAS_s_i_0_a3_4 ( .A(A0), .B(B0), .C(C0), .D(GNDI), 
     .Z(F0));
 
   specify
     (C1 => F1) = (0:0:0,0:0:0);
     (B1 => F1) = (0:0:0,0:0:0);
     (A1 => F1) = (0:0:0,0:0:0);
-    (D0 => F0) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-  endspecify
-
-endmodule
-
-module SLICE_75 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 );
-  wire   GNDI;
-
-  lut40015 nCS_6_u_i_a2_4( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut4 nCS_6_u_i_a2_4_RNI3A062( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
-  gnd DRIVEGND( .PWR0(GNDI));
-
-  specify
-    (D1 => F1) = (0:0:0,0:0:0);
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-  endspecify
-
-endmodule
-
-module SLICE_76 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
-  wire   GNDI;
-
-  lut40027 nCS_6_u_i_o2_0( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1));
-  gnd DRIVEGND( .PWR0(GNDI));
-  lut40065 nCS_6_u_i_a2_4_RNICJKD2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
-
-  specify
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (D0 => F0) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-  endspecify
-
-endmodule
-
-module SLICE_77 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
-  wire   GNDI;
-
-  lut40009 un1_CS_0_sqmuxa_0_0_a2_10( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
-  gnd DRIVEGND( .PWR0(GNDI));
-  lut40079 un1_CS_0_sqmuxa_0_0_2_RNIQS7F( .A(A0), .B(B0), .C(C0), .D(D0), 
-    .Z(F0));
-
-  specify
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (D0 => F0) = (0:0:0,0:0:0);
     (C0 => F0) = (0:0:0,0:0:0);
     (B0 => F0) = (0:0:0,0:0:0);
     (A0 => F0) = (0:0:0,0:0:0);
@@ -3039,20 +3481,22 @@ endmodule
 
 module lut40079 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h0103) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'h0101) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module SLICE_78 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
+module ram2e_ufm_SLICE_72 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 );
   wire   GNDI;
 
-  lut40027 nCAS_s_i_o2( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1));
+  lut40080 \ram2e_ufm/BA_4[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
+  lut40047 \ram2e_ufm/un1_RC12_i_0_o3 ( .A(A0), .B(B0), .C(C0), .D(GNDI), 
+    .Z(F0));
   gnd DRIVEGND( .PWR0(GNDI));
-  lut40080 nCAS_0io_RNO( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
 
   specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
     (B1 => F1) = (0:0:0,0:0:0);
     (A1 => F1) = (0:0:0,0:0:0);
-    (D0 => F0) = (0:0:0,0:0:0);
     (C0 => F0) = (0:0:0,0:0:0);
     (B0 => F0) = (0:0:0,0:0:0);
     (A0 => F0) = (0:0:0,0:0:0);
@@ -3062,21 +3506,24 @@ endmodule
 
 module lut40080 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'hAABF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'hC0D0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module SLICE_79 ( input D1, C1, B1, A1, B0, A0, output F0, F1 );
-  wire   GNDI;
+module ram2e_ufm_SLICE_73 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
+    F1 );
 
-  lut40081 nCS_6_u_i_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40003 nCS_0io_RNO( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0));
-  gnd DRIVEGND( .PWR0(GNDI));
+  lut40081 \ram2e_ufm/wb_dati_7_0_0_o3_0[2] ( .A(A1), .B(B1), .C(C1), .D(D1), 
+    .Z(F1));
+  lut40082 \ram2e_ufm/wb_dati_7_0_0_a3_3[4] ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
 
   specify
     (D1 => F1) = (0:0:0,0:0:0);
     (C1 => F1) = (0:0:0,0:0:0);
     (B1 => F1) = (0:0:0,0:0:0);
     (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
     (B0 => F0) = (0:0:0,0:0:0);
     (A0 => F0) = (0:0:0,0:0:0);
   endspecify
@@ -3085,44 +3532,24 @@ endmodule
 
 module lut40081 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h0212) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module SLICE_80 ( input C1, B1, A1, C0, B0, A0, output F0, F1 );
-  wire   GNDI;
-
-  lut40082 nRAS_2_iv_0_a2_0( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
-  gnd DRIVEGND( .PWR0(GNDI));
-  lut40083 nRAS_2_iv_i( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
-
-  specify
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-  endspecify
-
+  ROM16X1A #(16'hECCC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
 module lut40082 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h1212) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'h0100) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module lut40083 ( input A, B, C, D, output Z );
+module ram2e_ufm_SLICE_74 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
+  wire   GNDI;
 
-  ROM16X1A #(16'h5151) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module SLICE_81 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
-
-  lut40018 un1_CS_0_sqmuxa_0_0_a2_1_6( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40047 un1_CS_0_sqmuxa_0_0_2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  lut40053 \ram2e_ufm/RA_35_2_0_0[10] ( .A(A1), .B(B1), .C(C1), .D(GNDI), 
+    .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40034 \ram2e_ufm/RA_35_2_0_a3_5[10] ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
 
   specify
-    (D1 => F1) = (0:0:0,0:0:0);
     (C1 => F1) = (0:0:0,0:0:0);
     (B1 => F1) = (0:0:0,0:0:0);
     (A1 => F1) = (0:0:0,0:0:0);
@@ -3134,13 +3561,60 @@ module SLICE_81 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
 
 endmodule
 
-module SLICE_82 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
+module ram2e_ufm_SLICE_75 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
+  wire   GNDI;
 
-  lut40084 un1_CS_0_sqmuxa_0_0_a2_5( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40039 un1_CS_0_sqmuxa_0_0_3( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  lut40079 \ram2e_ufm/wb_dati_7_0_0_a3_15[7] ( .A(A1), .B(B1), .C(C1), 
+    .D(GNDI), .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40015 \ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0_0_RNO[0] ( .A(A0), .B(B0), 
+    .C(C0), .D(D0), .Z(F0));
+
+  specify
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module ram2e_ufm_SLICE_76 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
+  wire   GNDI;
+
+  lut40083 \ram2e_ufm/wb_dati_7_0_0_a3_13[7] ( .A(A1), .B(B1), .C(GNDI), 
+    .D(GNDI), .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40019 \ram2e_ufm/wb_dati_7_0_0_0_0_RNO[7] ( .A(A0), .B(B0), .C(C0), 
+    .D(D0), .Z(F0));
+
+  specify
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module lut40083 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'h2222) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_77 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
+  wire   GNDI;
+
+  lut40084 \ram2e_ufm/SUM2_0_o2 ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40085 \ram2e_ufm/N_314_i_i_o3 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
 
   specify
-    (D1 => F1) = (0:0:0,0:0:0);
     (C1 => F1) = (0:0:0,0:0:0);
     (B1 => F1) = (0:0:0,0:0:0);
     (A1 => F1) = (0:0:0,0:0:0);
@@ -3154,17 +3628,24 @@ endmodule
 
 module lut40084 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h007F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'hF7F7) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module SLICE_83 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
+module lut40085 ( input A, B, C, D, output Z );
 
-  lut40025 nCS_6_u_i_a2_1_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40085 nCS_6_u_i_1( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  ROM16X1A #(16'hFFF7) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_78 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
+  wire   GNDI;
+
+  lut40036 \ram2e_ufm/S_r_i_0_o2[1] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), 
+    .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40041 \ram2e_ufm/S_r_i_0_o2_RNIP4KI1[1] ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
 
   specify
-    (D1 => F1) = (0:0:0,0:0:0);
-    (C1 => F1) = (0:0:0,0:0:0);
     (B1 => F1) = (0:0:0,0:0:0);
     (A1 => F1) = (0:0:0,0:0:0);
     (D0 => F0) = (0:0:0,0:0:0);
@@ -3175,15 +3656,13 @@ module SLICE_83 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
 
 endmodule
 
-module lut40085 ( input A, B, C, D, output Z );
+module ram2e_ufm_SLICE_79 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
+    F1 );
 
-  ROM16X1A #(16'hEAAA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module SLICE_84 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
-
-  lut40086 \wb_dati_7_0_a2[6] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40053 \wb_dati_7_0_1[6] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  lut40086 \ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0_0[0] ( .A(A1), .B(B1), .C(C1), 
+    .D(D1), .Z(F1));
+  lut40087 \ram2e_ufm/S_r_i_0_o2_RNIOGTF1[1] ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
 
   specify
     (D1 => F1) = (0:0:0,0:0:0);
@@ -3200,36 +3679,20 @@ endmodule
 
 module lut40086 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h9000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module SLICE_85 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
-
-  lut40087 \wb_adr_7_0_1[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40039 \wb_adr_7_0_4[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
-
-  specify
-    (D1 => F1) = (0:0:0,0:0:0);
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (D0 => F0) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-  endspecify
-
+  ROM16X1A #(16'hF222) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
 module lut40087 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'hCC08) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'hFF7F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module SLICE_86 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
+module ram2e_ufm_SLICE_80 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
+    F1 );
 
-  lut40067 \wb_dati_7_0_a2_2[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40073 \un1_LEDEN_0_sqmuxa_1_i_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), 
+  lut40034 \ram2e_ufm/un1_wb_cyc_stb_0_sqmuxa_1_i_0_0_a2[0] ( .A(A1), .B(B1), 
+    .C(C1), .D(D1), .Z(F1));
+  lut40088 \ram2e_ufm/CmdBitbangMXO2_RNINSM62 ( .A(A0), .B(B0), .C(C0), .D(D0), 
     .Z(F0));
 
   specify
@@ -3245,56 +3708,22 @@ module SLICE_86 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
 
 endmodule
 
-module SLICE_87 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 );
-  wire   GNDI;
-
-  lut40013 un1_CS_0_sqmuxa_0_0_a2_4_4( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40059 un1_CS_0_sqmuxa_0_0_a2_4( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
-  gnd DRIVEGND( .PWR0(GNDI));
-
-  specify
-    (D1 => F1) = (0:0:0,0:0:0);
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-  endspecify
-
-endmodule
-
-module SLICE_88 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
-  wire   GNDI;
-
-  lut40088 \FS_RNI9Q57[13] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1));
-  gnd DRIVEGND( .PWR0(GNDI));
-  lut40085 \wb_dati_7_0_o2_0[2] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
-
-  specify
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (D0 => F0) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-  endspecify
-
-endmodule
-
 module lut40088 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'hBBBB) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'hCCEC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module SLICE_89 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
-  wire   GNDI;
+module ram2e_ufm_SLICE_81 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
+    F1 );
 
-  lut40027 \wb_adr_7_0_o2[0] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1));
-  gnd DRIVEGND( .PWR0(GNDI));
-  lut40065 \wb_adr_7_0_a2_2[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  lut40017 \ram2e_ufm/wb_dati_7_0_0_a3_14[7] ( .A(A1), .B(B1), .C(C1), .D(D1), 
+    .Z(F1));
+  lut40035 \ram2e_ufm/wb_dati_7_0_0_a3_13_RNI81UL[7] ( .A(A0), .B(B0), .C(C0), 
+    .D(D0), .Z(F0));
 
   specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
     (B1 => F1) = (0:0:0,0:0:0);
     (A1 => F1) = (0:0:0,0:0:0);
     (D0 => F0) = (0:0:0,0:0:0);
@@ -3305,18 +3734,41 @@ module SLICE_89 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
 
 endmodule
 
-module SLICE_90 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 );
-  wire   GNDI;
+module ram2e_ufm_SLICE_82 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
+    F1 );
 
-  lut40089 \wb_dati_7_0_o2[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40011 \wb_dati_7_0_a2[1] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
-  gnd DRIVEGND( .PWR0(GNDI));
+  lut40019 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_0 ( .A(A1), .B(B1), .C(C1), 
+    .D(D1), .Z(F1));
+  lut40016 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_0_RNIVQNQ2 ( .A(A0), .B(B0), .C(C0), 
+    .D(D0), .Z(F0));
 
   specify
     (D1 => F1) = (0:0:0,0:0:0);
     (C1 => F1) = (0:0:0,0:0:0);
     (B1 => F1) = (0:0:0,0:0:0);
     (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module ram2e_ufm_SLICE_83 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
+  wire   GNDI;
+
+  lut40067 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_1_0 ( .A(A1), .B(B1), .C(C1), 
+    .D(GNDI), .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40089 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_1_0_RNIS35S ( .A(A0), .B(B0), 
+    .C(C0), .D(D0), .Z(F0));
+
+  specify
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
     (C0 => F0) = (0:0:0,0:0:0);
     (B0 => F0) = (0:0:0,0:0:0);
     (A0 => F0) = (0:0:0,0:0:0);
@@ -3326,32 +3778,16 @@ endmodule
 
 module lut40089 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h0810) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'hA020) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module SLICE_91 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 );
-  wire   GNDI;
+module ram2e_ufm_SLICE_84 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
+    F1 );
 
-  lut40015 un1_CS_0_sqmuxa_0_0_a2_2_4( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40011 un1_CS_0_sqmuxa_0_0_a2_2( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
-  gnd DRIVEGND( .PWR0(GNDI));
-
-  specify
-    (D1 => F1) = (0:0:0,0:0:0);
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-  endspecify
-
-endmodule
-
-module SLICE_92 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
-
-  lut40090 \wb_adr_7_0_a2_0_0[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40091 \wb_adr_7_0_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  lut40082 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_9_RNIJT9D1 ( .A(A1), .B(B1), 
+    .C(C1), .D(D1), .Z(F1));
+  lut40090 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_9_RNI3N8T5 ( .A(A0), .B(B0), 
+    .C(C0), .D(D0), .Z(F0));
 
   specify
     (D1 => F1) = (0:0:0,0:0:0);
@@ -3368,63 +3804,90 @@ endmodule
 
 module lut40090 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h3210) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'h3130) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_85 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
+    F1 );
+
+  lut40017 \ram2e_ufm/wb_dati_7_0_0_a3_10[7] ( .A(A1), .B(B1), .C(C1), .D(D1), 
+    .Z(F1));
+  lut40086 \ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0_0[0] ( .A(A0), .B(B0), .C(C0), 
+    .D(D0), .Z(F0));
+
+  specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module ram2e_ufm_SLICE_86 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
+  wire   GNDI;
+
+  lut40023 \ram2e_ufm/wb_we_7_iv_0_0_0_a3_1 ( .A(A1), .B(B1), .C(GNDI), 
+    .D(GNDI), .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut4 \ram2e_ufm/wb_adr_7_i_i_4[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+
+  specify
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module ram2e_ufm_SLICE_87 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 );
+  wire   GNDI;
+
+  lut40091 \ram2e_ufm/CmdSetRWBankFFChip_3_0_a8_0_o3_RNIGCV91 ( .A(A1), .B(B1), 
+    .C(C1), .D(D1), .Z(F1));
+  lut40092 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_m3 ( .A(A0), .B(B0), .C(C0), 
+    .D(GNDI), .Z(F0));
+  gnd DRIVEGND( .PWR0(GNDI));
+
+  specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
 endmodule
 
 module lut40091 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'hECA0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module SLICE_93 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
-
-  lut40092 un1_CS_0_sqmuxa_0_0_a2_1_2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40068 un1_CS_0_sqmuxa_0_0_a2_1_4( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
-
-  specify
-    (D1 => F1) = (0:0:0,0:0:0);
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (D0 => F0) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-  endspecify
-
+  ROM16X1A #(16'hFFFD) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
 module lut40092 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h0400) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'h8D8D) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module SLICE_94 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
+module ram2e_ufm_SLICE_88 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
+  wire   GNDI;
 
-  lut40026 un1_CS_0_sqmuxa_0_0_a2_3_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40065 un1_CS_0_sqmuxa_0_0_a2_3_2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  lut40093 \ram2e_ufm/wb_dati_7_0_0_a3_4_1_0[7] ( .A(A1), .B(B1), .C(GNDI), 
+    .D(GNDI), .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40094 \ram2e_ufm/wb_dati_7_0_0_RNO_0[7] ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
 
   specify
-    (D1 => F1) = (0:0:0,0:0:0);
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (D0 => F0) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-  endspecify
-
-endmodule
-
-module SLICE_95 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
-
-  lut40056 wb_we_RNO_4( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40093 wb_we_RNO_2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
-
-  specify
-    (D1 => F1) = (0:0:0,0:0:0);
-    (C1 => F1) = (0:0:0,0:0:0);
     (B1 => F1) = (0:0:0,0:0:0);
     (A1 => F1) = (0:0:0,0:0:0);
     (D0 => F0) = (0:0:0,0:0:0);
@@ -3437,15 +3900,22 @@ endmodule
 
 module lut40093 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'hFEFC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'h4444) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module SLICE_96 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
+module lut40094 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'hC8C0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_89 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
   wire   GNDI;
 
-  lut40094 \RA_42_i_o2[8] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
+  lut40077 \ram2e_ufm/wb_dati_7_0_0_a3_7[3] ( .A(A1), .B(B1), .C(C1), .D(GNDI), 
+    .Z(F1));
   gnd DRIVEGND( .PWR0(GNDI));
-  lut40095 \RA_0io_RNO[8] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  lut40055 \ram2e_ufm/wb_dati_7_0_0_0_0[3] ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
 
   specify
     (C1 => F1) = (0:0:0,0:0:0);
@@ -3459,24 +3929,17 @@ module SLICE_96 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
 
 endmodule
 
-module lut40094 ( input A, B, C, D, output Z );
+module ram2e_ufm_SLICE_90 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
+    F1 );
 
-  ROM16X1A #(16'hEFEF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module lut40095 ( input A, B, C, D, output Z );
-
-  ROM16X1A #(16'h5044) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module SLICE_97 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
-  wire   GNDI;
-
-  lut40033 \wb_dati_7_0_a2_1[0] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1));
-  gnd DRIVEGND( .PWR0(GNDI));
-  lut40072 CKE_6_iv_i_a2_3( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  lut40095 \ram2e_ufm/wb_dati_7_0_0_a3_1_0[6] ( .A(A1), .B(B1), .C(C1), .D(D1), 
+    .Z(F1));
+  lut40055 \ram2e_ufm/wb_dati_7_0_0_0[6] ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
 
   specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
     (B1 => F1) = (0:0:0,0:0:0);
     (A1 => F1) = (0:0:0,0:0:0);
     (D0 => F0) = (0:0:0,0:0:0);
@@ -3487,14 +3950,19 @@ module SLICE_97 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
 
 endmodule
 
-module SLICE_98 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
-  wire   GNDI;
+module lut40095 ( input A, B, C, D, output Z );
 
-  lut40096 un1_CS_0_sqmuxa_0_0_a2_16( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
-  gnd DRIVEGND( .PWR0(GNDI));
-  lut40065 un1_CS_0_sqmuxa_0_0_a2_4_2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  ROM16X1A #(16'h0021) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_91 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
+    F1 );
+
+  lut40096 \ram2e_ufm/nRAS_s_i_0_a3_8 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
+  lut40097 \ram2e_ufm/nRAS_s_i_0_0 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
 
   specify
+    (D1 => F1) = (0:0:0,0:0:0);
     (C1 => F1) = (0:0:0,0:0:0);
     (B1 => F1) = (0:0:0,0:0:0);
     (A1 => F1) = (0:0:0,0:0:0);
@@ -3508,127 +3976,57 @@ endmodule
 
 module lut40096 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h0101) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module SLICE_99 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
-  wire   GNDI;
-
-  lut40003 un1_CS_0_sqmuxa_0_0_a2_12( .A(A1), .B(B1), .C(GNDI), .D(GNDI), 
-    .Z(F1));
-  gnd DRIVEGND( .PWR0(GNDI));
-  lut40013 un1_CS_0_sqmuxa_0_0_a2_2_2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
-
-  specify
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (D0 => F0) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-  endspecify
-
-endmodule
-
-module SLICE_100 ( input C1, B1, A1, C0, B0, A0, output F0, F1 );
-  wire   GNDI;
-
-  lut40011 un1_CS_0_sqmuxa_0_0_a2_17( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
-  gnd DRIVEGND( .PWR0(GNDI));
-  lut40009 CmdSetRWBankFFMXO2_4_u_0_0_a2_0_0( .A(A0), .B(B0), .C(C0), .D(GNDI), 
-    .Z(F0));
-
-  specify
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-  endspecify
-
-endmodule
-
-module SLICE_101 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
-
-  lut40097 wb_reqc_1_RNIEO5C1( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40098 \S_RNII9DO1_1[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
-
-  specify
-    (D1 => F1) = (0:0:0,0:0:0);
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (D0 => F0) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-  endspecify
-
+  ROM16X1A #(16'h0004) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
 module lut40097 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h0001) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'h5540) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_92 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
+    F1 );
+
+  lut40098 \ram2e_ufm/CKE_7s2_0_0_o3 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
+  lut40099 \ram2e_ufm/nCAS_s_i_0_a3 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+
+  specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
 endmodule
 
 module lut40098 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'hC289) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module SLICE_102 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
-
-  lut40099 \S_s_0_1[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40018 \BA_0io_RNO[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
-
-  specify
-    (D1 => F1) = (0:0:0,0:0:0);
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (D0 => F0) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-  endspecify
-
+  ROM16X1A #(16'h5C50) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
 module lut40099 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'hD550) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'h5400) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module SLICE_103 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
+module ram2e_ufm_SLICE_93 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 );
+  wire   GNDI;
 
-  lut40056 \RA_0io_RNO[11] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40039 wb_req_RNO_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  lut40100 \ram2e_ufm/wb_dati_7_0_0_o2[4] ( .A(A1), .B(B1), .C(C1), .D(D1), 
+    .Z(F1));
+  lut40013 \ram2e_ufm/wb_dati_7_0_0_a3[4] ( .A(A0), .B(B0), .C(C0), .D(GNDI), 
+    .Z(F0));
+  gnd DRIVEGND( .PWR0(GNDI));
 
   specify
     (D1 => F1) = (0:0:0,0:0:0);
     (C1 => F1) = (0:0:0,0:0:0);
     (B1 => F1) = (0:0:0,0:0:0);
     (A1 => F1) = (0:0:0,0:0:0);
-    (D0 => F0) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-  endspecify
-
-endmodule
-
-module SLICE_104 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
-
-  lut40065 \wb_dati_7_0_a2_3[4] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40100 \wb_adr_7_0_a2_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
-
-  specify
-    (D1 => F1) = (0:0:0,0:0:0);
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (D0 => F0) = (0:0:0,0:0:0);
     (C0 => F0) = (0:0:0,0:0:0);
     (B0 => F0) = (0:0:0,0:0:0);
     (A0 => F0) = (0:0:0,0:0:0);
@@ -3638,18 +4036,19 @@ endmodule
 
 module lut40100 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'hCE00) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'h7880) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module SLICE_105 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
+module ram2e_ufm_SLICE_94 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
+  wire   GNDI;
 
-  lut40101 \un1_LEDEN_0_sqmuxa_1_i_a2_0_1[0] ( .A(A1), .B(B1), .C(C1), .D(D1), 
-    .Z(F1));
-  lut40045 \wb_dati_7_0_a2_1[7] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  lut40101 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_o3 ( .A(A1), .B(B1), .C(GNDI), 
+    .D(GNDI), .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40041 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_2_2 ( .A(A0), .B(B0), .C(C0), 
+    .D(D0), .Z(F0));
 
   specify
-    (D1 => F1) = (0:0:0,0:0:0);
-    (C1 => F1) = (0:0:0,0:0:0);
     (B1 => F1) = (0:0:0,0:0:0);
     (A1 => F1) = (0:0:0,0:0:0);
     (D0 => F0) = (0:0:0,0:0:0);
@@ -3662,44 +4061,18 @@ endmodule
 
 module lut40101 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h0100) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'hBBBB) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module SLICE_106 ( input B1, A1, C0, B0, A0, output F0, F1 );
-  wire   GNDI;
+module ram2e_ufm_SLICE_95 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
+    F1 );
 
-  lut40102 \S_RNINI6S[1] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1));
-  gnd DRIVEGND( .PWR0(GNDI));
-  lut40103 CKE_6_iv_i_0_1_RNO( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
-
-  specify
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-  endspecify
-
-endmodule
-
-module lut40102 ( input A, B, C, D, output Z );
-
-  ROM16X1A #(16'h7777) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module lut40103 ( input A, B, C, D, output Z );
-
-  ROM16X1A #(16'h1515) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module SLICE_107 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
-  wire   GNDI;
-
-  lut40102 \S_r_i_o2[1] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1));
-  gnd DRIVEGND( .PWR0(GNDI));
-  lut40018 \BA_0io_RNO[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  lut40102 \ram2e_ufm/RA_35_0_0_o2[5] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
+  lut40033 \ram2e_ufm/RA_35_0_0_0[4] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
 
   specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
     (B1 => F1) = (0:0:0,0:0:0);
     (A1 => F1) = (0:0:0,0:0:0);
     (D0 => F0) = (0:0:0,0:0:0);
@@ -3710,12 +4083,45 @@ module SLICE_107 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
 
 endmodule
 
-module SLICE_108 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
+module lut40102 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'hEAE8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_96 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
+    F1 );
+
+  lut40103 \ram2e_ufm/RA_35_0_0_o2_0[5] ( .A(A1), .B(B1), .C(C1), .D(D1), 
+    .Z(F1));
+  lut40033 \ram2e_ufm/RA_35_0_0_0_0[6] ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
+
+  specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module lut40103 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'h1512) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_97 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
   wire   GNDI;
 
-  lut40007 \wb_adr_7_0_a2_5_0[0] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
+  lut40104 \ram2e_ufm/wb_dati_7_0_0_a3_0_0[1] ( .A(A1), .B(B1), .C(C1), 
+    .D(GNDI), .Z(F1));
   gnd DRIVEGND( .PWR0(GNDI));
-  lut40104 \wb_dati_7_0_a2[7] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  lut40055 \ram2e_ufm/wb_dati_7_0_0_0[1] ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
 
   specify
     (C1 => F1) = (0:0:0,0:0:0);
@@ -3731,17 +4137,19 @@ endmodule
 
 module lut40104 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h8200) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'h8080) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module SLICE_109 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
+module ram2e_ufm_SLICE_98 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
+  wire   GNDI;
 
-  lut40037 \wb_dati_7_0_0[7] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40037 \wb_dati_7_0_0[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  lut40093 \ram2e_ufm/wb_dati_7_0_0_a3_9[7] ( .A(A1), .B(B1), .C(GNDI), 
+    .D(GNDI), .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40055 \ram2e_ufm/wb_dati_7_0_0_0_0[7] ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
 
   specify
-    (D1 => F1) = (0:0:0,0:0:0);
-    (C1 => F1) = (0:0:0,0:0:0);
     (B1 => F1) = (0:0:0,0:0:0);
     (A1 => F1) = (0:0:0,0:0:0);
     (D0 => F0) = (0:0:0,0:0:0);
@@ -3752,13 +4160,16 @@ module SLICE_109 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
 
 endmodule
 
-module SLICE_110 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
+module ram2e_ufm_SLICE_99 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
+  wire   GNDI;
 
-  lut40105 \RA_0io_RNO[9] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40055 CmdBitbangMXO2_RNI8CSO1( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  lut40105 \ram2e_ufm/wb_adr_7_i_i_a3_2_0[0] ( .A(A1), .B(B1), .C(C1), 
+    .D(GNDI), .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40106 \ram2e_ufm/wb_adr_7_i_i_1[0] ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
 
   specify
-    (D1 => F1) = (0:0:0,0:0:0);
     (C1 => F1) = (0:0:0,0:0:0);
     (B1 => F1) = (0:0:0,0:0:0);
     (A1 => F1) = (0:0:0,0:0:0);
@@ -3772,79 +4183,21 @@ endmodule
 
 module lut40105 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h0023) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module SLICE_111 ( input C1, B1, A1, C0, B0, A0, output F0, F1 );
-  wire   GNDI;
-
-  lut40106 \RA_42_3_0[5] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
-  gnd DRIVEGND( .PWR0(GNDI));
-  lut40020 \RA_0io_RNO[4] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
-
-  specify
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-  endspecify
-
+  ROM16X1A #(16'h0202) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
 module lut40106 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'hABAB) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'hF040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module SLICE_112 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 );
-  wire   GNDI;
+module ram2e_ufm_SLICE_100 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
+    F1 );
 
-  lut40107 nCS_6_u_i_a2_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40020 \RA_0io_RNO[6] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
-  gnd DRIVEGND( .PWR0(GNDI));
-
-  specify
-    (D1 => F1) = (0:0:0,0:0:0);
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-  endspecify
-
-endmodule
-
-module lut40107 ( input A, B, C, D, output Z );
-
-  ROM16X1A #(16'h0010) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
-endmodule
-
-module SLICE_113 ( input C1, B1, A1, C0, B0, A0, output F0, F1 );
-  wire   GNDI;
-
-  lut40020 \RA_0io_RNO[2] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
-  gnd DRIVEGND( .PWR0(GNDI));
-  lut40020 \RA_0io_RNO[7] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
-
-  specify
-    (C1 => F1) = (0:0:0,0:0:0);
-    (B1 => F1) = (0:0:0,0:0:0);
-    (A1 => F1) = (0:0:0,0:0:0);
-    (C0 => F0) = (0:0:0,0:0:0);
-    (B0 => F0) = (0:0:0,0:0:0);
-    (A0 => F0) = (0:0:0,0:0:0);
-  endspecify
-
-endmodule
-
-module SLICE_114 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
-
-  lut40073 \wb_dati_7_0_0[4] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40073 \un1_RWMask_0_sqmuxa_1_i_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), 
-    .Z(F0));
+  lut4 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_o3_0 ( .A(A1), .B(B1), .C(C1), .D(D1), 
+    .Z(F1));
+  lut40107 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_o3_0_RNI9H8R ( .A(A0), .B(B0), 
+    .C(C0), .D(D0), .Z(F0));
 
   specify
     (D1 => F1) = (0:0:0,0:0:0);
@@ -3859,12 +4212,19 @@ module SLICE_114 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
 
 endmodule
 
-module SLICE_115 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
+module lut40107 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'hBF8F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_101 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
   wire   GNDI;
 
-  lut40027 nWE80_pad_RNI3ICD( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1));
+  lut40022 \ram2e_ufm/CmdSetRWBankFFChip_3_0_a8_0_o3 ( .A(A1), .B(B1), 
+    .C(GNDI), .D(GNDI), .Z(F1));
   gnd DRIVEGND( .PWR0(GNDI));
-  lut40108 nRWE_r_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  lut40108 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_o2 ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
 
   specify
     (B1 => F1) = (0:0:0,0:0:0);
@@ -3879,15 +4239,35 @@ endmodule
 
 module lut40108 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h3222) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'hB1A0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module SLICE_116 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
+module ram2e_ufm_SLICE_102 ( input C1, B1, A1, C0, B0, A0, output F0, F1 );
   wire   GNDI;
 
-  lut40102 \wb_adr_7_0_o2_2[0] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1));
+  lut40077 \ram2e_ufm/CKE_7s2_0_0_a2_1 ( .A(A1), .B(B1), .C(C1), .D(GNDI), 
+    .Z(F1));
   gnd DRIVEGND( .PWR0(GNDI));
-  lut40109 \wb_dati_7_0_a2_1_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+  lut40047 \ram2e_ufm/CKE_7s2_0_0 ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
+
+  specify
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module ram2e_ufm_SLICE_103 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
+  wire   GNDI;
+
+  lut40101 \ram2e_ufm/wb_dati_7_0_0_0_o2[7] ( .A(A1), .B(B1), .C(GNDI), 
+    .D(GNDI), .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40109 \ram2e_ufm/wb_adr_RNO_1[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
 
   specify
     (B1 => F1) = (0:0:0,0:0:0);
@@ -3902,14 +4282,16 @@ endmodule
 
 module lut40109 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'h2800) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'h7040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module SLICE_117 ( input D1, C1, B1, A1, B0, A0, output F0, F1 );
+module ram2e_ufm_SLICE_104 ( input D1, C1, B1, A1, B0, A0, output F0, F1 );
   wire   GNDI;
 
-  lut40093 \RWBank_5_0_o2[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
-  lut40110 LED_pad_RNO( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0));
+  lut40110 \ram2e_ufm/wb_dati_7_0_0_o2_0[3] ( .A(A1), .B(B1), .C(C1), .D(D1), 
+    .Z(F1));
+  lut40093 \ram2e_ufm/wb_dati_7_0_0_0_a3_0[3] ( .A(A0), .B(B0), .C(GNDI), 
+    .D(GNDI), .Z(F0));
   gnd DRIVEGND( .PWR0(GNDI));
 
   specify
@@ -3925,16 +4307,870 @@ endmodule
 
 module lut40110 ( input A, B, C, D, output Z );
 
-  ROM16X1A #(16'hDDDD) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+  ROM16X1A #(16'h7084) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
 endmodule
 
-module SLICE_118 ( input B1, A1, B0, A0, output F0, F1 );
+module ram2e_ufm_SLICE_105 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 );
   wire   GNDI;
 
-  lut40003 un1_CS_0_sqmuxa_0_0_a2_11( .A(A1), .B(B1), .C(GNDI), .D(GNDI), 
+  lut40016 \ram2e_ufm/S_r_i_0_o2_RNI62C53[1] ( .A(A1), .B(B1), .C(C1), .D(D1), 
+    .Z(F1));
+  lut40111 \ram2e_ufm/N_285_i ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
+  gnd DRIVEGND( .PWR0(GNDI));
+
+  specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module lut40111 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'h0B0B) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_106 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
+  wire   GNDI;
+
+  lut40022 \ram2e_ufm/S_r_i_0_o2[2] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), 
     .Z(F1));
   gnd DRIVEGND( .PWR0(GNDI));
-  lut40033 un1_CS_0_sqmuxa_0_0_a2_13( .A(A0), .B(B0), .C(GNDI), .D(GNDI), 
+  lut40112 \ram2e_ufm/RA_35_2_0_a3[10] ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
+
+  specify
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module lut40112 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'hD050) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_107 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
+    F1 );
+
+  lut40113 \ram2e_ufm/CKE_7_m1_0_0_o2_RNIGC501 ( .A(A1), .B(B1), .C(C1), 
+    .D(D1), .Z(F1));
+  lut40114 \ram2e_ufm/RA_35_i_i_0_a3[1] ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
+
+  specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module lut40113 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'h0010) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module lut40114 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'hD800) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_108 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 );
+  wire   GNDI;
+
+  lut4 \ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_o3[0] ( .A(A1), .B(B1), 
+    .C(C1), .D(D1), .Z(F1));
+  lut40115 \ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_a3_0_0[0] ( .A(A0), 
+    .B(B0), .C(C0), .D(GNDI), .Z(F0));
+  gnd DRIVEGND( .PWR0(GNDI));
+
+  specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module lut40115 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'h3131) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_109 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
+  wire   GNDI;
+
+  lut40006 \ram2e_ufm/wb_we_7_iv_0_0_0_a3_6 ( .A(A1), .B(B1), .C(GNDI), 
+    .D(GNDI), .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40068 \ram2e_ufm/wb_we_RNO_3 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+
+  specify
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module ram2e_ufm_SLICE_110 ( input D1, C1, B1, A1, B0, A0, output F0, F1 );
+  wire   GNDI;
+
+  lut40035 \ram2e_ufm/wb_we_7_iv_0_0_0_a3_7 ( .A(A1), .B(B1), .C(C1), .D(D1), 
+    .Z(F1));
+  lut40023 \ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0_a3_0_0[0] ( .A(A0), .B(B0), 
+    .C(GNDI), .D(GNDI), .Z(F0));
+  gnd DRIVEGND( .PWR0(GNDI));
+
+  specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module ram2e_ufm_SLICE_111 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
+  wire   GNDI;
+
+  lut40104 \ram2e_ufm/wb_dati_7_0_0_a3_2[3] ( .A(A1), .B(B1), .C(C1), .D(GNDI), 
+    .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40116 \ram2e_ufm/wb_dati_7_0_0_0_o2[3] ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
+
+  specify
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module lut40116 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'h9180) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_112 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
+  wire   GNDI;
+
+  lut40018 \ram2e_ufm/nRAS_s_i_0_a3_6 ( .A(A1), .B(B1), .C(C1), .D(GNDI), 
+    .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40117 \ram2e_ufm/nRAS_s_i_0_a3_1 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+
+  specify
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module lut40117 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'h0200) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_113 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
+  wire   GNDI;
+
+  lut40077 \ram2e_ufm/nRAS_s_i_0_a3_5 ( .A(A1), .B(B1), .C(C1), .D(GNDI), 
+    .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40014 \ram2e_ufm/RA_35_2_0_a3_3[10] ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
+
+  specify
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module ram2e_ufm_SLICE_114 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
+  wire   GNDI;
+
+  lut40118 \ram2e_ufm/wb_adr_RNO_2[1] ( .A(A1), .B(B1), .C(C1), .D(GNDI), 
+    .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40117 \ram2e_ufm/wb_adr_RNO_0[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+
+  specify
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module lut40118 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'h8787) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_115 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
+  wire   GNDI;
+
+  lut40036 \ram2e_ufm/un2_S_2_i_0_0_o3 ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), 
+    .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40119 \ram2e_ufm/CKE_7s2_0_0_0 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+
+  specify
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module lut40119 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'h0C4C) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_116 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 );
+  wire   GNDI;
+
+  lut40014 \ram2e_ufm/CmdExecMXO2_3_0_a3_0 ( .A(A1), .B(B1), .C(C1), .D(D1), 
+    .Z(F1));
+  lut40067 \ram2e_ufm/CmdSetRWBankFFChip_3_0_a8_0_a3_0 ( .A(A0), .B(B0), 
+    .C(C0), .D(GNDI), .Z(F0));
+  gnd DRIVEGND( .PWR0(GNDI));
+
+  specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module ram2e_ufm_SLICE_117 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
+    F1 );
+
+  lut40120 \ram2e_ufm/S_s_0_0_RNO[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
+  lut40121 \ram2e_ufm/N_225_i ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+
+  specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module lut40120 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'h0F0E) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module lut40121 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'h0007) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_118 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
+    F1 );
+
+  lut40113 \ram2e_ufm/CKE_7_m1_0_0_o2_RNI7FOA1 ( .A(A1), .B(B1), .C(C1), 
+    .D(D1), .Z(F1));
+  lut40122 \ram2e_ufm/N_201_i ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+
+  specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module lut40122 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'h000D) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_119 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
+    F1 );
+
+  lut40123 \ram2e_ufm/S_r_i_0_o2_RNIBAU51[1] ( .A(A1), .B(B1), .C(C1), .D(D1), 
+    .Z(F1));
+  lut40124 \ram2e_ufm/un1_CKE75_0_i_0 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+
+  specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module lut40123 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'h1000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module lut40124 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'hD79B) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_120 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
+    F1 );
+
+  lut40125 \ram2e_ufm/DQMH_4_iv_0_0_i_i_a3_0_a3 ( .A(A1), .B(B1), .C(C1), 
+    .D(D1), .Z(F1));
+  lut40126 \ram2e_ufm/N_507_i ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+
+  specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module lut40125 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'h31F5) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module lut40126 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'hCD05) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_121 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
+    F1 );
+
+  lut40127 \ram2e_ufm/Vout3_0_a3_0_a3_0_a3 ( .A(A1), .B(B1), .C(C1), .D(D1), 
+    .Z(F1));
+  lut40128 \ram2e_ufm/RA_35_0_0_o2[11] ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
+
+  specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module lut40127 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'h0040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module lut40128 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'hFCF8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_122 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
+    F1 );
+
+  lut40129 \ram2e_ufm/nRWE_s_i_0_63_1 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
+  lut40130 \ram2e_ufm/nCAS_s_i_0_m2 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+
+  specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module lut40129 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'h4FFC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module lut40130 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'h37FA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_123 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
+  wire   GNDI;
+
+  lut40131 \ram2e_ufm/wb_adr_RNO_3[1] ( .A(A1), .B(B1), .C(C1), .D(GNDI), 
+    .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40019 \ram2e_ufm/wb_dati_7_0_0_a3_8[3] ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
+
+  specify
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module lut40131 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'h7F7F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_124 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
+    F1 );
+
+  lut40132 \ram2e_ufm/RA_35_0_0_0[5] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
+  lut40035 \ram2e_ufm/RA_35_0_0_RNO[0] ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
+
+  specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module lut40132 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'h7300) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_125 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
+    F1 );
+
+  lut40133 \ram2e_ufm/wb_adr_7_i_i_o2_1[0] ( .A(A1), .B(B1), .C(C1), .D(D1), 
+    .Z(F1));
+  lut40045 \ram2e_ufm/wb_dati_7_0_0_a3_2[4] ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
+
+  specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module lut40133 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'h7F70) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_126 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
+    F1 );
+
+  lut40134 \ram2e_ufm/wb_we_RNO_1 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
+  lut40117 \ram2e_ufm/wb_adr_7_i_i_a3_4[0] ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
+
+  specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module lut40134 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'h7F07) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_127 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
+    F1 );
+
+  lut40117 \ram2e_ufm/un9_VOEEN_0_a2_0_a3_0_a3 ( .A(A1), .B(B1), .C(C1), 
+    .D(D1), .Z(F1));
+  lut40046 \ram2e_ufm/RA_35_2_30_a3_2 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+
+  specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module ram2e_ufm_SLICE_128 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 );
+  wire   GNDI;
+
+  lut40135 \ram2e_ufm/wb_dati_7_0_0_0_a3_0[0] ( .A(A1), .B(B1), .C(C1), .D(D1), 
+    .Z(F1));
+  lut40136 \ram2e_ufm/wb_adr_RNO_4[1] ( .A(A0), .B(B0), .C(C0), .D(GNDI), 
+    .Z(F0));
+  gnd DRIVEGND( .PWR0(GNDI));
+
+  specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module lut40135 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'h2080) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module lut40136 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'h0808) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_129 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
+    F1 );
+
+  lut40080 \ram2e_ufm/BA_4[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
+  lut40016 \ram2e_ufm/RA_35_2_0_RNO[10] ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
+
+  specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module ram2e_ufm_SLICE_130 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
+  wire   GNDI;
+
+  lut40083 \ram2e_ufm/N_187_i ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40088 \ram2e_ufm/wb_we_RNO_0 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+
+  specify
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module ram2e_ufm_SLICE_131 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
+    F1 );
+
+  lut40035 \ram2e_ufm/Ready3_0_a3_5 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
+  lut40137 \ram2e_ufm/wb_dati_7_0_0_0_a3[7] ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
+
+  specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module lut40137 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'h9000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_132 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
+    F1 );
+
+  lut40138 \ram2e_ufm/RA_35_0_0_1[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
+  lut40033 \ram2e_ufm/RA_35_0_0_0_0[7] ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
+
+  specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module lut40138 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'h0777) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_133 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 );
+  wire   GNDI;
+
+  lut40035 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_0_1 ( .A(A1), .B(B1), .C(C1), 
+    .D(D1), .Z(F1));
+  lut40084 \ram2e_ufm/SUM0_i_o2_2 ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
+  gnd DRIVEGND( .PWR0(GNDI));
+
+  specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module ram2e_ufm_SLICE_134 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
+    F1 );
+
+  lut4 \ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_o3_3[0] ( .A(A1), .B(B1), 
+    .C(C1), .D(D1), .Z(F1));
+  lut40114 \ram2e_ufm/RA_35_0_0_a3[2] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+
+  specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module ram2e_ufm_SLICE_135 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
+  wire   GNDI;
+
+  lut40022 \ram2e_ufm/S_r_i_0_o2_0[1] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), 
+    .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40139 \ram2e_ufm/RA_35_2_0_a3_0[10] ( .A(A0), .B(B0), .C(C0), .D(D0), 
+    .Z(F0));
+
+  specify
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (D0 => F0) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module lut40139 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'h0444) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_136 ( input C1, B1, A1, C0, B0, A0, output F0, F1 );
+  wire   GNDI;
+
+  lut40140 \ram2e_ufm/nRAS_s_i_0_o2 ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40141 \ram2e_ufm/un1_nDOE_i ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
+
+  specify
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module lut40140 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'h5757) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module lut40141 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'hDFDF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_137 ( input C1, B1, A1, C0, B0, A0, output F0, F1 );
+  wire   GNDI;
+
+  lut40142 \ram2e_ufm/RDOE_i ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40084 \ram2e_ufm/LEDEN_RNI6G6M ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
+
+  specify
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module lut40142 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'hA8A8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module SLICE_138 ( input C1, B1, A1, C0, B0, A0, output F0, F1 );
+  wire   GNDI;
+
+  lut40007 VOEEN_RNO( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40007 DOEEN_RNO( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
+
+  specify
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module SLICE_139 ( input B1, A1, C0, B0, A0, output F0, F1 );
+  wire   GNDI;
+
+  lut40101 nVOE_pad_RNO( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40067 S_1( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
+
+  specify
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (C0 => F0) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module ram2e_ufm_SLICE_140 ( input B1, A1, B0, A0, output F0, F1 );
+  wire   GNDI;
+
+  lut40023 \ram2e_ufm/RA_35_0_0_a3_0[2] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), 
+    .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40023 \ram2e_ufm/RA_35_0_0_a3[5] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), 
     .Z(F0));
 
   specify
@@ -3946,12 +5182,114 @@ module SLICE_118 ( input B1, A1, B0, A0, output F0, F1 );
 
 endmodule
 
-module SLICE_119 ( input D0, C0, B0, A0, output F0 );
+module ram2e_ufm_SLICE_141 ( input B1, A1, B0, A0, output F0, F1 );
+  wire   GNDI;
 
-  lut40026 Ready_0_sqmuxa_0_a2_6_a2_2_0( .A(A0), .B(B0), .C(C0), .D(D0), 
+  lut40023 \ram2e_ufm/RDout_i_0_i_a3[0] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), 
+    .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40023 \ram2e_ufm/N_263_i ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0));
+
+  specify
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module ram2e_ufm_SLICE_142 ( input C1, B1, A1, B0, A0, output F0, F1 );
+  wire   GNDI;
+
+  lut40136 \ram2e_ufm/CmdLEDGet_3_0_a3_1 ( .A(A1), .B(B1), .C(C1), .D(GNDI), 
+    .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40023 \ram2e_ufm/RDout_i_i_a3[4] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), 
     .Z(F0));
 
   specify
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module ram2e_ufm_SLICE_143 ( input B1, A1, B0, A0, output F0, F1 );
+  wire   GNDI;
+
+  lut40023 \ram2e_ufm/RDout_i_0_i_a3[1] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), 
+    .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40023 \ram2e_ufm/RDout_i_0_i_a3[7] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), 
+    .Z(F0));
+
+  specify
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module ram2e_ufm_SLICE_144 ( input B1, A1, B0, A0, output F0, F1 );
+  wire   GNDI;
+
+  lut40023 \ram2e_ufm/RDout_i_0_i_a3[2] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), 
+    .Z(F1));
+  gnd DRIVEGND( .PWR0(GNDI));
+  lut40023 \ram2e_ufm/RDout_i_0_i_a3[6] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), 
+    .Z(F0));
+
+  specify
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module ram2e_ufm_SLICE_145 ( input D1, C1, B1, A1, B0, A0, output F0, F1 );
+  wire   GNDI;
+
+  lut40033 \ram2e_ufm/wb_dati_7_0_0_0[4] ( .A(A1), .B(B1), .C(C1), .D(D1), 
+    .Z(F1));
+  lut40143 \ram2e_ufm/wb_dati_7_0_0_o2_0[7] ( .A(A0), .B(B0), .C(GNDI), 
+    .D(GNDI), .Z(F0));
+  gnd DRIVEGND( .PWR0(GNDI));
+
+  specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module lut40143 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'hDDDD) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_146 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
+    F1 );
+
+  lut40144 \ram2e_ufm/RA_35_0_0_0[9] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
+  lut40035 \ram2e_ufm/Ready3_0_a3_3 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
+
+  specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
     (D0 => F0) = (0:0:0,0:0:0);
     (C0 => F0) = (0:0:0,0:0:0);
     (B0 => F0) = (0:0:0,0:0:0);
@@ -3960,6 +5298,31 @@ module SLICE_119 ( input D0, C0, B0, A0, output F0 );
 
 endmodule
 
+module lut40144 ( input A, B, C, D, output Z );
+
+  ROM16X1A #(16'hF444) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
+endmodule
+
+module ram2e_ufm_SLICE_147 ( input D1, C1, B1, A1, B0, A0, output F0, F1 );
+  wire   GNDI;
+
+  lut40027 \ram2e_ufm/RWBank_3_0_0_o3[0] ( .A(A1), .B(B1), .C(C1), .D(D1), 
+    .Z(F1));
+  lut40023 \ram2e_ufm/RDout_i_0_i_a3[5] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), 
+    .Z(F0));
+  gnd DRIVEGND( .PWR0(GNDI));
+
+  specify
+    (D1 => F1) = (0:0:0,0:0:0);
+    (C1 => F1) = (0:0:0,0:0:0);
+    (B1 => F1) = (0:0:0,0:0:0);
+    (A1 => F1) = (0:0:0,0:0:0);
+    (B0 => F0) = (0:0:0,0:0:0);
+    (A0 => F0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
 module RD_0_ ( output PADDI, input PADDT, PADDO, inout RD0 );
 
   xo2iobuf \RD_pad[0] ( .I(PADDO), .T(PADDT), .Z(PADDI), .PAD(RD0), .PADI(RD0));
@@ -3982,7 +5345,7 @@ endmodule
 
 module LED ( input PADDO, output LED );
 
-  xo2iobuf0111 LED_pad( .I(PADDO), .PAD(LED));
+  xo2iobuf0145 LED_pad( .I(PADDO), .PAD(LED));
 
   specify
     (PADDO => LED) = (0:0:0,0:0:0);
@@ -3990,14 +5353,14 @@ module LED ( input PADDO, output LED );
 
 endmodule
 
-module xo2iobuf0111 ( input I, output PAD );
+module xo2iobuf0145 ( input I, output PAD );
 
   OB INST5( .I(I), .O(PAD));
 endmodule
 
 module C14M ( output PADDI, input C14M );
 
-  xo2iobuf0112 C14M_pad( .Z(PADDI), .PAD(C14M));
+  xo2iobuf0146 C14M_pad( .Z(PADDI), .PAD(C14M));
 
   specify
     (C14M => PADDI) = (0:0:0,0:0:0);
@@ -4007,71 +5370,11 @@ module C14M ( output PADDI, input C14M );
 
 endmodule
 
-module xo2iobuf0112 ( output Z, input PAD );
+module xo2iobuf0146 ( output Z, input PAD );
 
   IB INST1( .I(PAD), .O(Z));
 endmodule
 
-module DQMH ( input IOLDO, output DQMH );
-
-  xo2iobuf0111 DQMH_pad( .I(IOLDO), .PAD(DQMH));
-
-  specify
-    (IOLDO => DQMH) = (0:0:0,0:0:0);
-  endspecify
-
-endmodule
-
-module DQMH_MGIOL ( output IOLDO, input OPOS, CLK );
-  wire   VCCI, GNDI, OPOS_dly, CLK_dly;
-
-  mfflsre DQMH_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), 
-    .Q(IOLDO));
-  vcc DRIVEVCC( .PWR1(VCCI));
-  gnd DRIVEGND( .PWR0(GNDI));
-
-  specify
-    (CLK => IOLDO) = (0:0:0,0:0:0);
-    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
-    $width (posedge CLK, 0:0:0);
-    $width (negedge CLK, 0:0:0);
-  endspecify
-
-endmodule
-
-module mfflsre ( input D0, SP, CK, LSR, output Q );
-
-  FD1P3BX INST01( .D(D0), .SP(SP), .CK(CK), .PD(LSR), .Q(Q));
-  defparam INST01.GSR = "DISABLED";
-endmodule
-
-module DQML ( input IOLDO, output DQML );
-
-  xo2iobuf0111 DQML_pad( .I(IOLDO), .PAD(DQML));
-
-  specify
-    (IOLDO => DQML) = (0:0:0,0:0:0);
-  endspecify
-
-endmodule
-
-module DQML_MGIOL ( output IOLDO, input OPOS, CLK );
-  wire   VCCI, GNDI, OPOS_dly, CLK_dly;
-
-  mfflsre DQML_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), 
-    .Q(IOLDO));
-  vcc DRIVEVCC( .PWR1(VCCI));
-  gnd DRIVEGND( .PWR0(GNDI));
-
-  specify
-    (CLK => IOLDO) = (0:0:0,0:0:0);
-    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
-    $width (posedge CLK, 0:0:0);
-    $width (negedge CLK, 0:0:0);
-  endspecify
-
-endmodule
-
 module RD_7_ ( output PADDI, input PADDT, PADDO, inout RD7 );
 
   xo2iobuf \RD_pad[7] ( .I(PADDO), .T(PADDT), .Z(PADDI), .PAD(RD7), .PADI(RD7));
@@ -4170,305 +5473,416 @@ module RD_1_ ( output PADDI, input PADDT, PADDO, inout RD1 );
 
 endmodule
 
-module RA_11_ ( input IOLDO, output RA11 );
+module DQMH ( input IOLDO, output DQMH );
 
-  xo2iobuf0111 \RA_pad[11] ( .I(IOLDO), .PAD(RA11));
+  xo2iobuf0145 DQMH_pad( .I(IOLDO), .PAD(DQMH));
 
   specify
-    (IOLDO => RA11) = (0:0:0,0:0:0);
+    (IOLDO => DQMH) = (0:0:0,0:0:0);
   endspecify
 
 endmodule
 
-module RA_11__MGIOL ( output IOLDO, input OPOS, CLK );
-  wire   VCCI, GNDI, OPOS_dly, CLK_dly;
+module DQMH_MGIOL ( output IOLDO, input OPOS, CE, CLK );
+  wire   GNDI, OPOS_dly, CLK_dly, CE_dly;
 
-  mfflsre0113 \RA_0io[11] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), 
+  mfflsre DQMH_0io( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), 
     .Q(IOLDO));
-  vcc DRIVEVCC( .PWR1(VCCI));
   gnd DRIVEGND( .PWR0(GNDI));
 
   specify
     (CLK => IOLDO) = (0:0:0,0:0:0);
     $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
+    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
     $width (posedge CLK, 0:0:0);
     $width (negedge CLK, 0:0:0);
   endspecify
 
 endmodule
 
-module mfflsre0113 ( input D0, SP, CK, LSR, output Q );
+module mfflsre ( input D0, SP, CK, LSR, output Q );
+
+  FD1P3BX INST01( .D(D0), .SP(SP), .CK(CK), .PD(LSR), .Q(Q));
+  defparam INST01.GSR = "DISABLED";
+endmodule
+
+module DQML ( input IOLDO, output DQML );
+
+  xo2iobuf0145 DQML_pad( .I(IOLDO), .PAD(DQML));
+
+  specify
+    (IOLDO => DQML) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module DQML_MGIOL ( output IOLDO, input OPOS, CE, CLK );
+  wire   GNDI, OPOS_dly, CLK_dly, CE_dly;
+
+  mfflsre DQML_0io( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), 
+    .Q(IOLDO));
+  gnd DRIVEGND( .PWR0(GNDI));
+
+  specify
+    (CLK => IOLDO) = (0:0:0,0:0:0);
+    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
+    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
+    $width (posedge CLK, 0:0:0);
+    $width (negedge CLK, 0:0:0);
+  endspecify
+
+endmodule
+
+module RAout_11_ ( input IOLDO, output RAout11 );
+
+  xo2iobuf0145 \RAout_pad[11] ( .I(IOLDO), .PAD(RAout11));
+
+  specify
+    (IOLDO => RAout11) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module RAout_11__MGIOL ( output IOLDO, input OPOS, CLK );
+  wire   VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly;
+
+  mfflsre0147 \RAout_0io[11] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), 
+    .LSR(GNDI), .Q(IOLDO));
+  vcc DRIVEVCC( .PWR1(VCCI));
+  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
+  gnd DRIVEGND( .PWR0(GNDI));
+
+  specify
+    (CLK => IOLDO) = (0:0:0,0:0:0);
+    $width (posedge CLK, 0:0:0);
+    $width (negedge CLK, 0:0:0);
+    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
+  endspecify
+
+endmodule
+
+module mfflsre0147 ( input D0, SP, CK, LSR, output Q );
 
   FD1P3DX INST01( .D(D0), .SP(SP), .CK(CK), .CD(LSR), .Q(Q));
   defparam INST01.GSR = "DISABLED";
 endmodule
 
-module RA_10_ ( input IOLDO, output RA10 );
+module inverter ( input I, output Z );
 
-  xo2iobuf0111 \RA_pad[10] ( .I(IOLDO), .PAD(RA10));
+  INV INST1( .A(I), .Z(Z));
+endmodule
+
+module RAout_10_ ( input IOLDO, output RAout10 );
+
+  xo2iobuf0145 \RAout_pad[10] ( .I(IOLDO), .PAD(RAout10));
 
   specify
-    (IOLDO => RA10) = (0:0:0,0:0:0);
+    (IOLDO => RAout10) = (0:0:0,0:0:0);
   endspecify
 
 endmodule
 
-module RA_10__MGIOL ( output IOLDO, input OPOS, CLK );
-  wire   VCCI, GNDI, OPOS_dly, CLK_dly;
+module RAout_10__MGIOL ( output IOLDO, input OPOS, CLK );
+  wire   VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly;
 
-  mfflsre0113 \RA_0io[10] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), 
-    .Q(IOLDO));
+  mfflsre0147 \RAout_0io[10] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), 
+    .LSR(GNDI), .Q(IOLDO));
   vcc DRIVEVCC( .PWR1(VCCI));
+  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
   gnd DRIVEGND( .PWR0(GNDI));
 
   specify
     (CLK => IOLDO) = (0:0:0,0:0:0);
-    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
     $width (posedge CLK, 0:0:0);
     $width (negedge CLK, 0:0:0);
+    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
   endspecify
 
 endmodule
 
-module RA_9_ ( input IOLDO, output RA9 );
+module RAout_9_ ( input IOLDO, output RAout9 );
 
-  xo2iobuf0111 \RA_pad[9] ( .I(IOLDO), .PAD(RA9));
+  xo2iobuf0145 \RAout_pad[9] ( .I(IOLDO), .PAD(RAout9));
 
   specify
-    (IOLDO => RA9) = (0:0:0,0:0:0);
+    (IOLDO => RAout9) = (0:0:0,0:0:0);
   endspecify
 
 endmodule
 
-module RA_9__MGIOL ( output IOLDO, input OPOS, CLK );
-  wire   VCCI, GNDI, OPOS_dly, CLK_dly;
+module RAout_9__MGIOL ( output IOLDO, input OPOS, CLK );
+  wire   VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly;
 
-  mfflsre0113 \RA_0io[9] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), 
-    .Q(IOLDO));
+  mfflsre0147 \RAout_0io[9] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), 
+    .LSR(GNDI), .Q(IOLDO));
   vcc DRIVEVCC( .PWR1(VCCI));
+  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
   gnd DRIVEGND( .PWR0(GNDI));
 
   specify
     (CLK => IOLDO) = (0:0:0,0:0:0);
-    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
     $width (posedge CLK, 0:0:0);
     $width (negedge CLK, 0:0:0);
+    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
   endspecify
 
 endmodule
 
-module RA_8_ ( input IOLDO, output RA8 );
+module RAout_8_ ( input IOLDO, output RAout8 );
 
-  xo2iobuf0111 \RA_pad[8] ( .I(IOLDO), .PAD(RA8));
+  xo2iobuf0145 \RAout_pad[8] ( .I(IOLDO), .PAD(RAout8));
 
   specify
-    (IOLDO => RA8) = (0:0:0,0:0:0);
+    (IOLDO => RAout8) = (0:0:0,0:0:0);
   endspecify
 
 endmodule
 
-module RA_8__MGIOL ( output IOLDO, input OPOS, CLK );
-  wire   VCCI, GNDI, OPOS_dly, CLK_dly;
+module RAout_8__MGIOL ( output IOLDO, input OPOS, CLK );
+  wire   VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly;
 
-  mfflsre0113 \RA_0io[8] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), 
-    .Q(IOLDO));
+  mfflsre0147 \RAout_0io[8] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), 
+    .LSR(GNDI), .Q(IOLDO));
   vcc DRIVEVCC( .PWR1(VCCI));
+  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
   gnd DRIVEGND( .PWR0(GNDI));
 
   specify
     (CLK => IOLDO) = (0:0:0,0:0:0);
-    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
     $width (posedge CLK, 0:0:0);
     $width (negedge CLK, 0:0:0);
+    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
   endspecify
 
 endmodule
 
-module RA_7_ ( input IOLDO, output RA7 );
+module RAout_7_ ( input IOLDO, output RAout7 );
 
-  xo2iobuf0111 \RA_pad[7] ( .I(IOLDO), .PAD(RA7));
+  xo2iobuf0145 \RAout_pad[7] ( .I(IOLDO), .PAD(RAout7));
 
   specify
-    (IOLDO => RA7) = (0:0:0,0:0:0);
+    (IOLDO => RAout7) = (0:0:0,0:0:0);
   endspecify
 
 endmodule
 
-module RA_7__MGIOL ( output IOLDO, input OPOS, CE, CLK );
-  wire   GNDI, OPOS_dly, CLK_dly, CE_dly;
+module RAout_7__MGIOL ( output IOLDO, input OPOS, CLK );
+  wire   VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly;
 
-  mfflsre0113 \RA_0io[7] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), 
+  mfflsre0147 \RAout_0io[7] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), 
     .LSR(GNDI), .Q(IOLDO));
+  vcc DRIVEVCC( .PWR1(VCCI));
+  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
   gnd DRIVEGND( .PWR0(GNDI));
 
   specify
     (CLK => IOLDO) = (0:0:0,0:0:0);
-    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
-    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
     $width (posedge CLK, 0:0:0);
     $width (negedge CLK, 0:0:0);
+    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
   endspecify
 
 endmodule
 
-module RA_6_ ( input IOLDO, output RA6 );
+module RAout_6_ ( input IOLDO, output RAout6 );
 
-  xo2iobuf0111 \RA_pad[6] ( .I(IOLDO), .PAD(RA6));
+  xo2iobuf0145 \RAout_pad[6] ( .I(IOLDO), .PAD(RAout6));
 
   specify
-    (IOLDO => RA6) = (0:0:0,0:0:0);
+    (IOLDO => RAout6) = (0:0:0,0:0:0);
   endspecify
 
 endmodule
 
-module RA_6__MGIOL ( output IOLDO, input OPOS, CE, CLK );
-  wire   GNDI, OPOS_dly, CLK_dly, CE_dly;
+module RAout_6__MGIOL ( output IOLDO, input OPOS, CLK );
+  wire   VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly;
 
-  mfflsre0113 \RA_0io[6] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), 
+  mfflsre0147 \RAout_0io[6] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), 
     .LSR(GNDI), .Q(IOLDO));
+  vcc DRIVEVCC( .PWR1(VCCI));
+  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
   gnd DRIVEGND( .PWR0(GNDI));
 
   specify
     (CLK => IOLDO) = (0:0:0,0:0:0);
-    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
-    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
     $width (posedge CLK, 0:0:0);
     $width (negedge CLK, 0:0:0);
+    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
   endspecify
 
 endmodule
 
-module RA_5_ ( input IOLDO, output RA5 );
+module RAout_5_ ( input IOLDO, output RAout5 );
 
-  xo2iobuf0111 \RA_pad[5] ( .I(IOLDO), .PAD(RA5));
+  xo2iobuf0145 \RAout_pad[5] ( .I(IOLDO), .PAD(RAout5));
 
   specify
-    (IOLDO => RA5) = (0:0:0,0:0:0);
+    (IOLDO => RAout5) = (0:0:0,0:0:0);
   endspecify
 
 endmodule
 
-module RA_5__MGIOL ( output IOLDO, input OPOS, CE, CLK );
-  wire   GNDI, OPOS_dly, CLK_dly, CE_dly;
+module RAout_5__MGIOL ( output IOLDO, input OPOS, CLK );
+  wire   VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly;
 
-  mfflsre0113 \RA_0io[5] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), 
+  mfflsre0147 \RAout_0io[5] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), 
     .LSR(GNDI), .Q(IOLDO));
+  vcc DRIVEVCC( .PWR1(VCCI));
+  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
   gnd DRIVEGND( .PWR0(GNDI));
 
   specify
     (CLK => IOLDO) = (0:0:0,0:0:0);
-    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
-    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
     $width (posedge CLK, 0:0:0);
     $width (negedge CLK, 0:0:0);
+    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
   endspecify
 
 endmodule
 
-module RA_4_ ( input IOLDO, output RA4 );
+module RAout_4_ ( input IOLDO, output RAout4 );
 
-  xo2iobuf0111 \RA_pad[4] ( .I(IOLDO), .PAD(RA4));
+  xo2iobuf0145 \RAout_pad[4] ( .I(IOLDO), .PAD(RAout4));
 
   specify
-    (IOLDO => RA4) = (0:0:0,0:0:0);
+    (IOLDO => RAout4) = (0:0:0,0:0:0);
   endspecify
 
 endmodule
 
-module RA_4__MGIOL ( output IOLDO, input OPOS, CE, CLK );
-  wire   GNDI, OPOS_dly, CLK_dly, CE_dly;
+module RAout_4__MGIOL ( output IOLDO, input OPOS, CLK );
+  wire   VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly;
 
-  mfflsre0113 \RA_0io[4] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), 
+  mfflsre0147 \RAout_0io[4] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), 
     .LSR(GNDI), .Q(IOLDO));
+  vcc DRIVEVCC( .PWR1(VCCI));
+  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
   gnd DRIVEGND( .PWR0(GNDI));
 
   specify
     (CLK => IOLDO) = (0:0:0,0:0:0);
-    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
-    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
     $width (posedge CLK, 0:0:0);
     $width (negedge CLK, 0:0:0);
+    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
   endspecify
 
 endmodule
 
-module RA_3_ ( input PADDO, output RA3 );
+module RAout_3_ ( input IOLDO, output RAout3 );
 
-  xo2iobuf0111 \RA_pad[3] ( .I(PADDO), .PAD(RA3));
+  xo2iobuf0145 \RAout_pad[3] ( .I(IOLDO), .PAD(RAout3));
 
   specify
-    (PADDO => RA3) = (0:0:0,0:0:0);
+    (IOLDO => RAout3) = (0:0:0,0:0:0);
   endspecify
 
 endmodule
 
-module RA_2_ ( input IOLDO, output RA2 );
+module RAout_3__MGIOL ( output IOLDO, input OPOS, CLK );
+  wire   VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly;
 
-  xo2iobuf0111 \RA_pad[2] ( .I(IOLDO), .PAD(RA2));
-
-  specify
-    (IOLDO => RA2) = (0:0:0,0:0:0);
-  endspecify
-
-endmodule
-
-module RA_2__MGIOL ( output IOLDO, input OPOS, CE, CLK );
-  wire   GNDI, OPOS_dly, CLK_dly, CE_dly;
-
-  mfflsre0113 \RA_0io[2] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), 
+  mfflsre0147 \RAout_0io[3] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), 
     .LSR(GNDI), .Q(IOLDO));
+  vcc DRIVEVCC( .PWR1(VCCI));
+  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
   gnd DRIVEGND( .PWR0(GNDI));
 
   specify
     (CLK => IOLDO) = (0:0:0,0:0:0);
-    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
-    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
     $width (posedge CLK, 0:0:0);
     $width (negedge CLK, 0:0:0);
+    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
   endspecify
 
 endmodule
 
-module RA_1_ ( input IOLDO, output RA1 );
+module RAout_2_ ( input IOLDO, output RAout2 );
 
-  xo2iobuf0111 \RA_pad[1] ( .I(IOLDO), .PAD(RA1));
+  xo2iobuf0145 \RAout_pad[2] ( .I(IOLDO), .PAD(RAout2));
 
   specify
-    (IOLDO => RA1) = (0:0:0,0:0:0);
+    (IOLDO => RAout2) = (0:0:0,0:0:0);
   endspecify
 
 endmodule
 
-module RA_1__MGIOL ( output IOLDO, input OPOS, CE, CLK );
-  wire   GNDI, OPOS_dly, CLK_dly, CE_dly;
+module RAout_2__MGIOL ( output IOLDO, input OPOS, CLK );
+  wire   VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly;
 
-  mfflsre0113 \RA_0io[1] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), 
+  mfflsre0147 \RAout_0io[2] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), 
     .LSR(GNDI), .Q(IOLDO));
+  vcc DRIVEVCC( .PWR1(VCCI));
+  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
   gnd DRIVEGND( .PWR0(GNDI));
 
   specify
     (CLK => IOLDO) = (0:0:0,0:0:0);
-    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
-    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
     $width (posedge CLK, 0:0:0);
     $width (negedge CLK, 0:0:0);
+    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
   endspecify
 
 endmodule
 
-module RA_0_ ( input PADDO, output RA0 );
+module RAout_1_ ( input IOLDO, output RAout1 );
 
-  xo2iobuf0111 \RA_pad[0] ( .I(PADDO), .PAD(RA0));
+  xo2iobuf0145 \RAout_pad[1] ( .I(IOLDO), .PAD(RAout1));
 
   specify
-    (PADDO => RA0) = (0:0:0,0:0:0);
+    (IOLDO => RAout1) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module RAout_1__MGIOL ( output IOLDO, input OPOS, CLK );
+  wire   VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly;
+
+  mfflsre0147 \RAout_0io[1] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), 
+    .LSR(GNDI), .Q(IOLDO));
+  vcc DRIVEVCC( .PWR1(VCCI));
+  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
+  gnd DRIVEGND( .PWR0(GNDI));
+
+  specify
+    (CLK => IOLDO) = (0:0:0,0:0:0);
+    $width (posedge CLK, 0:0:0);
+    $width (negedge CLK, 0:0:0);
+    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
+  endspecify
+
+endmodule
+
+module RAout_0_ ( input IOLDO, output RAout0 );
+
+  xo2iobuf0145 \RAout_pad[0] ( .I(IOLDO), .PAD(RAout0));
+
+  specify
+    (IOLDO => RAout0) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module RAout_0__MGIOL ( output IOLDO, input OPOS, CLK );
+  wire   VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly;
+
+  mfflsre0147 \RAout_0io[0] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), 
+    .LSR(GNDI), .Q(IOLDO));
+  vcc DRIVEVCC( .PWR1(VCCI));
+  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
+  gnd DRIVEGND( .PWR0(GNDI));
+
+  specify
+    (CLK => IOLDO) = (0:0:0,0:0:0);
+    $width (posedge CLK, 0:0:0);
+    $width (negedge CLK, 0:0:0);
+    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
   endspecify
 
 endmodule
 
 module BA_1_ ( input IOLDO, output BA1 );
 
-  xo2iobuf0111 \BA_pad[1] ( .I(IOLDO), .PAD(BA1));
+  xo2iobuf0145 \BA_pad[1] ( .I(IOLDO), .PAD(BA1));
 
   specify
     (IOLDO => BA1) = (0:0:0,0:0:0);
@@ -4476,16 +5890,16 @@ module BA_1_ ( input IOLDO, output BA1 );
 
 endmodule
 
-module BA_1__MGIOL ( output IOLDO, input OPOS, LSR, CLK );
-  wire   VCCI, OPOS_dly, CLK_dly, LSR_dly;
+module BA_1__MGIOL ( output IOLDO, input OPOS, CE, LSR, CLK );
+  wire   OPOS_dly, CLK_dly, CE_dly, LSR_dly;
 
-  mfflsre0114 \BA_0io[1] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), 
+  mfflsre0148 \BA_0io[1] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), 
     .LSR(LSR_dly), .Q(IOLDO));
-  vcc DRIVEVCC( .PWR1(VCCI));
 
   specify
     (CLK => IOLDO) = (0:0:0,0:0:0);
     $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
+    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
     $setuphold (posedge CLK, LSR, 0:0:0, 0:0:0,,,, CLK_dly, LSR_dly);
     $width (posedge CLK, 0:0:0);
     $width (negedge CLK, 0:0:0);
@@ -4493,7 +5907,7 @@ module BA_1__MGIOL ( output IOLDO, input OPOS, LSR, CLK );
 
 endmodule
 
-module mfflsre0114 ( input D0, SP, CK, LSR, output Q );
+module mfflsre0148 ( input D0, SP, CK, LSR, output Q );
 
   FD1P3IX INST01( .D(D0), .SP(SP), .CK(CK), .CD(LSR), .Q(Q));
   defparam INST01.GSR = "DISABLED";
@@ -4501,7 +5915,7 @@ endmodule
 
 module BA_0_ ( input IOLDO, output BA0 );
 
-  xo2iobuf0111 \BA_pad[0] ( .I(IOLDO), .PAD(BA0));
+  xo2iobuf0145 \BA_pad[0] ( .I(IOLDO), .PAD(BA0));
 
   specify
     (IOLDO => BA0) = (0:0:0,0:0:0);
@@ -4509,16 +5923,16 @@ module BA_0_ ( input IOLDO, output BA0 );
 
 endmodule
 
-module BA_0__MGIOL ( output IOLDO, input OPOS, LSR, CLK );
-  wire   VCCI, OPOS_dly, CLK_dly, LSR_dly;
+module BA_0__MGIOL ( output IOLDO, input OPOS, CE, LSR, CLK );
+  wire   OPOS_dly, CLK_dly, CE_dly, LSR_dly;
 
-  mfflsre0114 \BA_0io[0] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), 
+  mfflsre0148 \BA_0io[0] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), 
     .LSR(LSR_dly), .Q(IOLDO));
-  vcc DRIVEVCC( .PWR1(VCCI));
 
   specify
     (CLK => IOLDO) = (0:0:0,0:0:0);
     $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
+    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
     $setuphold (posedge CLK, LSR, 0:0:0, 0:0:0,,,, CLK_dly, LSR_dly);
     $width (posedge CLK, 0:0:0);
     $width (negedge CLK, 0:0:0);
@@ -4526,144 +5940,131 @@ module BA_0__MGIOL ( output IOLDO, input OPOS, LSR, CLK );
 
 endmodule
 
-module nRWE ( input IOLDO, output nRWE );
+module nRWEout ( input IOLDO, output nRWEout );
 
-  xo2iobuf0111 nRWE_pad( .I(IOLDO), .PAD(nRWE));
+  xo2iobuf0145 nRWEout_pad( .I(IOLDO), .PAD(nRWEout));
 
   specify
-    (IOLDO => nRWE) = (0:0:0,0:0:0);
+    (IOLDO => nRWEout) = (0:0:0,0:0:0);
   endspecify
 
 endmodule
 
-module nRWE_MGIOL ( output IOLDO, input OPOS, CLK );
-  wire   VCCI, GNDI, OPOS_dly, CLK_dly;
+module nRWEout_MGIOL ( output IOLDO, input OPOS, CLK );
+  wire   VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly;
 
-  mfflsre nRWE_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), 
+  mfflsre nRWEout_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), 
     .Q(IOLDO));
   vcc DRIVEVCC( .PWR1(VCCI));
+  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
   gnd DRIVEGND( .PWR0(GNDI));
 
   specify
     (CLK => IOLDO) = (0:0:0,0:0:0);
-    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
     $width (posedge CLK, 0:0:0);
     $width (negedge CLK, 0:0:0);
+    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
   endspecify
 
 endmodule
 
-module nCAS ( input IOLDO, output nCAS );
+module nCASout ( input IOLDO, output nCASout );
 
-  xo2iobuf0111 nCAS_pad( .I(IOLDO), .PAD(nCAS));
+  xo2iobuf0145 nCASout_pad( .I(IOLDO), .PAD(nCASout));
 
   specify
-    (IOLDO => nCAS) = (0:0:0,0:0:0);
+    (IOLDO => nCASout) = (0:0:0,0:0:0);
   endspecify
 
 endmodule
 
-module nCAS_MGIOL ( output IOLDO, input OPOS, CLK );
-  wire   VCCI, GNDI, OPOS_dly, CLK_dly;
+module nCASout_MGIOL ( output IOLDO, input OPOS, CLK );
+  wire   VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly;
 
-  mfflsre nCAS_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), 
+  mfflsre nCASout_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), 
     .Q(IOLDO));
   vcc DRIVEVCC( .PWR1(VCCI));
+  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
   gnd DRIVEGND( .PWR0(GNDI));
 
   specify
     (CLK => IOLDO) = (0:0:0,0:0:0);
-    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
     $width (posedge CLK, 0:0:0);
     $width (negedge CLK, 0:0:0);
+    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
   endspecify
 
 endmodule
 
-module nRAS ( input IOLDO, output nRAS );
+module nRASout ( input IOLDO, output nRASout );
 
-  xo2iobuf0111 nRAS_pad( .I(IOLDO), .PAD(nRAS));
+  xo2iobuf0145 nRASout_pad( .I(IOLDO), .PAD(nRASout));
 
   specify
-    (IOLDO => nRAS) = (0:0:0,0:0:0);
+    (IOLDO => nRASout) = (0:0:0,0:0:0);
   endspecify
 
 endmodule
 
-module nRAS_MGIOL ( output IOLDO, input OPOS, CLK );
-  wire   VCCI, GNDI, OPOS_dly, CLK_dly;
+module nRASout_MGIOL ( output IOLDO, input OPOS, CLK );
+  wire   VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly;
 
-  mfflsre nRAS_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), 
+  mfflsre nRASout_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), 
     .Q(IOLDO));
   vcc DRIVEVCC( .PWR1(VCCI));
+  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
   gnd DRIVEGND( .PWR0(GNDI));
 
   specify
     (CLK => IOLDO) = (0:0:0,0:0:0);
-    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
     $width (posedge CLK, 0:0:0);
     $width (negedge CLK, 0:0:0);
+    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
   endspecify
 
 endmodule
 
-module nCS ( input IOLDO, output nCS );
+module nCSout ( input PADDO, output nCSout );
 
-  xo2iobuf0111 nCS_pad( .I(IOLDO), .PAD(nCS));
+  xo2iobuf0145 nCSout_pad( .I(PADDO), .PAD(nCSout));
 
   specify
-    (IOLDO => nCS) = (0:0:0,0:0:0);
+    (PADDO => nCSout) = (0:0:0,0:0:0);
   endspecify
 
 endmodule
 
-module nCS_MGIOL ( output IOLDO, input OPOS, CLK );
-  wire   VCCI, GNDI, OPOS_dly, CLK_dly;
+module CKEout ( input IOLDO, output CKEout );
 
-  mfflsre nCS_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), 
+  xo2iobuf0145 CKEout_pad( .I(IOLDO), .PAD(CKEout));
+
+  specify
+    (IOLDO => CKEout) = (0:0:0,0:0:0);
+  endspecify
+
+endmodule
+
+module CKEout_MGIOL ( output IOLDO, input OPOS, CLK );
+  wire   VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly;
+
+  mfflsre0147 CKEout_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), 
     .Q(IOLDO));
   vcc DRIVEVCC( .PWR1(VCCI));
+  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
   gnd DRIVEGND( .PWR0(GNDI));
 
   specify
     (CLK => IOLDO) = (0:0:0,0:0:0);
-    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
-    $width (posedge CLK, 0:0:0);
-    $width (negedge CLK, 0:0:0);
-  endspecify
-
-endmodule
-
-module CKE ( input IOLDO, output CKE );
-
-  xo2iobuf0111 CKE_pad( .I(IOLDO), .PAD(CKE));
-
-  specify
-    (IOLDO => CKE) = (0:0:0,0:0:0);
-  endspecify
-
-endmodule
-
-module CKE_MGIOL ( output IOLDO, input OPOS, CLK );
-  wire   VCCI, GNDI, OPOS_dly, CLK_dly;
-
-  mfflsre0113 CKE_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), 
-    .Q(IOLDO));
-  vcc DRIVEVCC( .PWR1(VCCI));
-  gnd DRIVEGND( .PWR0(GNDI));
-
-  specify
-    (CLK => IOLDO) = (0:0:0,0:0:0);
-    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
     $width (posedge CLK, 0:0:0);
     $width (negedge CLK, 0:0:0);
+    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
   endspecify
 
 endmodule
 
 module nVOE ( input PADDO, output nVOE );
 
-  xo2iobuf0111 nVOE_pad( .I(PADDO), .PAD(nVOE));
+  xo2iobuf0145 nVOE_pad( .I(PADDO), .PAD(nVOE));
 
   specify
     (PADDO => nVOE) = (0:0:0,0:0:0);
@@ -4673,7 +6074,7 @@ endmodule
 
 module Vout_7_ ( input IOLDO, output Vout7 );
 
-  xo2iobuf0111 \Vout_pad[7] ( .I(IOLDO), .PAD(Vout7));
+  xo2iobuf0145 \Vout_pad[7] ( .I(IOLDO), .PAD(Vout7));
 
   specify
     (IOLDO => Vout7) = (0:0:0,0:0:0);
@@ -4682,31 +6083,25 @@ module Vout_7_ ( input IOLDO, output Vout7 );
 endmodule
 
 module Vout_7__MGIOL ( output IOLDO, input OPOS, CE, CLK );
-  wire   CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly;
+  wire   GNDI, OPOS_dly, CLK_dly, CE_dly;
 
-  mfflsre0113 \Vout_0io[7] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), 
+  mfflsre0147 \Vout_0io[7] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), 
     .LSR(GNDI), .Q(IOLDO));
-  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
   gnd DRIVEGND( .PWR0(GNDI));
 
   specify
     (CLK => IOLDO) = (0:0:0,0:0:0);
+    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
+    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
     $width (posedge CLK, 0:0:0);
     $width (negedge CLK, 0:0:0);
-    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
-    $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
   endspecify
 
 endmodule
 
-module inverter ( input I, output Z );
-
-  INV INST1( .A(I), .Z(Z));
-endmodule
-
 module Vout_6_ ( input IOLDO, output Vout6 );
 
-  xo2iobuf0111 \Vout_pad[6] ( .I(IOLDO), .PAD(Vout6));
+  xo2iobuf0145 \Vout_pad[6] ( .I(IOLDO), .PAD(Vout6));
 
   specify
     (IOLDO => Vout6) = (0:0:0,0:0:0);
@@ -4715,26 +6110,25 @@ module Vout_6_ ( input IOLDO, output Vout6 );
 endmodule
 
 module Vout_6__MGIOL ( output IOLDO, input OPOS, CE, CLK );
-  wire   CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly;
+  wire   GNDI, OPOS_dly, CLK_dly, CE_dly;
 
-  mfflsre0113 \Vout_0io[6] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), 
+  mfflsre0147 \Vout_0io[6] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), 
     .LSR(GNDI), .Q(IOLDO));
-  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
   gnd DRIVEGND( .PWR0(GNDI));
 
   specify
     (CLK => IOLDO) = (0:0:0,0:0:0);
+    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
+    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
     $width (posedge CLK, 0:0:0);
     $width (negedge CLK, 0:0:0);
-    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
-    $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
   endspecify
 
 endmodule
 
 module Vout_5_ ( input IOLDO, output Vout5 );
 
-  xo2iobuf0111 \Vout_pad[5] ( .I(IOLDO), .PAD(Vout5));
+  xo2iobuf0145 \Vout_pad[5] ( .I(IOLDO), .PAD(Vout5));
 
   specify
     (IOLDO => Vout5) = (0:0:0,0:0:0);
@@ -4743,26 +6137,25 @@ module Vout_5_ ( input IOLDO, output Vout5 );
 endmodule
 
 module Vout_5__MGIOL ( output IOLDO, input OPOS, CE, CLK );
-  wire   CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly;
+  wire   GNDI, OPOS_dly, CLK_dly, CE_dly;
 
-  mfflsre0113 \Vout_0io[5] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), 
+  mfflsre0147 \Vout_0io[5] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), 
     .LSR(GNDI), .Q(IOLDO));
-  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
   gnd DRIVEGND( .PWR0(GNDI));
 
   specify
     (CLK => IOLDO) = (0:0:0,0:0:0);
+    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
+    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
     $width (posedge CLK, 0:0:0);
     $width (negedge CLK, 0:0:0);
-    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
-    $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
   endspecify
 
 endmodule
 
 module Vout_4_ ( input IOLDO, output Vout4 );
 
-  xo2iobuf0111 \Vout_pad[4] ( .I(IOLDO), .PAD(Vout4));
+  xo2iobuf0145 \Vout_pad[4] ( .I(IOLDO), .PAD(Vout4));
 
   specify
     (IOLDO => Vout4) = (0:0:0,0:0:0);
@@ -4771,26 +6164,25 @@ module Vout_4_ ( input IOLDO, output Vout4 );
 endmodule
 
 module Vout_4__MGIOL ( output IOLDO, input OPOS, CE, CLK );
-  wire   CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly;
+  wire   GNDI, OPOS_dly, CLK_dly, CE_dly;
 
-  mfflsre0113 \Vout_0io[4] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), 
+  mfflsre0147 \Vout_0io[4] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), 
     .LSR(GNDI), .Q(IOLDO));
-  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
   gnd DRIVEGND( .PWR0(GNDI));
 
   specify
     (CLK => IOLDO) = (0:0:0,0:0:0);
+    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
+    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
     $width (posedge CLK, 0:0:0);
     $width (negedge CLK, 0:0:0);
-    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
-    $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
   endspecify
 
 endmodule
 
 module Vout_3_ ( input IOLDO, output Vout3 );
 
-  xo2iobuf0111 \Vout_pad[3] ( .I(IOLDO), .PAD(Vout3));
+  xo2iobuf0145 \Vout_pad[3] ( .I(IOLDO), .PAD(Vout3));
 
   specify
     (IOLDO => Vout3) = (0:0:0,0:0:0);
@@ -4799,26 +6191,25 @@ module Vout_3_ ( input IOLDO, output Vout3 );
 endmodule
 
 module Vout_3__MGIOL ( output IOLDO, input OPOS, CE, CLK );
-  wire   CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly;
+  wire   GNDI, OPOS_dly, CLK_dly, CE_dly;
 
-  mfflsre0113 \Vout_0io[3] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), 
+  mfflsre0147 \Vout_0io[3] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), 
     .LSR(GNDI), .Q(IOLDO));
-  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
   gnd DRIVEGND( .PWR0(GNDI));
 
   specify
     (CLK => IOLDO) = (0:0:0,0:0:0);
+    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
+    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
     $width (posedge CLK, 0:0:0);
     $width (negedge CLK, 0:0:0);
-    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
-    $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
   endspecify
 
 endmodule
 
 module Vout_2_ ( input IOLDO, output Vout2 );
 
-  xo2iobuf0111 \Vout_pad[2] ( .I(IOLDO), .PAD(Vout2));
+  xo2iobuf0145 \Vout_pad[2] ( .I(IOLDO), .PAD(Vout2));
 
   specify
     (IOLDO => Vout2) = (0:0:0,0:0:0);
@@ -4827,26 +6218,25 @@ module Vout_2_ ( input IOLDO, output Vout2 );
 endmodule
 
 module Vout_2__MGIOL ( output IOLDO, input OPOS, CE, CLK );
-  wire   CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly;
+  wire   GNDI, OPOS_dly, CLK_dly, CE_dly;
 
-  mfflsre0113 \Vout_0io[2] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), 
+  mfflsre0147 \Vout_0io[2] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), 
     .LSR(GNDI), .Q(IOLDO));
-  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
   gnd DRIVEGND( .PWR0(GNDI));
 
   specify
     (CLK => IOLDO) = (0:0:0,0:0:0);
+    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
+    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
     $width (posedge CLK, 0:0:0);
     $width (negedge CLK, 0:0:0);
-    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
-    $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
   endspecify
 
 endmodule
 
 module Vout_1_ ( input IOLDO, output Vout1 );
 
-  xo2iobuf0111 \Vout_pad[1] ( .I(IOLDO), .PAD(Vout1));
+  xo2iobuf0145 \Vout_pad[1] ( .I(IOLDO), .PAD(Vout1));
 
   specify
     (IOLDO => Vout1) = (0:0:0,0:0:0);
@@ -4855,26 +6245,25 @@ module Vout_1_ ( input IOLDO, output Vout1 );
 endmodule
 
 module Vout_1__MGIOL ( output IOLDO, input OPOS, CE, CLK );
-  wire   CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly;
+  wire   GNDI, OPOS_dly, CLK_dly, CE_dly;
 
-  mfflsre0113 \Vout_0io[1] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), 
+  mfflsre0147 \Vout_0io[1] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), 
     .LSR(GNDI), .Q(IOLDO));
-  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
   gnd DRIVEGND( .PWR0(GNDI));
 
   specify
     (CLK => IOLDO) = (0:0:0,0:0:0);
+    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
+    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
     $width (posedge CLK, 0:0:0);
     $width (negedge CLK, 0:0:0);
-    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
-    $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
   endspecify
 
 endmodule
 
 module Vout_0_ ( input IOLDO, output Vout0 );
 
-  xo2iobuf0111 \Vout_pad[0] ( .I(IOLDO), .PAD(Vout0));
+  xo2iobuf0145 \Vout_pad[0] ( .I(IOLDO), .PAD(Vout0));
 
   specify
     (IOLDO => Vout0) = (0:0:0,0:0:0);
@@ -4883,26 +6272,25 @@ module Vout_0_ ( input IOLDO, output Vout0 );
 endmodule
 
 module Vout_0__MGIOL ( output IOLDO, input OPOS, CE, CLK );
-  wire   CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly;
+  wire   GNDI, OPOS_dly, CLK_dly, CE_dly;
 
-  mfflsre0113 \Vout_0io[0] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), 
+  mfflsre0147 \Vout_0io[0] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), 
     .LSR(GNDI), .Q(IOLDO));
-  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
   gnd DRIVEGND( .PWR0(GNDI));
 
   specify
     (CLK => IOLDO) = (0:0:0,0:0:0);
+    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
+    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
     $width (posedge CLK, 0:0:0);
     $width (negedge CLK, 0:0:0);
-    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
-    $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
   endspecify
 
 endmodule
 
 module nDOE ( input PADDO, output nDOE );
 
-  xo2iobuf0111 nDOE_pad( .I(PADDO), .PAD(nDOE));
+  xo2iobuf0145 nDOE_pad( .I(PADDO), .PAD(nDOE));
 
   specify
     (PADDO => nDOE) = (0:0:0,0:0:0);
@@ -4910,238 +6298,89 @@ module nDOE ( input PADDO, output nDOE );
 
 endmodule
 
-module Dout_7_ ( input IOLDO, output Dout7 );
+module Dout_7_ ( input PADDO, output Dout7 );
 
-  xo2iobuf0115 \Dout_pad[7] ( .I(IOLDO), .PAD(Dout7));
+  xo2iobuf0145 \Dout_pad[7] ( .I(PADDO), .PAD(Dout7));
 
   specify
-    (IOLDO => Dout7) = (0:0:0,0:0:0);
+    (PADDO => Dout7) = (0:0:0,0:0:0);
   endspecify
 
 endmodule
 
-module xo2iobuf0115 ( input I, output PAD );
+module Dout_6_ ( input PADDO, output Dout6 );
 
-  OB INST5( .I(I), .O(PAD));
-endmodule
-
-module Dout_7__MGIOL ( output IOLDO, input OPOS, CE, CLK );
-  wire   CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly;
-
-  mfflsre0113 \Dout_0io[7] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), 
-    .LSR(GNDI), .Q(IOLDO));
-  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
-  gnd DRIVEGND( .PWR0(GNDI));
+  xo2iobuf0145 \Dout_pad[6] ( .I(PADDO), .PAD(Dout6));
 
   specify
-    (CLK => IOLDO) = (0:0:0,0:0:0);
-    $width (posedge CLK, 0:0:0);
-    $width (negedge CLK, 0:0:0);
-    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
-    $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
+    (PADDO => Dout6) = (0:0:0,0:0:0);
   endspecify
 
 endmodule
 
-module Dout_6_ ( input IOLDO, output Dout6 );
+module Dout_5_ ( input PADDO, output Dout5 );
 
-  xo2iobuf0115 \Dout_pad[6] ( .I(IOLDO), .PAD(Dout6));
+  xo2iobuf0145 \Dout_pad[5] ( .I(PADDO), .PAD(Dout5));
 
   specify
-    (IOLDO => Dout6) = (0:0:0,0:0:0);
+    (PADDO => Dout5) = (0:0:0,0:0:0);
   endspecify
 
 endmodule
 
-module Dout_6__MGIOL ( output IOLDO, input OPOS, CE, CLK );
-  wire   CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly;
+module Dout_4_ ( input PADDO, output Dout4 );
 
-  mfflsre0113 \Dout_0io[6] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), 
-    .LSR(GNDI), .Q(IOLDO));
-  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
-  gnd DRIVEGND( .PWR0(GNDI));
+  xo2iobuf0145 \Dout_pad[4] ( .I(PADDO), .PAD(Dout4));
 
   specify
-    (CLK => IOLDO) = (0:0:0,0:0:0);
-    $width (posedge CLK, 0:0:0);
-    $width (negedge CLK, 0:0:0);
-    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
-    $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
+    (PADDO => Dout4) = (0:0:0,0:0:0);
   endspecify
 
 endmodule
 
-module Dout_5_ ( input IOLDO, output Dout5 );
+module Dout_3_ ( input PADDO, output Dout3 );
 
-  xo2iobuf0115 \Dout_pad[5] ( .I(IOLDO), .PAD(Dout5));
+  xo2iobuf0145 \Dout_pad[3] ( .I(PADDO), .PAD(Dout3));
 
   specify
-    (IOLDO => Dout5) = (0:0:0,0:0:0);
+    (PADDO => Dout3) = (0:0:0,0:0:0);
   endspecify
 
 endmodule
 
-module Dout_5__MGIOL ( output IOLDO, input OPOS, CE, CLK );
-  wire   CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly;
+module Dout_2_ ( input PADDO, output Dout2 );
 
-  mfflsre0113 \Dout_0io[5] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), 
-    .LSR(GNDI), .Q(IOLDO));
-  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
-  gnd DRIVEGND( .PWR0(GNDI));
+  xo2iobuf0145 \Dout_pad[2] ( .I(PADDO), .PAD(Dout2));
 
   specify
-    (CLK => IOLDO) = (0:0:0,0:0:0);
-    $width (posedge CLK, 0:0:0);
-    $width (negedge CLK, 0:0:0);
-    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
-    $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
+    (PADDO => Dout2) = (0:0:0,0:0:0);
   endspecify
 
 endmodule
 
-module Dout_4_ ( input IOLDO, output Dout4 );
+module Dout_1_ ( input PADDO, output Dout1 );
 
-  xo2iobuf0115 \Dout_pad[4] ( .I(IOLDO), .PAD(Dout4));
+  xo2iobuf0145 \Dout_pad[1] ( .I(PADDO), .PAD(Dout1));
 
   specify
-    (IOLDO => Dout4) = (0:0:0,0:0:0);
+    (PADDO => Dout1) = (0:0:0,0:0:0);
   endspecify
 
 endmodule
 
-module Dout_4__MGIOL ( output IOLDO, input OPOS, CE, CLK );
-  wire   CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly;
+module Dout_0_ ( input PADDO, output Dout0 );
 
-  mfflsre0113 \Dout_0io[4] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), 
-    .LSR(GNDI), .Q(IOLDO));
-  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
-  gnd DRIVEGND( .PWR0(GNDI));
+  xo2iobuf0145 \Dout_pad[0] ( .I(PADDO), .PAD(Dout0));
 
   specify
-    (CLK => IOLDO) = (0:0:0,0:0:0);
-    $width (posedge CLK, 0:0:0);
-    $width (negedge CLK, 0:0:0);
-    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
-    $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
-  endspecify
-
-endmodule
-
-module Dout_3_ ( input IOLDO, output Dout3 );
-
-  xo2iobuf0115 \Dout_pad[3] ( .I(IOLDO), .PAD(Dout3));
-
-  specify
-    (IOLDO => Dout3) = (0:0:0,0:0:0);
-  endspecify
-
-endmodule
-
-module Dout_3__MGIOL ( output IOLDO, input OPOS, CE, CLK );
-  wire   CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly;
-
-  mfflsre0113 \Dout_0io[3] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), 
-    .LSR(GNDI), .Q(IOLDO));
-  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
-  gnd DRIVEGND( .PWR0(GNDI));
-
-  specify
-    (CLK => IOLDO) = (0:0:0,0:0:0);
-    $width (posedge CLK, 0:0:0);
-    $width (negedge CLK, 0:0:0);
-    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
-    $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
-  endspecify
-
-endmodule
-
-module Dout_2_ ( input IOLDO, output Dout2 );
-
-  xo2iobuf0115 \Dout_pad[2] ( .I(IOLDO), .PAD(Dout2));
-
-  specify
-    (IOLDO => Dout2) = (0:0:0,0:0:0);
-  endspecify
-
-endmodule
-
-module Dout_2__MGIOL ( output IOLDO, input OPOS, CE, CLK );
-  wire   CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly;
-
-  mfflsre0113 \Dout_0io[2] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), 
-    .LSR(GNDI), .Q(IOLDO));
-  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
-  gnd DRIVEGND( .PWR0(GNDI));
-
-  specify
-    (CLK => IOLDO) = (0:0:0,0:0:0);
-    $width (posedge CLK, 0:0:0);
-    $width (negedge CLK, 0:0:0);
-    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
-    $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
-  endspecify
-
-endmodule
-
-module Dout_1_ ( input IOLDO, output Dout1 );
-
-  xo2iobuf0115 \Dout_pad[1] ( .I(IOLDO), .PAD(Dout1));
-
-  specify
-    (IOLDO => Dout1) = (0:0:0,0:0:0);
-  endspecify
-
-endmodule
-
-module Dout_1__MGIOL ( output IOLDO, input OPOS, CE, CLK );
-  wire   CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly;
-
-  mfflsre0113 \Dout_0io[1] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), 
-    .LSR(GNDI), .Q(IOLDO));
-  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
-  gnd DRIVEGND( .PWR0(GNDI));
-
-  specify
-    (CLK => IOLDO) = (0:0:0,0:0:0);
-    $width (posedge CLK, 0:0:0);
-    $width (negedge CLK, 0:0:0);
-    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
-    $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
-  endspecify
-
-endmodule
-
-module Dout_0_ ( input IOLDO, output Dout0 );
-
-  xo2iobuf0115 \Dout_pad[0] ( .I(IOLDO), .PAD(Dout0));
-
-  specify
-    (IOLDO => Dout0) = (0:0:0,0:0:0);
-  endspecify
-
-endmodule
-
-module Dout_0__MGIOL ( output IOLDO, input OPOS, CE, CLK );
-  wire   CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly;
-
-  mfflsre0113 \Dout_0io[0] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), 
-    .LSR(GNDI), .Q(IOLDO));
-  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
-  gnd DRIVEGND( .PWR0(GNDI));
-
-  specify
-    (CLK => IOLDO) = (0:0:0,0:0:0);
-    $width (posedge CLK, 0:0:0);
-    $width (negedge CLK, 0:0:0);
-    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
-    $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
+    (PADDO => Dout0) = (0:0:0,0:0:0);
   endspecify
 
 endmodule
 
 module Din_7_ ( output PADDI, input Din7 );
 
-  xo2iobuf0112 \Din_pad[7] ( .Z(PADDI), .PAD(Din7));
+  xo2iobuf0146 \Din_pad[7] ( .Z(PADDI), .PAD(Din7));
 
   specify
     (Din7 => PADDI) = (0:0:0,0:0:0);
@@ -5153,7 +6392,7 @@ endmodule
 
 module Din_6_ ( output PADDI, input Din6 );
 
-  xo2iobuf0112 \Din_pad[6] ( .Z(PADDI), .PAD(Din6));
+  xo2iobuf0146 \Din_pad[6] ( .Z(PADDI), .PAD(Din6));
 
   specify
     (Din6 => PADDI) = (0:0:0,0:0:0);
@@ -5165,7 +6404,7 @@ endmodule
 
 module Din_5_ ( output PADDI, input Din5 );
 
-  xo2iobuf0112 \Din_pad[5] ( .Z(PADDI), .PAD(Din5));
+  xo2iobuf0146 \Din_pad[5] ( .Z(PADDI), .PAD(Din5));
 
   specify
     (Din5 => PADDI) = (0:0:0,0:0:0);
@@ -5177,7 +6416,7 @@ endmodule
 
 module Din_4_ ( output PADDI, input Din4 );
 
-  xo2iobuf0112 \Din_pad[4] ( .Z(PADDI), .PAD(Din4));
+  xo2iobuf0146 \Din_pad[4] ( .Z(PADDI), .PAD(Din4));
 
   specify
     (Din4 => PADDI) = (0:0:0,0:0:0);
@@ -5189,7 +6428,7 @@ endmodule
 
 module Din_3_ ( output PADDI, input Din3 );
 
-  xo2iobuf0112 \Din_pad[3] ( .Z(PADDI), .PAD(Din3));
+  xo2iobuf0146 \Din_pad[3] ( .Z(PADDI), .PAD(Din3));
 
   specify
     (Din3 => PADDI) = (0:0:0,0:0:0);
@@ -5201,7 +6440,7 @@ endmodule
 
 module Din_2_ ( output PADDI, input Din2 );
 
-  xo2iobuf0112 \Din_pad[2] ( .Z(PADDI), .PAD(Din2));
+  xo2iobuf0146 \Din_pad[2] ( .Z(PADDI), .PAD(Din2));
 
   specify
     (Din2 => PADDI) = (0:0:0,0:0:0);
@@ -5213,7 +6452,7 @@ endmodule
 
 module Din_1_ ( output PADDI, input Din1 );
 
-  xo2iobuf0112 \Din_pad[1] ( .Z(PADDI), .PAD(Din1));
+  xo2iobuf0146 \Din_pad[1] ( .Z(PADDI), .PAD(Din1));
 
   specify
     (Din1 => PADDI) = (0:0:0,0:0:0);
@@ -5225,7 +6464,7 @@ endmodule
 
 module Din_0_ ( output PADDI, input Din0 );
 
-  xo2iobuf0112 \Din_pad[0] ( .Z(PADDI), .PAD(Din0));
+  xo2iobuf0146 \Din_pad[0] ( .Z(PADDI), .PAD(Din0));
 
   specify
     (Din0 => PADDI) = (0:0:0,0:0:0);
@@ -5237,7 +6476,7 @@ endmodule
 
 module Ain_7_ ( output PADDI, input Ain7 );
 
-  xo2iobuf0112 \Ain_pad[7] ( .Z(PADDI), .PAD(Ain7));
+  xo2iobuf0146 \Ain_pad[7] ( .Z(PADDI), .PAD(Ain7));
 
   specify
     (Ain7 => PADDI) = (0:0:0,0:0:0);
@@ -5249,7 +6488,7 @@ endmodule
 
 module Ain_6_ ( output PADDI, input Ain6 );
 
-  xo2iobuf0112 \Ain_pad[6] ( .Z(PADDI), .PAD(Ain6));
+  xo2iobuf0146 \Ain_pad[6] ( .Z(PADDI), .PAD(Ain6));
 
   specify
     (Ain6 => PADDI) = (0:0:0,0:0:0);
@@ -5261,7 +6500,7 @@ endmodule
 
 module Ain_5_ ( output PADDI, input Ain5 );
 
-  xo2iobuf0112 \Ain_pad[5] ( .Z(PADDI), .PAD(Ain5));
+  xo2iobuf0146 \Ain_pad[5] ( .Z(PADDI), .PAD(Ain5));
 
   specify
     (Ain5 => PADDI) = (0:0:0,0:0:0);
@@ -5273,7 +6512,7 @@ endmodule
 
 module Ain_4_ ( output PADDI, input Ain4 );
 
-  xo2iobuf0112 \Ain_pad[4] ( .Z(PADDI), .PAD(Ain4));
+  xo2iobuf0146 \Ain_pad[4] ( .Z(PADDI), .PAD(Ain4));
 
   specify
     (Ain4 => PADDI) = (0:0:0,0:0:0);
@@ -5285,7 +6524,7 @@ endmodule
 
 module Ain_3_ ( output PADDI, input Ain3 );
 
-  xo2iobuf0112 \Ain_pad[3] ( .Z(PADDI), .PAD(Ain3));
+  xo2iobuf0146 \Ain_pad[3] ( .Z(PADDI), .PAD(Ain3));
 
   specify
     (Ain3 => PADDI) = (0:0:0,0:0:0);
@@ -5297,7 +6536,7 @@ endmodule
 
 module Ain_2_ ( output PADDI, input Ain2 );
 
-  xo2iobuf0112 \Ain_pad[2] ( .Z(PADDI), .PAD(Ain2));
+  xo2iobuf0146 \Ain_pad[2] ( .Z(PADDI), .PAD(Ain2));
 
   specify
     (Ain2 => PADDI) = (0:0:0,0:0:0);
@@ -5309,7 +6548,7 @@ endmodule
 
 module Ain_1_ ( output PADDI, input Ain1 );
 
-  xo2iobuf0112 \Ain_pad[1] ( .Z(PADDI), .PAD(Ain1));
+  xo2iobuf0146 \Ain_pad[1] ( .Z(PADDI), .PAD(Ain1));
 
   specify
     (Ain1 => PADDI) = (0:0:0,0:0:0);
@@ -5321,7 +6560,7 @@ endmodule
 
 module Ain_0_ ( output PADDI, input Ain0 );
 
-  xo2iobuf0112 \Ain_pad[0] ( .Z(PADDI), .PAD(Ain0));
+  xo2iobuf0146 \Ain_pad[0] ( .Z(PADDI), .PAD(Ain0));
 
   specify
     (Ain0 => PADDI) = (0:0:0,0:0:0);
@@ -5333,7 +6572,7 @@ endmodule
 
 module nC07X ( output PADDI, input nC07X );
 
-  xo2iobuf0112 nC07X_pad( .Z(PADDI), .PAD(nC07X));
+  xo2iobuf0146 nC07X_pad( .Z(PADDI), .PAD(nC07X));
 
   specify
     (nC07X => PADDI) = (0:0:0,0:0:0);
@@ -5345,7 +6584,7 @@ endmodule
 
 module nEN80 ( output PADDI, input nEN80 );
 
-  xo2iobuf0112 nEN80_pad( .Z(PADDI), .PAD(nEN80));
+  xo2iobuf0146 nEN80_pad( .Z(PADDI), .PAD(nEN80));
 
   specify
     (nEN80 => PADDI) = (0:0:0,0:0:0);
@@ -5355,21 +6594,9 @@ module nEN80 ( output PADDI, input nEN80 );
 
 endmodule
 
-module nWE80 ( output PADDI, input nWE80 );
-
-  xo2iobuf0112 nWE80_pad( .Z(PADDI), .PAD(nWE80));
-
-  specify
-    (nWE80 => PADDI) = (0:0:0,0:0:0);
-    $width (posedge nWE80, 0:0:0);
-    $width (negedge nWE80, 0:0:0);
-  endspecify
-
-endmodule
-
 module nWE ( output PADDI, input nWE );
 
-  xo2iobuf0112 nWE_pad( .Z(PADDI), .PAD(nWE));
+  xo2iobuf0146 nWE_pad( .Z(PADDI), .PAD(nWE));
 
   specify
     (nWE => PADDI) = (0:0:0,0:0:0);
@@ -5381,7 +6608,7 @@ endmodule
 
 module PHI1 ( output PADDI, input PHI1 );
 
-  xo2iobuf0112 PHI1_pad( .Z(PADDI), .PAD(PHI1));
+  xo2iobuf0146 PHI1_pad( .Z(PADDI), .PAD(PHI1));
 
   specify
     (PHI1 => PADDI) = (0:0:0,0:0:0);
@@ -5394,7 +6621,7 @@ endmodule
 module PHI1_MGIOL ( input DI, CLK, output IN );
   wire   VCCI, GNDI, DI_dly, CLK_dly;
 
-  smuxlregsre PHI1reg_0io( .D0(DI_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), 
+  smuxlregsre PHI1r_0io( .D0(DI_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), 
     .Q(IN));
   vcc DRIVEVCC( .PWR1(VCCI));
   gnd DRIVEGND( .PWR0(GNDI));
@@ -5414,14 +6641,14 @@ module smuxlregsre ( input D0, SP, CK, LSR, output Q );
   defparam INST01.GSR = "DISABLED";
 endmodule
 
-module ufmefb_EFBInst_0 ( input WBCLKI, WBRSTI, WBCYCI, WBSTBI, WBWEI, WBADRI0, 
-    WBADRI1, WBADRI2, WBADRI3, WBADRI4, WBADRI5, WBADRI6, WBADRI7, WBDATI0, 
-    WBDATI1, WBDATI2, WBDATI3, WBDATI4, WBDATI5, WBDATI6, WBDATI7, output 
-    WBDATO0, WBDATO1, WBDATO2, WBDATO3, WBDATO4, WBDATO5, WBDATO6, WBDATO7, 
-    WBACKO );
+module ram2e_ufm_ufmefb_EFBInst_0 ( input WBCLKI, WBRSTI, WBCYCI, WBSTBI, 
+    WBWEI, WBADRI0, WBADRI1, WBADRI2, WBADRI3, WBADRI4, WBADRI5, WBADRI6, 
+    WBADRI7, WBDATI0, WBDATI1, WBDATI2, WBDATI3, WBDATI4, WBDATI5, WBDATI6, 
+    WBDATI7, output WBDATO0, WBDATO1, WBDATO2, WBDATO3, WBDATO4, WBDATO5, 
+    WBDATO6, WBDATO7, WBACKO );
   wire   VCCI, GNDI;
 
-  EFB_B \ufmefb/EFBInst_0_EFB ( .WBCLKI(WBCLKI), .WBRSTI(WBRSTI), 
+  EFB_B \ram2e_ufm/ufmefb/EFBInst_0_EFB ( .WBCLKI(WBCLKI), .WBRSTI(WBRSTI), 
     .WBCYCI(WBCYCI), .WBSTBI(WBSTBI), .WBWEI(WBWEI), .WBADRI0(WBADRI0), 
     .WBADRI1(WBADRI1), .WBADRI2(WBADRI2), .WBADRI3(WBADRI3), .WBADRI4(WBADRI4), 
     .WBADRI5(WBADRI5), .WBADRI6(WBADRI6), .WBADRI7(WBADRI7), .WBDATI0(WBDATI0), 
diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_mrp.html b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_mrp.html
index 9a7029b..7a61ca2 100644
--- a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_mrp.html
+++ b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_mrp.html
@@ -23,23 +23,23 @@ Target Vendor:  LATTICE
 Target Device:  LCMXO2-1200HCTQFP100
 Target Performance:   4
 Mapper:  xo2c00,  version:  Diamond (64-bit) 3.12.1.454
-Mapped on:  09/21/23  05:34:46
+Mapped on:  12/28/23  23:09:57
 
 
 Design Summary
-   Number of registers:    111 out of  1520 (7%)
-      PFU registers:           75 out of  1280 (6%)
-      PIO registers:           36 out of   240 (15%)
-   Number of SLICEs:       120 out of   640 (19%)
-      SLICEs as Logic/ROM:    120 out of   640 (19%)
+   Number of registers:    122 out of  1520 (8%)
+      PFU registers:           93 out of  1280 (7%)
+      PIO registers:           29 out of   240 (12%)
+   Number of SLICEs:       148 out of   640 (23%)
+      SLICEs as Logic/ROM:    148 out of   640 (23%)
       SLICEs as RAM:            0 out of   480 (0%)
       SLICEs as Carry:          9 out of   640 (1%)
-   Number of LUT4s:        239 out of  1280 (19%)
-      Number used as logic LUTs:        221
+   Number of LUT4s:        296 out of  1280 (23%)
+      Number used as logic LUTs:        278
       Number used as distributed RAM:     0
       Number used as ripple logic:       18
       Number used as shift registers:     0
-   Number of PIO sites used: 70 + 4(JTAG) out of 80 (93%)
+   Number of PIO sites used: 69 + 4(JTAG) out of 80 (91%)
    Number of block RAMs:  0 out of 7 (0%)
    Number of GSRs:        0 out of 1 (0%)
    EFB used :        Yes
@@ -65,43 +65,48 @@ Mapped on:  09/21/23  05:34:46
       2. Number of logic LUT4s does not include count of distributed RAM and
      ripple logic.
    Number of clocks:  1
-     Net C14M_c: 84 loads, 68 rising, 16 falling (Driver: PIO C14M )
-   Number of Clock Enables:  11
+     Net C14M_c: 89 loads, 73 rising, 16 falling (Driver: PIO C14M )
+   Number of Clock Enables:  14
 
-     Net wb_adr_0_sqmuxa_i: 1 loads, 1 LSLICEs
-     Net N_576_i: 17 loads, 9 LSLICEs
-     Net LEDEN13: 4 loads, 4 LSLICEs
-     Net nCS61: 1 loads, 1 LSLICEs
+     Net N_225_i: 2 loads, 0 LSLICEs
+     Net N_201_i: 2 loads, 0 LSLICEs
+     Net N_187_i: 11 loads, 11 LSLICEs
+     Net ram2e_ufm/wb_adr_0_sqmuxa_1_i: 1 loads, 1 LSLICEs
+     Net RC12: 2 loads, 2 LSLICEs
+     Net ram2e_ufm/CmdBitbangMXO2_RNINSM62: 8 loads, 8 LSLICEs
+     Net ram2e_ufm/wb_we_RNO_0: 1 loads, 1 LSLICEs
+     Net N_185_i: 2 loads, 2 LSLICEs
+     Net ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0[0]: 1 loads, 1 LSLICEs
+     Net ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0_0[0]: 4 loads, 4 LSLICEs
+     Net ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0_0[0]: 1 loads, 1 LSLICEs
+     Net N_126: 6 loads, 6 LSLICEs
+     Net un9_VOEEN_0_a2_0_a3_0_a3: 1 loads, 1 LSLICEs
      Net Vout3: 8 loads, 0 LSLICEs
-     Net S_RNII9DO1_1[1]: 7 loads, 1 LSLICEs
-     Net un1_wb_cyc_stb_0_sqmuxa_1_i[0]: 1 loads, 1 LSLICEs
-     Net un1_wb_adr_0_sqmuxa_2_i[0]: 8 loads, 8 LSLICEs
-     Net N_104: 1 loads, 1 LSLICEs
-     Net N_88: 4 loads, 4 LSLICEs
-     Net un1_LEDEN_0_sqmuxa_1_i_0[0]: 1 loads, 1 LSLICEs
-   Number of LSRs:  5
+   Number of LSRs:  7
      Net un1_CS_0_sqmuxa_i: 2 loads, 2 LSLICEs
+     Net BA_0_sqmuxa: 2 loads, 0 LSLICEs
      Net S[2]: 1 loads, 1 LSLICEs
-     Net N_566_i: 2 loads, 0 LSLICEs
-     Net wb_rst: 1 loads, 0 LSLICEs
-     Net S_RNII9DO1[1]: 1 loads, 1 LSLICEs
+     Net ram2e_ufm/wb_rst: 1 loads, 0 LSLICEs
+     Net ram2e_ufm/wb_rst16_i: 1 loads, 1 LSLICEs
+     Net N_1080_0: 1 loads, 1 LSLICEs
+     Net N_1078_0: 1 loads, 1 LSLICEs
    Number of nets driven by tri-state buffers:  0
    Top 10 highest fanout non-clock nets:
-     Net S[2]: 48 loads
-     Net S[3]: 48 loads
-     Net S[0]: 30 loads
-     Net FS[12]: 22 loads
-     Net FS[9]: 21 loads
-     Net S[1]: 21 loads
-     Net FS[10]: 20 loads
-     Net FS[11]: 19 loads
-     Net RWSel: 19 loads
-     Net FS[13]: 17 loads
+     Net S[2]: 50 loads
+     Net S[3]: 45 loads
+     Net S[0]: 37 loads
+     Net S[1]: 34 loads
+     Net FS[12]: 24 loads
+     Net FS[11]: 22 loads
+     Net FS[10]: 19 loads
+     Net FS[13]: 19 loads
+     Net FS[9]: 19 loads
+     Net FS[8]: 18 loads
 
 
 
 
-   Number of warnings:  1
+   Number of warnings:  3
    Number of errors:    0
      
 
@@ -110,12 +115,18 @@ Mapped on:  09/21/23  05:34:46
 
 Design Errors/Warnings
 
+WARNING - map: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-LCMXO2.lpf(93): Semantic
+     error in "IOBUF PORT "nWE80" IO_TYPE=LVCMOS33 PULLMODE=NONE ;": Port
+     "nWE80" does not exist in the design. This preference has been disabled.
 WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will
      temporarily disable certain features of the device including Power
      Controller, GSR, Hardened User SPI Port, Hardened Primary User I2C Port.
      Functionality is restored after the Flash Memory (UFM/Configuration)
      Interface is disabled using Disable Configuration Interface command 0x26
      followed by Bypass command 0xFF. 
+WARNING - map: IO buffer missing for top level port nWE80...logic will be
+     discarded.
+
 
 
 
@@ -127,15 +138,10 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will
 +---------------------+-----------+-----------+------------+
 | RD[0]               | BIDIR     | LVCMOS33  |            |
 +---------------------+-----------+-----------+------------+
-
 | LED                 | OUTPUT    | LVCMOS33  |            |
 +---------------------+-----------+-----------+------------+
 | C14M                | INPUT     | LVCMOS33  |            |
 +---------------------+-----------+-----------+------------+
-| DQMH                | OUTPUT    | LVCMOS33  | OUT        |
-+---------------------+-----------+-----------+------------+
-| DQML                | OUTPUT    | LVCMOS33  | OUT        |
-+---------------------+-----------+-----------+------------+
 | RD[7]               | BIDIR     | LVCMOS33  |            |
 +---------------------+-----------+-----------+------------+
 | RD[6]               | BIDIR     | LVCMOS33  |            |
@@ -150,44 +156,48 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will
 +---------------------+-----------+-----------+------------+
 | RD[1]               | BIDIR     | LVCMOS33  |            |
 +---------------------+-----------+-----------+------------+
-| RA[11]              | OUTPUT    | LVCMOS33  | OUT        |
+| DQMH                | OUTPUT    | LVCMOS33  | OUT        |
 +---------------------+-----------+-----------+------------+
-| RA[10]              | OUTPUT    | LVCMOS33  | OUT        |
+| DQML                | OUTPUT    | LVCMOS33  | OUT        |
 +---------------------+-----------+-----------+------------+
-| RA[9]               | OUTPUT    | LVCMOS33  | OUT        |
+| RAout[11]           | OUTPUT    | LVCMOS33  | OUT        |
 +---------------------+-----------+-----------+------------+
-| RA[8]               | OUTPUT    | LVCMOS33  | OUT        |
+| RAout[10]           | OUTPUT    | LVCMOS33  | OUT        |
 +---------------------+-----------+-----------+------------+
-| RA[7]               | OUTPUT    | LVCMOS33  | OUT        |
+| RAout[9]            | OUTPUT    | LVCMOS33  | OUT        |
 +---------------------+-----------+-----------+------------+
-| RA[6]               | OUTPUT    | LVCMOS33  | OUT        |
+| RAout[8]            | OUTPUT    | LVCMOS33  | OUT        |
 +---------------------+-----------+-----------+------------+
-| RA[5]               | OUTPUT    | LVCMOS33  | OUT        |
+| RAout[7]            | OUTPUT    | LVCMOS33  | OUT        |
 +---------------------+-----------+-----------+------------+
-| RA[4]               | OUTPUT    | LVCMOS33  | OUT        |
+| RAout[6]            | OUTPUT    | LVCMOS33  | OUT        |
 +---------------------+-----------+-----------+------------+
-| RA[3]               | OUTPUT    | LVCMOS33  |            |
+| RAout[5]            | OUTPUT    | LVCMOS33  | OUT        |
 +---------------------+-----------+-----------+------------+
-| RA[2]               | OUTPUT    | LVCMOS33  | OUT        |
+| RAout[4]            | OUTPUT    | LVCMOS33  | OUT        |
 +---------------------+-----------+-----------+------------+
-| RA[1]               | OUTPUT    | LVCMOS33  | OUT        |
+| RAout[3]            | OUTPUT    | LVCMOS33  | OUT        |
 +---------------------+-----------+-----------+------------+
-| RA[0]               | OUTPUT    | LVCMOS33  |            |
+| RAout[2]            | OUTPUT    | LVCMOS33  | OUT        |
++---------------------+-----------+-----------+------------+
+| RAout[1]            | OUTPUT    | LVCMOS33  | OUT        |
++---------------------+-----------+-----------+------------+
+| RAout[0]            | OUTPUT    | LVCMOS33  | OUT        |
 +---------------------+-----------+-----------+------------+
 | BA[1]               | OUTPUT    | LVCMOS33  | OUT        |
 +---------------------+-----------+-----------+------------+
+
 | BA[0]               | OUTPUT    | LVCMOS33  | OUT        |
 +---------------------+-----------+-----------+------------+
-| nRWE                | OUTPUT    | LVCMOS33  | OUT        |
+| nRWEout             | OUTPUT    | LVCMOS33  | OUT        |
 +---------------------+-----------+-----------+------------+
-| nCAS                | OUTPUT    | LVCMOS33  | OUT        |
+| nCASout             | OUTPUT    | LVCMOS33  | OUT        |
 +---------------------+-----------+-----------+------------+
-| nRAS                | OUTPUT    | LVCMOS33  | OUT        |
+| nRASout             | OUTPUT    | LVCMOS33  | OUT        |
 +---------------------+-----------+-----------+------------+
-
-| nCS                 | OUTPUT    | LVCMOS33  | OUT        |
+| nCSout              | OUTPUT    | LVCMOS33  |            |
 +---------------------+-----------+-----------+------------+
-| CKE                 | OUTPUT    | LVCMOS33  | OUT        |
+| CKEout              | OUTPUT    | LVCMOS33  | OUT        |
 +---------------------+-----------+-----------+------------+
 | nVOE                | OUTPUT    | LVCMOS33  |            |
 +---------------------+-----------+-----------+------------+
@@ -209,21 +219,21 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will
 +---------------------+-----------+-----------+------------+
 | nDOE                | OUTPUT    | LVCMOS33  |            |
 +---------------------+-----------+-----------+------------+
-| Dout[7]             | OUTPUT    | LVCMOS33  | OUT        |
+| Dout[7]             | OUTPUT    | LVCMOS33  |            |
 +---------------------+-----------+-----------+------------+
-| Dout[6]             | OUTPUT    | LVCMOS33  | OUT        |
+| Dout[6]             | OUTPUT    | LVCMOS33  |            |
 +---------------------+-----------+-----------+------------+
-| Dout[5]             | OUTPUT    | LVCMOS33  | OUT        |
+| Dout[5]             | OUTPUT    | LVCMOS33  |            |
 +---------------------+-----------+-----------+------------+
-| Dout[4]             | OUTPUT    | LVCMOS33  | OUT        |
+| Dout[4]             | OUTPUT    | LVCMOS33  |            |
 +---------------------+-----------+-----------+------------+
-| Dout[3]             | OUTPUT    | LVCMOS33  | OUT        |
+| Dout[3]             | OUTPUT    | LVCMOS33  |            |
 +---------------------+-----------+-----------+------------+
-| Dout[2]             | OUTPUT    | LVCMOS33  | OUT        |
+| Dout[2]             | OUTPUT    | LVCMOS33  |            |
 +---------------------+-----------+-----------+------------+
-| Dout[1]             | OUTPUT    | LVCMOS33  | OUT        |
+| Dout[1]             | OUTPUT    | LVCMOS33  |            |
 +---------------------+-----------+-----------+------------+
-| Dout[0]             | OUTPUT    | LVCMOS33  | OUT        |
+| Dout[0]             | OUTPUT    | LVCMOS33  |            |
 +---------------------+-----------+-----------+------------+
 | Din[7]              | INPUT     | LVCMOS33  |            |
 +---------------------+-----------+-----------+------------+
@@ -233,6 +243,7 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will
 +---------------------+-----------+-----------+------------+
 | Din[4]              | INPUT     | LVCMOS33  |            |
 +---------------------+-----------+-----------+------------+
+
 | Din[3]              | INPUT     | LVCMOS33  |            |
 +---------------------+-----------+-----------+------------+
 | Din[2]              | INPUT     | LVCMOS33  |            |
@@ -241,7 +252,6 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will
 +---------------------+-----------+-----------+------------+
 | Din[0]              | INPUT     | LVCMOS33  |            |
 +---------------------+-----------+-----------+------------+
-
 | Ain[7]              | INPUT     | LVCMOS33  |            |
 +---------------------+-----------+-----------+------------+
 | Ain[6]              | INPUT     | LVCMOS33  |            |
@@ -262,8 +272,6 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will
 +---------------------+-----------+-----------+------------+
 | nEN80               | INPUT     | LVCMOS33  |            |
 +---------------------+-----------+-----------+------------+
-| nWE80               | INPUT     | LVCMOS33  |            |
-+---------------------+-----------+-----------+------------+
 | nWE                 | INPUT     | LVCMOS33  |            |
 +---------------------+-----------+-----------+------------+
 | PHI1                | INPUT     | LVCMOS33  | IN         |
@@ -274,68 +282,75 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will
 Removed logic
 
 Block GSR_INST undriven or does not drive anything - clipped.
-Signal Dout_0_.CN was merged into signal C14M_c
-Signal GND undriven or does not drive anything - clipped.
-Signal ufmefb/VCC undriven or does not drive anything - clipped.
-Signal ufmefb/GND undriven or does not drive anything - clipped.
+Block ram2e_ufm/VCC undriven or does not drive anything - clipped.
+Block ram2e_ufm/GND undriven or does not drive anything - clipped.
+Signal CKEout.CN was merged into signal C14M_c
+Signal ram2e_ufm/ufmefb/VCC undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/GND undriven or does not drive anything - clipped.
 Signal FS_s_0_S1[15] undriven or does not drive anything - clipped.
 Signal FS_s_0_COUT[15] undriven or does not drive anything - clipped.
-Signal ufmefb/CFGSTDBY undriven or does not drive anything - clipped.
-Signal ufmefb/CFGWAKE undriven or does not drive anything - clipped.
-Signal ufmefb/wbc_ufm_irq undriven or does not drive anything - clipped.
-Signal ufmefb/TCOC undriven or does not drive anything - clipped.
-Signal ufmefb/TCINT undriven or does not drive anything - clipped.
-Signal ufmefb/SPIIRQO undriven or does not drive anything - clipped.
-Signal ufmefb/SPICSNEN undriven or does not drive anything - clipped.
-Signal ufmefb/SPIMCSN7 undriven or does not drive anything - clipped.
-Signal ufmefb/SPIMCSN6 undriven or does not drive anything - clipped.
-Signal ufmefb/SPIMCSN5 undriven or does not drive anything - clipped.
-Signal ufmefb/SPIMCSN4 undriven or does not drive anything - clipped.
-Signal ufmefb/SPIMCSN3 undriven or does not drive anything - clipped.
-Signal ufmefb/SPIMCSN2 undriven or does not drive anything - clipped.
-Signal ufmefb/SPIMCSN1 undriven or does not drive anything - clipped.
-Signal ufmefb/SPIMCSN0 undriven or does not drive anything - clipped.
-Signal ufmefb/SPIMOSIEN undriven or does not drive anything - clipped.
-Signal ufmefb/SPIMOSIO undriven or does not drive anything - clipped.
-Signal ufmefb/SPIMISOEN undriven or does not drive anything - clipped.
-Signal ufmefb/SPIMISOO undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/CFGSTDBY undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/CFGWAKE undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/wbc_ufm_irq undriven or does not drive anything -
+     clipped.
+Signal ram2e_ufm/ufmefb/TCOC undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/TCINT undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/SPIIRQO undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/SPICSNEN undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/SPIMCSN7 undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/SPIMCSN6 undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/SPIMCSN5 undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/SPIMCSN4 undriven or does not drive anything - clipped.
 
-Signal ufmefb/SPISCKEN undriven or does not drive anything - clipped.
-Signal ufmefb/SPISCKO undriven or does not drive anything - clipped.
-Signal ufmefb/I2C2IRQO undriven or does not drive anything - clipped.
-Signal ufmefb/I2C1IRQO undriven or does not drive anything - clipped.
-Signal ufmefb/I2C2SDAOEN undriven or does not drive anything - clipped.
-Signal ufmefb/I2C2SDAO undriven or does not drive anything - clipped.
-Signal ufmefb/I2C2SCLOEN undriven or does not drive anything - clipped.
-Signal ufmefb/I2C2SCLO undriven or does not drive anything - clipped.
-Signal ufmefb/I2C1SDAOEN undriven or does not drive anything - clipped.
-Signal ufmefb/I2C1SDAO undriven or does not drive anything - clipped.
-Signal ufmefb/I2C1SCLOEN undriven or does not drive anything - clipped.
-Signal ufmefb/I2C1SCLO undriven or does not drive anything - clipped.
-Signal ufmefb/PLLDATO0 undriven or does not drive anything - clipped.
-Signal ufmefb/PLLDATO1 undriven or does not drive anything - clipped.
-Signal ufmefb/PLLDATO2 undriven or does not drive anything - clipped.
-Signal ufmefb/PLLDATO3 undriven or does not drive anything - clipped.
-Signal ufmefb/PLLDATO4 undriven or does not drive anything - clipped.
-Signal ufmefb/PLLDATO5 undriven or does not drive anything - clipped.
-Signal ufmefb/PLLDATO6 undriven or does not drive anything - clipped.
-Signal ufmefb/PLLDATO7 undriven or does not drive anything - clipped.
-Signal ufmefb/PLLADRO0 undriven or does not drive anything - clipped.
-Signal ufmefb/PLLADRO1 undriven or does not drive anything - clipped.
-Signal ufmefb/PLLADRO2 undriven or does not drive anything - clipped.
-Signal ufmefb/PLLADRO3 undriven or does not drive anything - clipped.
-Signal ufmefb/PLLADRO4 undriven or does not drive anything - clipped.
-Signal ufmefb/PLLWEO undriven or does not drive anything - clipped.
-Signal ufmefb/PLL1STBO undriven or does not drive anything - clipped.
-Signal ufmefb/PLL0STBO undriven or does not drive anything - clipped.
-Signal ufmefb/PLLRSTO undriven or does not drive anything - clipped.
-Signal ufmefb/PLLCLKO undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/SPIMCSN3 undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/SPIMCSN2 undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/SPIMCSN1 undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/SPIMCSN0 undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/SPIMOSIEN undriven or does not drive anything - clipped.
+     
+Signal ram2e_ufm/ufmefb/SPIMOSIO undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/SPIMISOEN undriven or does not drive anything - clipped.
+     
+Signal ram2e_ufm/ufmefb/SPIMISOO undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/SPISCKEN undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/SPISCKO undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/I2C2IRQO undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/I2C1IRQO undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/I2C2SDAOEN undriven or does not drive anything -
+     clipped.
+Signal ram2e_ufm/ufmefb/I2C2SDAO undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/I2C2SCLOEN undriven or does not drive anything -
+     clipped.
+Signal ram2e_ufm/ufmefb/I2C2SCLO undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/I2C1SDAOEN undriven or does not drive anything -
+     clipped.
+Signal ram2e_ufm/ufmefb/I2C1SDAO undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/I2C1SCLOEN undriven or does not drive anything -
+     clipped.
+Signal ram2e_ufm/ufmefb/I2C1SCLO undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/PLLDATO0 undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/PLLDATO1 undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/PLLDATO2 undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/PLLDATO3 undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/PLLDATO4 undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/PLLDATO5 undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/PLLDATO6 undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/PLLDATO7 undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/PLLADRO0 undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/PLLADRO1 undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/PLLADRO2 undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/PLLADRO3 undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/PLLADRO4 undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/PLLWEO undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/PLL1STBO undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/PLL0STBO undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/PLLRSTO undriven or does not drive anything - clipped.
+Signal ram2e_ufm/ufmefb/PLLCLKO undriven or does not drive anything - clipped.
 Signal FS_cry_0_S0[0] undriven or does not drive anything - clipped.
 Signal N_1 undriven or does not drive anything - clipped.
-Block Vout_0_.CN was optimized away.
-Block GND was optimized away.
-Block ufmefb/VCC was optimized away.
-Block ufmefb/GND was optimized away.
+Block nCASout.CN was optimized away.
+Block ram2e_ufm/ufmefb/VCC was optimized away.
+Block ram2e_ufm/ufmefb/GND was optimized away.
 
      
 
@@ -344,8 +359,9 @@ Block ufmefb/GND was optimized away.
 Embedded Functional Block Connection Summary
 
    Desired WISHBONE clock frequency: 14.4 MHz
+
    Clock source:                     C14M_c
-   Reset source:                     wb_rst
+   Reset source:                     ram2e_ufm/wb_rst
    Functions mode:
       I2C #1 (Primary) Function:     DISABLED
       I2C #2 (Secondary) Function:   DISABLED
@@ -357,7 +373,6 @@ Block ufmefb/GND was optimized away.
       PLL1 Connection:               DISABLED
    I2C Function Summary:
    --------------------
-
       None
    SPI Function Summary:
    --------------------
@@ -384,7 +399,7 @@ Block ufmefb/GND was optimized away.
 ASIC Components
 ---------------
 
-Instance Name: ufmefb/EFBInst_0
+Instance Name: ram2e_ufm/ufmefb/EFBInst_0
          Type: EFB
 
 
@@ -394,7 +409,7 @@ Instance Name: ufmefb/EFBInst_0
 
    Total CPU Time: 1 secs  
    Total REAL Time: 0 secs  
-   Peak Memory Usage: 63 MB
+   Peak Memory Usage: 64 MB
         
 
 
@@ -402,19 +417,6 @@ Instance Name: ufmefb/EFBInst_0
 
 
 
-
-
-
-
-
-
-
-
-
-
-
-
-
 
 
 
diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_pad.html b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_pad.html
index 81a51b2..226fada 100644
--- a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_pad.html
+++ b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_pad.html
@@ -14,7 +14,7 @@ Performance Grade:      4
 PACKAGE:          TQFP100
 Package Status:                     Final          Version 1.44
 
-Thu Sep 21 05:34:59 2023
+Thu Dec 28 23:10:10 2023
 
 Pinout by Port Name:
 +-----------+----------+---------------+-------+-----------+-----------+------------------------------------------------------------+
@@ -31,7 +31,7 @@ Pinout by Port Name:
 | BA[0]     | 58/1     | LVCMOS33_OUT  | PR9A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
 | BA[1]     | 60/1     | LVCMOS33_OUT  | PR8C  |           |           | DRIVE:4mA SLEW:SLOW                                        |
 | C14M      | 62/1     | LVCMOS33_IN   | PR5D  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
-| CKE       | 53/1     | LVCMOS33_OUT  | PR9D  |           |           | DRIVE:4mA SLEW:SLOW                                        |
+| CKEout    | 53/1     | LVCMOS33_OUT  | PR9D  |           |           | DRIVE:4mA SLEW:SLOW                                        |
 | DQMH      | 49/2     | LVCMOS33_OUT  | PB20D |           |           | DRIVE:4mA SLEW:SLOW                                        |
 | DQML      | 48/2     | LVCMOS33_OUT  | PB20C |           |           | DRIVE:4mA SLEW:SLOW                                        |
 | Din[0]    | 96/0     | LVCMOS33_IN   | PT10B |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
@@ -42,28 +42,28 @@ Pinout by Port Name:
 | Din[5]    | 99/0     | LVCMOS33_IN   | PT9A  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
 | Din[6]    | 88/0     | LVCMOS33_IN   | PT12A |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
 | Din[7]    | 87/0     | LVCMOS33_IN   | PT12B |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
-| Dout[0]   | 30/2     | LVCMOS33_OUT  | PB6B  |           |           | DRIVE:4mA SLEW:FAST                                        |
-| Dout[1]   | 27/2     | LVCMOS33_OUT  | PB4C  |           |           | DRIVE:4mA SLEW:FAST                                        |
-| Dout[2]   | 25/3     | LVCMOS33_OUT  | PL10D |           |           | DRIVE:4mA SLEW:FAST                                        |
-| Dout[3]   | 28/2     | LVCMOS33_OUT  | PB4D  |           |           | DRIVE:4mA SLEW:FAST                                        |
-| Dout[4]   | 24/3     | LVCMOS33_OUT  | PL10C |           |           | DRIVE:4mA SLEW:FAST                                        |
-| Dout[5]   | 21/3     | LVCMOS33_OUT  | PL9B  |           |           | DRIVE:4mA SLEW:FAST                                        |
-| Dout[6]   | 31/2     | LVCMOS33_OUT  | PB6C  |           |           | DRIVE:4mA SLEW:FAST                                        |
-| Dout[7]   | 32/2     | LVCMOS33_OUT  | PB6D  |           |           | DRIVE:4mA SLEW:FAST                                        |
+| Dout[0]   | 30/2     | LVCMOS33_OUT  | PB6B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
+| Dout[1]   | 27/2     | LVCMOS33_OUT  | PB4C  |           |           | DRIVE:4mA SLEW:SLOW                                        |
+| Dout[2]   | 25/3     | LVCMOS33_OUT  | PL10D |           |           | DRIVE:4mA SLEW:SLOW                                        |
+| Dout[3]   | 28/2     | LVCMOS33_OUT  | PB4D  |           |           | DRIVE:4mA SLEW:SLOW                                        |
+| Dout[4]   | 24/3     | LVCMOS33_OUT  | PL10C |           |           | DRIVE:4mA SLEW:SLOW                                        |
+| Dout[5]   | 21/3     | LVCMOS33_OUT  | PL9B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
+| Dout[6]   | 31/2     | LVCMOS33_OUT  | PB6C  |           |           | DRIVE:4mA SLEW:SLOW                                        |
+| Dout[7]   | 32/2     | LVCMOS33_OUT  | PB6D  |           |           | DRIVE:4mA SLEW:SLOW                                        |
 | LED       | 35/2     | LVCMOS33_OUT  | PB9B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
 | PHI1      | 85/0     | LVCMOS33_IN   | PT12D |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
-| RA[0]     | 66/1     | LVCMOS33_OUT  | PR4D  |           |           | DRIVE:4mA SLEW:SLOW                                        |
-| RA[10]    | 64/1     | LVCMOS33_OUT  | PR5B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
-| RA[11]    | 59/1     | LVCMOS33_OUT  | PR8D  |           |           | DRIVE:4mA SLEW:SLOW                                        |
-| RA[1]     | 68/1     | LVCMOS33_OUT  | PR4B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
-| RA[2]     | 70/1     | LVCMOS33_OUT  | PR3B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
-| RA[3]     | 74/1     | LVCMOS33_OUT  | PR2B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
-| RA[4]     | 75/1     | LVCMOS33_OUT  | PR2A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
-| RA[5]     | 71/1     | LVCMOS33_OUT  | PR3A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
-| RA[6]     | 69/1     | LVCMOS33_OUT  | PR4A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
-| RA[7]     | 67/1     | LVCMOS33_OUT  | PR4C  |           |           | DRIVE:4mA SLEW:SLOW                                        |
-| RA[8]     | 65/1     | LVCMOS33_OUT  | PR5A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
-| RA[9]     | 63/1     | LVCMOS33_OUT  | PR5C  |           |           | DRIVE:4mA SLEW:SLOW                                        |
+| RAout[0]  | 66/1     | LVCMOS33_OUT  | PR4D  |           |           | DRIVE:4mA SLEW:SLOW                                        |
+| RAout[10] | 64/1     | LVCMOS33_OUT  | PR5B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
+| RAout[11] | 59/1     | LVCMOS33_OUT  | PR8D  |           |           | DRIVE:4mA SLEW:SLOW                                        |
+| RAout[1]  | 68/1     | LVCMOS33_OUT  | PR4B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
+| RAout[2]  | 70/1     | LVCMOS33_OUT  | PR3B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
+| RAout[3]  | 74/1     | LVCMOS33_OUT  | PR2B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
+| RAout[4]  | 75/1     | LVCMOS33_OUT  | PR2A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
+| RAout[5]  | 71/1     | LVCMOS33_OUT  | PR3A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
+| RAout[6]  | 69/1     | LVCMOS33_OUT  | PR4A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
+| RAout[7]  | 67/1     | LVCMOS33_OUT  | PR4C  |           |           | DRIVE:4mA SLEW:SLOW                                        |
+| RAout[8]  | 65/1     | LVCMOS33_OUT  | PR5A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
+| RAout[9]  | 63/1     | LVCMOS33_OUT  | PR5C  |           |           | DRIVE:4mA SLEW:SLOW                                        |
 | RD[0]     | 36/2     | LVCMOS33_BIDI | PB11C |           |           | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW  |
 | RD[1]     | 37/2     | LVCMOS33_BIDI | PB11D |           |           | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW  |
 | RD[2]     | 38/2     | LVCMOS33_BIDI | PB11A |           |           | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW  |
@@ -81,15 +81,14 @@ Pinout by Port Name:
 | Vout[6]   | 14/3     | LVCMOS33_OUT  | PL5C  |           |           | DRIVE:4mA SLEW:SLOW                                        |
 | Vout[7]   | 12/3     | LVCMOS33_OUT  | PL5A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
 | nC07X     | 34/2     | LVCMOS33_IN   | PB9A  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
-| nCAS      | 52/1     | LVCMOS33_OUT  | PR10C |           |           | DRIVE:4mA SLEW:SLOW                                        |
-| nCS       | 57/1     | LVCMOS33_OUT  | PR9B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
+| nCASout   | 52/1     | LVCMOS33_OUT  | PR10C |           |           | DRIVE:4mA SLEW:SLOW                                        |
+| nCSout    | 57/1     | LVCMOS33_OUT  | PR9B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
 | nDOE      | 20/3     | LVCMOS33_OUT  | PL9A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
 | nEN80     | 82/0     | LVCMOS33_IN   | PT15C |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
-| nRAS      | 54/1     | LVCMOS33_OUT  | PR9C  |           |           | DRIVE:4mA SLEW:SLOW                                        |
-| nRWE      | 51/1     | LVCMOS33_OUT  | PR10D |           |           | DRIVE:4mA SLEW:SLOW                                        |
+| nRASout   | 54/1     | LVCMOS33_OUT  | PR9C  |           |           | DRIVE:4mA SLEW:SLOW                                        |
+| nRWEout   | 51/1     | LVCMOS33_OUT  | PR10D |           |           | DRIVE:4mA SLEW:SLOW                                        |
 | nVOE      | 10/3     | LVCMOS33_OUT  | PL4B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
 | nWE       | 29/2     | LVCMOS33_IN   | PB6A  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
-| nWE80     | 83/0     | LVCMOS33_IN   | PT15B |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
 +-----------+----------+---------------+-------+-----------+-----------+------------------------------------------------------------+
 
 Vccio by Bank:
@@ -153,33 +152,33 @@ Vccio by Bank:
 | 47/2     |     unused, PULL:DOWN |            |               | PB18D |               |           |           |
 | 48/2     | DQML                  | LOCATED    | LVCMOS33_OUT  | PB20C | SN            |           |           |
 | 49/2     | DQMH                  | LOCATED    | LVCMOS33_OUT  | PB20D | SI/SISPI      |           |           |
-| 51/1     | nRWE                  | LOCATED    | LVCMOS33_OUT  | PR10D | DQ1           |           |           |
-| 52/1     | nCAS                  | LOCATED    | LVCMOS33_OUT  | PR10C | DQ1           |           |           |
-| 53/1     | CKE                   | LOCATED    | LVCMOS33_OUT  | PR9D  | DQ1           |           |           |
-| 54/1     | nRAS                  | LOCATED    | LVCMOS33_OUT  | PR9C  | DQ1           |           |           |
-| 57/1     | nCS                   | LOCATED    | LVCMOS33_OUT  | PR9B  | DQ1           |           |           |
+| 51/1     | nRWEout               | LOCATED    | LVCMOS33_OUT  | PR10D | DQ1           |           |           |
+| 52/1     | nCASout               | LOCATED    | LVCMOS33_OUT  | PR10C | DQ1           |           |           |
+| 53/1     | CKEout                | LOCATED    | LVCMOS33_OUT  | PR9D  | DQ1           |           |           |
+| 54/1     | nRASout               | LOCATED    | LVCMOS33_OUT  | PR9C  | DQ1           |           |           |
+| 57/1     | nCSout                | LOCATED    | LVCMOS33_OUT  | PR9B  | DQ1           |           |           |
 | 58/1     | BA[0]                 | LOCATED    | LVCMOS33_OUT  | PR9A  | DQ1           |           |           |
-| 59/1     | RA[11]                | LOCATED    | LVCMOS33_OUT  | PR8D  | DQ1           |           |           |
+| 59/1     | RAout[11]             | LOCATED    | LVCMOS33_OUT  | PR8D  | DQ1           |           |           |
 | 60/1     | BA[1]                 | LOCATED    | LVCMOS33_OUT  | PR8C  | DQ1           |           |           |
 | 61/1     |     unused, PULL:DOWN |            |               | PR8A  | DQS1          |           |           |
 | 62/1     | C14M                  | LOCATED    | LVCMOS33_IN   | PR5D  | PCLKC1_0/DQ0  |           |           |
-| 63/1     | RA[9]                 | LOCATED    | LVCMOS33_OUT  | PR5C  | PCLKT1_0/DQ0  |           |           |
-| 64/1     | RA[10]                | LOCATED    | LVCMOS33_OUT  | PR5B  | DQS0N         |           |           |
-| 65/1     | RA[8]                 | LOCATED    | LVCMOS33_OUT  | PR5A  | DQS0          |           |           |
-| 66/1     | RA[0]                 | LOCATED    | LVCMOS33_OUT  | PR4D  | DQ0           |           |           |
-| 67/1     | RA[7]                 | LOCATED    | LVCMOS33_OUT  | PR4C  | DQ0           |           |           |
-| 68/1     | RA[1]                 | LOCATED    | LVCMOS33_OUT  | PR4B  | DQ0           |           |           |
-| 69/1     | RA[6]                 | LOCATED    | LVCMOS33_OUT  | PR4A  | DQ0           |           |           |
-| 70/1     | RA[2]                 | LOCATED    | LVCMOS33_OUT  | PR3B  | DQ0           |           |           |
-| 71/1     | RA[5]                 | LOCATED    | LVCMOS33_OUT  | PR3A  | DQ0           |           |           |
-| 74/1     | RA[3]                 | LOCATED    | LVCMOS33_OUT  | PR2B  | DQ0           |           |           |
-| 75/1     | RA[4]                 | LOCATED    | LVCMOS33_OUT  | PR2A  | DQ0           |           |           |
+| 63/1     | RAout[9]              | LOCATED    | LVCMOS33_OUT  | PR5C  | PCLKT1_0/DQ0  |           |           |
+| 64/1     | RAout[10]             | LOCATED    | LVCMOS33_OUT  | PR5B  | DQS0N         |           |           |
+| 65/1     | RAout[8]              | LOCATED    | LVCMOS33_OUT  | PR5A  | DQS0          |           |           |
+| 66/1     | RAout[0]              | LOCATED    | LVCMOS33_OUT  | PR4D  | DQ0           |           |           |
+| 67/1     | RAout[7]              | LOCATED    | LVCMOS33_OUT  | PR4C  | DQ0           |           |           |
+| 68/1     | RAout[1]              | LOCATED    | LVCMOS33_OUT  | PR4B  | DQ0           |           |           |
+| 69/1     | RAout[6]              | LOCATED    | LVCMOS33_OUT  | PR4A  | DQ0           |           |           |
+| 70/1     | RAout[2]              | LOCATED    | LVCMOS33_OUT  | PR3B  | DQ0           |           |           |
+| 71/1     | RAout[5]              | LOCATED    | LVCMOS33_OUT  | PR3A  | DQ0           |           |           |
+| 74/1     | RAout[3]              | LOCATED    | LVCMOS33_OUT  | PR2B  | DQ0           |           |           |
+| 75/1     | RAout[4]              | LOCATED    | LVCMOS33_OUT  | PR2A  | DQ0           |           |           |
 | 76/0     |     unused, PULL:DOWN |            |               | PT17D | DONE          |           |           |
 | 77/0     |     unused, PULL:DOWN |            |               | PT17C | INITN         |           |           |
 | 78/0     | Ain[4]                | LOCATED    | LVCMOS33_IN   | PT16C |               |           |           |
 | 81/0     |     unused, PULL:DOWN |            |               | PT15D | PROGRAMN      |           |           |
 | 82/0     | nEN80                 | LOCATED    | LVCMOS33_IN   | PT15C | JTAGENB       |           |           |
-| 83/0     | nWE80                 | LOCATED    | LVCMOS33_IN   | PT15B |               |           |           |
+| 83/0     |     unused, PULL:DOWN |            |               | PT15B |               |           |           |
 | 84/0     | Ain[5]                | LOCATED    | LVCMOS33_IN   | PT15A |               |           |           |
 | 85/0     | PHI1                  | LOCATED    | LVCMOS33_IN   | PT12D | SDA/PCLKC0_0  |           |           |
 | 86/0     | Ain[6]                | LOCATED    | LVCMOS33_IN   | PT12C | SCL/PCLKT0_0  |           |           |
@@ -250,7 +249,7 @@ LOCATE  COMP  "Ain[7]"  SITE  "8";
 LOCATE  COMP  "BA[0]"  SITE  "58";
 LOCATE  COMP  "BA[1]"  SITE  "60";
 LOCATE  COMP  "C14M"  SITE  "62";
-LOCATE  COMP  "CKE"  SITE  "53";
+LOCATE  COMP  "CKEout"  SITE  "53";
 LOCATE  COMP  "DQMH"  SITE  "49";
 LOCATE  COMP  "DQML"  SITE  "48";
 LOCATE  COMP  "Din[0]"  SITE  "96";
@@ -271,18 +270,18 @@ LOCATE  COMP  "Dout[6]"  SITE  "31";
 LOCATE  COMP  "Dout[7]"  SITE  "32";
 LOCATE  COMP  "LED"  SITE  "35";
 LOCATE  COMP  "PHI1"  SITE  "85";
-LOCATE  COMP  "RA[0]"  SITE  "66";
-LOCATE  COMP  "RA[10]"  SITE  "64";
-LOCATE  COMP  "RA[11]"  SITE  "59";
-LOCATE  COMP  "RA[1]"  SITE  "68";
-LOCATE  COMP  "RA[2]"  SITE  "70";
-LOCATE  COMP  "RA[3]"  SITE  "74";
-LOCATE  COMP  "RA[4]"  SITE  "75";
-LOCATE  COMP  "RA[5]"  SITE  "71";
-LOCATE  COMP  "RA[6]"  SITE  "69";
-LOCATE  COMP  "RA[7]"  SITE  "67";
-LOCATE  COMP  "RA[8]"  SITE  "65";
-LOCATE  COMP  "RA[9]"  SITE  "63";
+LOCATE  COMP  "RAout[0]"  SITE  "66";
+LOCATE  COMP  "RAout[10]"  SITE  "64";
+LOCATE  COMP  "RAout[11]"  SITE  "59";
+LOCATE  COMP  "RAout[1]"  SITE  "68";
+LOCATE  COMP  "RAout[2]"  SITE  "70";
+LOCATE  COMP  "RAout[3]"  SITE  "74";
+LOCATE  COMP  "RAout[4]"  SITE  "75";
+LOCATE  COMP  "RAout[5]"  SITE  "71";
+LOCATE  COMP  "RAout[6]"  SITE  "69";
+LOCATE  COMP  "RAout[7]"  SITE  "67";
+LOCATE  COMP  "RAout[8]"  SITE  "65";
+LOCATE  COMP  "RAout[9]"  SITE  "63";
 LOCATE  COMP  "RD[0]"  SITE  "36";
 LOCATE  COMP  "RD[1]"  SITE  "37";
 LOCATE  COMP  "RD[2]"  SITE  "38";
@@ -300,15 +299,14 @@ LOCATE  COMP  "Vout[5]"  SITE  "16";
 LOCATE  COMP  "Vout[6]"  SITE  "14";
 LOCATE  COMP  "Vout[7]"  SITE  "12";
 LOCATE  COMP  "nC07X"  SITE  "34";
-LOCATE  COMP  "nCAS"  SITE  "52";
-LOCATE  COMP  "nCS"  SITE  "57";
+LOCATE  COMP  "nCASout"  SITE  "52";
+LOCATE  COMP  "nCSout"  SITE  "57";
 LOCATE  COMP  "nDOE"  SITE  "20";
 LOCATE  COMP  "nEN80"  SITE  "82";
-LOCATE  COMP  "nRAS"  SITE  "54";
-LOCATE  COMP  "nRWE"  SITE  "51";
+LOCATE  COMP  "nRASout"  SITE  "54";
+LOCATE  COMP  "nRWEout"  SITE  "51";
 LOCATE  COMP  "nVOE"  SITE  "10";
 LOCATE  COMP  "nWE"  SITE  "29";
-LOCATE  COMP  "nWE80"  SITE  "83";
 
 
 
@@ -320,7 +318,7 @@ Copyright (c) 1995 AT&T Corp.   All rights reserved.
 Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
 Copyright (c) 2001 Agere Systems   All rights reserved.
 Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
-Thu Sep 21 05:35:04 2023
+Thu Dec 28 23:10:13 2023
 
 
 
diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_par.html b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_par.html
index e56b9bc..745393f 100644
--- a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_par.html
+++ b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_par.html
@@ -12,7 +12,7 @@ Copyright (c) 1995 AT&T Corp.   All rights reserved.
 Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
 Copyright (c) 2001 Agere Systems   All rights reserved.
 Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
-Thu Sep 21 05:34:51 2023
+Thu Dec 28 23:10:01 2023
 
 C:/lscc/diamond/3.12/ispfpga\bin\nt64\par -f RAM2E_LCMXO2_1200HC_impl1.p2t
 RAM2E_LCMXO2_1200HC_impl1_map.ncd RAM2E_LCMXO2_1200HC_impl1.dir
@@ -26,7 +26,7 @@ Preference file: RAM2E_LCMXO2_1200HC_impl1.prf.
 Level/       Number       Worst        Timing       Worst        Timing       Run          NCD
 Cost [ncd]   Unrouted     Slack        Score        Slack(hold)  Score(hold)  Time         Status
 ----------   --------     -----        ------       -----------  -----------  ----         ------
-5_1   *      0            57.121       0            0.333        0            15           Completed
+5_1   *      0            58.069       0            0.342        0            15           Completed
 * : Design saved.
 
 Total (real) run time for 1-seed: 15 secs 
@@ -36,7 +36,7 @@ par done!
 Note: user must run 'Trace' for timing closure signoff.
 
 Lattice Place and Route Report for Design "RAM2E_LCMXO2_1200HC_impl1_map.ncd"
-Thu Sep 21 05:34:51 2023
+Thu Dec 28 23:10:01 2023
 
 
 Best Par Run
@@ -63,43 +63,43 @@ Ignore Preference Error(s):  True
 
 Device utilization summary:
 
-   PIO (prelim)   70+4(JTAG)/108     69% used
-                  70+4(JTAG)/80      93% bonded
-   IOLOGIC           36/108          33% used
+   PIO (prelim)   69+4(JTAG)/108     68% used
+                  69+4(JTAG)/80      91% bonded
+   IOLOGIC           29/108          26% used
 
-   SLICE            120/640          18% used
+   SLICE            148/640          23% used
 
    EFB                1/1           100% used
 
 
-Number of Signals: 395
-Number of Connections: 1126
+Number of Signals: 459
+Number of Connections: 1330
 
 Pin Constraint Summary:
-   70 out of 70 pins locked (100% locked).
+   69 out of 69 pins locked (100% locked).
 
 The following 1 signal is selected to use the primary clock routing resources:
-    C14M_c (driver: C14M, clk load #: 84)
+    C14M_c (driver: C14M, clk load #: 89)
 
 WARNING - par: Signal "C14M_c" is selected to use Primary clock resources. However, its driver comp "C14M" is located at "62", which is not a dedicated pin for connecting to Primary clock resources. General routing has to be used to route this signal, and it might suffer from excessive delay or skew.
 
 The following 1 signal is selected to use the secondary clock routing resources:
-    N_576_i (driver: SLICE_20, clk load #: 0, sr load #: 0, ce load #: 17)
+    N_187_i (driver: ram2e_ufm/SLICE_130, clk load #: 0, sr load #: 0, ce load #: 11)
 
 No signal is selected as Global Set/Reset.
 Starting Placer Phase 0.
 ........
-Finished Placer Phase 0.  REAL time: 2 secs 
+Finished Placer Phase 0.  REAL time: 3 secs 
 
 Starting Placer Phase 1.
-..................
-Placer score = 78271.
+....................
+Placer score = 82860.
 Finished Placer Phase 1.  REAL time: 8 secs 
 
 Starting Placer Phase 2.
 .
-Placer score =  77117
-Finished Placer Phase 2.  REAL time: 8 secs 
+Placer score =  82610
+Finished Placer Phase 2.  REAL time: 9 secs 
 
 
 
@@ -113,8 +113,8 @@ Global Clock Resources:
   DCC        : 0 out of 8 (0%)
 
 Global Clocks:
-  PRIMARY "C14M_c" from comp "C14M" on PIO site "62 (PR5D)", clk load = 84
-  SECONDARY "N_576_i" from F1 on comp "SLICE_20" on site "R7C12C", clk load = 0, ce load = 17, sr load = 0
+  PRIMARY "C14M_c" from comp "C14M" on PIO site "62 (PR5D)", clk load = 89
+  SECONDARY "N_187_i" from F1 on comp "ram2e_ufm/SLICE_130" on site "R7C12C", clk load = 0, ce load = 11, sr load = 0
 
   PRIMARY  : 1 out of 8 (12%)
   SECONDARY: 1 out of 8 (12%)
@@ -126,16 +126,16 @@ Edge Clocks:
 
 
 I/O Usage Summary (final):
-   70 + 4(JTAG) out of 108 (68.5%) PIO sites used.
-   70 + 4(JTAG) out of 80 (92.5%) bonded PIO sites used.
-   Number of PIO comps: 70; differential: 0.
+   69 + 4(JTAG) out of 108 (67.6%) PIO sites used.
+   69 + 4(JTAG) out of 80 (91.3%) bonded PIO sites used.
+   Number of PIO comps: 69; differential: 0.
    Number of Vref pins used: 0.
 
 I/O Bank Usage Summary:
 +----------+----------------+------------+-----------+
 | I/O Bank | Usage          | Bank Vccio | Bank Vref |
 +----------+----------------+------------+-----------+
-| 0        | 12 / 19 ( 63%) | 3.3V       | -         |
+| 0        | 11 / 19 ( 57%) | 3.3V       | -         |
 | 1        | 20 / 21 ( 95%) | 3.3V       | -         |
 | 2        | 18 / 20 ( 90%) | 3.3V       | -         |
 | 3        | 20 / 20 (100%) | 3.3V       | -         |
@@ -145,13 +145,13 @@ Total placer CPU time: 7 secs
 
 Dumping design to file RAM2E_LCMXO2_1200HC_impl1.dir/5_1.ncd.
 
-0 connections routed; 1126 unrouted.
+0 connections routed; 1330 unrouted.
 Starting router resource preassignment
 WARNING - par: The driver of primary clock net C14M_c is not placed on one of the sites dedicated for primary clocks. This primary clock will be routed to an H-spine through general routing resource and might suffer from excessive delay or skew.
 
 Completed router resource preassignment. Real time: 13 secs 
 
-Start NBR router at 05:35:04 09/21/23
+Start NBR router at 23:10:14 12/28/23
 
 *****************************************************************
 Info: NBR allows conflicts(one node used by more than one signal)
@@ -166,32 +166,32 @@ Note: NBR uses a different method to calculate timing slacks. The
       your design.                                               
 *****************************************************************
 
-Start NBR special constraint process at 05:35:05 09/21/23
+Start NBR special constraint process at 23:10:14 12/28/23
 
-Start NBR section for initial routing at 05:35:05 09/21/23
+Start NBR section for initial routing at 23:10:14 12/28/23
 Level 4, iteration 1
-13(0.02%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; 
-Estimated worst slack/total negative slack<setup>: 57.121ns/0.000ns; real time: 14 secs 
+19(0.02%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; 
+Estimated worst slack/total negative slack<setup>: 58.075ns/0.000ns; real time: 14 secs 
 
 Info: Initial congestion level at 75% usage is 0
 Info: Initial congestion area  at 75% usage is 0 (0.00%)
 
-Start NBR section for normal routing at 05:35:05 09/21/23
+Start NBR section for normal routing at 23:10:15 12/28/23
 Level 4, iteration 1
-4(0.00%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; 
-Estimated worst slack/total negative slack<setup>: 57.121ns/0.000ns; real time: 14 secs 
+3(0.00%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; 
+Estimated worst slack/total negative slack<setup>: 58.069ns/0.000ns; real time: 14 secs 
 Level 4, iteration 2
 0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; 
-Estimated worst slack/total negative slack<setup>: 57.121ns/0.000ns; real time: 14 secs 
+Estimated worst slack/total negative slack<setup>: 58.069ns/0.000ns; real time: 14 secs 
 
-Start NBR section for setup/hold timing optimization with effort level 3 at 05:35:05 09/21/23
+Start NBR section for setup/hold timing optimization with effort level 3 at 23:10:15 12/28/23
 
-Start NBR section for re-routing at 05:35:05 09/21/23
+Start NBR section for re-routing at 23:10:15 12/28/23
 Level 4, iteration 1
 0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; 
-Estimated worst slack/total negative slack<setup>: 57.121ns/0.000ns; real time: 14 secs 
+Estimated worst slack/total negative slack<setup>: 58.069ns/0.000ns; real time: 14 secs 
 
-Start NBR section for post-routing at 05:35:05 09/21/23
+Start NBR section for post-routing at 23:10:15 12/28/23
 
 End NBR router with 0 unrouted connection
 
@@ -199,17 +199,17 @@ NBR Summary
 -----------
   Number of unrouted connections : 0 (0.00%)
   Number of connections with timing violations : 0 (0.00%)
-  Estimated worst slack<setup> : 57.121ns
+  Estimated worst slack<setup> : 58.069ns
   Timing score<setup> : 0
 -----------
 Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored.
 
 
 
-Total CPU time 14 secs 
+Total CPU time 13 secs 
 Total REAL time: 15 secs 
 Completely routed.
-End of route.  1126 routed (100.00%); 0 unrouted.
+End of route.  1330 routed (100.00%); 0 unrouted.
 
 Hold time timing score: 0, hold timing errors: 0
 
@@ -223,13 +223,13 @@ All signals are completely routed.
 
 PAR_SUMMARY::Run status = Completed
 PAR_SUMMARY::Number of unrouted conns = 0
-PAR_SUMMARY::Worst  slack<setup/<ns>> = 57.121
+PAR_SUMMARY::Worst  slack<setup/<ns>> = 58.069
 PAR_SUMMARY::Timing score<setup/<ns>> = 0.000
-PAR_SUMMARY::Worst  slack<hold /<ns>> = 0.333
+PAR_SUMMARY::Worst  slack<hold /<ns>> = 0.342
 PAR_SUMMARY::Timing score<hold /<ns>> = 0.000
 PAR_SUMMARY::Number of errors = 0
 
-Total CPU  time to completion: 15 secs 
+Total CPU  time to completion: 14 secs 
 Total REAL time to completion: 15 secs 
 
 par done!
diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_scck.rpt b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_scck.rpt
index 9586c36..400c070 100644
--- a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_scck.rpt
+++ b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_scck.rpt
@@ -13,7 +13,7 @@ Hostname: ZANEMACWIN11
 
 Implementation : impl1
 
-# Written on Thu Sep 21 05:34:37 2023
+# Written on Thu Dec 28 23:09:48 2023
 
 ##### FILES SYNTAX CHECKED ##############################################
 Constraint File(s):      "\\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E.sdc"
@@ -33,7 +33,7 @@ Clock Summary
           Start      Requested     Requested     Clock        Clock                Clock
 Level     Clock      Frequency     Period        Type         Group                Load 
 ----------------------------------------------------------------------------------------
-0 -       C14M       14.3 MHz      69.841        declared     default_clkgroup     111  
+0 -       C14M       14.3 MHz      69.841        declared     default_clkgroup     122  
                                                                                         
 0 -       System     100.0 MHz     10.000        system       system_clkgroup      0    
 ========================================================================================
@@ -45,7 +45,7 @@ Clock Load Summary
            Clock     Source         Clock Pin       Non-clock Pin     Non-clock Pin     
 Clock      Load      Pin            Seq Example     Seq Example       Comb Example      
 ----------------------------------------------------------------------------------------
-C14M       111       C14M(port)     wb_rst.C        -                 un1_C14M.I[0](inv)
+C14M       122       C14M(port)     DOEEN.C         -                 un1_C14M.I[0](inv)
                                                                                         
 System     0         -              -               -                 -                 
 ========================================================================================
diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_summary.html b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_summary.html
index 03c1e47..fd74f38 100644
--- a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_summary.html
+++ b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_summary.html
@@ -62,7 +62,7 @@
 
 
 Updated:
-2023/09/21 05:35:26
+2023/12/28 23:10:34
 
 
 Implementation Location:
diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_synplify.html b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_synplify.html
index 9195ff3..1f2c0a9 100644
--- a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_synplify.html
+++ b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_synplify.html
@@ -12,7 +12,7 @@
 #OS: Windows 8 6.2
 #Hostname: ZANEMACWIN11
 
-# Thu Sep 21 05:34:34 2023
+# Thu Dec 28 23:09:45 2023
 
 #Implementation: impl1
 
@@ -57,45 +57,50 @@ Synopsys Verilog Compiler, Version comp202103synp2, Build 093R, Built Aug 10 202
 @I::"C:\lscc\diamond\3.12\synpbase\lib\vlog\umr_capim.v" (library snps_haps)
 @I::"C:\lscc\diamond\3.12\synpbase\lib\vlog\scemi_objects.v" (library snps_haps)
 @I::"C:\lscc\diamond\3.12\synpbase\lib\vlog\scemi_pipes.svh" (library snps_haps)
-@I::"\\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E-LCMXO2.v" (library work)
+@I::"\\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E.v" (library work)
+@I::"\\Mac\iCloud\Repos\RAM2E\CPLD\UFM-LCMXO2.v" (library work)
 @I::"\\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC\REFB.v" (library work)
 Verilog syntax check successful!
-
-Compiler output is up to date.  No re-compile necessary
-
 Selecting top level module RAM2E
 @N: CG364 :"C:\lscc\diamond\3.12\synpbase\lib\lucent\machxo2.v":1120:7:1120:9|Synthesizing module VHI in library work.
 Running optimization stage 1 on VHI .......
-Finished optimization stage 1 on VHI (CPU Time 0h:00m:00s, Memory Used current: 98MB peak: 99MB)
+Finished optimization stage 1 on VHI (CPU Time 0h:00m:00s, Memory Used current: 99MB peak: 99MB)
 @N: CG364 :"C:\lscc\diamond\3.12\synpbase\lib\lucent\machxo2.v":1124:7:1124:9|Synthesizing module VLO in library work.
 Running optimization stage 1 on VLO .......
-Finished optimization stage 1 on VLO (CPU Time 0h:00m:00s, Memory Used current: 98MB peak: 99MB)
+Finished optimization stage 1 on VLO (CPU Time 0h:00m:00s, Memory Used current: 99MB peak: 99MB)
 @N: CG364 :"C:\lscc\diamond\3.12\synpbase\lib\lucent\machxo2.v":1800:7:1800:9|Synthesizing module EFB in library work.
 Running optimization stage 1 on EFB .......
 Finished optimization stage 1 on EFB (CPU Time 0h:00m:00s, Memory Used current: 99MB peak: 99MB)
 @N: CG364 :"\\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC\REFB.v":8:7:8:10|Synthesizing module REFB in library work.
 Running optimization stage 1 on REFB .......
 Finished optimization stage 1 on REFB (CPU Time 0h:00m:00s, Memory Used current: 99MB peak: 99MB)
-@N: CG364 :"\\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E-LCMXO2.v":1:7:1:11|Synthesizing module RAM2E in library work.
+@N: CG364 :"\\Mac\iCloud\Repos\RAM2E\CPLD\UFM-LCMXO2.v":1:7:1:15|Synthesizing module RAM2E_UFM in library work.
+Running optimization stage 1 on RAM2E_UFM .......
+Finished optimization stage 1 on RAM2E_UFM (CPU Time 0h:00m:00s, Memory Used current: 100MB peak: 101MB)
+@N: CG364 :"\\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E.v":1:7:1:11|Synthesizing module RAM2E in library work.
 Running optimization stage 1 on RAM2E .......
 Finished optimization stage 1 on RAM2E (CPU Time 0h:00m:00s, Memory Used current: 101MB peak: 101MB)
 Running optimization stage 2 on RAM2E .......
+@N: CL159 :"\\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E.v":11:15:11:19|Input nWE80 is unused.
 Finished optimization stage 2 on RAM2E (CPU Time 0h:00m:00s, Memory Used current: 101MB peak: 102MB)
+Running optimization stage 2 on RAM2E_UFM .......
+@N: CL159 :"\\Mac\iCloud\Repos\RAM2E\CPLD\UFM-LCMXO2.v":10:7:10:11|Input Ready is unused.
+Finished optimization stage 2 on RAM2E_UFM (CPU Time 0h:00m:00s, Memory Used current: 102MB peak: 102MB)
 Running optimization stage 2 on REFB .......
-Finished optimization stage 2 on REFB (CPU Time 0h:00m:00s, Memory Used current: 101MB peak: 102MB)
+Finished optimization stage 2 on REFB (CPU Time 0h:00m:00s, Memory Used current: 102MB peak: 102MB)
 Running optimization stage 2 on EFB .......
-Finished optimization stage 2 on EFB (CPU Time 0h:00m:00s, Memory Used current: 101MB peak: 102MB)
+Finished optimization stage 2 on EFB (CPU Time 0h:00m:00s, Memory Used current: 102MB peak: 102MB)
 Running optimization stage 2 on VLO .......
-Finished optimization stage 2 on VLO (CPU Time 0h:00m:00s, Memory Used current: 101MB peak: 102MB)
+Finished optimization stage 2 on VLO (CPU Time 0h:00m:00s, Memory Used current: 102MB peak: 102MB)
 Running optimization stage 2 on VHI .......
-Finished optimization stage 2 on VHI (CPU Time 0h:00m:00s, Memory Used current: 101MB peak: 102MB)
+Finished optimization stage 2 on VHI (CPU Time 0h:00m:00s, Memory Used current: 102MB peak: 102MB)
 
-At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 97MB peak: 97MB)
+At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 102MB peak: 102MB)
 
 Process took 0h:00m:01s realtime, 0h:00m:01s cputime
 
 Process completed successfully.
-# Thu Sep 21 05:34:34 2023
+# Thu Dec 28 23:09:45 2023
 
 ###########################################################]
 ###########################################################[
@@ -122,7 +127,7 @@ At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s;
 Process took 0h:00m:01s realtime, 0h:00m:01s cputime
 
 Process completed successfully.
-# Thu Sep 21 05:34:34 2023
+# Thu Dec 28 23:09:46 2023
 
 ###########################################################]
 
@@ -132,12 +137,12 @@ For a summary of runtime and memory usage for all design units, please see file:
 
 @END
 
-At c_hdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 30MB peak: 31MB)
+At c_hdl Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 30MB peak: 31MB)
 
 Process took 0h:00m:01s realtime, 0h:00m:01s cputime
 
 Process completed successfully.
-# Thu Sep 21 05:34:34 2023
+# Thu Dec 28 23:09:46 2023
 
 ###########################################################]
 ###########################################################[
@@ -165,10 +170,10 @@ At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s;
 Process took 0h:00m:01s realtime, 0h:00m:01s cputime
 
 Process completed successfully.
-# Thu Sep 21 05:34:36 2023
+# Thu Dec 28 23:09:47 2023
 
 ###########################################################]
-# Thu Sep 21 05:34:36 2023
+# Thu Dec 28 23:09:47 2023
 
 
 Copyright (C) 1994-2021 Synopsys, Inc.
@@ -187,10 +192,10 @@ Implementation : impl1
 Synopsys Lattice Technology Pre-mapping, Version map202103lat, Build 070R, Built Oct  6 2021 11:12:38, @
 
 
-Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 128MB peak: 128MB)
+Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 127MB peak: 127MB)
 
 
-Done reading skeleton netlist (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 132MB peak: 141MB)
+Done reading skeleton netlist (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 131MB peak: 140MB)
 
 Reading constraint file: \\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E.sdc
 @L: \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC\impl1\RAM2E_LCMXO2_1200HC_impl1_scck.rpt 
@@ -199,10 +204,10 @@ See clock summary report "\\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC\impl1\RAM2
 @N: MF248 |Running in 64-bit mode.
 @N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.)
 
-Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 136MB peak: 141MB)
+Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 136MB peak: 140MB)
 
 
-Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 136MB peak: 141MB)
+Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 136MB peak: 140MB)
 
 
 Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 149MB peak: 149MB)
@@ -210,46 +215,45 @@ Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00
 
 Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 150MB peak: 151MB)
 
-@N: FX493 |Applying initial value "0" on instance PHI1reg.
-@W: FX474 |User-specified initial value defined for some sequential elements which can prevent optimum synthesis results from being achieved. 
-@N: FX493 |Applying initial value "0" on instance DOEEN.
-@N: FX493 |Applying initial value "0" on instance RWSel.
-@N: FX493 |Applying initial value "00000000" on instance RWBank[7:0].
-@N: FX493 |Applying initial value "1" on instance DQMH.
-@N: FX493 |Applying initial value "0" on instance Ready.
 @N: FX493 |Applying initial value "0" on instance CmdBitbangMXO2.
+@W: FX474 |User-specified initial value defined for some sequential elements which can prevent optimum synthesis results from being achieved. 
 @N: FX493 |Applying initial value "0" on instance CmdExecMXO2.
+@N: FX493 |Applying initial value "0" on instance PHI1r.
+@N: FX493 |Applying initial value "0" on instance RWSel.
+@N: FX493 |Applying initial value "0" on instance Ready.
+@N: FX493 |Applying initial value "00000000" on instance RWBank[7:0].
 @N: FX493 |Applying initial value "0" on instance CmdLEDGet.
 @N: FX493 |Applying initial value "0" on instance CmdLEDSet.
 @N: FX493 |Applying initial value "0" on instance CmdRWMaskSet.
 @N: FX493 |Applying initial value "0" on instance CmdSetRWBankFFLED.
-@N: FX493 |Applying initial value "0" on instance CmdSetRWBankFFMXO2.
-@A: FX681 :"\\mac\icloud\repos\ram2e\cpld\ram2e-lcmxo2.v":375:4:375:9|Initial value on register nRWE is non-zero which can prevent the register from being packed into a block RAM or DSP.
-@N: FX493 |Applying initial value "1" on instance nRWE.
-@N: FX493 |Applying initial value "0" on instance LEDEN.
-@N: FX493 |Applying initial value "00000000" on instance RWMask[7:0].
-@A: FX681 :"\\mac\icloud\repos\ram2e\cpld\ram2e-lcmxo2.v":102:4:102:9|Initial value on register S[3:0] is non-zero which can prevent the register from being packed into a block RAM or DSP.
-@N: FX493 |Applying initial value "0000" on instance S[3:0].
+@A: FX681 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":163:4:163:9|Initial value on register DQMH is non-zero which can prevent the register from being packed into a block RAM or DSP.
+@N: FX493 |Applying initial value "1" on instance DQMH.
+@A: FX681 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":163:4:163:9|Initial value on register DQML is non-zero which can prevent the register from being packed into a block RAM or DSP.
 @N: FX493 |Applying initial value "1" on instance DQML.
-@N: FX493 |Applying initial value "0" on instance CKE.
-@N: FX493 |Applying initial value "1" on instance nCS.
-@N: FX493 |Applying initial value "1" on instance nRAS.
+@A: FX681 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":21:4:21:9|Initial value on register S[3:0] is non-zero which can prevent the register from being packed into a block RAM or DSP.
+@N: FX493 |Applying initial value "0000" on instance S[3:0].
+@N: FX493 |Applying initial value "1" on instance CKE.
+@N: FX493 |Applying initial value "1" on instance nRWE.
+@N: FX493 |Applying initial value "1" on instance nRWEout.
 @N: FX493 |Applying initial value "1" on instance nCAS.
+@N: FX493 |Applying initial value "1" on instance nCASout.
+@N: FX493 |Applying initial value "1" on instance nRAS.
+@N: FX493 |Applying initial value "1" on instance nRASout.
 
-Starting clock optimization phase (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 181MB)
+Starting clock optimization phase (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 181MB)
 
 
-Finished clock optimization phase (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 181MB)
+Finished clock optimization phase (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 181MB)
 
 
-Starting clock optimization report phase (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 182MB)
+Starting clock optimization report phase (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 181MB)
 
 
-Finished clock optimization report phase (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 182MB)
+Finished clock optimization report phase (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 181MB)
 
 @N: FX1184 |Applying syn_allowed_resources blockrams=7 on top level netlist RAM2E 
 
-Finished netlist restructuring (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 181MB peak: 182MB)
+Finished netlist restructuring (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 182MB)
 
 
 
@@ -259,7 +263,7 @@ Clock Summary
           Start      Requested     Requested     Clock        Clock                Clock
 Level     Clock      Frequency     Period        Type         Group                Load 
 ----------------------------------------------------------------------------------------
-0 -       C14M       14.3 MHz      69.841        declared     default_clkgroup     111  
+0 -       C14M       14.3 MHz      69.841        declared     default_clkgroup     122  
                                                                                         
 0 -       System     100.0 MHz     10.000        system       system_clkgroup      0    
 ========================================================================================
@@ -272,7 +276,7 @@ Clock Load Summary
            Clock     Source         Clock Pin       Non-clock Pin     Non-clock Pin     
 Clock      Load      Pin            Seq Example     Seq Example       Comb Example      
 ----------------------------------------------------------------------------------------
-C14M       111       C14M(port)     wb_rst.C        -                 un1_C14M.I[0](inv)
+C14M       122       C14M(port)     DOEEN.C         -                 un1_C14M.I[0](inv)
                                                                                         
 System     0         -              -               -                 -                 
 ========================================================================================
@@ -289,14 +293,14 @@ For details review file gcc_ICG_report.rpt
 
 #### START OF PREMAP CLOCK OPTIMIZATION REPORT #####[
 
-1 non-gated/non-generated clock tree(s) driving 111 clock pin(s) of sequential element(s)
+1 non-gated/non-generated clock tree(s) driving 122 clock pin(s) of sequential element(s)
 0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s)
 0 instances converted, 0 sequential instances remain driven by gated/generated clocks
 
 =========================== Non-Gated/Non-Generated Clocks ============================
 Clock Tree ID     Driving Element     Drive Element Type     Fanout     Sample Instance
 ---------------------------------------------------------------------------------------
-@KP:ckid0_0       C14M                port                   111        nCAS           
+@KP:ckid0_0       C14M                port                   122        nRAS           
 =======================================================================================
 
 
@@ -317,11 +321,11 @@ Pre-mapping successful!
 
 At Mapper Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 99MB peak: 185MB)
 
-Process took 0h:00m:02s realtime, 0h:00m:01s cputime
-# Thu Sep 21 05:34:38 2023
+Process took 0h:00m:01s realtime, 0h:00m:01s cputime
+# Thu Dec 28 23:09:49 2023
 
 ###########################################################]
-# Thu Sep 21 05:34:38 2023
+# Thu Dec 28 23:09:49 2023
 
 
 Copyright (C) 1994-2021 Synopsys, Inc.
@@ -340,97 +344,97 @@ Implementation : impl1
 Synopsys Lattice Technology Mapper, Version map202103lat, Build 070R, Built Oct  6 2021 11:12:38, @
 
 
-Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 128MB peak: 128MB)
+Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 127MB peak: 127MB)
 
 @N: MF916 |Option synthesis_strategy=base is enabled. 
 @N: MF248 |Running in 64-bit mode.
 @N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.)
 
-Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 129MB peak: 140MB)
+Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 128MB peak: 139MB)
 
 
-Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 129MB peak: 140MB)
+Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 128MB peak: 139MB)
 
 
 Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 143MB peak: 143MB)
 
 
-Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 147MB)
+Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 144MB peak: 146MB)
 
 
 
-Starting Optimization and Mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 179MB peak: 179MB)
+Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 178MB peak: 178MB)
 
-@W: FA239 :"\\mac\icloud\repos\ram2e\cpld\ram2e-lcmxo2.v":376:8:376:9|ROM DOEEN_2 (in view: work.RAM2E(verilog)) mapped in logic. To map to a technology ROM, apply attribute syn_romstyle on this instance.
-@N: MO106 :"\\mac\icloud\repos\ram2e\cpld\ram2e-lcmxo2.v":376:8:376:9|Found ROM DOEEN_2 (in view: work.RAM2E(verilog)) with 16 words by 1 bit.
 
-Finished RTL optimizations (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 183MB peak: 183MB)
+Finished RTL optimizations (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 183MB peak: 183MB)
 
-@N: MO231 :"\\mac\icloud\repos\ram2e\cpld\ram2e-lcmxo2.v":102:4:102:9|Found counter in view:work.RAM2E(verilog) instance FS[15:0] 
+@N: MO231 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":14:23:14:28|Found counter in view:work.RAM2E(verilog) instance FS[15:0] 
 @W: FX474 |User-specified initial value defined for some sequential elements which can prevent optimum synthesis results from being achieved. 
 
 Starting factoring (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 186MB peak: 186MB)
 
 
-Finished factoring (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 188MB peak: 188MB)
+Finished factoring (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 190MB peak: 190MB)
 
 
 Available hyper_sources - for debug and ip models
 	None Found
 
 
-Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:01s; Memory used current: 189MB peak: 189MB)
+Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 190MB peak: 190MB)
 
 
-Starting Early Timing Optimization (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:01s; Memory used current: 189MB peak: 189MB)
+Starting Early Timing Optimization (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 190MB peak: 190MB)
 
 
-Finished Early Timing Optimization (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:01s; Memory used current: 189MB peak: 189MB)
+Finished Early Timing Optimization (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 191MB peak: 191MB)
 
 
-Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:01s; Memory used current: 189MB peak: 189MB)
+Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 191MB peak: 191MB)
 
 
-Finished preparing to map (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:01s; Memory used current: 189MB peak: 189MB)
+Finished preparing to map (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:01s; Memory used current: 191MB peak: 191MB)
 
 
-Finished technology mapping (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 205MB peak: 205MB)
+Finished technology mapping (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 211MB peak: 211MB)
 
 Pass		 CPU time		Worst Slack		Luts / Registers
 ------------------------------------------------------------
-   1		0h:00m:02s		    29.35ns		 222 /       111
+   1		0h:00m:02s		    33.71ns		 284 /       122
 
-Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 205MB peak: 205MB)
+Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 211MB peak: 211MB)
 
 @N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute.  
+@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":163:4:163:9|Boundary register BA_1_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell. 
+@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":163:4:163:9|Boundary register BA_0_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell. 
 
-Finished restoring hierarchy (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 206MB peak: 206MB)
+Finished restoring hierarchy (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 212MB peak: 212MB)
 
 
-Start Writing Netlists (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 168MB peak: 206MB)
+Start Writing Netlists (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 174MB peak: 212MB)
 
 Writing Analyst data base \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC\impl1\synwork\RAM2E_LCMXO2_1200HC_impl1_m.srm
 
-Finished Writing Netlist Databases (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:02s; Memory used current: 206MB peak: 206MB)
+Finished Writing Netlist Databases (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 212MB peak: 212MB)
 
 Writing EDIF Netlist and constraint files
 @N: FX1056 |Writing EDF file: \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-1200HC\impl1\RAM2E_LCMXO2_1200HC_impl1.edi
 @N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF 
 
-Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:03s; Memory used current: 211MB peak: 211MB)
+Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:03s; Memory used current: 217MB peak: 217MB)
 
 
-Finished Writing Netlists (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:03s; Memory used current: 211MB peak: 211MB)
+Finished Writing Netlists (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:03s; Memory used current: 217MB peak: 217MB)
 
 
-Start final timing analysis (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:04s; Memory used current: 209MB peak: 211MB)
+Start final timing analysis (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:04s; Memory used current: 215MB peak: 217MB)
 
 @W: MT246 :"\\mac\icloud\repos\ram2e\cpld\lcmxo2-1200hc\refb.v":78:8:78:16|Blackbox EFB is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results)
 @N: MT615 |Found clock C14M with period 69.84ns 
 
 
 ##### START OF TIMING REPORT #####[
-# Timing report written on Thu Sep 21 05:34:44 2023
+# Timing report written on Thu Dec 28 23:09:54 2023
 #
 
 
@@ -450,12 +454,12 @@ Performance Summary
 *******************
 
 
-Worst slack in design: 31.782
+Worst slack in design: 33.707
 
                    Requested     Estimated     Requested     Estimated                Clock        Clock           
 Starting Clock     Frequency     Frequency     Period        Period        Slack      Type         Group           
 -------------------------------------------------------------------------------------------------------------------
-C14M               14.3 MHz      131.4 MHz     69.841        7.610         31.782     declared     default_clkgroup
+C14M               14.3 MHz      128.0 MHz     69.841        7.813         33.707     declared     default_clkgroup
 System             100.0 MHz     NA            10.000        NA            67.088     system       system_clkgroup 
 ===================================================================================================================
 Estimated period and frequency reported as NA means no slack depends directly on the clock waveform
@@ -473,7 +477,7 @@ Starting  Ending  |  constraint  slack   |  constraint  slack  |  constraint  sl
 ----------------------------------------------------------------------------------------------------------
 System    C14M    |  69.841      67.088  |  No paths    -      |  No paths    -       |  No paths    -    
 C14M      System  |  69.841      68.797  |  No paths    -      |  No paths    -       |  No paths    -    
-C14M      C14M    |  69.841      62.231  |  No paths    -      |  34.920      31.782  |  No paths    -    
+C14M      C14M    |  69.841      62.028  |  No paths    -      |  34.920      33.707  |  No paths    -    
 ==========================================================================================================
  Note: 'No paths' indicates there are no paths in the design for that pair of clock edges.
        'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups.
@@ -496,41 +500,41 @@ Detailed Report for Clock: C14M
 Starting Points with Worst Slack
 ********************************
 
-             Starting                                     Arrival           
-Instance     Reference     Type        Pin     Net        Time        Slack 
-             Clock                                                          
-----------------------------------------------------------------------------
-S[2]         C14M          FD1S3AX     Q       S[2]       1.350       31.782
-S[3]         C14M          FD1S3AX     Q       S[3]       1.350       31.782
-S[0]         C14M          FD1S3AX     Q       S[0]       1.312       31.820
-S[1]         C14M          FD1S3AX     Q       S[1]       1.280       31.852
-FS[9]        C14M          FD1S3AX     Q       FS[9]      1.284       62.425
-FS[11]       C14M          FD1S3AX     Q       FS[11]     1.276       62.433
-FS[8]        C14M          FD1S3AX     Q       FS[8]      1.260       62.449
-FS[12]       C14M          FD1S3AX     Q       FS[12]     1.288       62.525
-FS[10]       C14M          FD1S3AX     Q       FS[10]     1.280       62.533
-RWSel        C14M          FD1P3AX     Q       RWSel      1.276       63.482
-============================================================================
+             Starting                                    Arrival           
+Instance     Reference     Type        Pin     Net       Time        Slack 
+             Clock                                                         
+---------------------------------------------------------------------------
+RA[0]        C14M          FD1P3AX     Q       RA[0]     1.108       33.707
+RA[3]        C14M          FD1P3AX     Q       RA[3]     1.108       33.707
+RA[1]        C14M          FD1P3AX     Q       RA[1]     1.044       33.771
+RA[2]        C14M          FD1P3AX     Q       RA[2]     1.044       33.771
+RA[4]        C14M          FD1P3AX     Q       RA[4]     1.044       33.771
+RA[5]        C14M          FD1P3AX     Q       RA[5]     1.044       33.771
+RA[6]        C14M          FD1P3AX     Q       RA[6]     1.044       33.771
+RA[7]        C14M          FD1P3AX     Q       RA[7]     1.044       33.771
+RA[8]        C14M          FD1P3AX     Q       RA[8]     1.044       33.771
+RA[9]        C14M          FD1P3AX     Q       RA[9]     1.044       33.771
+===========================================================================
 
 
 Ending Points with Worst Slack
 ******************************
 
-                Starting                                       Required           
-Instance        Reference     Type         Pin     Net         Time         Slack 
-                Clock                                                             
-----------------------------------------------------------------------------------
-Dout_0io[0]     C14M          OFS1P3DX     SP      N_576_i     34.449       31.782
-Dout_0io[1]     C14M          OFS1P3DX     SP      N_576_i     34.449       31.782
-Dout_0io[2]     C14M          OFS1P3DX     SP      N_576_i     34.449       31.782
-Dout_0io[3]     C14M          OFS1P3DX     SP      N_576_i     34.449       31.782
-Dout_0io[4]     C14M          OFS1P3DX     SP      N_576_i     34.449       31.782
-Dout_0io[5]     C14M          OFS1P3DX     SP      N_576_i     34.449       31.782
-Dout_0io[6]     C14M          OFS1P3DX     SP      N_576_i     34.449       31.782
-Dout_0io[7]     C14M          OFS1P3DX     SP      N_576_i     34.449       31.782
-Vout_0io[0]     C14M          OFS1P3DX     SP      Vout3       34.449       31.826
-Vout_0io[1]     C14M          OFS1P3DX     SP      Vout3       34.449       31.826
-==================================================================================
+                 Starting                                     Required           
+Instance         Reference     Type         Pin     Net       Time         Slack 
+                 Clock                                                           
+---------------------------------------------------------------------------------
+RAout_0io[0]     C14M          OFS1P3DX     D       RA[0]     34.815       33.707
+RAout_0io[3]     C14M          OFS1P3DX     D       RA[3]     34.815       33.707
+RAout_0io[1]     C14M          OFS1P3DX     D       RA[1]     34.815       33.771
+RAout_0io[2]     C14M          OFS1P3DX     D       RA[2]     34.815       33.771
+RAout_0io[4]     C14M          OFS1P3DX     D       RA[4]     34.815       33.771
+RAout_0io[5]     C14M          OFS1P3DX     D       RA[5]     34.815       33.771
+RAout_0io[6]     C14M          OFS1P3DX     D       RA[6]     34.815       33.771
+RAout_0io[7]     C14M          OFS1P3DX     D       RA[7]     34.815       33.771
+RAout_0io[8]     C14M          OFS1P3DX     D       RA[8]     34.815       33.771
+RAout_0io[9]     C14M          OFS1P3DX     D       RA[9]     34.815       33.771
+=================================================================================
 
 
 
@@ -540,30 +544,27 @@ Worst Path Information
 
 Path information for path number 1: 
       Requested Period:                      34.920
-    - Setup time:                            0.472
+    - Setup time:                            0.106
     + Clock delay at ending point:           0.000 (ideal)
-    = Required time:                         34.449
+    = Required time:                         34.815
 
-    - Propagation time:                      2.667
+    - Propagation time:                      1.108
     - Clock delay at starting point:         0.000 (ideal)
-    = Slack (critical) :                     31.782
+    = Slack (critical) :                     33.707
 
-    Number of logic level(s):                1
-    Starting point:                          S[2] / Q
-    Ending point:                            Dout_0io[0] / SP
+    Number of logic level(s):                0
+    Starting point:                          RA[0] / Q
+    Ending point:                            RAout_0io[0] / D
     The start point is clocked by            C14M [rising] (rise=0.000 fall=34.920 period=69.841) on pin CK
     The end   point is clocked by            C14M [falling] (rise=0.000 fall=34.920 period=69.841) on pin SCLK
 
-Instance / Net                   Pin      Pin               Arrival     No. of    
-Name                Type         Name     Dir     Delay     Time        Fan Out(s)
-----------------------------------------------------------------------------------
-S[2]                FD1S3AX      Q        Out     1.350     1.350 r     -         
-S[2]                Net          -        -       -         -           48        
-S_RNII9DO1_2[1]     ORCALUT4     B        In      0.000     1.350 r     -         
-S_RNII9DO1_2[1]     ORCALUT4     Z        Out     1.317     2.667 r     -         
-N_576_i             Net          -        -       -         -           18        
-Dout_0io[0]         OFS1P3DX     SP       In      0.000     2.667 r     -         
-==================================================================================
+Instance / Net                  Pin      Pin               Arrival     No. of    
+Name               Type         Name     Dir     Delay     Time        Fan Out(s)
+---------------------------------------------------------------------------------
+RA[0]              FD1P3AX      Q        Out     1.108     1.108 r     -         
+RA[0]              Net          -        -       -         -           3         
+RAout_0io[0]       OFS1P3DX     D        In      0.000     1.108 r     -         
+=================================================================================
 
 
 
@@ -577,40 +578,40 @@ Detailed Report for Clock: System
 Starting Points with Worst Slack
 ********************************
 
-                     Starting                                          Arrival           
-Instance             Reference     Type     Pin         Net            Time        Slack 
-                     Clock                                                               
------------------------------------------------------------------------------------------
-ufmefb.EFBInst_0     System        EFB      WBACKO      wb_ack         0.000       67.088
-ufmefb.EFBInst_0     System        EFB      WBDATO0     wb_dato[0]     0.000       69.313
-ufmefb.EFBInst_0     System        EFB      WBDATO1     wb_dato[1]     0.000       69.313
-ufmefb.EFBInst_0     System        EFB      WBDATO2     wb_dato[2]     0.000       69.313
-ufmefb.EFBInst_0     System        EFB      WBDATO3     wb_dato[3]     0.000       69.313
-ufmefb.EFBInst_0     System        EFB      WBDATO4     wb_dato[4]     0.000       69.313
-ufmefb.EFBInst_0     System        EFB      WBDATO5     wb_dato[5]     0.000       69.313
-ufmefb.EFBInst_0     System        EFB      WBDATO6     wb_dato[6]     0.000       69.313
-ufmefb.EFBInst_0     System        EFB      WBDATO7     wb_dato[7]     0.000       69.313
-=========================================================================================
+                               Starting                                          Arrival           
+Instance                       Reference     Type     Pin         Net            Time        Slack 
+                               Clock                                                               
+---------------------------------------------------------------------------------------------------
+ram2e_ufm.ufmefb.EFBInst_0     System        EFB      WBACKO      wb_ack         0.000       67.088
+ram2e_ufm.ufmefb.EFBInst_0     System        EFB      WBDATO0     wb_dato[0]     0.000       69.313
+ram2e_ufm.ufmefb.EFBInst_0     System        EFB      WBDATO1     wb_dato[1]     0.000       69.313
+ram2e_ufm.ufmefb.EFBInst_0     System        EFB      WBDATO2     wb_dato[2]     0.000       69.313
+ram2e_ufm.ufmefb.EFBInst_0     System        EFB      WBDATO3     wb_dato[3]     0.000       69.313
+ram2e_ufm.ufmefb.EFBInst_0     System        EFB      WBDATO4     wb_dato[4]     0.000       69.313
+ram2e_ufm.ufmefb.EFBInst_0     System        EFB      WBDATO5     wb_dato[5]     0.000       69.313
+ram2e_ufm.ufmefb.EFBInst_0     System        EFB      WBDATO6     wb_dato[6]     0.000       69.313
+ram2e_ufm.ufmefb.EFBInst_0     System        EFB      WBDATO7     wb_dato[7]     0.000       69.313
+===================================================================================================
 
 
 Ending Points with Worst Slack
 ******************************
 
-               Starting                                                          Required           
-Instance       Reference     Type        Pin     Net                             Time         Slack 
-               Clock                                                                                
-----------------------------------------------------------------------------------------------------
-RWMask[0]      System        FD1P3AX     SP      N_88                            69.369       67.088
-RWMask[1]      System        FD1P3AX     SP      N_88                            69.369       67.088
-RWMask[2]      System        FD1P3AX     SP      N_88                            69.369       67.088
-RWMask[3]      System        FD1P3AX     SP      N_88                            69.369       67.088
-RWMask[4]      System        FD1P3AX     SP      N_88                            69.369       67.088
-RWMask[5]      System        FD1P3AX     SP      N_88                            69.369       67.088
-RWMask[6]      System        FD1P3AX     SP      N_88                            69.369       67.088
-RWMask[7]      System        FD1P3AX     SP      N_88                            69.369       67.088
-LEDEN          System        FD1P3AX     SP      un1_LEDEN_0_sqmuxa_1_i_0[0]     69.369       67.736
-wb_cyc_stb     System        FD1P3AX     SP      N_104                           69.369       67.736
-====================================================================================================
+                         Starting                                                                  Required           
+Instance                 Reference     Type        Pin     Net                                     Time         Slack 
+                         Clock                                                                                        
+----------------------------------------------------------------------------------------------------------------------
+ram2e_ufm.RWMask[0]      System        FD1P3AX     SP      un1_RWMask_0_sqmuxa_1_i_0_0[0]          69.369       67.088
+ram2e_ufm.RWMask[1]      System        FD1P3AX     SP      un1_RWMask_0_sqmuxa_1_i_0_0[0]          69.369       67.088
+ram2e_ufm.RWMask[2]      System        FD1P3AX     SP      un1_RWMask_0_sqmuxa_1_i_0_0[0]          69.369       67.088
+ram2e_ufm.RWMask[3]      System        FD1P3AX     SP      un1_RWMask_0_sqmuxa_1_i_0_0[0]          69.369       67.088
+ram2e_ufm.RWMask[4]      System        FD1P3AX     SP      un1_RWMask_0_sqmuxa_1_i_0_0[0]          69.369       67.088
+ram2e_ufm.RWMask[5]      System        FD1P3AX     SP      un1_RWMask_0_sqmuxa_1_i_0_0[0]          69.369       67.088
+ram2e_ufm.RWMask[6]      System        FD1P3AX     SP      un1_RWMask_0_sqmuxa_1_i_0_0[0]          69.369       67.088
+ram2e_ufm.RWMask[7]      System        FD1P3AX     SP      un1_RWMask_0_sqmuxa_1_i_0_0[0]          69.369       67.088
+ram2e_ufm.LEDEN          System        FD1P3AX     SP      un1_LEDEN_0_sqmuxa_1_i_0_0[0]           69.369       67.736
+ram2e_ufm.wb_cyc_stb     System        FD1P3AX     SP      un1_CmdSetRWBankFFChip13_1_i_0_0[0]     69.369       67.736
+======================================================================================================================
 
 
 
@@ -630,24 +631,24 @@ Path information for path number 1:
     = Slack (non-critical) :                 67.088
 
     Number of logic level(s):                2
-    Starting point:                          ufmefb.EFBInst_0 / WBACKO
-    Ending point:                            RWMask[0] / SP
+    Starting point:                          ram2e_ufm.ufmefb.EFBInst_0 / WBACKO
+    Ending point:                            ram2e_ufm.RWMask[0] / SP
     The start point is clocked by            System [rising]
     The end   point is clocked by            C14M [rising] (rise=0.000 fall=34.920 period=69.841) on pin CK
 
-Instance / Net                                     Pin        Pin               Arrival     No. of    
-Name                                  Type         Name       Dir     Delay     Time        Fan Out(s)
-------------------------------------------------------------------------------------------------------
-ufmefb.EFBInst_0                      EFB          WBACKO     Out     0.000     0.000 r     -         
-wb_ack                                Net          -          -       -         -           5         
-un1_RWMask_0_sqmuxa_1_i_0_RNO[0]      ORCALUT4     A          In      0.000     0.000 r     -         
-un1_RWMask_0_sqmuxa_1_i_0_RNO[0]      ORCALUT4     Z          Out     1.017     1.017 r     -         
-un1_RWMask_0_sqmuxa_1_i_a2_0_1[0]     Net          -          -       -         -           1         
-un1_RWMask_0_sqmuxa_1_i_0[0]          ORCALUT4     D          In      0.000     1.017 r     -         
-un1_RWMask_0_sqmuxa_1_i_0[0]          ORCALUT4     Z          Out     1.265     2.282 r     -         
-N_88                                  Net          -          -       -         -           8         
-RWMask[0]                             FD1P3AX      SP         In      0.000     2.282 r     -         
-======================================================================================================
+Instance / Net                                                 Pin        Pin               Arrival     No. of    
+Name                                              Type         Name       Dir     Delay     Time        Fan Out(s)
+------------------------------------------------------------------------------------------------------------------
+ram2e_ufm.ufmefb.EFBInst_0                        EFB          WBACKO     Out     0.000     0.000 r     -         
+wb_ack                                            Net          -          -       -         -           5         
+ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0_a3_0_0[0]     ORCALUT4     B          In      0.000     0.000 r     -         
+ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0_a3_0_0[0]     ORCALUT4     Z          Out     1.017     1.017 r     -         
+un1_RWMask_0_sqmuxa_1_i_0_a3_0_0[0]               Net          -          -       -         -           1         
+ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0_0[0]          ORCALUT4     D          In      0.000     1.017 r     -         
+ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0_0[0]          ORCALUT4     Z          Out     1.265     2.282 r     -         
+un1_RWMask_0_sqmuxa_1_i_0_0[0]                    Net          -          -       -         -           8         
+ram2e_ufm.RWMask[0]                               FD1P3AX      SP         In      0.000     2.282 r     -         
+==================================================================================================================
 
 
 
@@ -655,46 +656,48 @@ RWMask[0]                             FD1P3AX      SP         In      0.000
 
 Timing exceptions that could not be applied
 
-Finished final timing analysis (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:04s; Memory used current: 210MB peak: 211MB)
+Finished final timing analysis (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:04s; Memory used current: 216MB peak: 217MB)
 
 
-Finished timing report (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:04s; Memory used current: 210MB peak: 211MB)
+Finished timing report (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:04s; Memory used current: 216MB peak: 217MB)
 
 ---------------------------------------
 Resource Usage Report
 Part: lcmxo2_1200hc-4
 
-Register bits: 111 of 1280 (9%)
+Register bits: 122 of 1280 (10%)
 PIC Latch:       0
-I/O cells:       70
+I/O cells:       69
 
 
 Details:
 BB:             8
 CCU2D:          9
 EFB:            1
-FD1P3AX:        48
+FD1P3AX:        61
 FD1P3IX:        1
-FD1S3AX:        22
-FD1S3IX:        4
+FD1S3AX:        21
+FD1S3AY:        4
+FD1S3IX:        6
 GSR:            1
-IB:             22
+IB:             21
 IFS1P3DX:       1
 INV:            1
 OB:             40
-OFS1P3BX:       6
-OFS1P3DX:       27
+OFS1P3BX:       5
+OFS1P3DX:       21
 OFS1P3IX:       2
-ORCALUT4:       221
+ORCALUT4:       277
+PFUMX:          3
 PUR:            1
-VHI:            2
-VLO:            2
+VHI:            3
+VLO:            3
 Mapper successful!
 
-At Mapper Exit (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:04s; Memory used current: 78MB peak: 211MB)
+At Mapper Exit (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:04s; Memory used current: 79MB peak: 217MB)
 
-Process took 0h:00m:06s realtime, 0h:00m:04s cputime
-# Thu Sep 21 05:34:44 2023
+Process took 0h:00m:04s realtime, 0h:00m:04s cputime
+# Thu Dec 28 23:09:54 2023
 
 ###########################################################]
 
diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_tw1.html b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_tw1.html
index 8c77acf..d1f1a08 100644
--- a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_tw1.html
+++ b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_tw1.html
@@ -22,7 +22,7 @@ Setup and Hold Report
 
 --------------------------------------------------------------------------------
 Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.1.454
-Thu Sep 21 05:34:48 2023
+Thu Dec 28 23:09:59 2023
 
 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
 Copyright (c) 1995 AT&T Corp.   All rights reserved.
@@ -41,8 +41,8 @@ Report level:    verbose report, limited to 1 item per preference
 
 Preference Summary
 
-
  • FREQUENCY PORT "C14M" 14.300000 MHz (0 errors)
  • 1491 items scored, 0 timing errors detected. -Report: 87.268MHz is the maximum frequency for this preference. +
  • FREQUENCY PORT "C14M" 14.300000 MHz (0 errors)
  • 1611 items scored, 0 timing errors detected. +Report: 90.967MHz is the maximum frequency for this preference. BLOCK ASYNCPATHS BLOCK RESETPATHS @@ -52,48 +52,48 @@ BLOCK RESETPATHS ================================================================================ Preference: FREQUENCY PORT "C14M" 14.300000 MHz ; - 1491 items scored, 0 timing errors detected. + 1611 items scored, 0 timing errors detected. -------------------------------------------------------------------------------- -Passed: The following path meets requirements by 58.471ns +Passed: The following path meets requirements by 58.937ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q FS[11] (from C14M_c +) - Destination: FF Data in nRWE_0io (to C14M_c +) + Source: FF Q S[2] (from C14M_c +) + Destination: FF Data in ram2e_ufm/wb_adr[0] (to C14M_c +) - Delay: 11.306ns (30.3% logic, 69.7% route), 7 logic levels. + Delay: 10.827ns (31.6% logic, 68.4% route), 7 logic levels. Constraint Details: - 11.306ns physical path delay SLICE_3 to nRWE_MGIOL meets + 10.827ns physical path delay SLICE_34 to ram2e_ufm/SLICE_47 meets 69.930ns delay constraint less - 0.153ns DO_SET requirement (totaling 69.777ns) by 58.471ns + 0.166ns DIN_SET requirement (totaling 69.764ns) by 58.937ns Physical Path Details: - Data path SLICE_3 to nRWE_MGIOL: + Data path SLICE_34 to ram2e_ufm/SLICE_47: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 SLICE_3.CLK to SLICE_3.Q0 SLICE_3 (from C14M_c) -ROUTE 19 e 1.234 SLICE_3.Q0 to SLICE_64.A1 FS[11] -CTOF_DEL --- 0.495 SLICE_64.A1 to SLICE_64.F1 SLICE_64 -ROUTE 4 e 1.234 SLICE_64.F1 to SLICE_97.D0 N_577 -CTOF_DEL --- 0.495 SLICE_97.D0 to SLICE_97.F0 SLICE_97 -ROUTE 3 e 1.234 SLICE_97.F0 to SLICE_75.D1 N_489 -CTOF_DEL --- 0.495 SLICE_75.D1 to SLICE_75.F1 SLICE_75 -ROUTE 3 e 0.480 SLICE_75.F1 to SLICE_75.A0 N_628 -CTOF_DEL --- 0.495 SLICE_75.A0 to SLICE_75.F0 SLICE_75 -ROUTE 2 e 1.234 SLICE_75.F0 to SLICE_71.C1 N_640 -CTOF_DEL --- 0.495 SLICE_71.C1 to SLICE_71.F1 SLICE_71 -ROUTE 1 e 1.234 SLICE_71.F1 to SLICE_115.A0 un1_nCS61_1_i -CTOF_DEL --- 0.495 SLICE_115.A0 to SLICE_115.F0 SLICE_115 -ROUTE 1 e 1.234 SLICE_115.F0 to *WE_MGIOL.OPOS nRWE_r_0 (to C14M_c) +REG_DEL --- 0.452 SLICE_34.CLK to SLICE_34.Q0 SLICE_34 (from C14M_c) +ROUTE 50 e 1.234 SLICE_34.Q0 to SLICE_35.A0 S[2] +CTOF_DEL --- 0.495 SLICE_35.A0 to SLICE_35.F0 SLICE_35 +ROUTE 7 e 1.234 SLICE_35.F0 to *m/SLICE_80.D1 N_551 +CTOF_DEL --- 0.495 *m/SLICE_80.D1 to *m/SLICE_80.F1 ram2e_ufm/SLICE_80 +ROUTE 8 e 1.234 *m/SLICE_80.F1 to *m/SLICE_98.B1 ram2e_ufm/N_777 +CTOF_DEL --- 0.495 *m/SLICE_98.B1 to *m/SLICE_98.F1 ram2e_ufm/SLICE_98 +ROUTE 5 e 1.234 *m/SLICE_98.F1 to *m/SLICE_99.C0 ram2e_ufm/N_781 +CTOF_DEL --- 0.495 *m/SLICE_99.C0 to *m/SLICE_99.F0 ram2e_ufm/SLICE_99 +ROUTE 1 e 1.234 *m/SLICE_99.F0 to *m/SLICE_86.C0 ram2e_ufm/wb_adr_7_i_i_1[0] +CTOF_DEL --- 0.495 *m/SLICE_86.C0 to *m/SLICE_86.F0 ram2e_ufm/SLICE_86 +ROUTE 1 e 1.234 *m/SLICE_86.F0 to *m/SLICE_47.C0 ram2e_ufm/wb_adr_7_i_i_4[0] +CTOF_DEL --- 0.495 *m/SLICE_47.C0 to *m/SLICE_47.F0 ram2e_ufm/SLICE_47 +ROUTE 1 e 0.001 *m/SLICE_47.F0 to */SLICE_47.DI0 ram2e_ufm/wb_adr_7_i_i[0] (to C14M_c) -------- - 11.306 (30.3% logic, 69.7% route), 7 logic levels. + 10.827 (31.6% logic, 68.4% route), 7 logic levels. -Report: 87.268MHz is the maximum frequency for this preference. +Report: 90.967MHz is the maximum frequency for this preference. Report Summary -------------- @@ -101,7 +101,7 @@ Report: 87.268MHz is the maximum frequency for this preference. Preference | Constraint| Actual|Levels ---------------------------------------------------------------------------- | | | -FREQUENCY PORT "C14M" 14.300000 MHz ; | 14.300 MHz| 87.268 MHz| 7 +FREQUENCY PORT "C14M" 14.300000 MHz ; | 14.300 MHz| 90.967 MHz| 7 | | | ---------------------------------------------------------------------------- @@ -114,7 +114,7 @@ All preferences were met. Found 1 clocks: -Clock Domain: C14M_c Source: C14M.PAD Loads: 84 +Clock Domain: C14M_c Source: C14M.PAD Loads: 89 Covered under: FREQUENCY PORT "C14M" 14.300000 MHz ; @@ -124,11 +124,11 @@ Clock Domain: C14M_c Source: C14M.PAD Loads: 84 Timing errors: 0 Score: 0 Cumulative negative slack: 0 -Constraints cover 1491 paths, 1 nets, and 933 connections (82.86% coverage) +Constraints cover 1611 paths, 1 nets, and 1095 connections (82.33% coverage) -------------------------------------------------------------------------------- Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.1.454 -Thu Sep 21 05:34:49 2023 +Thu Dec 28 23:09:59 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -147,7 +147,7 @@ Report level: verbose report, limited to 1 item per preference Preference Summary -
  • FREQUENCY PORT "C14M" 14.300000 MHz (0 errors)
  • 1491 items scored, 0 timing errors detected. +
  • FREQUENCY PORT "C14M" 14.300000 MHz (0 errors)
  • 1611 items scored, 0 timing errors detected. BLOCK ASYNCPATHS BLOCK RESETPATHS @@ -157,7 +157,7 @@ BLOCK RESETPATHS ================================================================================ Preference: FREQUENCY PORT "C14M" 14.300000 MHz ; - 1491 items scored, 0 timing errors detected. + 1611 items scored, 0 timing errors detected. -------------------------------------------------------------------------------- @@ -182,7 +182,7 @@ Passed: The following path meets requirements by 0.447ns Name Fanout Delay (ns) Site Resource REG_DEL --- 0.133 SLICE_0.CLK to SLICE_0.Q1 SLICE_0 (from C14M_c) -ROUTE 5 e 0.199 SLICE_0.Q1 to SLICE_0.A1 FS[0] +ROUTE 6 e 0.199 SLICE_0.Q1 to SLICE_0.A1 FS[0] CTOF_DEL --- 0.101 SLICE_0.A1 to SLICE_0.F1 SLICE_0 ROUTE 1 e 0.001 SLICE_0.F1 to SLICE_0.DI1 FS_s[0] (to C14M_c) -------- @@ -207,7 +207,7 @@ All preferences were met. Found 1 clocks: -Clock Domain: C14M_c Source: C14M.PAD Loads: 84 +Clock Domain: C14M_c Source: C14M.PAD Loads: 89 Covered under: FREQUENCY PORT "C14M" 14.300000 MHz ; @@ -217,7 +217,7 @@ Clock Domain: C14M_c Source: C14M.PAD Loads: 84 Timing errors: 0 Score: 0 Cumulative negative slack: 0 -Constraints cover 1491 paths, 1 nets, and 933 connections (82.86% coverage) +Constraints cover 1611 paths, 1 nets, and 1095 connections (82.33% coverage) diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_twr.html b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_twr.html index 8c6da61..2a571cc 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_twr.html +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_twr.html @@ -22,7 +22,7 @@ Setup and Hold Report -------------------------------------------------------------------------------- Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.1.454 -Thu Sep 21 05:35:07 2023 +Thu Dec 28 23:10:17 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -41,8 +41,8 @@ Report level: verbose report, limited to 10 items per preference Preference Summary -
  • FREQUENCY PORT "C14M" 14.300000 MHz (0 errors)
  • 1491 items scored, 0 timing errors detected. -Report: 78.070MHz is the maximum frequency for this preference. +
  • FREQUENCY PORT "C14M" 14.300000 MHz (0 errors)
  • 1611 items scored, 0 timing errors detected. +Report: 84.310MHz is the maximum frequency for this preference. BLOCK ASYNCPATHS BLOCK RESETPATHS @@ -52,516 +52,548 @@ BLOCK RESETPATHS ================================================================================ Preference: FREQUENCY PORT "C14M" 14.300000 MHz ; - 1491 items scored, 0 timing errors detected. + 1611 items scored, 0 timing errors detected. -------------------------------------------------------------------------------- -Passed: The following path meets requirements by 57.121ns +Passed: The following path meets requirements by 58.069ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q FS[8] (from C14M_c +) - Destination: FF Data in nRWE_0io (to C14M_c +) + Source: FF Q S[2] (from C14M_c +) + Destination: FF Data in ram2e_ufm/wb_adr[0] (to C14M_c +) - Delay: 12.829ns (26.7% logic, 73.3% route), 7 logic levels. + Delay: 11.695ns (29.3% logic, 70.7% route), 7 logic levels. Constraint Details: - 12.829ns physical path delay SLICE_5 to nRWE_MGIOL meets - 69.930ns delay constraint less - -0.173ns skew and - 0.153ns DO_SET requirement (totaling 69.950ns) by 57.121ns - - Physical Path Details: - - Data path SLICE_5 to nRWE_MGIOL: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R5C9A.CLK to R5C9A.Q1 SLICE_5 (from C14M_c) -ROUTE 15 2.743 R5C9A.Q1 to R4C7B.B1 FS[8] -CTOF_DEL --- 0.495 R4C7B.B1 to R4C7B.F1 SLICE_64 -ROUTE 4 0.659 R4C7B.F1 to R4C6D.D0 N_577 -CTOF_DEL --- 0.495 R4C6D.D0 to R4C6D.F0 SLICE_97 -ROUTE 3 1.170 R4C6D.F0 to R4C11C.D1 N_489 -CTOF_DEL --- 0.495 R4C11C.D1 to R4C11C.F1 SLICE_75 -ROUTE 3 0.453 R4C11C.F1 to R4C11C.C0 N_628 -CTOF_DEL --- 0.495 R4C11C.C0 to R4C11C.F0 SLICE_75 -ROUTE 2 0.652 R4C11C.F0 to R5C11B.D1 N_640 -CTOF_DEL --- 0.495 R5C11B.D1 to R5C11B.F1 SLICE_71 -ROUTE 1 1.023 R5C11B.F1 to R5C13A.B0 un1_nCS61_1_i -CTOF_DEL --- 0.495 R5C13A.B0 to R5C13A.F0 SLICE_115 -ROUTE 1 2.707 R5C13A.F0 to IOL_R10D.OPOS nRWE_r_0 (to C14M_c) - -------- - 12.829 (26.7% logic, 73.3% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path C14M to SLICE_5: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 4.865 62.PADDI to R5C9A.CLK C14M_c - -------- - 4.865 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path C14M to nRWE_MGIOL: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 5.038 62.PADDI to IOL_R10D.CLK C14M_c - -------- - 5.038 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 57.346ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q S[0] (from C14M_c +) - Destination: FF Data in wb_dati[6] (to C14M_c +) - - Delay: 12.418ns (27.6% logic, 72.4% route), 7 logic levels. - - Constraint Details: - - 12.418ns physical path delay SLICE_33 to SLICE_43 meets + 11.695ns physical path delay SLICE_34 to ram2e_ufm/SLICE_47 meets 69.930ns delay constraint less 0.000ns skew and - 0.166ns DIN_SET requirement (totaling 69.764ns) by 57.346ns + 0.166ns DIN_SET requirement (totaling 69.764ns) by 58.069ns Physical Path Details: - Data path SLICE_33 to SLICE_43: + Data path SLICE_34 to ram2e_ufm/SLICE_47: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R3C11C.CLK to R3C11C.Q0 SLICE_33 (from C14M_c) -ROUTE 30 3.112 R3C11C.Q0 to R5C12C.B0 S[0] -CTOF_DEL --- 0.495 R5C12C.B0 to R5C12C.F0 SLICE_47 -ROUTE 7 2.060 R5C12C.F0 to R3C7C.C1 S_RNII9DO1[1] -CTOF_DEL --- 0.495 R3C7C.C1 to R3C7C.F1 SLICE_86 -ROUTE 5 1.174 R3C7C.F1 to R4C5A.D1 N_484 -CTOF_DEL --- 0.495 R4C5A.D1 to R4C5A.F1 SLICE_74 -ROUTE 3 0.984 R4C5A.F1 to R4C5A.A0 N_642 -CTOF_DEL --- 0.495 R4C5A.A0 to R4C5A.F0 SLICE_74 -ROUTE 2 0.665 R4C5A.F0 to R4C5C.A0 N_346 -CTOF_DEL --- 0.495 R4C5C.A0 to R4C5C.F0 SLICE_84 -ROUTE 1 1.001 R4C5C.F0 to R3C5D.B0 wb_dati_7_0_1[6] -CTOF_DEL --- 0.495 R3C5D.B0 to R3C5D.F0 SLICE_43 -ROUTE 1 0.000 R3C5D.F0 to R3C5D.DI0 wb_dati_7[6] (to C14M_c) +REG_DEL --- 0.452 R5C13B.CLK to R5C13B.Q0 SLICE_34 (from C14M_c) +ROUTE 50 1.472 R5C13B.Q0 to R5C11D.A0 S[2] +CTOF_DEL --- 0.495 R5C11D.A0 to R5C11D.F0 SLICE_35 +ROUTE 7 0.989 R5C11D.F0 to R5C10B.A1 N_551 +CTOF_DEL --- 0.495 R5C10B.A1 to R5C10B.F1 ram2e_ufm/SLICE_80 +ROUTE 8 2.411 R5C10B.F1 to R3C6C.C1 ram2e_ufm/N_777 +CTOF_DEL --- 0.495 R3C6C.C1 to R3C6C.F1 ram2e_ufm/SLICE_98 +ROUTE 5 1.755 R3C6C.F1 to R2C7D.A0 ram2e_ufm/N_781 +CTOF_DEL --- 0.495 R2C7D.A0 to R2C7D.F0 ram2e_ufm/SLICE_99 +ROUTE 1 1.023 R2C7D.F0 to R3C7A.B0 ram2e_ufm/wb_adr_7_i_i_1[0] +CTOF_DEL --- 0.495 R3C7A.B0 to R3C7A.F0 ram2e_ufm/SLICE_86 +ROUTE 1 0.623 R3C7A.F0 to R2C7A.D0 ram2e_ufm/wb_adr_7_i_i_4[0] +CTOF_DEL --- 0.495 R2C7A.D0 to R2C7A.F0 ram2e_ufm/SLICE_47 +ROUTE 1 0.000 R2C7A.F0 to R2C7A.DI0 ram2e_ufm/wb_adr_7_i_i[0] (to C14M_c) -------- - 12.418 (27.6% logic, 72.4% route), 7 logic levels. + 11.695 (29.3% logic, 70.7% route), 7 logic levels. Clock Skew Details: - Source Clock Path C14M to SLICE_33: + Source Clock Path C14M to SLICE_34: Name Fanout Delay (ns) Site Resource -ROUTE 84 4.865 62.PADDI to R3C11C.CLK C14M_c +ROUTE 89 4.865 62.PADDI to R5C13B.CLK C14M_c -------- 4.865 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path C14M to SLICE_43: + Destination Clock Path C14M to ram2e_ufm/SLICE_47: Name Fanout Delay (ns) Site Resource -ROUTE 84 4.865 62.PADDI to R3C5D.CLK C14M_c +ROUTE 89 4.865 62.PADDI to R2C7A.CLK C14M_c -------- 4.865 (0.0% logic, 100.0% route), 0 logic levels. -Passed: The following path meets requirements by 57.382ns +Passed: The following path meets requirements by 58.138ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q FS[8] (from C14M_c +) - Destination: FF Data in nRWE_0io (to C14M_c +) + Source: FF Q S[2] (from C14M_c +) + Destination: FF Data in ram2e_ufm/wb_adr[0] (to C14M_c +) - Delay: 12.568ns (23.3% logic, 76.7% route), 6 logic levels. + Delay: 11.626ns (29.4% logic, 70.6% route), 7 logic levels. Constraint Details: - 12.568ns physical path delay SLICE_5 to nRWE_MGIOL meets - 69.930ns delay constraint less - -0.173ns skew and - 0.153ns DO_SET requirement (totaling 69.950ns) by 57.382ns - - Physical Path Details: - - Data path SLICE_5 to nRWE_MGIOL: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R5C9A.CLK to R5C9A.Q1 SLICE_5 (from C14M_c) -ROUTE 15 2.743 R5C9A.Q1 to R4C7B.B1 FS[8] -CTOF_DEL --- 0.495 R4C7B.B1 to R4C7B.F1 SLICE_64 -ROUTE 4 0.659 R4C7B.F1 to R4C6D.D0 N_577 -CTOF_DEL --- 0.495 R4C6D.D0 to R4C6D.F0 SLICE_97 -ROUTE 3 1.170 R4C6D.F0 to R4C11C.D1 N_489 -CTOF_DEL --- 0.495 R4C11C.D1 to R4C11C.F1 SLICE_75 -ROUTE 3 1.040 R4C11C.F1 to R5C11D.B0 N_628 -CTOF_DEL --- 0.495 R5C11D.B0 to R5C11D.F0 SLICE_76 -ROUTE 3 1.322 R5C11D.F0 to R5C13A.A0 nCAS_0_sqmuxa -CTOF_DEL --- 0.495 R5C13A.A0 to R5C13A.F0 SLICE_115 -ROUTE 1 2.707 R5C13A.F0 to IOL_R10D.OPOS nRWE_r_0 (to C14M_c) - -------- - 12.568 (23.3% logic, 76.7% route), 6 logic levels. - - Clock Skew Details: - - Source Clock Path C14M to SLICE_5: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 4.865 62.PADDI to R5C9A.CLK C14M_c - -------- - 4.865 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path C14M to nRWE_MGIOL: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 5.038 62.PADDI to IOL_R10D.CLK C14M_c - -------- - 5.038 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 57.636ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS[9] (from C14M_c +) - Destination: FF Data in nRWE_0io (to C14M_c +) - - Delay: 12.314ns (27.8% logic, 72.2% route), 7 logic levels. - - Constraint Details: - - 12.314ns physical path delay SLICE_4 to nRWE_MGIOL meets - 69.930ns delay constraint less - -0.173ns skew and - 0.153ns DO_SET requirement (totaling 69.950ns) by 57.636ns - - Physical Path Details: - - Data path SLICE_4 to nRWE_MGIOL: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R5C9B.CLK to R5C9B.Q0 SLICE_4 (from C14M_c) -ROUTE 21 2.228 R5C9B.Q0 to R4C7B.A1 FS[9] -CTOF_DEL --- 0.495 R4C7B.A1 to R4C7B.F1 SLICE_64 -ROUTE 4 0.659 R4C7B.F1 to R4C6D.D0 N_577 -CTOF_DEL --- 0.495 R4C6D.D0 to R4C6D.F0 SLICE_97 -ROUTE 3 1.170 R4C6D.F0 to R4C11C.D1 N_489 -CTOF_DEL --- 0.495 R4C11C.D1 to R4C11C.F1 SLICE_75 -ROUTE 3 0.453 R4C11C.F1 to R4C11C.C0 N_628 -CTOF_DEL --- 0.495 R4C11C.C0 to R4C11C.F0 SLICE_75 -ROUTE 2 0.652 R4C11C.F0 to R5C11B.D1 N_640 -CTOF_DEL --- 0.495 R5C11B.D1 to R5C11B.F1 SLICE_71 -ROUTE 1 1.023 R5C11B.F1 to R5C13A.B0 un1_nCS61_1_i -CTOF_DEL --- 0.495 R5C13A.B0 to R5C13A.F0 SLICE_115 -ROUTE 1 2.707 R5C13A.F0 to IOL_R10D.OPOS nRWE_r_0 (to C14M_c) - -------- - 12.314 (27.8% logic, 72.2% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path C14M to SLICE_4: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 4.865 62.PADDI to R5C9B.CLK C14M_c - -------- - 4.865 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path C14M to nRWE_MGIOL: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 5.038 62.PADDI to IOL_R10D.CLK C14M_c - -------- - 5.038 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 57.645ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q S[0] (from C14M_c +) - Destination: FF Data in wb_adr[0] (to C14M_c +) - - Delay: 12.119ns (24.2% logic, 75.8% route), 6 logic levels. - - Constraint Details: - - 12.119ns physical path delay SLICE_33 to SLICE_35 meets + 11.626ns physical path delay SLICE_34 to ram2e_ufm/SLICE_47 meets 69.930ns delay constraint less 0.000ns skew and - 0.166ns DIN_SET requirement (totaling 69.764ns) by 57.645ns + 0.166ns DIN_SET requirement (totaling 69.764ns) by 58.138ns Physical Path Details: - Data path SLICE_33 to SLICE_35: + Data path SLICE_34 to ram2e_ufm/SLICE_47: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R3C11C.CLK to R3C11C.Q0 SLICE_33 (from C14M_c) -ROUTE 30 3.112 R3C11C.Q0 to R5C12C.B0 S[0] -CTOF_DEL --- 0.495 R5C12C.B0 to R5C12C.F0 SLICE_47 -ROUTE 7 2.060 R5C12C.F0 to R4C7C.C1 S_RNII9DO1[1] -CTOF_DEL --- 0.495 R4C7C.C1 to R4C7C.F1 SLICE_56 -ROUTE 6 2.374 R4C7C.F1 to R5C7D.A0 N_452 -CTOF_DEL --- 0.495 R5C7D.A0 to R5C7D.F0 SLICE_92 -ROUTE 1 0.645 R5C7D.F0 to R5C5A.D0 wb_adr_7_0_0[0] -CTOF_DEL --- 0.495 R5C5A.D0 to R5C5A.F0 SLICE_85 -ROUTE 1 1.001 R5C5A.F0 to R4C5B.B0 wb_adr_7_0_4[0] -CTOF_DEL --- 0.495 R4C5B.B0 to R4C5B.F0 SLICE_35 -ROUTE 1 0.000 R4C5B.F0 to R4C5B.DI0 wb_adr_7[0] (to C14M_c) +REG_DEL --- 0.452 R5C13B.CLK to R5C13B.Q0 SLICE_34 (from C14M_c) +ROUTE 50 1.472 R5C13B.Q0 to R5C11D.A0 S[2] +CTOF_DEL --- 0.495 R5C11D.A0 to R5C11D.F0 SLICE_35 +ROUTE 7 0.989 R5C11D.F0 to R5C10B.A1 N_551 +CTOF_DEL --- 0.495 R5C10B.A1 to R5C10B.F1 ram2e_ufm/SLICE_80 +ROUTE 8 2.411 R5C10B.F1 to R3C6C.C1 ram2e_ufm/N_777 +CTOF_DEL --- 0.495 R3C6C.C1 to R3C6C.F1 ram2e_ufm/SLICE_98 +ROUTE 5 1.962 R3C6C.F1 to R3C7C.C0 ram2e_ufm/N_781 +CTOF_DEL --- 0.495 R3C7C.C0 to R3C7C.F0 ram2e_ufm/SLICE_126 +ROUTE 1 0.747 R3C7C.F0 to R3C7A.C0 ram2e_ufm/N_753 +CTOF_DEL --- 0.495 R3C7A.C0 to R3C7A.F0 ram2e_ufm/SLICE_86 +ROUTE 1 0.623 R3C7A.F0 to R2C7A.D0 ram2e_ufm/wb_adr_7_i_i_4[0] +CTOF_DEL --- 0.495 R2C7A.D0 to R2C7A.F0 ram2e_ufm/SLICE_47 +ROUTE 1 0.000 R2C7A.F0 to R2C7A.DI0 ram2e_ufm/wb_adr_7_i_i[0] (to C14M_c) -------- - 12.119 (24.2% logic, 75.8% route), 6 logic levels. + 11.626 (29.4% logic, 70.6% route), 7 logic levels. Clock Skew Details: - Source Clock Path C14M to SLICE_33: + Source Clock Path C14M to SLICE_34: Name Fanout Delay (ns) Site Resource -ROUTE 84 4.865 62.PADDI to R3C11C.CLK C14M_c +ROUTE 89 4.865 62.PADDI to R5C13B.CLK C14M_c -------- 4.865 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path C14M to SLICE_35: + Destination Clock Path C14M to ram2e_ufm/SLICE_47: Name Fanout Delay (ns) Site Resource -ROUTE 84 4.865 62.PADDI to R4C5B.CLK C14M_c +ROUTE 89 4.865 62.PADDI to R2C7A.CLK C14M_c -------- 4.865 (0.0% logic, 100.0% route), 0 logic levels. -Passed: The following path meets requirements by 57.783ns +Passed: The following path meets requirements by 58.247ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q FS[11] (from C14M_c +) - Destination: FF Data in nRWE_0io (to C14M_c +) + Source: FF Q S[2] (from C14M_c +) + Destination: FF Data in ram2e_ufm/wb_dati[7] (to C14M_c +) - Delay: 12.167ns (28.1% logic, 71.9% route), 7 logic levels. + Delay: 11.517ns (25.4% logic, 74.6% route), 6 logic levels. Constraint Details: - 12.167ns physical path delay SLICE_3 to nRWE_MGIOL meets + 11.517ns physical path delay SLICE_34 to ram2e_ufm/SLICE_55 meets 69.930ns delay constraint less - -0.173ns skew and - 0.153ns DO_SET requirement (totaling 69.950ns) by 57.783ns + 0.000ns skew and + 0.166ns DIN_SET requirement (totaling 69.764ns) by 58.247ns Physical Path Details: - Data path SLICE_3 to nRWE_MGIOL: + Data path SLICE_34 to ram2e_ufm/SLICE_55: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R5C9C.CLK to R5C9C.Q0 SLICE_3 (from C14M_c) -ROUTE 19 2.081 R5C9C.Q0 to R4C7B.C1 FS[11] -CTOF_DEL --- 0.495 R4C7B.C1 to R4C7B.F1 SLICE_64 -ROUTE 4 0.659 R4C7B.F1 to R4C6D.D0 N_577 -CTOF_DEL --- 0.495 R4C6D.D0 to R4C6D.F0 SLICE_97 -ROUTE 3 1.170 R4C6D.F0 to R4C11C.D1 N_489 -CTOF_DEL --- 0.495 R4C11C.D1 to R4C11C.F1 SLICE_75 -ROUTE 3 0.453 R4C11C.F1 to R4C11C.C0 N_628 -CTOF_DEL --- 0.495 R4C11C.C0 to R4C11C.F0 SLICE_75 -ROUTE 2 0.652 R4C11C.F0 to R5C11B.D1 N_640 -CTOF_DEL --- 0.495 R5C11B.D1 to R5C11B.F1 SLICE_71 -ROUTE 1 1.023 R5C11B.F1 to R5C13A.B0 un1_nCS61_1_i -CTOF_DEL --- 0.495 R5C13A.B0 to R5C13A.F0 SLICE_115 -ROUTE 1 2.707 R5C13A.F0 to IOL_R10D.OPOS nRWE_r_0 (to C14M_c) +REG_DEL --- 0.452 R5C13B.CLK to R5C13B.Q0 SLICE_34 (from C14M_c) +ROUTE 50 1.472 R5C13B.Q0 to R5C11D.A0 S[2] +CTOF_DEL --- 0.495 R5C11D.A0 to R5C11D.F0 SLICE_35 +ROUTE 7 0.989 R5C11D.F0 to R5C10B.A1 N_551 +CTOF_DEL --- 0.495 R5C10B.A1 to R5C10B.F1 ram2e_ufm/SLICE_80 +ROUTE 8 2.631 R5C10B.F1 to R3C6A.A1 ram2e_ufm/N_777 +CTOF_DEL --- 0.495 R3C6A.A1 to R3C6A.F1 ram2e_ufm/SLICE_81 +ROUTE 4 1.963 R3C6A.F1 to R3C10A.C0 ram2e_ufm/N_856 +CTOF_DEL --- 0.495 R3C10A.C0 to R3C10A.F0 ram2e_ufm/SLICE_131 +ROUTE 1 1.535 R3C10A.F0 to R3C6B.B1 ram2e_ufm/N_602 +CTOF_DEL --- 0.495 R3C6B.B1 to R3C6B.F1 ram2e_ufm/SLICE_55 +ROUTE 1 0.000 R3C6B.F1 to R3C6B.DI1 ram2e_ufm/wb_dati_7[7] (to C14M_c) -------- - 12.167 (28.1% logic, 71.9% route), 7 logic levels. + 11.517 (25.4% logic, 74.6% route), 6 logic levels. Clock Skew Details: - Source Clock Path C14M to SLICE_3: + Source Clock Path C14M to SLICE_34: Name Fanout Delay (ns) Site Resource -ROUTE 84 4.865 62.PADDI to R5C9C.CLK C14M_c +ROUTE 89 4.865 62.PADDI to R5C13B.CLK C14M_c -------- 4.865 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path C14M to nRWE_MGIOL: + Destination Clock Path C14M to ram2e_ufm/SLICE_55: Name Fanout Delay (ns) Site Resource -ROUTE 84 5.038 62.PADDI to IOL_R10D.CLK C14M_c +ROUTE 89 4.865 62.PADDI to R3C6B.CLK C14M_c -------- - 5.038 (0.0% logic, 100.0% route), 0 logic levels. + 4.865 (0.0% logic, 100.0% route), 0 logic levels. -Passed: The following path meets requirements by 28.910ns (weighted slack = 57.820ns) +Passed: The following path meets requirements by 58.444ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q S[3] (from C14M_c +) + Destination: FF Data in ram2e_ufm/wb_adr[0] (to C14M_c +) + + Delay: 11.320ns (30.2% logic, 69.8% route), 7 logic levels. + + Constraint Details: + + 11.320ns physical path delay SLICE_34 to ram2e_ufm/SLICE_47 meets + 69.930ns delay constraint less + 0.000ns skew and + 0.166ns DIN_SET requirement (totaling 69.764ns) by 58.444ns + + Physical Path Details: + + Data path SLICE_34 to ram2e_ufm/SLICE_47: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C13B.CLK to R5C13B.Q1 SLICE_34 (from C14M_c) +ROUTE 45 1.097 R5C13B.Q1 to R5C11D.B0 S[3] +CTOF_DEL --- 0.495 R5C11D.B0 to R5C11D.F0 SLICE_35 +ROUTE 7 0.989 R5C11D.F0 to R5C10B.A1 N_551 +CTOF_DEL --- 0.495 R5C10B.A1 to R5C10B.F1 ram2e_ufm/SLICE_80 +ROUTE 8 2.411 R5C10B.F1 to R3C6C.C1 ram2e_ufm/N_777 +CTOF_DEL --- 0.495 R3C6C.C1 to R3C6C.F1 ram2e_ufm/SLICE_98 +ROUTE 5 1.755 R3C6C.F1 to R2C7D.A0 ram2e_ufm/N_781 +CTOF_DEL --- 0.495 R2C7D.A0 to R2C7D.F0 ram2e_ufm/SLICE_99 +ROUTE 1 1.023 R2C7D.F0 to R3C7A.B0 ram2e_ufm/wb_adr_7_i_i_1[0] +CTOF_DEL --- 0.495 R3C7A.B0 to R3C7A.F0 ram2e_ufm/SLICE_86 +ROUTE 1 0.623 R3C7A.F0 to R2C7A.D0 ram2e_ufm/wb_adr_7_i_i_4[0] +CTOF_DEL --- 0.495 R2C7A.D0 to R2C7A.F0 ram2e_ufm/SLICE_47 +ROUTE 1 0.000 R2C7A.F0 to R2C7A.DI0 ram2e_ufm/wb_adr_7_i_i[0] (to C14M_c) + -------- + 11.320 (30.2% logic, 69.8% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path C14M to SLICE_34: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 4.865 62.PADDI to R5C13B.CLK C14M_c + -------- + 4.865 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path C14M to ram2e_ufm/SLICE_47: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 4.865 62.PADDI to R2C7A.CLK C14M_c + -------- + 4.865 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 58.513ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q S[3] (from C14M_c +) + Destination: FF Data in ram2e_ufm/wb_adr[0] (to C14M_c +) + + Delay: 11.251ns (30.4% logic, 69.6% route), 7 logic levels. + + Constraint Details: + + 11.251ns physical path delay SLICE_34 to ram2e_ufm/SLICE_47 meets + 69.930ns delay constraint less + 0.000ns skew and + 0.166ns DIN_SET requirement (totaling 69.764ns) by 58.513ns + + Physical Path Details: + + Data path SLICE_34 to ram2e_ufm/SLICE_47: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C13B.CLK to R5C13B.Q1 SLICE_34 (from C14M_c) +ROUTE 45 1.097 R5C13B.Q1 to R5C11D.B0 S[3] +CTOF_DEL --- 0.495 R5C11D.B0 to R5C11D.F0 SLICE_35 +ROUTE 7 0.989 R5C11D.F0 to R5C10B.A1 N_551 +CTOF_DEL --- 0.495 R5C10B.A1 to R5C10B.F1 ram2e_ufm/SLICE_80 +ROUTE 8 2.411 R5C10B.F1 to R3C6C.C1 ram2e_ufm/N_777 +CTOF_DEL --- 0.495 R3C6C.C1 to R3C6C.F1 ram2e_ufm/SLICE_98 +ROUTE 5 1.962 R3C6C.F1 to R3C7C.C0 ram2e_ufm/N_781 +CTOF_DEL --- 0.495 R3C7C.C0 to R3C7C.F0 ram2e_ufm/SLICE_126 +ROUTE 1 0.747 R3C7C.F0 to R3C7A.C0 ram2e_ufm/N_753 +CTOF_DEL --- 0.495 R3C7A.C0 to R3C7A.F0 ram2e_ufm/SLICE_86 +ROUTE 1 0.623 R3C7A.F0 to R2C7A.D0 ram2e_ufm/wb_adr_7_i_i_4[0] +CTOF_DEL --- 0.495 R2C7A.D0 to R2C7A.F0 ram2e_ufm/SLICE_47 +ROUTE 1 0.000 R2C7A.F0 to R2C7A.DI0 ram2e_ufm/wb_adr_7_i_i[0] (to C14M_c) + -------- + 11.251 (30.4% logic, 69.6% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path C14M to SLICE_34: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 4.865 62.PADDI to R5C13B.CLK C14M_c + -------- + 4.865 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path C14M to ram2e_ufm/SLICE_47: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 4.865 62.PADDI to R2C7A.CLK C14M_c + -------- + 4.865 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 58.525ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) Source: FF Q S[0] (from C14M_c +) - Destination: FF Data in Dout_0io[0] (to C14M_c -) + Destination: FF Data in ram2e_ufm/wb_adr[0] (to C14M_c +) - Delay: 6.181ns (15.3% logic, 84.7% route), 2 logic levels. + Delay: 11.239ns (26.0% logic, 74.0% route), 6 logic levels. Constraint Details: - 6.181ns physical path delay SLICE_33 to Dout[0]_MGIOL meets - 34.965ns delay constraint less - -0.173ns skew and - 0.047ns CE_SET requirement (totaling 35.091ns) by 28.910ns + 11.239ns physical path delay SLICE_33 to ram2e_ufm/SLICE_47 meets + 69.930ns delay constraint less + 0.000ns skew and + 0.166ns DIN_SET requirement (totaling 69.764ns) by 58.525ns Physical Path Details: - Data path SLICE_33 to Dout[0]_MGIOL: + Data path SLICE_33 to ram2e_ufm/SLICE_47: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R3C11C.CLK to R3C11C.Q0 SLICE_33 (from C14M_c) -ROUTE 30 2.524 R3C11C.Q0 to R7C12C.B1 S[0] -CTOF_DEL --- 0.495 R7C12C.B1 to R7C12C.F1 SLICE_20 -ROUTE 17 2.710 R7C12C.F1 to IOL_B6B.CE N_576_i (to C14M_c) +REG_DEL --- 0.452 R5C13D.CLK to R5C13D.Q0 SLICE_33 (from C14M_c) +ROUTE 37 2.500 R5C13D.Q0 to R5C10B.C1 S[0] +CTOF_DEL --- 0.495 R5C10B.C1 to R5C10B.F1 ram2e_ufm/SLICE_80 +ROUTE 8 2.411 R5C10B.F1 to R3C6C.C1 ram2e_ufm/N_777 +CTOF_DEL --- 0.495 R3C6C.C1 to R3C6C.F1 ram2e_ufm/SLICE_98 +ROUTE 5 1.755 R3C6C.F1 to R2C7D.A0 ram2e_ufm/N_781 +CTOF_DEL --- 0.495 R2C7D.A0 to R2C7D.F0 ram2e_ufm/SLICE_99 +ROUTE 1 1.023 R2C7D.F0 to R3C7A.B0 ram2e_ufm/wb_adr_7_i_i_1[0] +CTOF_DEL --- 0.495 R3C7A.B0 to R3C7A.F0 ram2e_ufm/SLICE_86 +ROUTE 1 0.623 R3C7A.F0 to R2C7A.D0 ram2e_ufm/wb_adr_7_i_i_4[0] +CTOF_DEL --- 0.495 R2C7A.D0 to R2C7A.F0 ram2e_ufm/SLICE_47 +ROUTE 1 0.000 R2C7A.F0 to R2C7A.DI0 ram2e_ufm/wb_adr_7_i_i[0] (to C14M_c) -------- - 6.181 (15.3% logic, 84.7% route), 2 logic levels. + 11.239 (26.0% logic, 74.0% route), 6 logic levels. Clock Skew Details: Source Clock Path C14M to SLICE_33: Name Fanout Delay (ns) Site Resource -ROUTE 84 4.865 62.PADDI to R3C11C.CLK C14M_c +ROUTE 89 4.865 62.PADDI to R5C13D.CLK C14M_c -------- 4.865 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path C14M to Dout[0]_MGIOL: + Destination Clock Path C14M to ram2e_ufm/SLICE_47: Name Fanout Delay (ns) Site Resource -ROUTE 84 5.038 62.PADDI to IOL_B6B.CLK C14M_c +ROUTE 89 4.865 62.PADDI to R2C7A.CLK C14M_c -------- - 5.038 (0.0% logic, 100.0% route), 0 logic levels. + 4.865 (0.0% logic, 100.0% route), 0 logic levels. -Passed: The following path meets requirements by 28.910ns (weighted slack = 57.820ns) +Passed: The following path meets requirements by 58.594ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) Source: FF Q S[0] (from C14M_c +) - Destination: FF Data in Dout_0io[1] (to C14M_c -) + Destination: FF Data in ram2e_ufm/wb_adr[0] (to C14M_c +) - Delay: 6.181ns (15.3% logic, 84.7% route), 2 logic levels. + Delay: 11.170ns (26.2% logic, 73.8% route), 6 logic levels. Constraint Details: - 6.181ns physical path delay SLICE_33 to Dout[1]_MGIOL meets - 34.965ns delay constraint less - -0.173ns skew and - 0.047ns CE_SET requirement (totaling 35.091ns) by 28.910ns + 11.170ns physical path delay SLICE_33 to ram2e_ufm/SLICE_47 meets + 69.930ns delay constraint less + 0.000ns skew and + 0.166ns DIN_SET requirement (totaling 69.764ns) by 58.594ns Physical Path Details: - Data path SLICE_33 to Dout[1]_MGIOL: + Data path SLICE_33 to ram2e_ufm/SLICE_47: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R3C11C.CLK to R3C11C.Q0 SLICE_33 (from C14M_c) -ROUTE 30 2.524 R3C11C.Q0 to R7C12C.B1 S[0] -CTOF_DEL --- 0.495 R7C12C.B1 to R7C12C.F1 SLICE_20 -ROUTE 17 2.710 R7C12C.F1 to IOL_B4C.CE N_576_i (to C14M_c) +REG_DEL --- 0.452 R5C13D.CLK to R5C13D.Q0 SLICE_33 (from C14M_c) +ROUTE 37 2.500 R5C13D.Q0 to R5C10B.C1 S[0] +CTOF_DEL --- 0.495 R5C10B.C1 to R5C10B.F1 ram2e_ufm/SLICE_80 +ROUTE 8 2.411 R5C10B.F1 to R3C6C.C1 ram2e_ufm/N_777 +CTOF_DEL --- 0.495 R3C6C.C1 to R3C6C.F1 ram2e_ufm/SLICE_98 +ROUTE 5 1.962 R3C6C.F1 to R3C7C.C0 ram2e_ufm/N_781 +CTOF_DEL --- 0.495 R3C7C.C0 to R3C7C.F0 ram2e_ufm/SLICE_126 +ROUTE 1 0.747 R3C7C.F0 to R3C7A.C0 ram2e_ufm/N_753 +CTOF_DEL --- 0.495 R3C7A.C0 to R3C7A.F0 ram2e_ufm/SLICE_86 +ROUTE 1 0.623 R3C7A.F0 to R2C7A.D0 ram2e_ufm/wb_adr_7_i_i_4[0] +CTOF_DEL --- 0.495 R2C7A.D0 to R2C7A.F0 ram2e_ufm/SLICE_47 +ROUTE 1 0.000 R2C7A.F0 to R2C7A.DI0 ram2e_ufm/wb_adr_7_i_i[0] (to C14M_c) -------- - 6.181 (15.3% logic, 84.7% route), 2 logic levels. + 11.170 (26.2% logic, 73.8% route), 6 logic levels. Clock Skew Details: Source Clock Path C14M to SLICE_33: Name Fanout Delay (ns) Site Resource -ROUTE 84 4.865 62.PADDI to R3C11C.CLK C14M_c +ROUTE 89 4.865 62.PADDI to R5C13D.CLK C14M_c -------- 4.865 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path C14M to Dout[1]_MGIOL: + Destination Clock Path C14M to ram2e_ufm/SLICE_47: Name Fanout Delay (ns) Site Resource -ROUTE 84 5.038 62.PADDI to IOL_B4C.CLK C14M_c +ROUTE 89 4.865 62.PADDI to R2C7A.CLK C14M_c -------- - 5.038 (0.0% logic, 100.0% route), 0 logic levels. + 4.865 (0.0% logic, 100.0% route), 0 logic levels. -Passed: The following path meets requirements by 28.910ns (weighted slack = 57.820ns) +Passed: The following path meets requirements by 58.622ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q S[3] (from C14M_c +) + Destination: FF Data in ram2e_ufm/wb_dati[7] (to C14M_c +) + + Delay: 11.142ns (26.3% logic, 73.7% route), 6 logic levels. + + Constraint Details: + + 11.142ns physical path delay SLICE_34 to ram2e_ufm/SLICE_55 meets + 69.930ns delay constraint less + 0.000ns skew and + 0.166ns DIN_SET requirement (totaling 69.764ns) by 58.622ns + + Physical Path Details: + + Data path SLICE_34 to ram2e_ufm/SLICE_55: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C13B.CLK to R5C13B.Q1 SLICE_34 (from C14M_c) +ROUTE 45 1.097 R5C13B.Q1 to R5C11D.B0 S[3] +CTOF_DEL --- 0.495 R5C11D.B0 to R5C11D.F0 SLICE_35 +ROUTE 7 0.989 R5C11D.F0 to R5C10B.A1 N_551 +CTOF_DEL --- 0.495 R5C10B.A1 to R5C10B.F1 ram2e_ufm/SLICE_80 +ROUTE 8 2.631 R5C10B.F1 to R3C6A.A1 ram2e_ufm/N_777 +CTOF_DEL --- 0.495 R3C6A.A1 to R3C6A.F1 ram2e_ufm/SLICE_81 +ROUTE 4 1.963 R3C6A.F1 to R3C10A.C0 ram2e_ufm/N_856 +CTOF_DEL --- 0.495 R3C10A.C0 to R3C10A.F0 ram2e_ufm/SLICE_131 +ROUTE 1 1.535 R3C10A.F0 to R3C6B.B1 ram2e_ufm/N_602 +CTOF_DEL --- 0.495 R3C6B.B1 to R3C6B.F1 ram2e_ufm/SLICE_55 +ROUTE 1 0.000 R3C6B.F1 to R3C6B.DI1 ram2e_ufm/wb_dati_7[7] (to C14M_c) + -------- + 11.142 (26.3% logic, 73.7% route), 6 logic levels. + + Clock Skew Details: + + Source Clock Path C14M to SLICE_34: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 4.865 62.PADDI to R5C13B.CLK C14M_c + -------- + 4.865 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path C14M to ram2e_ufm/SLICE_55: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 4.865 62.PADDI to R3C6B.CLK C14M_c + -------- + 4.865 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 58.703ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) Source: FF Q S[0] (from C14M_c +) - Destination: FF Data in Dout_0io[2] (to C14M_c -) + Destination: FF Data in ram2e_ufm/wb_dati[7] (to C14M_c +) - Delay: 6.181ns (15.3% logic, 84.7% route), 2 logic levels. + Delay: 11.061ns (22.0% logic, 78.0% route), 5 logic levels. Constraint Details: - 6.181ns physical path delay SLICE_33 to Dout[2]_MGIOL meets - 34.965ns delay constraint less - -0.173ns skew and - 0.047ns CE_SET requirement (totaling 35.091ns) by 28.910ns + 11.061ns physical path delay SLICE_33 to ram2e_ufm/SLICE_55 meets + 69.930ns delay constraint less + 0.000ns skew and + 0.166ns DIN_SET requirement (totaling 69.764ns) by 58.703ns Physical Path Details: - Data path SLICE_33 to Dout[2]_MGIOL: + Data path SLICE_33 to ram2e_ufm/SLICE_55: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R3C11C.CLK to R3C11C.Q0 SLICE_33 (from C14M_c) -ROUTE 30 2.524 R3C11C.Q0 to R7C12C.B1 S[0] -CTOF_DEL --- 0.495 R7C12C.B1 to R7C12C.F1 SLICE_20 -ROUTE 17 2.710 R7C12C.F1 to IOL_L10D.CE N_576_i (to C14M_c) +REG_DEL --- 0.452 R5C13D.CLK to R5C13D.Q0 SLICE_33 (from C14M_c) +ROUTE 37 2.500 R5C13D.Q0 to R5C10B.C1 S[0] +CTOF_DEL --- 0.495 R5C10B.C1 to R5C10B.F1 ram2e_ufm/SLICE_80 +ROUTE 8 2.631 R5C10B.F1 to R3C6A.A1 ram2e_ufm/N_777 +CTOF_DEL --- 0.495 R3C6A.A1 to R3C6A.F1 ram2e_ufm/SLICE_81 +ROUTE 4 1.963 R3C6A.F1 to R3C10A.C0 ram2e_ufm/N_856 +CTOF_DEL --- 0.495 R3C10A.C0 to R3C10A.F0 ram2e_ufm/SLICE_131 +ROUTE 1 1.535 R3C10A.F0 to R3C6B.B1 ram2e_ufm/N_602 +CTOF_DEL --- 0.495 R3C6B.B1 to R3C6B.F1 ram2e_ufm/SLICE_55 +ROUTE 1 0.000 R3C6B.F1 to R3C6B.DI1 ram2e_ufm/wb_dati_7[7] (to C14M_c) -------- - 6.181 (15.3% logic, 84.7% route), 2 logic levels. + 11.061 (22.0% logic, 78.0% route), 5 logic levels. Clock Skew Details: Source Clock Path C14M to SLICE_33: Name Fanout Delay (ns) Site Resource -ROUTE 84 4.865 62.PADDI to R3C11C.CLK C14M_c +ROUTE 89 4.865 62.PADDI to R5C13D.CLK C14M_c -------- 4.865 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path C14M to Dout[2]_MGIOL: + Destination Clock Path C14M to ram2e_ufm/SLICE_55: Name Fanout Delay (ns) Site Resource -ROUTE 84 5.038 62.PADDI to IOL_L10D.CLK C14M_c +ROUTE 89 4.865 62.PADDI to R3C6B.CLK C14M_c -------- - 5.038 (0.0% logic, 100.0% route), 0 logic levels. + 4.865 (0.0% logic, 100.0% route), 0 logic levels. -Passed: The following path meets requirements by 28.910ns (weighted slack = 57.820ns) +Passed: The following path meets requirements by 58.866ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q S[0] (from C14M_c +) - Destination: FF Data in Dout_0io[3] (to C14M_c -) + Source: FF Q S[2] (from C14M_c +) + Destination: FF Data in ram2e_ufm/wb_adr[0] (to C14M_c +) - Delay: 6.181ns (15.3% logic, 84.7% route), 2 logic levels. + Delay: 10.898ns (31.4% logic, 68.6% route), 7 logic levels. Constraint Details: - 6.181ns physical path delay SLICE_33 to Dout[3]_MGIOL meets - 34.965ns delay constraint less - -0.173ns skew and - 0.047ns CE_SET requirement (totaling 35.091ns) by 28.910ns + 10.898ns physical path delay SLICE_34 to ram2e_ufm/SLICE_47 meets + 69.930ns delay constraint less + 0.000ns skew and + 0.166ns DIN_SET requirement (totaling 69.764ns) by 58.866ns Physical Path Details: - Data path SLICE_33 to Dout[3]_MGIOL: + Data path SLICE_34 to ram2e_ufm/SLICE_47: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R3C11C.CLK to R3C11C.Q0 SLICE_33 (from C14M_c) -ROUTE 30 2.524 R3C11C.Q0 to R7C12C.B1 S[0] -CTOF_DEL --- 0.495 R7C12C.B1 to R7C12C.F1 SLICE_20 -ROUTE 17 2.710 R7C12C.F1 to IOL_B4D.CE N_576_i (to C14M_c) +REG_DEL --- 0.452 R5C13B.CLK to R5C13B.Q0 SLICE_34 (from C14M_c) +ROUTE 50 1.472 R5C13B.Q0 to R5C11D.A0 S[2] +CTOF_DEL --- 0.495 R5C11D.A0 to R5C11D.F0 SLICE_35 +ROUTE 7 0.989 R5C11D.F0 to R5C10B.A1 N_551 +CTOF_DEL --- 0.495 R5C10B.A1 to R5C10B.F1 ram2e_ufm/SLICE_80 +ROUTE 8 3.058 R5C10B.F1 to R3C5D.A1 ram2e_ufm/N_777 +CTOF_DEL --- 0.495 R3C5D.A1 to R3C5D.F1 ram2e_ufm/SLICE_89 +ROUTE 6 0.348 R3C5D.F1 to R3C5C.D1 ram2e_ufm/N_783 +CTOF_DEL --- 0.495 R3C5C.D1 to R3C5C.F1 ram2e_ufm/SLICE_68 +ROUTE 1 0.986 R3C5C.F1 to R3C7A.A0 ram2e_ufm/wb_adr_7_i_i_3[0] +CTOF_DEL --- 0.495 R3C7A.A0 to R3C7A.F0 ram2e_ufm/SLICE_86 +ROUTE 1 0.623 R3C7A.F0 to R2C7A.D0 ram2e_ufm/wb_adr_7_i_i_4[0] +CTOF_DEL --- 0.495 R2C7A.D0 to R2C7A.F0 ram2e_ufm/SLICE_47 +ROUTE 1 0.000 R2C7A.F0 to R2C7A.DI0 ram2e_ufm/wb_adr_7_i_i[0] (to C14M_c) -------- - 6.181 (15.3% logic, 84.7% route), 2 logic levels. + 10.898 (31.4% logic, 68.6% route), 7 logic levels. Clock Skew Details: - Source Clock Path C14M to SLICE_33: + Source Clock Path C14M to SLICE_34: Name Fanout Delay (ns) Site Resource -ROUTE 84 4.865 62.PADDI to R3C11C.CLK C14M_c +ROUTE 89 4.865 62.PADDI to R5C13B.CLK C14M_c -------- 4.865 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path C14M to Dout[3]_MGIOL: + Destination Clock Path C14M to ram2e_ufm/SLICE_47: Name Fanout Delay (ns) Site Resource -ROUTE 84 5.038 62.PADDI to IOL_B4D.CLK C14M_c +ROUTE 89 4.865 62.PADDI to R2C7A.CLK C14M_c -------- - 5.038 (0.0% logic, 100.0% route), 0 logic levels. + 4.865 (0.0% logic, 100.0% route), 0 logic levels. -Report: 78.070MHz is the maximum frequency for this preference. +Report: 84.310MHz is the maximum frequency for this preference. Report Summary -------------- @@ -569,7 +601,7 @@ Report: 78.070MHz is the maximum frequency for this preference. Preference | Constraint| Actual|Levels ---------------------------------------------------------------------------- | | | -FREQUENCY PORT "C14M" 14.300000 MHz ; | 14.300 MHz| 78.070 MHz| 7 +FREQUENCY PORT "C14M" 14.300000 MHz ; | 14.300 MHz| 84.310 MHz| 7 | | | ---------------------------------------------------------------------------- @@ -582,7 +614,7 @@ All preferences were met. Found 1 clocks: -Clock Domain: C14M_c Source: C14M.PAD Loads: 84 +Clock Domain: C14M_c Source: C14M.PAD Loads: 89 Covered under: FREQUENCY PORT "C14M" 14.300000 MHz ; @@ -592,11 +624,11 @@ Clock Domain: C14M_c Source: C14M.PAD Loads: 84 Timing errors: 0 Score: 0 Cumulative negative slack: 0 -Constraints cover 1491 paths, 1 nets, and 933 connections (82.86% coverage) +Constraints cover 1611 paths, 1 nets, and 1095 connections (82.33% coverage) -------------------------------------------------------------------------------- Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.1.454 -Thu Sep 21 05:35:07 2023 +Thu Dec 28 23:10:17 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -615,7 +647,7 @@ Report level: verbose report, limited to 10 items per preference Preference Summary -
  • FREQUENCY PORT "C14M" 14.300000 MHz (0 errors)
  • 1491 items scored, 0 timing errors detected. +
  • FREQUENCY PORT "C14M" 14.300000 MHz (0 errors)
  • 1611 items scored, 0 timing errors detected. BLOCK ASYNCPATHS BLOCK RESETPATHS @@ -625,92 +657,49 @@ BLOCK RESETPATHS ================================================================================ Preference: FREQUENCY PORT "C14M" 14.300000 MHz ; - 1491 items scored, 0 timing errors detected. + 1611 items scored, 0 timing errors detected. -------------------------------------------------------------------------------- -Passed: The following path meets requirements by 0.333ns +Passed: The following path meets requirements by 0.342ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q wb_adr[2] (from C14M_c +) - Destination: EFB Port ufmefb/EFBInst_0(ASIC) (to C14M_c +) + Source: FF Q ram2e_ufm/wb_dati[6] (from C14M_c +) + Destination: EFB Port ram2e_ufm/ufmefb/EFBInst_0(ASIC) (to C14M_c +) - Delay: 0.306ns (43.5% logic, 56.5% route), 1 logic levels. + Delay: 0.305ns (43.6% logic, 56.4% route), 1 logic levels. Constraint Details: - 0.306ns physical path delay SLICE_36 to ufmefb/EFBInst_0 meets - -0.081ns WBADRI_HLD and + 0.305ns physical path delay ram2e_ufm/SLICE_55 to ram2e_ufm/ufmefb/EFBInst_0 meets + -0.091ns WBDATI_HLD and 0.000ns delay constraint less - -0.054ns skew requirement (totaling -0.027ns) by 0.333ns + -0.054ns skew requirement (totaling -0.037ns) by 0.342ns Physical Path Details: - Data path SLICE_36 to ufmefb/EFBInst_0: + Data path ram2e_ufm/SLICE_55 to ram2e_ufm/ufmefb/EFBInst_0: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R2C5C.CLK to R2C5C.Q0 SLICE_36 (from C14M_c) -ROUTE 2 0.173 R2C5C.Q0 to EFB.WBADRI2 wb_adr[2] (to C14M_c) +REG_DEL --- 0.133 R3C6B.CLK to R3C6B.Q0 ram2e_ufm/SLICE_55 (from C14M_c) +ROUTE 1 0.172 R3C6B.Q0 to EFB.WBDATI6 ram2e_ufm/wb_dati[6] (to C14M_c) -------- - 0.306 (43.5% logic, 56.5% route), 1 logic levels. + 0.305 (43.6% logic, 56.4% route), 1 logic levels. Clock Skew Details: - Source Clock Path C14M to SLICE_36: + Source Clock Path C14M to ram2e_ufm/SLICE_55: Name Fanout Delay (ns) Site Resource -ROUTE 84 1.668 62.PADDI to R2C5C.CLK C14M_c +ROUTE 89 1.668 62.PADDI to R3C6B.CLK C14M_c -------- 1.668 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path C14M to ufmefb/EFBInst_0: + Destination Clock Path C14M to ram2e_ufm/ufmefb/EFBInst_0: Name Fanout Delay (ns) Site Resource -ROUTE 84 1.722 62.PADDI to EFB.WBCLKI C14M_c - -------- - 1.722 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.358ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q wb_adr[3] (from C14M_c +) - Destination: EFB Port ufmefb/EFBInst_0(ASIC) (to C14M_c +) - - Delay: 0.307ns (43.3% logic, 56.7% route), 1 logic levels. - - Constraint Details: - - 0.307ns physical path delay SLICE_36 to ufmefb/EFBInst_0 meets - -0.105ns WBADRI_HLD and - 0.000ns delay constraint less - -0.054ns skew requirement (totaling -0.051ns) by 0.358ns - - Physical Path Details: - - Data path SLICE_36 to ufmefb/EFBInst_0: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R2C5C.CLK to R2C5C.Q1 SLICE_36 (from C14M_c) -ROUTE 2 0.174 R2C5C.Q1 to EFB.WBADRI3 wb_adr[3] (to C14M_c) - -------- - 0.307 (43.3% logic, 56.7% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path C14M to SLICE_36: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 1.668 62.PADDI to R2C5C.CLK C14M_c - -------- - 1.668 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path C14M to ufmefb/EFBInst_0: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 1.722 62.PADDI to EFB.WBCLKI C14M_c +ROUTE 89 1.722 62.PADDI to EFB.WBCLKI C14M_c -------- 1.722 (0.0% logic, 100.0% route), 0 logic levels. @@ -719,43 +708,43 @@ Passed: The following path meets requirements by 0.379ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q CmdBitbangMXO2 (from C14M_c +) - Destination: FF Data in CmdBitbangMXO2 (to C14M_c +) + Source: FF Q FS[15] (from C14M_c +) + Destination: FF Data in FS[15] (to C14M_c +) Delay: 0.366ns (63.9% logic, 36.1% route), 2 logic levels. Constraint Details: - 0.366ns physical path delay SLICE_12 to SLICE_12 meets + 0.366ns physical path delay SLICE_1 to SLICE_1 meets -0.013ns DIN_HLD and 0.000ns delay constraint less 0.000ns skew requirement (totaling -0.013ns) by 0.379ns Physical Path Details: - Data path SLICE_12 to SLICE_12: + Data path SLICE_1 to SLICE_1: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R4C7D.CLK to R4C7D.Q0 SLICE_12 (from C14M_c) -ROUTE 2 0.132 R4C7D.Q0 to R4C7D.A0 CmdBitbangMXO2 -CTOF_DEL --- 0.101 R4C7D.A0 to R4C7D.F0 SLICE_12 -ROUTE 1 0.000 R4C7D.F0 to R4C7D.DI0 CmdBitbangMXO2_4 (to C14M_c) +REG_DEL --- 0.133 R2C12A.CLK to R2C12A.Q0 SLICE_1 (from C14M_c) +ROUTE 9 0.132 R2C12A.Q0 to R2C12A.A0 FS[15] +CTOF_DEL --- 0.101 R2C12A.A0 to R2C12A.F0 SLICE_1 +ROUTE 1 0.000 R2C12A.F0 to R2C12A.DI0 FS_s[15] (to C14M_c) -------- 0.366 (63.9% logic, 36.1% route), 2 logic levels. Clock Skew Details: - Source Clock Path C14M to SLICE_12: + Source Clock Path C14M to SLICE_1: Name Fanout Delay (ns) Site Resource -ROUTE 84 1.668 62.PADDI to R4C7D.CLK C14M_c +ROUTE 89 1.668 62.PADDI to R2C12A.CLK C14M_c -------- 1.668 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path C14M to SLICE_12: + Destination Clock Path C14M to SLICE_1: Name Fanout Delay (ns) Site Resource -ROUTE 84 1.668 62.PADDI to R4C7D.CLK C14M_c +ROUTE 89 1.668 62.PADDI to R2C12A.CLK C14M_c -------- 1.668 (0.0% logic, 100.0% route), 0 logic levels. @@ -764,233 +753,8 @@ Passed: The following path meets requirements by 0.379ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q CmdExecMXO2 (from C14M_c +) - Destination: FF Data in CmdExecMXO2 (to C14M_c +) - - Delay: 0.366ns (63.9% logic, 36.1% route), 2 logic levels. - - Constraint Details: - - 0.366ns physical path delay SLICE_13 to SLICE_13 meets - -0.013ns DIN_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.013ns) by 0.379ns - - Physical Path Details: - - Data path SLICE_13 to SLICE_13: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R5C7A.CLK to R5C7A.Q0 SLICE_13 (from C14M_c) -ROUTE 4 0.132 R5C7A.Q0 to R5C7A.A0 CmdExecMXO2 -CTOF_DEL --- 0.101 R5C7A.A0 to R5C7A.F0 SLICE_13 -ROUTE 1 0.000 R5C7A.F0 to R5C7A.DI0 CmdExecMXO2_4 (to C14M_c) - -------- - 0.366 (63.9% logic, 36.1% route), 2 logic levels. - - Clock Skew Details: - - Source Clock Path C14M to SLICE_13: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 1.668 62.PADDI to R5C7A.CLK C14M_c - -------- - 1.668 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path C14M to SLICE_13: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 1.668 62.PADDI to R5C7A.CLK C14M_c - -------- - 1.668 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.379ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q CmdLEDGet (from C14M_c +) - Destination: FF Data in CmdLEDGet (to C14M_c +) - - Delay: 0.366ns (63.9% logic, 36.1% route), 2 logic levels. - - Constraint Details: - - 0.366ns physical path delay SLICE_14 to SLICE_14 meets - -0.013ns DIN_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.013ns) by 0.379ns - - Physical Path Details: - - Data path SLICE_14 to SLICE_14: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R7C8A.CLK to R7C8A.Q0 SLICE_14 (from C14M_c) -ROUTE 2 0.132 R7C8A.Q0 to R7C8A.A0 CmdLEDGet -CTOF_DEL --- 0.101 R7C8A.A0 to R7C8A.F0 SLICE_14 -ROUTE 1 0.000 R7C8A.F0 to R7C8A.DI0 CmdLEDGet_4 (to C14M_c) - -------- - 0.366 (63.9% logic, 36.1% route), 2 logic levels. - - Clock Skew Details: - - Source Clock Path C14M to SLICE_14: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 1.668 62.PADDI to R7C8A.CLK C14M_c - -------- - 1.668 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path C14M to SLICE_14: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 1.668 62.PADDI to R7C8A.CLK C14M_c - -------- - 1.668 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.379ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q CmdLEDSet (from C14M_c +) - Destination: FF Data in CmdLEDSet (to C14M_c +) - - Delay: 0.366ns (63.9% logic, 36.1% route), 2 logic levels. - - Constraint Details: - - 0.366ns physical path delay SLICE_15 to SLICE_15 meets - -0.013ns DIN_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.013ns) by 0.379ns - - Physical Path Details: - - Data path SLICE_15 to SLICE_15: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R3C7A.CLK to R3C7A.Q0 SLICE_15 (from C14M_c) -ROUTE 2 0.132 R3C7A.Q0 to R3C7A.A0 CmdLEDSet -CTOF_DEL --- 0.101 R3C7A.A0 to R3C7A.F0 SLICE_15 -ROUTE 1 0.000 R3C7A.F0 to R3C7A.DI0 CmdLEDSet_4 (to C14M_c) - -------- - 0.366 (63.9% logic, 36.1% route), 2 logic levels. - - Clock Skew Details: - - Source Clock Path C14M to SLICE_15: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 1.668 62.PADDI to R3C7A.CLK C14M_c - -------- - 1.668 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path C14M to SLICE_15: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 1.668 62.PADDI to R3C7A.CLK C14M_c - -------- - 1.668 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.379ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q CmdRWMaskSet (from C14M_c +) - Destination: FF Data in CmdRWMaskSet (to C14M_c +) - - Delay: 0.366ns (63.9% logic, 36.1% route), 2 logic levels. - - Constraint Details: - - 0.366ns physical path delay SLICE_16 to SLICE_16 meets - -0.013ns DIN_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.013ns) by 0.379ns - - Physical Path Details: - - Data path SLICE_16 to SLICE_16: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R4C7A.CLK to R4C7A.Q0 SLICE_16 (from C14M_c) -ROUTE 2 0.132 R4C7A.Q0 to R4C7A.A0 CmdRWMaskSet -CTOF_DEL --- 0.101 R4C7A.A0 to R4C7A.F0 SLICE_16 -ROUTE 1 0.000 R4C7A.F0 to R4C7A.DI0 CmdRWMaskSet_4 (to C14M_c) - -------- - 0.366 (63.9% logic, 36.1% route), 2 logic levels. - - Clock Skew Details: - - Source Clock Path C14M to SLICE_16: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 1.668 62.PADDI to R4C7A.CLK C14M_c - -------- - 1.668 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path C14M to SLICE_16: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 1.668 62.PADDI to R4C7A.CLK C14M_c - -------- - 1.668 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.379ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q CmdSetRWBankFFLED (from C14M_c +) - Destination: FF Data in CmdSetRWBankFFLED (to C14M_c +) - - Delay: 0.366ns (63.9% logic, 36.1% route), 2 logic levels. - - Constraint Details: - - 0.366ns physical path delay SLICE_17 to SLICE_17 meets - -0.013ns DIN_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.013ns) by 0.379ns - - Physical Path Details: - - Data path SLICE_17 to SLICE_17: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R3C8B.CLK to R3C8B.Q0 SLICE_17 (from C14M_c) -ROUTE 2 0.132 R3C8B.Q0 to R3C8B.A0 CmdSetRWBankFFLED -CTOF_DEL --- 0.101 R3C8B.A0 to R3C8B.F0 SLICE_17 -ROUTE 1 0.000 R3C8B.F0 to R3C8B.DI0 CmdSetRWBankFFLED_4 (to C14M_c) - -------- - 0.366 (63.9% logic, 36.1% route), 2 logic levels. - - Clock Skew Details: - - Source Clock Path C14M to SLICE_17: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 1.668 62.PADDI to R3C8B.CLK C14M_c - -------- - 1.668 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path C14M to SLICE_17: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 1.668 62.PADDI to R3C8B.CLK C14M_c - -------- - 1.668 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.379ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q CmdSetRWBankFFMXO2 (from C14M_c +) - Destination: FF Data in CmdSetRWBankFFMXO2 (to C14M_c +) + Source: FF Q CmdTout[2] (from C14M_c +) + Destination: FF Data in CmdTout[2] (to C14M_c +) Delay: 0.366ns (63.9% logic, 36.1% route), 2 logic levels. @@ -1006,10 +770,10 @@ Passed: The following path meets requirements by 0.379ns Data path SLICE_18 to SLICE_18: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R7C7A.CLK to R7C7A.Q0 SLICE_18 (from C14M_c) -ROUTE 2 0.132 R7C7A.Q0 to R7C7A.A0 CmdSetRWBankFFMXO2 -CTOF_DEL --- 0.101 R7C7A.A0 to R7C7A.F0 SLICE_18 -ROUTE 1 0.000 R7C7A.F0 to R7C7A.DI0 CmdSetRWBankFFMXO2_4 (to C14M_c) +REG_DEL --- 0.133 R8C11A.CLK to R8C11A.Q1 SLICE_18 (from C14M_c) +ROUTE 2 0.132 R8C11A.Q1 to R8C11A.A1 CmdTout[2] +CTOF_DEL --- 0.101 R8C11A.A1 to R8C11A.F1 SLICE_18 +ROUTE 1 0.000 R8C11A.F1 to R8C11A.DI1 N_369_i (to C14M_c) -------- 0.366 (63.9% logic, 36.1% route), 2 logic levels. @@ -1018,14 +782,14 @@ ROUTE 1 0.000 R7C7A.F0 to R7C7A.DI0 CmdSetRWBankFFMXO2_4 Source Clock Path C14M to SLICE_18: Name Fanout Delay (ns) Site Resource -ROUTE 84 1.668 62.PADDI to R7C7A.CLK C14M_c +ROUTE 89 1.668 62.PADDI to R8C11A.CLK C14M_c -------- 1.668 (0.0% logic, 100.0% route), 0 logic levels. Destination Clock Path C14M to SLICE_18: Name Fanout Delay (ns) Site Resource -ROUTE 84 1.668 62.PADDI to R7C7A.CLK C14M_c +ROUTE 89 1.668 62.PADDI to R8C11A.CLK C14M_c -------- 1.668 (0.0% logic, 100.0% route), 0 logic levels. @@ -1034,8 +798,53 @@ Passed: The following path meets requirements by 0.379ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q FS[14] (from C14M_c +) - Destination: FF Data in FS[14] (to C14M_c +) + Source: FF Q CmdTout[1] (from C14M_c +) + Destination: FF Data in CmdTout[1] (to C14M_c +) + + Delay: 0.366ns (63.9% logic, 36.1% route), 2 logic levels. + + Constraint Details: + + 0.366ns physical path delay SLICE_18 to SLICE_18 meets + -0.013ns DIN_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.013ns) by 0.379ns + + Physical Path Details: + + Data path SLICE_18 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R8C11A.CLK to R8C11A.Q0 SLICE_18 (from C14M_c) +ROUTE 3 0.132 R8C11A.Q0 to R8C11A.A0 CmdTout[1] +CTOF_DEL --- 0.101 R8C11A.A0 to R8C11A.F0 SLICE_18 +ROUTE 1 0.000 R8C11A.F0 to R8C11A.DI0 N_368_i (to C14M_c) + -------- + 0.366 (63.9% logic, 36.1% route), 2 logic levels. + + Clock Skew Details: + + Source Clock Path C14M to SLICE_18: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 1.668 62.PADDI to R8C11A.CLK C14M_c + -------- + 1.668 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path C14M to SLICE_18: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 1.668 62.PADDI to R8C11A.CLK C14M_c + -------- + 1.668 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.379ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS[13] (from C14M_c +) + Destination: FF Data in FS[13] (to C14M_c +) Delay: 0.366ns (63.9% logic, 36.1% route), 2 logic levels. @@ -1051,10 +860,10 @@ Passed: The following path meets requirements by 0.379ns Data path SLICE_2 to SLICE_2: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R5C9D.CLK to R5C9D.Q1 SLICE_2 (from C14M_c) -ROUTE 13 0.132 R5C9D.Q1 to R5C9D.A1 FS[14] -CTOF_DEL --- 0.101 R5C9D.A1 to R5C9D.F1 SLICE_2 -ROUTE 1 0.000 R5C9D.F1 to R5C9D.DI1 FS_s[14] (to C14M_c) +REG_DEL --- 0.133 R2C11D.CLK to R2C11D.Q0 SLICE_2 (from C14M_c) +ROUTE 19 0.132 R2C11D.Q0 to R2C11D.A0 FS[13] +CTOF_DEL --- 0.101 R2C11D.A0 to R2C11D.F0 SLICE_2 +ROUTE 1 0.000 R2C11D.F0 to R2C11D.DI0 FS_s[13] (to C14M_c) -------- 0.366 (63.9% logic, 36.1% route), 2 logic levels. @@ -1063,14 +872,239 @@ ROUTE 1 0.000 R5C9D.F1 to R5C9D.DI1 FS_s[14] (to C14M_c) Source Clock Path C14M to SLICE_2: Name Fanout Delay (ns) Site Resource -ROUTE 84 1.668 62.PADDI to R5C9D.CLK C14M_c +ROUTE 89 1.668 62.PADDI to R2C11D.CLK C14M_c -------- 1.668 (0.0% logic, 100.0% route), 0 logic levels. Destination Clock Path C14M to SLICE_2: Name Fanout Delay (ns) Site Resource -ROUTE 84 1.668 62.PADDI to R5C9D.CLK C14M_c +ROUTE 89 1.668 62.PADDI to R2C11D.CLK C14M_c + -------- + 1.668 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.379ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RA[9] (from C14M_c +) + Destination: FF Data in RA[9] (to C14M_c +) + + Delay: 0.366ns (63.9% logic, 36.1% route), 2 logic levels. + + Constraint Details: + + 0.366ns physical path delay SLICE_24 to SLICE_24 meets + -0.013ns DIN_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.013ns) by 0.379ns + + Physical Path Details: + + Data path SLICE_24 to SLICE_24: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C11C.CLK to R5C11C.Q1 SLICE_24 (from C14M_c) +ROUTE 2 0.132 R5C11C.Q1 to R5C11C.A1 RA[9] +CTOF_DEL --- 0.101 R5C11C.A1 to R5C11C.F1 SLICE_24 +ROUTE 1 0.000 R5C11C.F1 to R5C11C.DI1 RA_35[9] (to C14M_c) + -------- + 0.366 (63.9% logic, 36.1% route), 2 logic levels. + + Clock Skew Details: + + Source Clock Path C14M to SLICE_24: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 1.668 62.PADDI to R5C11C.CLK C14M_c + -------- + 1.668 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path C14M to SLICE_24: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 1.668 62.PADDI to R5C11C.CLK C14M_c + -------- + 1.668 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.379ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RA[8] (from C14M_c +) + Destination: FF Data in RA[8] (to C14M_c +) + + Delay: 0.366ns (63.9% logic, 36.1% route), 2 logic levels. + + Constraint Details: + + 0.366ns physical path delay SLICE_24 to SLICE_24 meets + -0.013ns DIN_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.013ns) by 0.379ns + + Physical Path Details: + + Data path SLICE_24 to SLICE_24: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C11C.CLK to R5C11C.Q0 SLICE_24 (from C14M_c) +ROUTE 2 0.132 R5C11C.Q0 to R5C11C.A0 RA[8] +CTOF_DEL --- 0.101 R5C11C.A0 to R5C11C.F0 SLICE_24 +ROUTE 1 0.000 R5C11C.F0 to R5C11C.DI0 un2_S_2_i_0_0_o3_RNIHFHN3 (to C14M_c) + -------- + 0.366 (63.9% logic, 36.1% route), 2 logic levels. + + Clock Skew Details: + + Source Clock Path C14M to SLICE_24: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 1.668 62.PADDI to R5C11C.CLK C14M_c + -------- + 1.668 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path C14M to SLICE_24: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 1.668 62.PADDI to R5C11C.CLK C14M_c + -------- + 1.668 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.379ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RA[11] (from C14M_c +) + Destination: FF Data in RA[11] (to C14M_c +) + + Delay: 0.366ns (63.9% logic, 36.1% route), 2 logic levels. + + Constraint Details: + + 0.366ns physical path delay SLICE_25 to SLICE_25 meets + -0.013ns DIN_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.013ns) by 0.379ns + + Physical Path Details: + + Data path SLICE_25 to SLICE_25: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C12D.CLK to R5C12D.Q1 SLICE_25 (from C14M_c) +ROUTE 2 0.132 R5C12D.Q1 to R5C12D.A1 RA[11] +CTOF_DEL --- 0.101 R5C12D.A1 to R5C12D.F1 SLICE_25 +ROUTE 1 0.000 R5C12D.F1 to R5C12D.DI1 RA_35[11] (to C14M_c) + -------- + 0.366 (63.9% logic, 36.1% route), 2 logic levels. + + Clock Skew Details: + + Source Clock Path C14M to SLICE_25: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 1.668 62.PADDI to R5C12D.CLK C14M_c + -------- + 1.668 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path C14M to SLICE_25: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 1.668 62.PADDI to R5C12D.CLK C14M_c + -------- + 1.668 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.379ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RC[2] (from C14M_c +) + Destination: FF Data in RC[2] (to C14M_c +) + + Delay: 0.366ns (63.9% logic, 36.1% route), 2 logic levels. + + Constraint Details: + + 0.366ns physical path delay SLICE_26 to SLICE_26 meets + -0.013ns DIN_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.013ns) by 0.379ns + + Physical Path Details: + + Data path SLICE_26 to SLICE_26: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R4C15C.CLK to R4C15C.Q1 SLICE_26 (from C14M_c) +ROUTE 5 0.132 R4C15C.Q1 to R4C15C.A1 RC[2] +CTOF_DEL --- 0.101 R4C15C.A1 to R4C15C.F1 SLICE_26 +ROUTE 1 0.000 R4C15C.F1 to R4C15C.DI1 RC_3[2] (to C14M_c) + -------- + 0.366 (63.9% logic, 36.1% route), 2 logic levels. + + Clock Skew Details: + + Source Clock Path C14M to SLICE_26: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 1.668 62.PADDI to R4C15C.CLK C14M_c + -------- + 1.668 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path C14M to SLICE_26: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 1.668 62.PADDI to R4C15C.CLK C14M_c + -------- + 1.668 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.379ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS[12] (from C14M_c +) + Destination: FF Data in FS[12] (to C14M_c +) + + Delay: 0.366ns (63.9% logic, 36.1% route), 2 logic levels. + + Constraint Details: + + 0.366ns physical path delay SLICE_3 to SLICE_3 meets + -0.013ns DIN_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.013ns) by 0.379ns + + Physical Path Details: + + Data path SLICE_3 to SLICE_3: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R2C11C.CLK to R2C11C.Q1 SLICE_3 (from C14M_c) +ROUTE 24 0.132 R2C11C.Q1 to R2C11C.A1 FS[12] +CTOF_DEL --- 0.101 R2C11C.A1 to R2C11C.F1 SLICE_3 +ROUTE 1 0.000 R2C11C.F1 to R2C11C.DI1 FS_s[12] (to C14M_c) + -------- + 0.366 (63.9% logic, 36.1% route), 2 logic levels. + + Clock Skew Details: + + Source Clock Path C14M to SLICE_3: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 1.668 62.PADDI to R2C11C.CLK C14M_c + -------- + 1.668 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path C14M to SLICE_3: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 1.668 62.PADDI to R2C11C.CLK C14M_c -------- 1.668 (0.0% logic, 100.0% route), 0 logic levels. @@ -1093,7 +1127,7 @@ All preferences were met. Found 1 clocks: -Clock Domain: C14M_c Source: C14M.PAD Loads: 84 +Clock Domain: C14M_c Source: C14M.PAD Loads: 89 Covered under: FREQUENCY PORT "C14M" 14.300000 MHz ; @@ -1103,7 +1137,7 @@ Clock Domain: C14M_c Source: C14M.PAD Loads: 84 Timing errors: 0 Score: 0 Cumulative negative slack: 0 -Constraints cover 1491 paths, 1 nets, and 933 connections (82.86% coverage) +Constraints cover 1611 paths, 1 nets, and 1095 connections (82.33% coverage) diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_vo.sdf b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_vo.sdf index 0078982..e74d3da 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_vo.sdf +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_vo.sdf @@ -1,7 +1,7 @@ (DELAYFILE (SDFVERSION "3.0") (DESIGN "RAM2E") - (DATE "Thu Sep 21 05:35:16 2023") + (DATE "Thu Dec 28 23:10:25 2023") (VENDOR "Lattice") (PROGRAM "ldbanno") (VERSION "Diamond (64-bit) 3.12.1.454") @@ -236,7 +236,28 @@ (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + ) + (CELL + (CELLTYPE "SLICE_10") + (INSTANCE SLICE_10) + (DELAY + (ABSOLUTE + (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) ) @@ -251,14 +272,37 @@ ) ) (CELL - (CELLTYPE "SLICE_10") - (INSTANCE SLICE_10) + (CELLTYPE "SLICE_11") + (INSTANCE SLICE_11) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + ) + (CELL + (CELLTYPE "SLICE_12") + (INSTANCE SLICE_12) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) @@ -279,15 +323,17 @@ ) ) (CELL - (CELLTYPE "SLICE_11") - (INSTANCE SLICE_11) + (CELLTYPE "SLICE_13") + (INSTANCE SLICE_13) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) ) @@ -303,54 +349,6 @@ (WIDTH (negedge CLK) (1250:1250:1250)) ) ) - (CELL - (CELLTYPE "SLICE_12") - (INSTANCE SLICE_12) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - (IOPATH CLK Q0 (392:422:452)(392:422:452)) - ) - ) - (TIMINGCHECK - (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) - (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1250:1250:1250)) - (WIDTH (negedge CLK) (1250:1250:1250)) - ) - ) - (CELL - (CELLTYPE "SLICE_13") - (INSTANCE SLICE_13) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - (IOPATH CLK Q0 (392:422:452)(392:422:452)) - ) - ) - (TIMINGCHECK - (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) - (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1250:1250:1250)) - (WIDTH (negedge CLK) (1250:1250:1250)) - ) - ) (CELL (CELLTYPE "SLICE_14") (INSTANCE SLICE_14) @@ -358,7 +356,6 @@ (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) @@ -382,10 +379,10 @@ (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) @@ -406,6 +403,7 @@ (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) @@ -432,7 +430,7 @@ (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) @@ -456,14 +454,16 @@ (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) + (IOPATH CLK Q1 (392:422:452)(392:422:452)) ) ) (TIMINGCHECK + (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11)) (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) ) @@ -477,23 +477,20 @@ (INSTANCE SLICE_19) (DELAY (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) - (IOPATH CLK Q1 (392:422:452)(392:422:452)) ) ) (TIMINGCHECK - (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11)) - (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) - (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) + (SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37)) + (SETUPHOLD LSR (posedge CLK) (225:249:274)(-225:-183:-141)) ) (TIMINGCHECK + (WIDTH (posedge LSR) (4000:4000:4000)) + (WIDTH (negedge LSR) (4000:4000:4000)) (WIDTH (posedge CLK) (1250:1250:1250)) (WIDTH (negedge CLK) (1250:1250:1250)) ) @@ -512,10 +509,13 @@ (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) + (IOPATH CLK Q1 (392:422:452)(392:422:452)) ) ) (TIMINGCHECK + (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11)) (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) ) (TIMINGCHECK (WIDTH (posedge CLK) (1250:1250:1250)) @@ -528,15 +528,18 @@ (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) + (IOPATH CLK Q1 (392:422:452)(392:422:452)) ) ) (TIMINGCHECK + (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11)) (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) ) @@ -550,11 +553,12 @@ (INSTANCE SLICE_22) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) (IOPATH CLK Q1 (392:422:452)(392:422:452)) @@ -578,7 +582,7 @@ (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) @@ -603,6 +607,7 @@ (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) @@ -625,10 +630,12 @@ (INSTANCE SLICE_25) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) @@ -651,11 +658,11 @@ (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) (IOPATH CLK Q1 (392:422:452)(392:422:452)) ) @@ -678,9 +685,9 @@ (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) (IOPATH CLK Q1 (392:422:452)(392:422:452)) ) @@ -700,12 +707,12 @@ (INSTANCE SLICE_28) (DELAY (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) (IOPATH CLK Q1 (392:422:452)(392:422:452)) ) @@ -726,10 +733,10 @@ (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) (IOPATH CLK Q1 (392:422:452)(392:422:452)) @@ -751,11 +758,11 @@ (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) (IOPATH CLK Q1 (392:422:452)(392:422:452)) ) @@ -775,6 +782,7 @@ (INSTANCE SLICE_31) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) @@ -877,20 +885,18 @@ (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) - (IOPATH CLK Q1 (392:422:452)(392:422:452)) ) ) (TIMINGCHECK - (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11)) (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) - (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) + (SETUPHOLD LSR (posedge CLK) (225:249:274)(-225:-183:-141)) ) (TIMINGCHECK + (WIDTH (posedge LSR) (4000:4000:4000)) + (WIDTH (negedge LSR) (4000:4000:4000)) (WIDTH (posedge CLK) (1250:1250:1250)) (WIDTH (negedge CLK) (1250:1250:1250)) ) @@ -902,16 +908,17 @@ (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) - (IOPATH CLK Q1 (392:422:452)(392:422:452)) ) ) (TIMINGCHECK - (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11)) (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) - (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) ) (TIMINGCHECK (WIDTH (posedge CLK) (1250:1250:1250)) @@ -924,19 +931,18 @@ (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) - (IOPATH CLK Q1 (392:422:452)(392:422:452)) ) ) (TIMINGCHECK - (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11)) (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) - (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) ) (TIMINGCHECK (WIDTH (posedge CLK) (1250:1250:1250)) @@ -949,18 +955,18 @@ (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) - (IOPATH CLK Q1 (392:422:452)(392:422:452)) ) ) (TIMINGCHECK - (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11)) (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) - (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) ) (TIMINGCHECK (WIDTH (posedge CLK) (1250:1250:1250)) @@ -968,14 +974,15 @@ ) ) (CELL - (CELLTYPE "SLICE_39") - (INSTANCE SLICE_39) + (CELLTYPE "ram2e_ufm_SLICE_39") + (INSTANCE ram2e_ufm\/SLICE_39) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) @@ -992,8 +999,179 @@ ) ) (CELL - (CELLTYPE "SLICE_40") - (INSTANCE SLICE_40) + (CELLTYPE "ram2e_ufm_SLICE_40") + (INSTANCE ram2e_ufm\/SLICE_40) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_41") + (INSTANCE ram2e_ufm\/SLICE_41) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_42") + (INSTANCE ram2e_ufm\/SLICE_42) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_43") + (INSTANCE ram2e_ufm\/SLICE_43) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + (IOPATH CLK Q1 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_44") + (INSTANCE ram2e_ufm\/SLICE_44) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + (IOPATH CLK Q1 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_45") + (INSTANCE ram2e_ufm\/SLICE_45) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + (IOPATH CLK Q1 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_46") + (INSTANCE ram2e_ufm\/SLICE_46) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + (IOPATH CLK Q1 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_47") + (INSTANCE ram2e_ufm\/SLICE_47) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1019,14 +1197,36 @@ ) ) (CELL - (CELLTYPE "SLICE_41") - (INSTANCE SLICE_41) + (CELLTYPE "ram2e_ufm_SLICE_48") + (INSTANCE ram2e_ufm\/SLICE_48) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + (IOPATH CLK Q1 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_49") + (INSTANCE ram2e_ufm\/SLICE_49) + (DELAY + (ABSOLUTE + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) @@ -1045,8 +1245,56 @@ ) ) (CELL - (CELLTYPE "SLICE_42") - (INSTANCE SLICE_42) + (CELLTYPE "ram2e_ufm_SLICE_50") + (INSTANCE ram2e_ufm\/SLICE_50) + (DELAY + (ABSOLUTE + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + (IOPATH CLK Q1 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_51") + (INSTANCE ram2e_ufm\/SLICE_51) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_52") + (INSTANCE ram2e_ufm\/SLICE_52) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1072,8 +1320,8 @@ ) ) (CELL - (CELLTYPE "SLICE_43") - (INSTANCE SLICE_43) + (CELLTYPE "ram2e_ufm_SLICE_53") + (INSTANCE ram2e_ufm\/SLICE_53) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1081,6 +1329,7 @@ (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) @@ -1098,11 +1347,66 @@ ) ) (CELL - (CELLTYPE "SLICE_44") - (INSTANCE SLICE_44) + (CELLTYPE "ram2e_ufm_SLICE_54") + (INSTANCE ram2e_ufm\/SLICE_54) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + (IOPATH CLK Q1 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_55") + (INSTANCE ram2e_ufm\/SLICE_55) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + (IOPATH CLK Q1 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_56") + (INSTANCE ram2e_ufm\/SLICE_56) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) @@ -1125,13 +1429,15 @@ ) ) (CELL - (CELLTYPE "SLICE_45") - (INSTANCE SLICE_45) + (CELLTYPE "ram2e_ufm_SLICE_57") + (INSTANCE ram2e_ufm\/SLICE_57) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) ) @@ -1148,8 +1454,8 @@ ) ) (CELL - (CELLTYPE "SLICE_46") - (INSTANCE SLICE_46) + (CELLTYPE "ram2e_ufm_SLICE_58") + (INSTANCE ram2e_ufm\/SLICE_58) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1173,8 +1479,54 @@ ) ) (CELL - (CELLTYPE "SLICE_47") - (INSTANCE SLICE_47) + (CELLTYPE "ram2e_ufm_SUM0_i_m3_0_SLICE_59") + (INSTANCE ram2e_ufm\/SUM0_i_m3_0\/SLICE_59) + (DELAY + (ABSOLUTE + (IOPATH D1 OFX0 (457:589:721)(457:589:721)) + (IOPATH C1 OFX0 (457:589:721)(457:589:721)) + (IOPATH B1 OFX0 (457:589:721)(457:589:721)) + (IOPATH D0 OFX0 (457:589:721)(457:589:721)) + (IOPATH C0 OFX0 (457:589:721)(457:589:721)) + (IOPATH B0 OFX0 (457:589:721)(457:589:721)) + (IOPATH M0 OFX0 (322:349:376)(322:349:376)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_un1_CS_0_sqmuxa_0_0_0_SLICE_60") + (INSTANCE ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60) + (DELAY + (ABSOLUTE + (IOPATH D1 OFX0 (457:589:721)(457:589:721)) + (IOPATH C1 OFX0 (457:589:721)(457:589:721)) + (IOPATH B1 OFX0 (457:589:721)(457:589:721)) + (IOPATH A1 OFX0 (457:589:721)(457:589:721)) + (IOPATH C0 OFX0 (457:589:721)(457:589:721)) + (IOPATH B0 OFX0 (457:589:721)(457:589:721)) + (IOPATH A0 OFX0 (457:589:721)(457:589:721)) + (IOPATH M0 OFX0 (322:349:376)(322:349:376)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_CKE_7_SLICE_61") + (INSTANCE ram2e_ufm\/CKE_7\/SLICE_61) + (DELAY + (ABSOLUTE + (IOPATH D1 OFX0 (457:589:721)(457:589:721)) + (IOPATH C1 OFX0 (457:589:721)(457:589:721)) + (IOPATH A1 OFX0 (457:589:721)(457:589:721)) + (IOPATH D0 OFX0 (457:589:721)(457:589:721)) + (IOPATH B0 OFX0 (457:589:721)(457:589:721)) + (IOPATH A0 OFX0 (457:589:721)(457:589:721)) + (IOPATH M0 OFX0 (322:349:376)(322:349:376)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_62") + (INSTANCE ram2e_ufm\/SLICE_62) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1189,8 +1541,8 @@ ) ) (CELL - (CELLTYPE "SLICE_48") - (INSTANCE SLICE_48) + (CELLTYPE "ram2e_ufm_SLICE_63") + (INSTANCE ram2e_ufm\/SLICE_63) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1205,8 +1557,23 @@ ) ) (CELL - (CELLTYPE "SLICE_49") - (INSTANCE SLICE_49) + (CELLTYPE "ram2e_ufm_SLICE_64") + (INSTANCE ram2e_ufm\/SLICE_64) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_65") + (INSTANCE ram2e_ufm\/SLICE_65) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1221,8 +1588,8 @@ ) ) (CELL - (CELLTYPE "SLICE_50") - (INSTANCE SLICE_50) + (CELLTYPE "ram2e_ufm_SLICE_66") + (INSTANCE ram2e_ufm\/SLICE_66) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1237,8 +1604,23 @@ ) ) (CELL - (CELLTYPE "SLICE_51") - (INSTANCE SLICE_51) + (CELLTYPE "ram2e_ufm_SLICE_67") + (INSTANCE ram2e_ufm\/SLICE_67) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_68") + (INSTANCE ram2e_ufm\/SLICE_68) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1253,8 +1635,8 @@ ) ) (CELL - (CELLTYPE "SLICE_52") - (INSTANCE SLICE_52) + (CELLTYPE "ram2e_ufm_SLICE_69") + (INSTANCE ram2e_ufm\/SLICE_69) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1269,8 +1651,52 @@ ) ) (CELL - (CELLTYPE "SLICE_53") - (INSTANCE SLICE_53) + (CELLTYPE "ram2e_ufm_SLICE_70") + (INSTANCE ram2e_ufm\/SLICE_70) + (DELAY + (ABSOLUTE + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_71") + (INSTANCE ram2e_ufm\/SLICE_71) + (DELAY + (ABSOLUTE + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_72") + (INSTANCE ram2e_ufm\/SLICE_72) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_73") + (INSTANCE ram2e_ufm\/SLICE_73) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1285,8 +1711,81 @@ ) ) (CELL - (CELLTYPE "SLICE_54") - (INSTANCE SLICE_54) + (CELLTYPE "ram2e_ufm_SLICE_74") + (INSTANCE ram2e_ufm\/SLICE_74) + (DELAY + (ABSOLUTE + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_75") + (INSTANCE ram2e_ufm\/SLICE_75) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_76") + (INSTANCE ram2e_ufm\/SLICE_76) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_77") + (INSTANCE ram2e_ufm\/SLICE_77) + (DELAY + (ABSOLUTE + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_78") + (INSTANCE ram2e_ufm\/SLICE_78) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_79") + (INSTANCE ram2e_ufm\/SLICE_79) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1301,8 +1800,8 @@ ) ) (CELL - (CELLTYPE "SLICE_55") - (INSTANCE SLICE_55) + (CELLTYPE "ram2e_ufm_SLICE_80") + (INSTANCE ram2e_ufm\/SLICE_80) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1317,22 +1816,8 @@ ) ) (CELL - (CELLTYPE "SLICE_56") - (INSTANCE SLICE_56) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_57") - (INSTANCE SLICE_57) + (CELLTYPE "ram2e_ufm_SLICE_81") + (INSTANCE ram2e_ufm\/SLICE_81) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1347,8 +1832,8 @@ ) ) (CELL - (CELLTYPE "SLICE_58") - (INSTANCE SLICE_58) + (CELLTYPE "ram2e_ufm_SLICE_82") + (INSTANCE ram2e_ufm\/SLICE_82) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1363,8 +1848,23 @@ ) ) (CELL - (CELLTYPE "SLICE_59") - (INSTANCE SLICE_59) + (CELLTYPE "ram2e_ufm_SLICE_83") + (INSTANCE ram2e_ufm\/SLICE_83) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_84") + (INSTANCE ram2e_ufm\/SLICE_84) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1379,126 +1879,8 @@ ) ) (CELL - (CELLTYPE "SLICE_60") - (INSTANCE SLICE_60) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_61") - (INSTANCE SLICE_61) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_62") - (INSTANCE SLICE_62) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_63") - (INSTANCE SLICE_63) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_64") - (INSTANCE SLICE_64) - (DELAY - (ABSOLUTE - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_65") - (INSTANCE SLICE_65) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_66") - (INSTANCE SLICE_66) - (DELAY - (ABSOLUTE - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_67") - (INSTANCE SLICE_67) - (DELAY - (ABSOLUTE - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_68") - (INSTANCE SLICE_68) + (CELLTYPE "ram2e_ufm_SLICE_85") + (INSTANCE ram2e_ufm\/SLICE_85) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1513,8 +1895,66 @@ ) ) (CELL - (CELLTYPE "SLICE_69") - (INSTANCE SLICE_69) + (CELLTYPE "ram2e_ufm_SLICE_86") + (INSTANCE ram2e_ufm\/SLICE_86) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_87") + (INSTANCE ram2e_ufm\/SLICE_87) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_88") + (INSTANCE ram2e_ufm\/SLICE_88) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_89") + (INSTANCE ram2e_ufm\/SLICE_89) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_90") + (INSTANCE ram2e_ufm\/SLICE_90) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1529,8 +1969,8 @@ ) ) (CELL - (CELLTYPE "SLICE_70") - (INSTANCE SLICE_70) + (CELLTYPE "ram2e_ufm_SLICE_91") + (INSTANCE ram2e_ufm\/SLICE_91) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1545,8 +1985,8 @@ ) ) (CELL - (CELLTYPE "SLICE_71") - (INSTANCE SLICE_71) + (CELLTYPE "ram2e_ufm_SLICE_92") + (INSTANCE ram2e_ufm\/SLICE_92) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1561,8 +2001,37 @@ ) ) (CELL - (CELLTYPE "SLICE_72") - (INSTANCE SLICE_72) + (CELLTYPE "ram2e_ufm_SLICE_93") + (INSTANCE ram2e_ufm\/SLICE_93) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_94") + (INSTANCE ram2e_ufm\/SLICE_94) + (DELAY + (ABSOLUTE + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_95") + (INSTANCE ram2e_ufm\/SLICE_95) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1577,8 +2046,8 @@ ) ) (CELL - (CELLTYPE "SLICE_73") - (INSTANCE SLICE_73) + (CELLTYPE "ram2e_ufm_SLICE_96") + (INSTANCE ram2e_ufm\/SLICE_96) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1593,42 +2062,13 @@ ) ) (CELL - (CELLTYPE "SLICE_74") - (INSTANCE SLICE_74) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_75") - (INSTANCE SLICE_75) + (CELLTYPE "ram2e_ufm_SLICE_97") + (INSTANCE ram2e_ufm\/SLICE_97) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_76") - (INSTANCE SLICE_76) - (DELAY - (ABSOLUTE - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) @@ -1637,8 +2077,22 @@ ) ) (CELL - (CELLTYPE "SLICE_77") - (INSTANCE SLICE_77) + (CELLTYPE "ram2e_ufm_SLICE_98") + (INSTANCE ram2e_ufm\/SLICE_98) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_99") + (INSTANCE ram2e_ufm\/SLICE_99) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1652,50 +2106,8 @@ ) ) (CELL - (CELLTYPE "SLICE_78") - (INSTANCE SLICE_78) - (DELAY - (ABSOLUTE - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_79") - (INSTANCE SLICE_79) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_80") - (INSTANCE SLICE_80) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_81") - (INSTANCE SLICE_81) + (CELLTYPE "ram2e_ufm_SLICE_100") + (INSTANCE ram2e_ufm\/SLICE_100) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1710,8 +2122,93 @@ ) ) (CELL - (CELLTYPE "SLICE_82") - (INSTANCE SLICE_82) + (CELLTYPE "ram2e_ufm_SLICE_101") + (INSTANCE ram2e_ufm\/SLICE_101) + (DELAY + (ABSOLUTE + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_102") + (INSTANCE ram2e_ufm\/SLICE_102) + (DELAY + (ABSOLUTE + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_103") + (INSTANCE ram2e_ufm\/SLICE_103) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_104") + (INSTANCE ram2e_ufm\/SLICE_104) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_105") + (INSTANCE ram2e_ufm\/SLICE_105) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_106") + (INSTANCE ram2e_ufm\/SLICE_106) + (DELAY + (ABSOLUTE + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_107") + (INSTANCE ram2e_ufm\/SLICE_107) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1726,8 +2223,140 @@ ) ) (CELL - (CELLTYPE "SLICE_83") - (INSTANCE SLICE_83) + (CELLTYPE "ram2e_ufm_SLICE_108") + (INSTANCE ram2e_ufm\/SLICE_108) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_109") + (INSTANCE ram2e_ufm\/SLICE_109) + (DELAY + (ABSOLUTE + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_110") + (INSTANCE ram2e_ufm\/SLICE_110) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_111") + (INSTANCE ram2e_ufm\/SLICE_111) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_112") + (INSTANCE ram2e_ufm\/SLICE_112) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_113") + (INSTANCE ram2e_ufm\/SLICE_113) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_114") + (INSTANCE ram2e_ufm\/SLICE_114) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_115") + (INSTANCE ram2e_ufm\/SLICE_115) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_116") + (INSTANCE ram2e_ufm\/SLICE_116) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_117") + (INSTANCE ram2e_ufm\/SLICE_117) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1742,8 +2371,8 @@ ) ) (CELL - (CELLTYPE "SLICE_84") - (INSTANCE SLICE_84) + (CELLTYPE "ram2e_ufm_SLICE_118") + (INSTANCE ram2e_ufm\/SLICE_118) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1758,8 +2387,8 @@ ) ) (CELL - (CELLTYPE "SLICE_85") - (INSTANCE SLICE_85) + (CELLTYPE "ram2e_ufm_SLICE_119") + (INSTANCE ram2e_ufm\/SLICE_119) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1774,8 +2403,8 @@ ) ) (CELL - (CELLTYPE "SLICE_86") - (INSTANCE SLICE_86) + (CELLTYPE "ram2e_ufm_SLICE_120") + (INSTANCE ram2e_ufm\/SLICE_120) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1790,81 +2419,8 @@ ) ) (CELL - (CELLTYPE "SLICE_87") - (INSTANCE SLICE_87) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_88") - (INSTANCE SLICE_88) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_89") - (INSTANCE SLICE_89) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_90") - (INSTANCE SLICE_90) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_91") - (INSTANCE SLICE_91) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_92") - (INSTANCE SLICE_92) + (CELLTYPE "ram2e_ufm_SLICE_121") + (INSTANCE ram2e_ufm\/SLICE_121) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1879,8 +2435,8 @@ ) ) (CELL - (CELLTYPE "SLICE_93") - (INSTANCE SLICE_93) + (CELLTYPE "ram2e_ufm_SLICE_122") + (INSTANCE ram2e_ufm\/SLICE_122) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1895,8 +2451,23 @@ ) ) (CELL - (CELLTYPE "SLICE_94") - (INSTANCE SLICE_94) + (CELLTYPE "ram2e_ufm_SLICE_123") + (INSTANCE ram2e_ufm\/SLICE_123) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_124") + (INSTANCE ram2e_ufm\/SLICE_124) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1911,8 +2482,8 @@ ) ) (CELL - (CELLTYPE "SLICE_95") - (INSTANCE SLICE_95) + (CELLTYPE "ram2e_ufm_SLICE_125") + (INSTANCE ram2e_ufm\/SLICE_125) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1927,80 +2498,8 @@ ) ) (CELL - (CELLTYPE "SLICE_96") - (INSTANCE SLICE_96) - (DELAY - (ABSOLUTE - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_97") - (INSTANCE SLICE_97) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_98") - (INSTANCE SLICE_98) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_99") - (INSTANCE SLICE_99) - (DELAY - (ABSOLUTE - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_100") - (INSTANCE SLICE_100) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_101") - (INSTANCE SLICE_101) + (CELLTYPE "ram2e_ufm_SLICE_126") + (INSTANCE ram2e_ufm\/SLICE_126) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -2015,8 +2514,8 @@ ) ) (CELL - (CELLTYPE "SLICE_102") - (INSTANCE SLICE_102) + (CELLTYPE "ram2e_ufm_SLICE_127") + (INSTANCE ram2e_ufm\/SLICE_127) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -2031,8 +2530,23 @@ ) ) (CELL - (CELLTYPE "SLICE_103") - (INSTANCE SLICE_103) + (CELLTYPE "ram2e_ufm_SLICE_128") + (INSTANCE ram2e_ufm\/SLICE_128) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_129") + (INSTANCE ram2e_ufm\/SLICE_129) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -2047,8 +2561,22 @@ ) ) (CELL - (CELLTYPE "SLICE_104") - (INSTANCE SLICE_104) + (CELLTYPE "ram2e_ufm_SLICE_130") + (INSTANCE ram2e_ufm\/SLICE_130) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_131") + (INSTANCE ram2e_ufm\/SLICE_131) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -2063,8 +2591,8 @@ ) ) (CELL - (CELLTYPE "SLICE_105") - (INSTANCE SLICE_105) + (CELLTYPE "ram2e_ufm_SLICE_132") + (INSTANCE ram2e_ufm\/SLICE_132) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -2079,50 +2607,23 @@ ) ) (CELL - (CELLTYPE "SLICE_106") - (INSTANCE SLICE_106) + (CELLTYPE "ram2e_ufm_SLICE_133") + (INSTANCE ram2e_ufm\/SLICE_133) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_107") - (INSTANCE SLICE_107) - (DELAY - (ABSOLUTE (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_108") - (INSTANCE SLICE_108) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) ) ) ) (CELL - (CELLTYPE "SLICE_109") - (INSTANCE SLICE_109) + (CELLTYPE "ram2e_ufm_SLICE_134") + (INSTANCE ram2e_ufm\/SLICE_134) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -2137,8 +2638,152 @@ ) ) (CELL - (CELLTYPE "SLICE_110") - (INSTANCE SLICE_110) + (CELLTYPE "ram2e_ufm_SLICE_135") + (INSTANCE ram2e_ufm\/SLICE_135) + (DELAY + (ABSOLUTE + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_136") + (INSTANCE ram2e_ufm\/SLICE_136) + (DELAY + (ABSOLUTE + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_137") + (INSTANCE ram2e_ufm\/SLICE_137) + (DELAY + (ABSOLUTE + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "SLICE_138") + (INSTANCE SLICE_138) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "SLICE_139") + (INSTANCE SLICE_139) + (DELAY + (ABSOLUTE + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_140") + (INSTANCE ram2e_ufm\/SLICE_140) + (DELAY + (ABSOLUTE + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_141") + (INSTANCE ram2e_ufm\/SLICE_141) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_142") + (INSTANCE ram2e_ufm\/SLICE_142) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_143") + (INSTANCE ram2e_ufm\/SLICE_143) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_144") + (INSTANCE ram2e_ufm\/SLICE_144) + (DELAY + (ABSOLUTE + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_145") + (INSTANCE ram2e_ufm\/SLICE_145) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_146") + (INSTANCE ram2e_ufm\/SLICE_146) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -2153,127 +2798,16 @@ ) ) (CELL - (CELLTYPE "SLICE_111") - (INSTANCE SLICE_111) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_112") - (INSTANCE SLICE_112) + (CELLTYPE "ram2e_ufm_SLICE_147") + (INSTANCE ram2e_ufm\/SLICE_147) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_113") - (INSTANCE SLICE_113) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_114") - (INSTANCE SLICE_114) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_115") - (INSTANCE SLICE_115) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_116") - (INSTANCE SLICE_116) - (DELAY - (ABSOLUTE - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_117") - (INSTANCE SLICE_117) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_118") - (INSTANCE SLICE_118) - (DELAY - (ABSOLUTE - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_119") - (INSTANCE SLICE_119) - (DELAY - (ABSOLUTE - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) ) ) ) @@ -2315,56 +2849,6 @@ (WIDTH (negedge C14M) (3330:3330:3330)) ) ) - (CELL - (CELLTYPE "DQMH") - (INSTANCE DQMH_I) - (DELAY - (ABSOLUTE - (IOPATH IOLDO DQMH (3411:3517:3624)(3411:3517:3624)) - ) - ) - ) - (CELL - (CELLTYPE "DQMH_MGIOL") - (INSTANCE DQMH_MGIOL) - (DELAY - (ABSOLUTE - (IOPATH CLK IOLDO (546:556:567)(546:556:567)) - ) - ) - (TIMINGCHECK - (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) - ) - ) - (CELL - (CELLTYPE "DQML") - (INSTANCE DQML_I) - (DELAY - (ABSOLUTE - (IOPATH IOLDO DQML (3411:3517:3624)(3411:3517:3624)) - ) - ) - ) - (CELL - (CELLTYPE "DQML_MGIOL") - (INSTANCE DQML_MGIOL) - (DELAY - (ABSOLUTE - (IOPATH CLK IOLDO (546:556:567)(546:556:567)) - ) - ) - (TIMINGCHECK - (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) - ) - ) (CELL (CELLTYPE "RD_7_") (INSTANCE RD\[7\]_I) @@ -2478,117 +2962,17 @@ ) ) (CELL - (CELLTYPE "RA_11_") - (INSTANCE RA\[11\]_I) + (CELLTYPE "DQMH") + (INSTANCE DQMH_I) (DELAY (ABSOLUTE - (IOPATH IOLDO RA11 (3411:3517:3624)(3411:3517:3624)) + (IOPATH IOLDO DQMH (3411:3517:3624)(3411:3517:3624)) ) ) ) (CELL - (CELLTYPE "RA_11__MGIOL") - (INSTANCE RA\[11\]_MGIOL) - (DELAY - (ABSOLUTE - (IOPATH CLK IOLDO (546:556:567)(546:556:567)) - ) - ) - (TIMINGCHECK - (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) - ) - ) - (CELL - (CELLTYPE "RA_10_") - (INSTANCE RA\[10\]_I) - (DELAY - (ABSOLUTE - (IOPATH IOLDO RA10 (3411:3517:3624)(3411:3517:3624)) - ) - ) - ) - (CELL - (CELLTYPE "RA_10__MGIOL") - (INSTANCE RA\[10\]_MGIOL) - (DELAY - (ABSOLUTE - (IOPATH CLK IOLDO (546:556:567)(546:556:567)) - ) - ) - (TIMINGCHECK - (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) - ) - ) - (CELL - (CELLTYPE "RA_9_") - (INSTANCE RA\[9\]_I) - (DELAY - (ABSOLUTE - (IOPATH IOLDO RA9 (3411:3517:3624)(3411:3517:3624)) - ) - ) - ) - (CELL - (CELLTYPE "RA_9__MGIOL") - (INSTANCE RA\[9\]_MGIOL) - (DELAY - (ABSOLUTE - (IOPATH CLK IOLDO (546:556:567)(546:556:567)) - ) - ) - (TIMINGCHECK - (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) - ) - ) - (CELL - (CELLTYPE "RA_8_") - (INSTANCE RA\[8\]_I) - (DELAY - (ABSOLUTE - (IOPATH IOLDO RA8 (3411:3517:3624)(3411:3517:3624)) - ) - ) - ) - (CELL - (CELLTYPE "RA_8__MGIOL") - (INSTANCE RA\[8\]_MGIOL) - (DELAY - (ABSOLUTE - (IOPATH CLK IOLDO (546:556:567)(546:556:567)) - ) - ) - (TIMINGCHECK - (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) - ) - ) - (CELL - (CELLTYPE "RA_7_") - (INSTANCE RA\[7\]_I) - (DELAY - (ABSOLUTE - (IOPATH IOLDO RA7 (3411:3517:3624)(3411:3517:3624)) - ) - ) - ) - (CELL - (CELLTYPE "RA_7__MGIOL") - (INSTANCE RA\[7\]_MGIOL) + (CELLTYPE "DQMH_MGIOL") + (INSTANCE DQMH_MGIOL) (DELAY (ABSOLUTE (IOPATH CLK IOLDO (546:556:567)(546:556:567)) @@ -2604,17 +2988,17 @@ ) ) (CELL - (CELLTYPE "RA_6_") - (INSTANCE RA\[6\]_I) + (CELLTYPE "DQML") + (INSTANCE DQML_I) (DELAY (ABSOLUTE - (IOPATH IOLDO RA6 (3411:3517:3624)(3411:3517:3624)) + (IOPATH IOLDO DQML (3411:3517:3624)(3411:3517:3624)) ) ) ) (CELL - (CELLTYPE "RA_6__MGIOL") - (INSTANCE RA\[6\]_MGIOL) + (CELLTYPE "DQML_MGIOL") + (INSTANCE DQML_MGIOL) (DELAY (ABSOLUTE (IOPATH CLK IOLDO (546:556:567)(546:556:567)) @@ -2630,127 +3014,305 @@ ) ) (CELL - (CELLTYPE "RA_5_") - (INSTANCE RA\[5\]_I) + (CELLTYPE "RAout_11_") + (INSTANCE RAout\[11\]_I) (DELAY (ABSOLUTE - (IOPATH IOLDO RA5 (3411:3517:3624)(3411:3517:3624)) + (IOPATH IOLDO RAout11 (3411:3517:3624)(3411:3517:3624)) ) ) ) (CELL - (CELLTYPE "RA_5__MGIOL") - (INSTANCE RA\[5\]_MGIOL) + (CELLTYPE "RAout_11__MGIOL") + (INSTANCE RAout\[11\]_MGIOL) (DELAY (ABSOLUTE (IOPATH CLK IOLDO (546:556:567)(546:556:567)) ) ) - (TIMINGCHECK - (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36)) - ) (TIMINGCHECK (WIDTH (posedge CLK) (1855:1855:1855)) (WIDTH (negedge CLK) (1855:1855:1855)) ) + (TIMINGCHECK + (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) + ) ) (CELL - (CELLTYPE "RA_4_") - (INSTANCE RA\[4\]_I) + (CELLTYPE "RAout_10_") + (INSTANCE RAout\[10\]_I) (DELAY (ABSOLUTE - (IOPATH IOLDO RA4 (3411:3517:3624)(3411:3517:3624)) + (IOPATH IOLDO RAout10 (3411:3517:3624)(3411:3517:3624)) ) ) ) (CELL - (CELLTYPE "RA_4__MGIOL") - (INSTANCE RA\[4\]_MGIOL) + (CELLTYPE "RAout_10__MGIOL") + (INSTANCE RAout\[10\]_MGIOL) (DELAY (ABSOLUTE (IOPATH CLK IOLDO (546:556:567)(546:556:567)) ) ) - (TIMINGCHECK - (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36)) - ) (TIMINGCHECK (WIDTH (posedge CLK) (1855:1855:1855)) (WIDTH (negedge CLK) (1855:1855:1855)) ) + (TIMINGCHECK + (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) + ) ) (CELL - (CELLTYPE "RA_3_") - (INSTANCE RA\[3\]_I) + (CELLTYPE "RAout_9_") + (INSTANCE RAout\[9\]_I) (DELAY (ABSOLUTE - (IOPATH PADDO RA3 (3411:3517:3624)(3411:3517:3624)) + (IOPATH IOLDO RAout9 (3411:3517:3624)(3411:3517:3624)) ) ) ) (CELL - (CELLTYPE "RA_2_") - (INSTANCE RA\[2\]_I) - (DELAY - (ABSOLUTE - (IOPATH IOLDO RA2 (3411:3517:3624)(3411:3517:3624)) - ) - ) - ) - (CELL - (CELLTYPE "RA_2__MGIOL") - (INSTANCE RA\[2\]_MGIOL) + (CELLTYPE "RAout_9__MGIOL") + (INSTANCE RAout\[9\]_MGIOL) (DELAY (ABSOLUTE (IOPATH CLK IOLDO (546:556:567)(546:556:567)) ) ) - (TIMINGCHECK - (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36)) - ) (TIMINGCHECK (WIDTH (posedge CLK) (1855:1855:1855)) (WIDTH (negedge CLK) (1855:1855:1855)) ) + (TIMINGCHECK + (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) + ) ) (CELL - (CELLTYPE "RA_1_") - (INSTANCE RA\[1\]_I) + (CELLTYPE "RAout_8_") + (INSTANCE RAout\[8\]_I) (DELAY (ABSOLUTE - (IOPATH IOLDO RA1 (3411:3517:3624)(3411:3517:3624)) + (IOPATH IOLDO RAout8 (3411:3517:3624)(3411:3517:3624)) ) ) ) (CELL - (CELLTYPE "RA_1__MGIOL") - (INSTANCE RA\[1\]_MGIOL) + (CELLTYPE "RAout_8__MGIOL") + (INSTANCE RAout\[8\]_MGIOL) (DELAY (ABSOLUTE (IOPATH CLK IOLDO (546:556:567)(546:556:567)) ) ) (TIMINGCHECK - (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36)) + (WIDTH (posedge CLK) (1855:1855:1855)) + (WIDTH (negedge CLK) (1855:1855:1855)) + ) + (TIMINGCHECK + (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) + ) + ) + (CELL + (CELLTYPE "RAout_7_") + (INSTANCE RAout\[7\]_I) + (DELAY + (ABSOLUTE + (IOPATH IOLDO RAout7 (3411:3517:3624)(3411:3517:3624)) + ) + ) + ) + (CELL + (CELLTYPE "RAout_7__MGIOL") + (INSTANCE RAout\[7\]_MGIOL) + (DELAY + (ABSOLUTE + (IOPATH CLK IOLDO (546:556:567)(546:556:567)) + ) ) (TIMINGCHECK (WIDTH (posedge CLK) (1855:1855:1855)) (WIDTH (negedge CLK) (1855:1855:1855)) ) + (TIMINGCHECK + (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) + ) ) (CELL - (CELLTYPE "RA_0_") - (INSTANCE RA\[0\]_I) + (CELLTYPE "RAout_6_") + (INSTANCE RAout\[6\]_I) (DELAY (ABSOLUTE - (IOPATH PADDO RA0 (3411:3517:3624)(3411:3517:3624)) + (IOPATH IOLDO RAout6 (3411:3517:3624)(3411:3517:3624)) ) ) ) + (CELL + (CELLTYPE "RAout_6__MGIOL") + (INSTANCE RAout\[6\]_MGIOL) + (DELAY + (ABSOLUTE + (IOPATH CLK IOLDO (546:556:567)(546:556:567)) + ) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1855:1855:1855)) + (WIDTH (negedge CLK) (1855:1855:1855)) + ) + (TIMINGCHECK + (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) + ) + ) + (CELL + (CELLTYPE "RAout_5_") + (INSTANCE RAout\[5\]_I) + (DELAY + (ABSOLUTE + (IOPATH IOLDO RAout5 (3411:3517:3624)(3411:3517:3624)) + ) + ) + ) + (CELL + (CELLTYPE "RAout_5__MGIOL") + (INSTANCE RAout\[5\]_MGIOL) + (DELAY + (ABSOLUTE + (IOPATH CLK IOLDO (546:556:567)(546:556:567)) + ) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1855:1855:1855)) + (WIDTH (negedge CLK) (1855:1855:1855)) + ) + (TIMINGCHECK + (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) + ) + ) + (CELL + (CELLTYPE "RAout_4_") + (INSTANCE RAout\[4\]_I) + (DELAY + (ABSOLUTE + (IOPATH IOLDO RAout4 (3411:3517:3624)(3411:3517:3624)) + ) + ) + ) + (CELL + (CELLTYPE "RAout_4__MGIOL") + (INSTANCE RAout\[4\]_MGIOL) + (DELAY + (ABSOLUTE + (IOPATH CLK IOLDO (546:556:567)(546:556:567)) + ) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1855:1855:1855)) + (WIDTH (negedge CLK) (1855:1855:1855)) + ) + (TIMINGCHECK + (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) + ) + ) + (CELL + (CELLTYPE "RAout_3_") + (INSTANCE RAout\[3\]_I) + (DELAY + (ABSOLUTE + (IOPATH IOLDO RAout3 (3411:3517:3624)(3411:3517:3624)) + ) + ) + ) + (CELL + (CELLTYPE "RAout_3__MGIOL") + (INSTANCE RAout\[3\]_MGIOL) + (DELAY + (ABSOLUTE + (IOPATH CLK IOLDO (546:556:567)(546:556:567)) + ) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1855:1855:1855)) + (WIDTH (negedge CLK) (1855:1855:1855)) + ) + (TIMINGCHECK + (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) + ) + ) + (CELL + (CELLTYPE "RAout_2_") + (INSTANCE RAout\[2\]_I) + (DELAY + (ABSOLUTE + (IOPATH IOLDO RAout2 (3411:3517:3624)(3411:3517:3624)) + ) + ) + ) + (CELL + (CELLTYPE "RAout_2__MGIOL") + (INSTANCE RAout\[2\]_MGIOL) + (DELAY + (ABSOLUTE + (IOPATH CLK IOLDO (546:556:567)(546:556:567)) + ) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1855:1855:1855)) + (WIDTH (negedge CLK) (1855:1855:1855)) + ) + (TIMINGCHECK + (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) + ) + ) + (CELL + (CELLTYPE "RAout_1_") + (INSTANCE RAout\[1\]_I) + (DELAY + (ABSOLUTE + (IOPATH IOLDO RAout1 (3411:3517:3624)(3411:3517:3624)) + ) + ) + ) + (CELL + (CELLTYPE "RAout_1__MGIOL") + (INSTANCE RAout\[1\]_MGIOL) + (DELAY + (ABSOLUTE + (IOPATH CLK IOLDO (546:556:567)(546:556:567)) + ) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1855:1855:1855)) + (WIDTH (negedge CLK) (1855:1855:1855)) + ) + (TIMINGCHECK + (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) + ) + ) + (CELL + (CELLTYPE "RAout_0_") + (INSTANCE RAout\[0\]_I) + (DELAY + (ABSOLUTE + (IOPATH IOLDO RAout0 (3411:3517:3624)(3411:3517:3624)) + ) + ) + ) + (CELL + (CELLTYPE "RAout_0__MGIOL") + (INSTANCE RAout\[0\]_MGIOL) + (DELAY + (ABSOLUTE + (IOPATH CLK IOLDO (546:556:567)(546:556:567)) + ) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1855:1855:1855)) + (WIDTH (negedge CLK) (1855:1855:1855)) + ) + (TIMINGCHECK + (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) + ) + ) (CELL (CELLTYPE "BA_1_") (INSTANCE BA\[1\]_I) @@ -2770,6 +3332,7 @@ ) (TIMINGCHECK (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) + (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36)) (SETUPHOLD LSR (posedge CLK) (100:100:100)(-90:-90:-90)) ) (TIMINGCHECK @@ -2796,6 +3359,7 @@ ) (TIMINGCHECK (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) + (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36)) (SETUPHOLD LSR (posedge CLK) (100:100:100)(-90:-90:-90)) ) (TIMINGCHECK @@ -2804,128 +3368,112 @@ ) ) (CELL - (CELLTYPE "nRWE") - (INSTANCE nRWE_I) + (CELLTYPE "nRWEout") + (INSTANCE nRWEout_I) (DELAY (ABSOLUTE - (IOPATH IOLDO nRWE (3411:3517:3624)(3411:3517:3624)) + (IOPATH IOLDO nRWEout (3411:3517:3624)(3411:3517:3624)) ) ) ) (CELL - (CELLTYPE "nRWE_MGIOL") - (INSTANCE nRWE_MGIOL) + (CELLTYPE "nRWEout_MGIOL") + (INSTANCE nRWEout_MGIOL) (DELAY (ABSOLUTE (IOPATH CLK IOLDO (546:556:567)(546:556:567)) ) ) - (TIMINGCHECK - (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) - ) (TIMINGCHECK (WIDTH (posedge CLK) (1855:1855:1855)) (WIDTH (negedge CLK) (1855:1855:1855)) ) + (TIMINGCHECK + (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) + ) ) (CELL - (CELLTYPE "nCAS") - (INSTANCE nCAS_I) + (CELLTYPE "nCASout") + (INSTANCE nCASout_I) (DELAY (ABSOLUTE - (IOPATH IOLDO nCAS (3411:3517:3624)(3411:3517:3624)) + (IOPATH IOLDO nCASout (3411:3517:3624)(3411:3517:3624)) ) ) ) (CELL - (CELLTYPE "nCAS_MGIOL") - (INSTANCE nCAS_MGIOL) + (CELLTYPE "nCASout_MGIOL") + (INSTANCE nCASout_MGIOL) (DELAY (ABSOLUTE (IOPATH CLK IOLDO (546:556:567)(546:556:567)) ) ) - (TIMINGCHECK - (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) - ) (TIMINGCHECK (WIDTH (posedge CLK) (1855:1855:1855)) (WIDTH (negedge CLK) (1855:1855:1855)) ) + (TIMINGCHECK + (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) + ) ) (CELL - (CELLTYPE "nRAS") - (INSTANCE nRAS_I) + (CELLTYPE "nRASout") + (INSTANCE nRASout_I) (DELAY (ABSOLUTE - (IOPATH IOLDO nRAS (3411:3517:3624)(3411:3517:3624)) + (IOPATH IOLDO nRASout (3411:3517:3624)(3411:3517:3624)) ) ) ) (CELL - (CELLTYPE "nRAS_MGIOL") - (INSTANCE nRAS_MGIOL) + (CELLTYPE "nRASout_MGIOL") + (INSTANCE nRASout_MGIOL) (DELAY (ABSOLUTE (IOPATH CLK IOLDO (546:556:567)(546:556:567)) ) ) - (TIMINGCHECK - (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) - ) (TIMINGCHECK (WIDTH (posedge CLK) (1855:1855:1855)) (WIDTH (negedge CLK) (1855:1855:1855)) ) + (TIMINGCHECK + (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) + ) ) (CELL - (CELLTYPE "nCS") - (INSTANCE nCS_I) + (CELLTYPE "nCSout") + (INSTANCE nCSout_I) (DELAY (ABSOLUTE - (IOPATH IOLDO nCS (3411:3517:3624)(3411:3517:3624)) + (IOPATH PADDO nCSout (3411:3517:3624)(3411:3517:3624)) ) ) ) (CELL - (CELLTYPE "nCS_MGIOL") - (INSTANCE nCS_MGIOL) + (CELLTYPE "CKEout") + (INSTANCE CKEout_I) + (DELAY + (ABSOLUTE + (IOPATH IOLDO CKEout (3411:3517:3624)(3411:3517:3624)) + ) + ) + ) + (CELL + (CELLTYPE "CKEout_MGIOL") + (INSTANCE CKEout_MGIOL) (DELAY (ABSOLUTE (IOPATH CLK IOLDO (546:556:567)(546:556:567)) ) ) - (TIMINGCHECK - (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) - ) (TIMINGCHECK (WIDTH (posedge CLK) (1855:1855:1855)) (WIDTH (negedge CLK) (1855:1855:1855)) ) - ) - (CELL - (CELLTYPE "CKE") - (INSTANCE CKE_I) - (DELAY - (ABSOLUTE - (IOPATH IOLDO CKE (3411:3517:3624)(3411:3517:3624)) - ) - ) - ) - (CELL - (CELLTYPE "CKE_MGIOL") - (INSTANCE CKE_MGIOL) - (DELAY - (ABSOLUTE - (IOPATH CLK IOLDO (546:556:567)(546:556:567)) - ) - ) (TIMINGCHECK - (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) + (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) ) ) (CELL @@ -2955,12 +3503,12 @@ ) ) (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) + (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) + (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36)) ) (TIMINGCHECK - (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36)) + (WIDTH (posedge CLK) (1855:1855:1855)) + (WIDTH (negedge CLK) (1855:1855:1855)) ) ) (CELL @@ -2981,12 +3529,12 @@ ) ) (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) + (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) + (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36)) ) (TIMINGCHECK - (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36)) + (WIDTH (posedge CLK) (1855:1855:1855)) + (WIDTH (negedge CLK) (1855:1855:1855)) ) ) (CELL @@ -3007,12 +3555,12 @@ ) ) (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) + (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) + (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36)) ) (TIMINGCHECK - (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36)) + (WIDTH (posedge CLK) (1855:1855:1855)) + (WIDTH (negedge CLK) (1855:1855:1855)) ) ) (CELL @@ -3033,12 +3581,12 @@ ) ) (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) + (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) + (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36)) ) (TIMINGCHECK - (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36)) + (WIDTH (posedge CLK) (1855:1855:1855)) + (WIDTH (negedge CLK) (1855:1855:1855)) ) ) (CELL @@ -3059,12 +3607,12 @@ ) ) (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) + (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) + (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36)) ) (TIMINGCHECK - (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36)) + (WIDTH (posedge CLK) (1855:1855:1855)) + (WIDTH (negedge CLK) (1855:1855:1855)) ) ) (CELL @@ -3085,12 +3633,12 @@ ) ) (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) + (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) + (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36)) ) (TIMINGCHECK - (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36)) + (WIDTH (posedge CLK) (1855:1855:1855)) + (WIDTH (negedge CLK) (1855:1855:1855)) ) ) (CELL @@ -3111,12 +3659,12 @@ ) ) (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) + (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) + (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36)) ) (TIMINGCHECK - (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36)) + (WIDTH (posedge CLK) (1855:1855:1855)) + (WIDTH (negedge CLK) (1855:1855:1855)) ) ) (CELL @@ -3137,12 +3685,12 @@ ) ) (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) + (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) + (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36)) ) (TIMINGCHECK - (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36)) + (WIDTH (posedge CLK) (1855:1855:1855)) + (WIDTH (negedge CLK) (1855:1855:1855)) ) ) (CELL @@ -3159,209 +3707,73 @@ (INSTANCE Dout\[7\]_I) (DELAY (ABSOLUTE - (IOPATH IOLDO Dout7 (3725:3847:3970)(3725:3847:3970)) + (IOPATH PADDO Dout7 (4370:4474:4579)(4370:4474:4579)) ) ) ) - (CELL - (CELLTYPE "Dout_7__MGIOL") - (INSTANCE Dout\[7\]_MGIOL) - (DELAY - (ABSOLUTE - (IOPATH CLK IOLDO (546:556:567)(546:556:567)) - ) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) - ) - (TIMINGCHECK - (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36)) - ) - ) (CELL (CELLTYPE "Dout_6_") (INSTANCE Dout\[6\]_I) (DELAY (ABSOLUTE - (IOPATH IOLDO Dout6 (3725:3847:3970)(3725:3847:3970)) + (IOPATH PADDO Dout6 (4370:4474:4579)(4370:4474:4579)) ) ) ) - (CELL - (CELLTYPE "Dout_6__MGIOL") - (INSTANCE Dout\[6\]_MGIOL) - (DELAY - (ABSOLUTE - (IOPATH CLK IOLDO (546:556:567)(546:556:567)) - ) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) - ) - (TIMINGCHECK - (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36)) - ) - ) (CELL (CELLTYPE "Dout_5_") (INSTANCE Dout\[5\]_I) (DELAY (ABSOLUTE - (IOPATH IOLDO Dout5 (3725:3847:3970)(3725:3847:3970)) + (IOPATH PADDO Dout5 (4370:4474:4579)(4370:4474:4579)) ) ) ) - (CELL - (CELLTYPE "Dout_5__MGIOL") - (INSTANCE Dout\[5\]_MGIOL) - (DELAY - (ABSOLUTE - (IOPATH CLK IOLDO (546:556:567)(546:556:567)) - ) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) - ) - (TIMINGCHECK - (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36)) - ) - ) (CELL (CELLTYPE "Dout_4_") (INSTANCE Dout\[4\]_I) (DELAY (ABSOLUTE - (IOPATH IOLDO Dout4 (3725:3847:3970)(3725:3847:3970)) + (IOPATH PADDO Dout4 (4370:4474:4579)(4370:4474:4579)) ) ) ) - (CELL - (CELLTYPE "Dout_4__MGIOL") - (INSTANCE Dout\[4\]_MGIOL) - (DELAY - (ABSOLUTE - (IOPATH CLK IOLDO (546:556:567)(546:556:567)) - ) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) - ) - (TIMINGCHECK - (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36)) - ) - ) (CELL (CELLTYPE "Dout_3_") (INSTANCE Dout\[3\]_I) (DELAY (ABSOLUTE - (IOPATH IOLDO Dout3 (3725:3847:3970)(3725:3847:3970)) + (IOPATH PADDO Dout3 (4370:4474:4579)(4370:4474:4579)) ) ) ) - (CELL - (CELLTYPE "Dout_3__MGIOL") - (INSTANCE Dout\[3\]_MGIOL) - (DELAY - (ABSOLUTE - (IOPATH CLK IOLDO (546:556:567)(546:556:567)) - ) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) - ) - (TIMINGCHECK - (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36)) - ) - ) (CELL (CELLTYPE "Dout_2_") (INSTANCE Dout\[2\]_I) (DELAY (ABSOLUTE - (IOPATH IOLDO Dout2 (3725:3847:3970)(3725:3847:3970)) + (IOPATH PADDO Dout2 (4370:4474:4579)(4370:4474:4579)) ) ) ) - (CELL - (CELLTYPE "Dout_2__MGIOL") - (INSTANCE Dout\[2\]_MGIOL) - (DELAY - (ABSOLUTE - (IOPATH CLK IOLDO (546:556:567)(546:556:567)) - ) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) - ) - (TIMINGCHECK - (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36)) - ) - ) (CELL (CELLTYPE "Dout_1_") (INSTANCE Dout\[1\]_I) (DELAY (ABSOLUTE - (IOPATH IOLDO Dout1 (3725:3847:3970)(3725:3847:3970)) + (IOPATH PADDO Dout1 (4370:4474:4579)(4370:4474:4579)) ) ) ) - (CELL - (CELLTYPE "Dout_1__MGIOL") - (INSTANCE Dout\[1\]_MGIOL) - (DELAY - (ABSOLUTE - (IOPATH CLK IOLDO (546:556:567)(546:556:567)) - ) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) - ) - (TIMINGCHECK - (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36)) - ) - ) (CELL (CELLTYPE "Dout_0_") (INSTANCE Dout\[0\]_I) (DELAY (ABSOLUTE - (IOPATH IOLDO Dout0 (3725:3847:3970)(3725:3847:3970)) + (IOPATH PADDO Dout0 (4370:4474:4579)(4370:4474:4579)) ) ) ) - (CELL - (CELLTYPE "Dout_0__MGIOL") - (INSTANCE Dout\[0\]_MGIOL) - (DELAY - (ABSOLUTE - (IOPATH CLK IOLDO (546:556:567)(546:556:567)) - ) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) - ) - (TIMINGCHECK - (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36)) - ) - ) (CELL (CELLTYPE "Din_7_") (INSTANCE Din\[7\]_I) @@ -3596,19 +4008,6 @@ (WIDTH (negedge nEN80) (3330:3330:3330)) ) ) - (CELL - (CELLTYPE "nWE80") - (INSTANCE nWE80_I) - (DELAY - (ABSOLUTE - (IOPATH nWE80 PADDI (1007:1069:1132)(1007:1069:1132)) - ) - ) - (TIMINGCHECK - (WIDTH (posedge nWE80) (3330:3330:3330)) - (WIDTH (negedge nWE80) (3330:3330:3330)) - ) - ) (CELL (CELLTYPE "nWE") (INSTANCE nWE_I) @@ -3653,7 +4052,7 @@ ) (CELL (CELLTYPE "EFB_Buffer_Block") - (INSTANCE ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20) + (INSTANCE ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20) (DELAY (ABSOLUTE (IOPATH WBCLKIin WBDATO0out (978:3628:6278)(978:3628:6278)) @@ -3700,10 +4099,11 @@ (DELAY (ABSOLUTE (INTERCONNECT SLICE_0/Q1 SLICE_0/A1 (481:575:669)(481:575:669)) - (INTERCONNECT SLICE_0/Q1 SLICE_39/A1 (1010:1164:1319)(1010:1164:1319)) - (INTERCONNECT SLICE_0/Q1 SLICE_52/A1 (1010:1164:1319)(1010:1164:1319)) - (INTERCONNECT SLICE_0/Q1 SLICE_75/A1 (1479:1669:1860)(1479:1669:1860)) - (INTERCONNECT SLICE_0/Q1 SLICE_119/D0 (868:965:1062)(868:965:1062)) + (INTERCONNECT SLICE_0/Q1 ram2e_ufm\/SLICE_51/A1 (1337:1526:1716)(1337:1526:1716)) + (INTERCONNECT SLICE_0/Q1 ram2e_ufm\/SLICE_69/D1 (1534:1686:1839)(1534:1686:1839)) + (INTERCONNECT SLICE_0/Q1 ram2e_ufm\/SLICE_105/D0 (1534:1686:1839)(1534:1686:1839)) + (INTERCONNECT SLICE_0/Q1 ram2e_ufm\/SLICE_108/B0 (783:913:1043)(783:913:1043)) + (INTERCONNECT SLICE_0/Q1 ram2e_ufm\/SLICE_146/C0 (552:669:786)(552:669:786)) (INTERCONNECT SLICE_0/F1 SLICE_0/DI1 (0:0:0)(0:0:0)) (INTERCONNECT C14M_I/PADDI SLICE_0/CLK (4198:4531:4865)(4198:4531:4865)) (INTERCONNECT C14M_I/PADDI SLICE_1/CLK (4198:4531:4865)(4198:4531:4865)) @@ -3723,18 +4123,20 @@ (INTERCONNECT C14M_I/PADDI SLICE_8/CLK (4198:4531:4865)(4198:4531:4865)) (INTERCONNECT C14M_I/PADDI SLICE_9/CLK (4198:4531:4865)(4198:4531:4865)) (INTERCONNECT C14M_I/PADDI SLICE_10/CLK (4198:4531:4865)(4198:4531:4865)) - (INTERCONNECT C14M_I/PADDI SLICE_10/CLK (4198:4531:4865)(4198:4531:4865)) (INTERCONNECT C14M_I/PADDI SLICE_11/CLK (4198:4531:4865)(4198:4531:4865)) (INTERCONNECT C14M_I/PADDI SLICE_12/CLK (4198:4531:4865)(4198:4531:4865)) + (INTERCONNECT C14M_I/PADDI SLICE_12/CLK (4198:4531:4865)(4198:4531:4865)) (INTERCONNECT C14M_I/PADDI SLICE_13/CLK (4198:4531:4865)(4198:4531:4865)) (INTERCONNECT C14M_I/PADDI SLICE_14/CLK (4198:4531:4865)(4198:4531:4865)) (INTERCONNECT C14M_I/PADDI SLICE_15/CLK (4198:4531:4865)(4198:4531:4865)) (INTERCONNECT C14M_I/PADDI SLICE_16/CLK (4198:4531:4865)(4198:4531:4865)) (INTERCONNECT C14M_I/PADDI SLICE_17/CLK (4198:4531:4865)(4198:4531:4865)) (INTERCONNECT C14M_I/PADDI SLICE_18/CLK (4198:4531:4865)(4198:4531:4865)) - (INTERCONNECT C14M_I/PADDI SLICE_19/CLK (4198:4531:4865)(4198:4531:4865)) + (INTERCONNECT C14M_I/PADDI SLICE_18/CLK (4198:4531:4865)(4198:4531:4865)) (INTERCONNECT C14M_I/PADDI SLICE_19/CLK (4198:4531:4865)(4198:4531:4865)) (INTERCONNECT C14M_I/PADDI SLICE_20/CLK (4198:4531:4865)(4198:4531:4865)) + (INTERCONNECT C14M_I/PADDI SLICE_20/CLK (4198:4531:4865)(4198:4531:4865)) + (INTERCONNECT C14M_I/PADDI SLICE_21/CLK (4198:4531:4865)(4198:4531:4865)) (INTERCONNECT C14M_I/PADDI SLICE_21/CLK (4198:4531:4865)(4198:4531:4865)) (INTERCONNECT C14M_I/PADDI SLICE_22/CLK (4198:4531:4865)(4198:4531:4865)) (INTERCONNECT C14M_I/PADDI SLICE_22/CLK (4198:4531:4865)(4198:4531:4865)) @@ -3761,44 +4163,93 @@ (INTERCONNECT C14M_I/PADDI SLICE_34/CLK (4198:4531:4865)(4198:4531:4865)) (INTERCONNECT C14M_I/PADDI SLICE_34/CLK (4198:4531:4865)(4198:4531:4865)) (INTERCONNECT C14M_I/PADDI SLICE_35/CLK (4198:4531:4865)(4198:4531:4865)) - (INTERCONNECT C14M_I/PADDI SLICE_35/CLK (4198:4531:4865)(4198:4531:4865)) - (INTERCONNECT C14M_I/PADDI SLICE_36/CLK (4198:4531:4865)(4198:4531:4865)) (INTERCONNECT C14M_I/PADDI SLICE_36/CLK (4198:4531:4865)(4198:4531:4865)) (INTERCONNECT C14M_I/PADDI SLICE_37/CLK (4198:4531:4865)(4198:4531:4865)) - (INTERCONNECT C14M_I/PADDI SLICE_37/CLK (4198:4531:4865)(4198:4531:4865)) (INTERCONNECT C14M_I/PADDI SLICE_38/CLK (4198:4531:4865)(4198:4531:4865)) - (INTERCONNECT C14M_I/PADDI SLICE_38/CLK (4198:4531:4865)(4198:4531:4865)) - (INTERCONNECT C14M_I/PADDI SLICE_39/CLK (4198:4531:4865)(4198:4531:4865)) - (INTERCONNECT C14M_I/PADDI SLICE_40/CLK (4198:4531:4865)(4198:4531:4865)) - (INTERCONNECT C14M_I/PADDI SLICE_40/CLK (4198:4531:4865)(4198:4531:4865)) - (INTERCONNECT C14M_I/PADDI SLICE_41/CLK (4198:4531:4865)(4198:4531:4865)) - (INTERCONNECT C14M_I/PADDI SLICE_41/CLK (4198:4531:4865)(4198:4531:4865)) - (INTERCONNECT C14M_I/PADDI SLICE_42/CLK (4198:4531:4865)(4198:4531:4865)) - (INTERCONNECT C14M_I/PADDI SLICE_42/CLK (4198:4531:4865)(4198:4531:4865)) - (INTERCONNECT C14M_I/PADDI SLICE_43/CLK (4198:4531:4865)(4198:4531:4865)) - (INTERCONNECT C14M_I/PADDI SLICE_43/CLK (4198:4531:4865)(4198:4531:4865)) - (INTERCONNECT C14M_I/PADDI SLICE_44/CLK (4198:4531:4865)(4198:4531:4865)) - (INTERCONNECT C14M_I/PADDI SLICE_45/CLK (4198:4531:4865)(4198:4531:4865)) - (INTERCONNECT C14M_I/PADDI SLICE_46/CLK (4198:4531:4865)(4198:4531:4865)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_39/CLK (4198:4531:4865) + (4198:4531:4865)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_40/CLK (4198:4531:4865) + (4198:4531:4865)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_41/CLK (4198:4531:4865) + (4198:4531:4865)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_42/CLK (4198:4531:4865) + (4198:4531:4865)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_43/CLK (4198:4531:4865) + (4198:4531:4865)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_43/CLK (4198:4531:4865) + (4198:4531:4865)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_44/CLK (4198:4531:4865) + (4198:4531:4865)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_44/CLK (4198:4531:4865) + (4198:4531:4865)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_45/CLK (4198:4531:4865) + (4198:4531:4865)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_45/CLK (4198:4531:4865) + (4198:4531:4865)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_46/CLK (4198:4531:4865) + (4198:4531:4865)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_46/CLK (4198:4531:4865) + (4198:4531:4865)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_47/CLK (4198:4531:4865) + (4198:4531:4865)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_47/CLK (4198:4531:4865) + (4198:4531:4865)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_48/CLK (4198:4531:4865) + (4198:4531:4865)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_48/CLK (4198:4531:4865) + (4198:4531:4865)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_49/CLK (4198:4531:4865) + (4198:4531:4865)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_49/CLK (4198:4531:4865) + (4198:4531:4865)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_50/CLK (4198:4531:4865) + (4198:4531:4865)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_50/CLK (4198:4531:4865) + (4198:4531:4865)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_51/CLK (4198:4531:4865) + (4198:4531:4865)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_52/CLK (4198:4531:4865) + (4198:4531:4865)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_52/CLK (4198:4531:4865) + (4198:4531:4865)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_53/CLK (4198:4531:4865) + (4198:4531:4865)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_53/CLK (4198:4531:4865) + (4198:4531:4865)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_54/CLK (4198:4531:4865) + (4198:4531:4865)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_54/CLK (4198:4531:4865) + (4198:4531:4865)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_55/CLK (4198:4531:4865) + (4198:4531:4865)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_55/CLK (4198:4531:4865) + (4198:4531:4865)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_56/CLK (4198:4531:4865) + (4198:4531:4865)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_57/CLK (4198:4531:4865) + (4198:4531:4865)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_58/CLK (4198:4531:4865) + (4198:4531:4865)) (INTERCONNECT C14M_I/PADDI DQMH_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) (INTERCONNECT C14M_I/PADDI DQML_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) - (INTERCONNECT C14M_I/PADDI RA\[11\]_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) - (INTERCONNECT C14M_I/PADDI RA\[10\]_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) - (INTERCONNECT C14M_I/PADDI RA\[9\]_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) - (INTERCONNECT C14M_I/PADDI RA\[8\]_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) - (INTERCONNECT C14M_I/PADDI RA\[7\]_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) - (INTERCONNECT C14M_I/PADDI RA\[6\]_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) - (INTERCONNECT C14M_I/PADDI RA\[5\]_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) - (INTERCONNECT C14M_I/PADDI RA\[4\]_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) - (INTERCONNECT C14M_I/PADDI RA\[2\]_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) - (INTERCONNECT C14M_I/PADDI RA\[1\]_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) + (INTERCONNECT C14M_I/PADDI RAout\[11\]_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) + (INTERCONNECT C14M_I/PADDI RAout\[10\]_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) + (INTERCONNECT C14M_I/PADDI RAout\[9\]_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) + (INTERCONNECT C14M_I/PADDI RAout\[8\]_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) + (INTERCONNECT C14M_I/PADDI RAout\[7\]_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) + (INTERCONNECT C14M_I/PADDI RAout\[6\]_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) + (INTERCONNECT C14M_I/PADDI RAout\[5\]_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) + (INTERCONNECT C14M_I/PADDI RAout\[4\]_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) + (INTERCONNECT C14M_I/PADDI RAout\[3\]_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) + (INTERCONNECT C14M_I/PADDI RAout\[2\]_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) + (INTERCONNECT C14M_I/PADDI RAout\[1\]_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) + (INTERCONNECT C14M_I/PADDI RAout\[0\]_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) (INTERCONNECT C14M_I/PADDI BA\[1\]_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) (INTERCONNECT C14M_I/PADDI BA\[0\]_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) - (INTERCONNECT C14M_I/PADDI nRWE_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) - (INTERCONNECT C14M_I/PADDI nCAS_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) - (INTERCONNECT C14M_I/PADDI nRAS_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) - (INTERCONNECT C14M_I/PADDI nCS_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) - (INTERCONNECT C14M_I/PADDI CKE_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) + (INTERCONNECT C14M_I/PADDI nRWEout_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) + (INTERCONNECT C14M_I/PADDI nCASout_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) + (INTERCONNECT C14M_I/PADDI nRASout_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) + (INTERCONNECT C14M_I/PADDI CKEout_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) (INTERCONNECT C14M_I/PADDI Vout\[7\]_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) (INTERCONNECT C14M_I/PADDI Vout\[6\]_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) (INTERCONNECT C14M_I/PADDI Vout\[5\]_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) @@ -3807,1123 +4258,1719 @@ (INTERCONNECT C14M_I/PADDI Vout\[2\]_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) (INTERCONNECT C14M_I/PADDI Vout\[1\]_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) (INTERCONNECT C14M_I/PADDI Vout\[0\]_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) - (INTERCONNECT C14M_I/PADDI Dout\[7\]_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) - (INTERCONNECT C14M_I/PADDI Dout\[6\]_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) - (INTERCONNECT C14M_I/PADDI Dout\[5\]_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) - (INTERCONNECT C14M_I/PADDI Dout\[4\]_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) - (INTERCONNECT C14M_I/PADDI Dout\[3\]_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) - (INTERCONNECT C14M_I/PADDI Dout\[2\]_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) - (INTERCONNECT C14M_I/PADDI Dout\[1\]_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) - (INTERCONNECT C14M_I/PADDI Dout\[0\]_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) (INTERCONNECT C14M_I/PADDI PHI1_MGIOL/CLK (4345:4691:5038)(4345:4691:5038)) (INTERCONNECT C14M_I/PADDI - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBCLKIin (4345:4691:5038) - (4345:4691:5038)) + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBCLKIin + (4345:4691:5038)(4345:4691:5038)) (INTERCONNECT SLICE_0/FCO SLICE_8/FCI (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_1/Q0 SLICE_1/A0 (481:575:669)(481:575:669)) - (INTERCONNECT SLICE_1/Q0 SLICE_45/D0 (807:902:998)(807:902:998)) - (INTERCONNECT SLICE_1/Q0 SLICE_51/A0 (1017:1178:1339)(1017:1178:1339)) - (INTERCONNECT SLICE_1/Q0 SLICE_53/A1 (1344:1540:1736)(1344:1540:1736)) - (INTERCONNECT SLICE_1/Q0 SLICE_56/D1 (1182:1310:1438)(1182:1310:1438)) - (INTERCONNECT SLICE_1/Q0 SLICE_72/D0 (541:603:665)(541:603:665)) - (INTERCONNECT SLICE_1/Q0 SLICE_73/D0 (1546:1705:1865)(1546:1705:1865)) - (INTERCONNECT SLICE_1/Q0 SLICE_75/D0 (1134:1264:1395)(1134:1264:1395)) - (INTERCONNECT SLICE_1/Q0 SLICE_83/B1 (1376:1574:1773)(1376:1574:1773)) - (INTERCONNECT SLICE_1/Q0 SLICE_86/D1 (1546:1705:1865)(1546:1705:1865)) - (INTERCONNECT SLICE_1/Q0 SLICE_103/C0 (552:669:786)(552:669:786)) + (INTERCONNECT SLICE_1/Q0 SLICE_1/A0 (479:571:663)(479:571:663)) + (INTERCONNECT SLICE_1/Q0 SLICE_9/C1 (998:1155:1313)(998:1155:1313)) + (INTERCONNECT SLICE_1/Q0 ram2e_ufm\/SLICE_57/A1 (1562:1761:1961)(1562:1761:1961)) + (INTERCONNECT SLICE_1/Q0 ram2e_ufm\/SLICE_57/A0 (1562:1761:1961)(1562:1761:1961)) + (INTERCONNECT SLICE_1/Q0 ram2e_ufm\/SLICE_69/C1 (993:1150:1307)(993:1150:1307)) + (INTERCONNECT SLICE_1/Q0 ram2e_ufm\/SLICE_80/B1 (1224:1394:1564)(1224:1394:1564)) + (INTERCONNECT SLICE_1/Q0 ram2e_ufm\/SLICE_105/A0 (1192:1359:1527)(1192:1359:1527)) + (INTERCONNECT SLICE_1/Q0 ram2e_ufm\/SLICE_120/C1 (998:1155:1313)(998:1155:1313)) + (INTERCONNECT SLICE_1/Q0 ram2e_ufm\/SLICE_120/C0 (998:1155:1313)(998:1155:1313)) (INTERCONNECT SLICE_1/F0 SLICE_1/DI0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_2/FCO SLICE_1/FCI (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_2/Q1 SLICE_2/A1 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_2/Q1 SLICE_37/B1 (1589:1789:1990)(1589:1789:1990)) - (INTERCONNECT SLICE_2/Q1 SLICE_37/B0 (1589:1789:1990)(1589:1789:1990)) - (INTERCONNECT SLICE_2/Q1 SLICE_38/C0 (1733:1953:2173)(1733:1953:2173)) - (INTERCONNECT SLICE_2/Q1 SLICE_39/B1 (1230:1399:1569)(1230:1399:1569)) - (INTERCONNECT SLICE_2/Q1 SLICE_44/C0 (999:1155:1312)(999:1155:1312)) - (INTERCONNECT SLICE_2/Q1 SLICE_45/A1 (1578:1778:1978)(1578:1778:1978)) - (INTERCONNECT SLICE_2/Q1 SLICE_45/A0 (1578:1778:1978)(1578:1778:1978)) - (INTERCONNECT SLICE_2/Q1 SLICE_56/A1 (1578:1778:1978)(1578:1778:1978)) - (INTERCONNECT SLICE_2/Q1 SLICE_66/A1 (2296:2558:2820)(2296:2558:2820)) - (INTERCONNECT SLICE_2/Q1 SLICE_73/C0 (1743:1964:2185)(1743:1964:2185)) - (INTERCONNECT SLICE_2/Q1 SLICE_86/A1 (1562:1760:1959)(1562:1760:1959)) - (INTERCONNECT SLICE_2/Q1 SLICE_97/A0 (1932:2162:2393)(1932:2162:2393)) - (INTERCONNECT SLICE_2/Q0 SLICE_2/A0 (481:575:669)(481:575:669)) - (INTERCONNECT SLICE_2/Q0 SLICE_35/D0 (1350:1483:1617)(1350:1483:1617)) - (INTERCONNECT SLICE_2/Q0 SLICE_44/B1 (1110:1275:1440)(1110:1275:1440)) - (INTERCONNECT SLICE_2/Q0 SLICE_46/A0 (1580:1781:1982)(1580:1781:1982)) - (INTERCONNECT SLICE_2/Q0 SLICE_56/C0 (1751:1973:2196)(1751:1973:2196)) - (INTERCONNECT SLICE_2/Q0 SLICE_60/A0 (2325:2590:2856)(2325:2590:2856)) - (INTERCONNECT SLICE_2/Q0 SLICE_61/B1 (2727:3027:3327)(2727:3027:3327)) - (INTERCONNECT SLICE_2/Q0 SLICE_65/A0 (1190:1357:1524)(1190:1357:1524)) - (INTERCONNECT SLICE_2/Q0 SLICE_66/A0 (1580:1781:1982)(1580:1781:1982)) - (INTERCONNECT SLICE_2/Q0 SLICE_73/A0 (1580:1781:1982)(1580:1781:1982)) - (INTERCONNECT SLICE_2/Q0 SLICE_74/D0 (2442:2677:2912)(2442:2677:2912)) - (INTERCONNECT SLICE_2/Q0 SLICE_88/D1 (2485:2717:2949)(2485:2717:2949)) - (INTERCONNECT SLICE_2/Q0 SLICE_90/D1 (1275:1400:1526)(1275:1400:1526)) - (INTERCONNECT SLICE_2/Q0 SLICE_92/A1 (1580:1781:1982)(1580:1781:1982)) - (INTERCONNECT SLICE_2/Q0 SLICE_97/B0 (2283:2551:2819)(2283:2551:2819)) - (INTERCONNECT SLICE_2/Q0 SLICE_108/B0 (2208:2468:2728)(2208:2468:2728)) - (INTERCONNECT SLICE_2/Q0 SLICE_116/C0 (1708:1933:2159)(1708:1933:2159)) + (INTERCONNECT SLICE_2/Q1 SLICE_2/A1 (481:575:669)(481:575:669)) + (INTERCONNECT SLICE_2/Q1 SLICE_23/B1 (777:906:1036)(777:906:1036)) + (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_49/C1 (821:966:1111)(821:966:1111)) + (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_49/C0 (821:966:1111)(821:966:1111)) + (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_50/D0 (1190:1313:1436)(1190:1313:1436)) + (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_51/C1 (1196:1373:1551)(1196:1373:1551)) + (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_56/A1 (2097:2352:2608)(2097:2352:2608)) + (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_57/C1 (1571:1781:1991)(1571:1781:1991)) + (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_57/C0 (1571:1781:1991)(1571:1781:1991)) + (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_66/D1 (1185:1307:1430)(1185:1307:1430)) + (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_70/C1 (1565:1774:1984)(1565:1774:1984)) + (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_81/D1 (1903:2095:2288)(1903:2095:2288)) + (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_85/B1 (1759:1985:2211)(1759:1985:2211)) + (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_89/C1 (1940:2182:2424)(1940:2182:2424)) + (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_98/D1 (1903:2095:2288)(1903:2095:2288)) + (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_109/C1 (1576:1786:1997)(1576:1786:1997)) + (INTERCONNECT SLICE_2/Q0 SLICE_2/A0 (479:571:663)(479:571:663)) + (INTERCONNECT SLICE_2/Q0 SLICE_23/B0 (786:914:1043)(786:914:1043)) + (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_56/D0 (914:1006:1099)(914:1006:1099)) + (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_58/A0 (1884:2108:2332)(1884:2108:2332)) + (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_64/B0 (2607:2900:3193)(2607:2900:3193)) + (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_70/B0 (1911:2137:2363)(1911:2137:2363)) + (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_75/D0 (1294:1419:1545)(1294:1419:1545)) + (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_76/B0 (1911:2137:2363)(1911:2137:2363)) + (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_81/A0 (2586:2877:3169)(2586:2877:3169)) + (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_85/D1 (1294:1419:1545)(1294:1419:1545)) + (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_89/D1 (2049:2240:2431)(2049:2240:2431)) + (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_97/C1 (2430:2708:2986)(2430:2708:2986)) + (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_103/D1 (1674:1832:1991)(1674:1832:1991)) + (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_111/D0 (1996:2189:2382)(1996:2189:2382)) + (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_125/D1 (2794:3049:3305)(2794:3049:3305)) + (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_128/D1 (2794:3049:3305)(2794:3049:3305)) + (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_128/D0 (2794:3049:3305)(2794:3049:3305)) + (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_131/D1 (914:1006:1099)(914:1006:1099)) + (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_131/D0 (914:1006:1099)(914:1006:1099)) (INTERCONNECT SLICE_2/F1 SLICE_2/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_2/F0 SLICE_2/DI0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_3/FCO SLICE_2/FCI (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_3/Q1 SLICE_3/A1 (481:575:669)(481:575:669)) - (INTERCONNECT SLICE_3/Q1 SLICE_44/D1 (528:582:636)(528:582:636)) - (INTERCONNECT SLICE_3/Q1 SLICE_46/C1 (841:988:1135)(841:988:1135)) - (INTERCONNECT SLICE_3/Q1 SLICE_56/A0 (1410:1599:1789)(1410:1599:1789)) - (INTERCONNECT SLICE_3/Q1 SLICE_58/A1 (1810:2034:2259)(1810:2034:2259)) - (INTERCONNECT SLICE_3/Q1 SLICE_60/C0 (1241:1423:1605)(1241:1423:1605)) - (INTERCONNECT SLICE_3/Q1 SLICE_61/D1 (1230:1357:1484)(1230:1357:1484)) - (INTERCONNECT SLICE_3/Q1 SLICE_62/A1 (1440:1632:1825)(1440:1632:1825)) - (INTERCONNECT SLICE_3/Q1 SLICE_64/B0 (1442:1634:1826)(1442:1634:1826)) - (INTERCONNECT SLICE_3/Q1 SLICE_65/C0 (1216:1395:1575)(1216:1395:1575)) - (INTERCONNECT SLICE_3/Q1 SLICE_66/C0 (841:988:1135)(841:988:1135)) - (INTERCONNECT SLICE_3/Q1 SLICE_70/C1 (1611:1825:2039)(1611:1825:2039)) - (INTERCONNECT SLICE_3/Q1 SLICE_73/C1 (841:988:1135)(841:988:1135)) - (INTERCONNECT SLICE_3/Q1 SLICE_74/C0 (2026:2284:2542)(2026:2284:2542)) - (INTERCONNECT SLICE_3/Q1 SLICE_84/C1 (2026:2284:2542)(2026:2284:2542)) - (INTERCONNECT SLICE_3/Q1 SLICE_88/B1 (1472:1667:1862)(1472:1667:1862)) - (INTERCONNECT SLICE_3/Q1 SLICE_90/C0 (1241:1423:1605)(1241:1423:1605)) - (INTERCONNECT SLICE_3/Q1 SLICE_92/C1 (841:988:1135)(841:988:1135)) - (INTERCONNECT SLICE_3/Q1 SLICE_95/C1 (841:988:1135)(841:988:1135)) - (INTERCONNECT SLICE_3/Q1 SLICE_97/D1 (1230:1357:1484)(1230:1357:1484)) - (INTERCONNECT SLICE_3/Q1 SLICE_108/C1 (1216:1395:1575)(1216:1395:1575)) - (INTERCONNECT SLICE_3/Q1 SLICE_108/C0 (1216:1395:1575)(1216:1395:1575)) + (INTERCONNECT SLICE_3/Q1 SLICE_3/A1 (479:571:663)(479:571:663)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_56/C0 (1305:1485:1666)(1305:1485:1666)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_58/A1 (1524:1717:1910)(1524:1717:1910)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_68/C0 (1695:1909:2124)(1695:1909:2124)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_70/A0 (2269:2526:2784)(2269:2526:2784)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_75/A0 (1894:2119:2344)(1894:2119:2344)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_76/D0 (2397:2626:2855)(2397:2626:2855)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_81/C0 (1695:1909:2124)(1695:1909:2124)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_85/C1 (1996:2243:2490)(1996:2243:2490)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_90/A1 (1904:2130:2356)(1904:2130:2356)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_93/A0 (1904:2130:2356)(1904:2130:2356)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_97/B1 (2300:2560:2820)(2300:2560:2820)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_99/B0 (1931:2159:2387)(1931:2159:2387)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_103/C1 (1700:1915:2130)(1700:1915:2130)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_104/A0 (2269:2526:2784)(2269:2526:2784)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_111/D1 (2397:2626:2855)(2397:2626:2855)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_114/B0 (2258:2521:2784)(2258:2521:2784)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_124/D1 (539:599:659)(539:599:659)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_126/C1 (1299:1479:1659)(1299:1479:1659)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_126/A0 (1524:1717:1910)(1524:1717:1910)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_128/B1 (2997:3324:3651)(2997:3324:3651)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_128/C0 (2075:2322:2570)(2075:2322:2570)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_131/C1 (1262:1445:1629)(1262:1445:1629)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_131/A0 (1134:1293:1452)(1134:1293:1452)) (INTERCONNECT SLICE_3/Q0 SLICE_3/A0 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_3/Q0 SLICE_44/A1 (738:861:985)(738:861:985)) - (INTERCONNECT SLICE_3/Q0 SLICE_50/C1 (1647:1867:2087)(1647:1867:2087)) - (INTERCONNECT SLICE_3/Q0 SLICE_58/A0 (2216:2478:2741)(2216:2478:2741)) - (INTERCONNECT SLICE_3/Q0 SLICE_61/A0 (2216:2478:2741)(2216:2478:2741)) - (INTERCONNECT SLICE_3/Q0 SLICE_62/C1 (1642:1861:2081)(1642:1861:2081)) - (INTERCONNECT SLICE_3/Q0 SLICE_64/C1 (1642:1861:2081)(1642:1861:2081)) - (INTERCONNECT SLICE_3/Q0 SLICE_65/B1 (1868:2100:2332)(1868:2100:2332)) - (INTERCONNECT SLICE_3/Q0 SLICE_66/B0 (1478:1676:1874)(1478:1676:1874)) - (INTERCONNECT SLICE_3/Q0 SLICE_68/D0 (1636:1801:1966)(1636:1801:1966)) - (INTERCONNECT SLICE_3/Q0 SLICE_70/C0 (2344:2631:2918)(2344:2631:2918)) - (INTERCONNECT SLICE_3/Q0 SLICE_73/A1 (2211:2473:2735)(2211:2473:2735)) - (INTERCONNECT SLICE_3/Q0 SLICE_74/B1 (1825:2060:2295)(1825:2060:2295)) - (INTERCONNECT SLICE_3/Q0 SLICE_89/B1 (2195:2462:2729)(2195:2462:2729)) - (INTERCONNECT SLICE_3/Q0 SLICE_90/C1 (2344:2631:2918)(2344:2631:2918)) - (INTERCONNECT SLICE_3/Q0 SLICE_92/D1 (2001:2197:2394)(2001:2197:2394)) - (INTERCONNECT SLICE_3/Q0 SLICE_104/A1 (1466:1663:1861)(1466:1663:1861)) - (INTERCONNECT SLICE_3/Q0 SLICE_104/A0 (1466:1663:1861)(1466:1663:1861)) - (INTERCONNECT SLICE_3/Q0 SLICE_116/B0 (1805:2038:2271)(1805:2038:2271)) + (INTERCONNECT SLICE_3/Q0 SLICE_22/C0 (811:959:1107)(811:959:1107)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_56/A0 (1374:1564:1754)(1374:1564:1754)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_64/C1 (1597:1821:2046)(1597:1821:2046)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_64/D0 (1259:1393:1528)(1259:1393:1528)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_68/A0 (1844:2076:2309)(1844:2076:2309)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_73/A0 (1448:1646:1844)(1448:1646:1844)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_75/A1 (1844:2076:2309)(1844:2076:2309)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_76/C1 (1275:1465:1655)(1275:1465:1655)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_88/B1 (1506:1709:1912)(1506:1709:1912)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_90/B1 (1506:1709:1912)(1506:1709:1912)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_93/A1 (1844:2076:2309)(1844:2076:2309)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_99/D1 (1259:1393:1528)(1259:1393:1528)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_104/A1 (1838:2070:2302)(1838:2070:2302)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_109/C0 (1634:1855:2076)(1634:1855:2076)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_110/A1 (1844:2076:2309)(1844:2076:2309)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_114/D0 (1259:1393:1528)(1259:1393:1528)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_123/D1 (1623:1789:1955)(1623:1789:1955)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_123/D0 (1623:1789:1955)(1623:1789:1955)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_125/B1 (1865:2099:2333)(1865:2099:2333)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_125/B0 (1865:2099:2333)(1865:2099:2333)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_128/C1 (1634:1855:2076)(1634:1855:2076)) (INTERCONNECT SLICE_3/F1 SLICE_3/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_3/F0 SLICE_3/DI0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_4/FCO SLICE_3/FCI (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_4/Q1 SLICE_4/A1 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_4/Q1 SLICE_46/D1 (933:1027:1122)(933:1027:1122)) - (INTERCONNECT SLICE_4/Q1 SLICE_50/D1 (1313:1440:1568)(1313:1440:1568)) - (INTERCONNECT SLICE_4/Q1 SLICE_58/B0 (1945:2174:2404)(1945:2174:2404)) - (INTERCONNECT SLICE_4/Q1 SLICE_60/B0 (1570:1767:1964)(1570:1767:1964)) - (INTERCONNECT SLICE_4/Q1 SLICE_61/C0 (1339:1523:1707)(1339:1523:1707)) - (INTERCONNECT SLICE_4/Q1 SLICE_62/D0 (1328:1457:1586)(1328:1457:1586)) - (INTERCONNECT SLICE_4/Q1 SLICE_64/D0 (1692:1852:2013)(1692:1852:2013)) - (INTERCONNECT SLICE_4/Q1 SLICE_65/D0 (933:1027:1122)(933:1027:1122)) - (INTERCONNECT SLICE_4/Q1 SLICE_68/B0 (2309:2570:2831)(2309:2570:2831)) - (INTERCONNECT SLICE_4/Q1 SLICE_70/D0 (1703:1864:2026)(1703:1864:2026)) - (INTERCONNECT SLICE_4/Q1 SLICE_74/B0 (1555:1750:1946)(1555:1750:1946)) - (INTERCONNECT SLICE_4/Q1 SLICE_84/D1 (1313:1440:1568)(1313:1440:1568)) - (INTERCONNECT SLICE_4/Q1 SLICE_89/D1 (933:1027:1122)(933:1027:1122)) - (INTERCONNECT SLICE_4/Q1 SLICE_90/B1 (1570:1767:1964)(1570:1767:1964)) - (INTERCONNECT SLICE_4/Q1 SLICE_95/D1 (933:1027:1122)(933:1027:1122)) - (INTERCONNECT SLICE_4/Q1 SLICE_97/C1 (1339:1523:1707)(1339:1523:1707)) - (INTERCONNECT SLICE_4/Q1 SLICE_104/C0 (1324:1506:1689)(1324:1506:1689)) - (INTERCONNECT SLICE_4/Q1 SLICE_108/D1 (933:1027:1122)(933:1027:1122)) - (INTERCONNECT SLICE_4/Q1 SLICE_108/D0 (933:1027:1122)(933:1027:1122)) - (INTERCONNECT SLICE_4/Q0 SLICE_4/A0 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_4/Q0 SLICE_46/A1 (1023:1177:1331)(1023:1177:1331)) - (INTERCONNECT SLICE_4/Q0 SLICE_50/A1 (1798:2019:2241)(1798:2019:2241)) - (INTERCONNECT SLICE_4/Q0 SLICE_50/A0 (1798:2019:2241)(1798:2019:2241)) - (INTERCONNECT SLICE_4/Q0 SLICE_58/C0 (1599:1810:2021)(1599:1810:2021)) - (INTERCONNECT SLICE_4/Q0 SLICE_61/B0 (1455:1646:1838)(1455:1646:1838)) - (INTERCONNECT SLICE_4/Q0 SLICE_62/C0 (1224:1402:1581)(1224:1402:1581)) - (INTERCONNECT SLICE_4/Q0 SLICE_64/A1 (1787:2007:2228)(1787:2007:2228)) - (INTERCONNECT SLICE_4/Q0 SLICE_65/A1 (1393:1579:1765)(1393:1579:1765)) - (INTERCONNECT SLICE_4/Q0 SLICE_68/A0 (2162:2415:2668)(2162:2415:2668)) - (INTERCONNECT SLICE_4/Q0 SLICE_70/A0 (1798:2019:2241)(1798:2019:2241)) - (INTERCONNECT SLICE_4/Q0 SLICE_74/A1 (2178:2432:2687)(2178:2432:2687)) - (INTERCONNECT SLICE_4/Q0 SLICE_89/D0 (1968:2157:2346)(1968:2157:2346)) - (INTERCONNECT SLICE_4/Q0 SLICE_90/A1 (1423:1612:1801)(1423:1612:1801)) - (INTERCONNECT SLICE_4/Q0 SLICE_104/B1 (2210:2467:2724)(2210:2467:2724)) - (INTERCONNECT SLICE_4/Q0 SLICE_104/B0 (2210:2467:2724)(2210:2467:2724)) - (INTERCONNECT SLICE_4/Q0 SLICE_105/C1 (1224:1402:1581)(1224:1402:1581)) - (INTERCONNECT SLICE_4/Q0 SLICE_105/C0 (1224:1402:1581)(1224:1402:1581)) - (INTERCONNECT SLICE_4/Q0 SLICE_108/A1 (1393:1579:1765)(1393:1579:1765)) - (INTERCONNECT SLICE_4/Q0 SLICE_116/A1 (1023:1177:1331)(1023:1177:1331)) - (INTERCONNECT SLICE_4/Q0 SLICE_116/A0 (1023:1177:1331)(1023:1177:1331)) + (INTERCONNECT SLICE_4/Q1 SLICE_4/A1 (481:575:669)(481:575:669)) + (INTERCONNECT SLICE_4/Q1 SLICE_21/B1 (777:906:1036)(777:906:1036)) + (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_47/A0 (1458:1654:1851)(1458:1654:1851)) + (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_68/D0 (1670:1830:1990)(1670:1830:1990)) + (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_73/D0 (1290:1417:1544)(1290:1417:1544)) + (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_75/D1 (2050:2243:2436)(2050:2243:2436)) + (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_76/D1 (2050:2243:2436)(2050:2243:2436)) + (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_88/D1 (2050:2243:2436)(2050:2243:2436)) + (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_90/C1 (1671:1885:2099)(1671:1885:2099)) + (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_93/B1 (1912:2140:2368)(1912:2140:2368)) + (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_99/A1 (1458:1654:1851)(1458:1654:1851)) + (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_104/C1 (1681:1896:2111)(1681:1896:2111)) + (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_110/B1 (2656:2948:3241)(2656:2948:3241)) + (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_114/D1 (910:1004:1098)(910:1004:1098)) + (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_123/A0 (1848:2079:2311)(1848:2079:2311)) + (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_126/D1 (2030:2221:2412)(2030:2221:2412)) + (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_126/D0 (2030:2221:2412)(2030:2221:2412)) + (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_128/A1 (1848:2079:2311)(1848:2079:2311)) + (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_128/A0 (1848:2079:2311)(1848:2079:2311)) + (INTERCONNECT SLICE_4/Q0 SLICE_4/A0 (481:575:669)(481:575:669)) + (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_64/A1 (1006:1166:1326)(1006:1166:1326)) + (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_68/B1 (1231:1403:1576)(1231:1403:1576)) + (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_73/C0 (1369:1560:1752)(1369:1560:1752)) + (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_75/B1 (1606:1811:2016)(1606:1811:2016)) + (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_81/C1 (1739:1962:2186)(1739:1962:2186)) + (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_93/C1 (1369:1560:1752)(1369:1560:1752)) + (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_104/B1 (1558:1765:1973)(1558:1765:1973)) + (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_110/D1 (1728:1896:2065)(1728:1896:2065)) + (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_111/A0 (1901:2138:2376)(1901:2138:2376)) + (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_114/B1 (1241:1414:1588)(1241:1414:1588)) + (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_123/B1 (1241:1414:1588)(1241:1414:1588)) + (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_123/B0 (1241:1414:1588)(1241:1414:1588)) + (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_125/A1 (1536:1742:1948)(1536:1742:1948)) + (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_125/D0 (1326:1466:1607)(1326:1466:1607)) + (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_126/B1 (2308:2581:2855)(2308:2581:2855)) + (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_126/B0 (2308:2581:2855)(2308:2581:2855)) + (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_134/C0 (807:956:1106)(807:956:1106)) + (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_145/A0 (1568:1770:1972)(1568:1770:1972)) (INTERCONNECT SLICE_4/F1 SLICE_4/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_4/F0 SLICE_4/DI0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_5/FCO SLICE_4/FCI (0:0:0)(0:0:0)) (INTERCONNECT SLICE_5/Q1 SLICE_5/A1 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_5/Q1 SLICE_46/B1 (1491:1683:1876)(1491:1683:1876)) - (INTERCONNECT SLICE_5/Q1 SLICE_50/B1 (1871:2096:2322)(1871:2096:2322)) - (INTERCONNECT SLICE_5/Q1 SLICE_58/D0 (1994:2183:2372)(1994:2183:2372)) - (INTERCONNECT SLICE_5/Q1 SLICE_61/D0 (2326:2550:2775)(2326:2550:2775)) - (INTERCONNECT SLICE_5/Q1 SLICE_62/B0 (1866:2091:2316)(1866:2091:2316)) - (INTERCONNECT SLICE_5/Q1 SLICE_64/B1 (2230:2486:2743)(2230:2486:2743)) - (INTERCONNECT SLICE_5/Q1 SLICE_65/D1 (1587:1748:1910)(1587:1748:1910)) - (INTERCONNECT SLICE_5/Q1 SLICE_68/C0 (2004:2248:2492)(2004:2248:2492)) - (INTERCONNECT SLICE_5/Q1 SLICE_70/B0 (2236:2493:2750)(2236:2493:2750)) - (INTERCONNECT SLICE_5/Q1 SLICE_85/D1 (1587:1748:1910)(1587:1748:1910)) - (INTERCONNECT SLICE_5/Q1 SLICE_86/B1 (2241:2498:2756)(2241:2498:2756)) - (INTERCONNECT SLICE_5/Q1 SLICE_89/B0 (1491:1683:1876)(1491:1683:1876)) - (INTERCONNECT SLICE_5/Q1 SLICE_104/C1 (1967:2214:2462)(1967:2214:2462)) - (INTERCONNECT SLICE_5/Q1 SLICE_116/B1 (1491:1683:1876)(1491:1683:1876)) + (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_68/C1 (1343:1539:1736)(1343:1539:1736)) + (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_68/B0 (1247:1421:1596)(1247:1421:1596)) + (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_70/B1 (1617:1823:2030)(1617:1823:2030)) + (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_73/B0 (1596:1800:2005)(1596:1800:2005)) + (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_81/B1 (1622:1829:2036)(1622:1829:2036)) + (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_93/D1 (1005:1111:1218)(1005:1111:1218)) + (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_99/C1 (1016:1177:1339)(1016:1177:1339)) + (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_104/D1 (984:1088:1193)(984:1088:1193)) + (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_107/B0 (2350:2620:2890)(2350:2620:2890)) + (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_110/C1 (995:1154:1314)(995:1154:1314)) + (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_114/C1 (1016:1177:1339)(1016:1177:1339)) + (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_123/C1 (1016:1177:1339)(1016:1177:1339)) + (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_123/C0 (1016:1177:1339)(1016:1177:1339)) + (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_125/C1 (1016:1177:1339)(1016:1177:1339)) + (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_125/A0 (1949:2184:2420)(1949:2184:2420)) + (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_126/A1 (1917:2156:2396)(1917:2156:2396)) + (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_145/D0 (1354:1490:1627)(1354:1490:1627)) (INTERCONNECT SLICE_5/Q0 SLICE_5/A0 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_5/Q0 SLICE_32/A1 (1441:1635:1829)(1441:1635:1829)) - (INTERCONNECT SLICE_5/Q0 SLICE_63/D1 (528:586:644)(528:586:644)) - (INTERCONNECT SLICE_5/Q0 SLICE_75/B1 (2164:2427:2690)(2164:2427:2690)) + (INTERCONNECT SLICE_5/Q0 SLICE_20/B0 (781:909:1037)(781:909:1037)) + (INTERCONNECT SLICE_5/Q0 ram2e_ufm\/SLICE_108/D1 (1236:1363:1490)(1236:1363:1490)) + (INTERCONNECT SLICE_5/Q0 ram2e_ufm\/SLICE_146/D0 (1600:1758:1917)(1600:1758:1917)) (INTERCONNECT SLICE_5/F1 SLICE_5/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_5/F0 SLICE_5/DI0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_6/FCO SLICE_5/FCI (0:0:0)(0:0:0)) (INTERCONNECT SLICE_6/Q1 SLICE_6/A1 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_6/Q1 SLICE_32/C1 (814:956:1099)(814:956:1099)) - (INTERCONNECT SLICE_6/Q1 SLICE_63/B1 (1409:1596:1783)(1409:1596:1783)) - (INTERCONNECT SLICE_6/Q1 SLICE_75/C1 (1178:1352:1526)(1178:1352:1526)) + (INTERCONNECT SLICE_6/Q1 ram2e_ufm\/SLICE_134/C1 (555:670:786)(555:670:786)) + (INTERCONNECT SLICE_6/Q1 ram2e_ufm\/SLICE_134/A0 (1081:1242:1403)(1081:1242:1403)) + (INTERCONNECT SLICE_6/Q1 ram2e_ufm\/SLICE_146/B0 (786:914:1043)(786:914:1043)) (INTERCONNECT SLICE_6/Q0 SLICE_6/A0 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_6/Q0 SLICE_55/D1 (549:610:671)(549:610:671)) - (INTERCONNECT SLICE_6/Q0 SLICE_55/D0 (549:610:671)(549:610:671)) - (INTERCONNECT SLICE_6/Q0 SLICE_72/C1 (924:1071:1219)(924:1071:1219)) - (INTERCONNECT SLICE_6/Q0 SLICE_76/B1 (1155:1315:1476)(1155:1315:1476)) + (INTERCONNECT SLICE_6/Q0 ram2e_ufm\/SLICE_107/D0 (978:1078:1179)(978:1078:1179)) + (INTERCONNECT SLICE_6/Q0 ram2e_ufm\/SLICE_108/A1 (1188:1354:1520)(1188:1354:1520)) + (INTERCONNECT SLICE_6/Q0 ram2e_ufm\/SLICE_146/A0 (1182:1347:1513)(1182:1347:1513)) (INTERCONNECT SLICE_6/F1 SLICE_6/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_6/F0 SLICE_6/DI0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_7/FCO SLICE_6/FCI (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_7/Q1 SLICE_7/A1 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_7/Q1 SLICE_55/A1 (754:880:1006)(754:880:1006)) - (INTERCONNECT SLICE_7/Q1 SLICE_71/A1 (1129:1287:1446)(1129:1287:1446)) - (INTERCONNECT SLICE_7/Q1 SLICE_76/A1 (1129:1287:1446)(1129:1287:1446)) - (INTERCONNECT SLICE_7/Q1 SLICE_78/A1 (1129:1287:1446)(1129:1287:1446)) - (INTERCONNECT SLICE_7/Q1 SLICE_119/A0 (754:880:1006)(754:880:1006)) + (INTERCONNECT SLICE_7/Q1 SLICE_7/A1 (481:575:669)(481:575:669)) + (INTERCONNECT SLICE_7/Q1 SLICE_35/A1 (1195:1363:1532)(1195:1363:1532)) + (INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_57/D1 (1301:1438:1575)(1301:1438:1575)) + (INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_67/C0 (1275:1463:1652)(1275:1463:1652)) + (INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_71/B1 (1506:1707:1909)(1506:1707:1909)) + (INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_72/A1 (1195:1363:1532)(1195:1363:1532)) + (INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_107/A1 (1094:1259:1424)(1094:1259:1424)) + (INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_108/B1 (1126:1293:1461)(1126:1293:1461)) + (INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_122/C1 (1275:1463:1652)(1275:1463:1652)) + (INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_122/C0 (1275:1463:1652)(1275:1463:1652)) + (INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_124/B1 (1506:1707:1909)(1506:1707:1909)) + (INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_124/B0 (1506:1707:1909)(1506:1707:1909)) + (INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_129/B1 (1565:1773:1981)(1565:1773:1981)) + (INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_129/B0 (1565:1773:1981)(1565:1773:1981)) + (INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_146/A1 (756:884:1012)(756:884:1012)) (INTERCONNECT SLICE_7/Q0 SLICE_7/A0 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_7/Q0 SLICE_55/A0 (754:880:1006)(754:880:1006)) - (INTERCONNECT SLICE_7/Q0 SLICE_63/A0 (754:880:1006)(754:880:1006)) - (INTERCONNECT SLICE_7/Q0 SLICE_72/B1 (1150:1310:1470)(1150:1310:1470)) + (INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_67/A1 (1159:1320:1482)(1159:1320:1482)) + (INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_67/A0 (1159:1320:1482)(1159:1320:1482)) + (INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_71/A1 (1159:1320:1482)(1159:1320:1482)) + (INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_107/C0 (565:681:798)(565:681:798)) + (INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_122/A1 (1159:1320:1482)(1159:1320:1482)) + (INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_122/A0 (1159:1320:1482)(1159:1320:1482)) + (INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_124/A1 (1159:1320:1482)(1159:1320:1482)) + (INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_124/A0 (1159:1320:1482)(1159:1320:1482)) + (INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_131/A1 (764:891:1018)(764:891:1018)) + (INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_134/D1 (881:977:1074)(881:977:1074)) + (INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_134/B0 (796:925:1055)(796:925:1055)) (INTERCONNECT SLICE_7/F1 SLICE_7/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_7/F0 SLICE_7/DI0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_8/FCO SLICE_7/FCI (0:0:0)(0:0:0)) (INTERCONNECT SLICE_8/Q1 SLICE_8/A1 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_8/Q1 SLICE_55/B0 (786:914:1043)(786:914:1043)) - (INTERCONNECT SLICE_8/Q1 SLICE_63/B0 (786:914:1043)(786:914:1043)) - (INTERCONNECT SLICE_8/Q1 SLICE_119/B0 (786:914:1043)(786:914:1043)) + (INTERCONNECT SLICE_8/Q1 ram2e_ufm\/SLICE_57/B1 (1113:1276:1440)(1113:1276:1440)) + (INTERCONNECT SLICE_8/Q1 ram2e_ufm\/SLICE_67/B1 (1161:1322:1483)(1161:1322:1483)) + (INTERCONNECT SLICE_8/Q1 ram2e_ufm\/SLICE_122/B1 (1161:1322:1483)(1161:1322:1483)) + (INTERCONNECT SLICE_8/Q1 ram2e_ufm\/SLICE_122/B0 (1161:1322:1483)(1161:1322:1483)) + (INTERCONNECT SLICE_8/Q1 ram2e_ufm\/SLICE_134/A1 (754:880:1006)(754:880:1006)) (INTERCONNECT SLICE_8/Q0 SLICE_8/A0 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_8/Q0 SLICE_55/C0 (892:1045:1199)(892:1045:1199)) - (INTERCONNECT SLICE_8/Q0 SLICE_63/C0 (892:1045:1199)(892:1045:1199)) - (INTERCONNECT SLICE_8/Q0 SLICE_119/C0 (892:1045:1199)(892:1045:1199)) + (INTERCONNECT SLICE_8/Q0 ram2e_ufm\/SLICE_67/D1 (914:1006:1099)(914:1006:1099)) + (INTERCONNECT SLICE_8/Q0 ram2e_ufm\/SLICE_122/D1 (1252:1381:1511)(1252:1381:1511)) + (INTERCONNECT SLICE_8/Q0 ram2e_ufm\/SLICE_122/D0 (1252:1381:1511)(1252:1381:1511)) + (INTERCONNECT SLICE_8/Q0 ram2e_ufm\/SLICE_124/C0 (920:1067:1214)(920:1067:1214)) + (INTERCONNECT SLICE_8/Q0 ram2e_ufm\/SLICE_129/A0 (1488:1677:1867)(1488:1677:1867)) + (INTERCONNECT SLICE_8/Q0 ram2e_ufm\/SLICE_131/B1 (1521:1713:1905)(1521:1713:1905)) + (INTERCONNECT SLICE_8/Q0 ram2e_ufm\/SLICE_134/B1 (1521:1713:1905)(1521:1713:1905)) (INTERCONNECT SLICE_8/F1 SLICE_8/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_8/F0 SLICE_8/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_32/Q0 SLICE_9/D1 (526:578:630)(526:578:630)) - (INTERCONNECT SLICE_32/Q0 SLICE_32/A0 (479:571:663)(479:571:663)) - (INTERCONNECT PHI1_MGIOL/IN SLICE_9/C1 (1174:1343:1512)(1174:1343:1512)) - (INTERCONNECT PHI1_I/PADDI SLICE_9/B1 (2197:2413:2629)(2197:2413:2629)) - (INTERCONNECT PHI1_I/PADDI nVOE_I/PADDO (3417:3711:4005)(3417:3711:4005)) - (INTERCONNECT PHI1_I/PADDI PHI1_MGIOL/DI (544:554:565)(544:554:565)) - (INTERCONNECT SLICE_31/Q0 SLICE_9/C0 (874:1022:1170)(874:1022:1170)) - (INTERCONNECT SLICE_31/Q0 SLICE_12/B0 (1860:2086:2313)(1860:2086:2313)) - (INTERCONNECT SLICE_31/Q0 SLICE_13/D1 (1993:2184:2375)(1993:2184:2375)) - (INTERCONNECT SLICE_31/Q0 SLICE_13/D0 (1993:2184:2375)(1993:2184:2375)) - (INTERCONNECT SLICE_31/Q0 SLICE_14/C0 (819:962:1105)(819:962:1105)) - (INTERCONNECT SLICE_31/Q0 SLICE_15/D0 (1988:2178:2369)(1988:2178:2369)) - (INTERCONNECT SLICE_31/Q0 SLICE_16/B0 (1860:2086:2313)(1860:2086:2313)) - (INTERCONNECT SLICE_31/Q0 SLICE_17/B0 (2600:2890:3181)(2600:2890:3181)) - (INTERCONNECT SLICE_31/Q0 SLICE_18/C0 (1183:1357:1532)(1183:1357:1532)) - (INTERCONNECT SLICE_31/Q0 SLICE_19/C1 (819:962:1105)(819:962:1105)) - (INTERCONNECT SLICE_31/Q0 SLICE_19/C0 (819:962:1105)(819:962:1105)) - (INTERCONNECT SLICE_31/Q0 SLICE_49/B1 (3093:3419:3745)(3093:3419:3745)) - (INTERCONNECT SLICE_31/Q0 SLICE_49/B0 (3093:3419:3745)(3093:3419:3745)) - (INTERCONNECT SLICE_31/Q0 SLICE_53/C1 (1956:2204:2453)(1956:2204:2453)) - (INTERCONNECT SLICE_31/Q0 SLICE_57/D1 (1233:1358:1483)(1233:1358:1483)) - (INTERCONNECT SLICE_31/Q0 SLICE_67/A0 (3259:3613:3968)(3259:3613:3968)) - (INTERCONNECT SLICE_31/Q0 SLICE_82/C1 (2492:2773:3054)(2492:2773:3054)) - (INTERCONNECT SLICE_31/Q0 SLICE_87/A1 (2691:2982:3274)(2691:2982:3274)) - (INTERCONNECT SLICE_31/Q0 SLICE_91/B0 (2723:3017:3311)(2723:3017:3311)) - (INTERCONNECT SLICE_9/Q0 SLICE_9/A0 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_9/Q0 SLICE_19/A1 (1590:1781:1972)(1590:1781:1972)) - (INTERCONNECT SLICE_9/Q0 SLICE_19/A0 (1590:1781:1972)(1590:1781:1972)) - (INTERCONNECT SLICE_9/Q0 SLICE_82/B1 (1986:2211:2436)(1986:2211:2436)) + (INTERCONNECT SLICE_33/Q1 SLICE_9/D1 (556:619:683)(556:619:683)) + (INTERCONNECT SLICE_33/Q1 SLICE_9/D0 (556:619:683)(556:619:683)) + (INTERCONNECT SLICE_33/Q1 SLICE_33/B0 (778:905:1032)(778:905:1032)) + (INTERCONNECT SLICE_33/Q1 SLICE_35/B1 (1120:1286:1452)(1120:1286:1452)) + (INTERCONNECT SLICE_33/Q1 SLICE_36/D1 (946:1043:1141)(946:1043:1141)) + (INTERCONNECT SLICE_33/Q1 SLICE_37/D1 (1326:1456:1587)(1326:1456:1587)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_56/C1 (2034:2286:2539)(2034:2286:2539)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/CKE_7\/SLICE_61/A0 (1156:1319:1482) + (1156:1319:1482)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_67/D0 (1326:1456:1587)(1326:1456:1587)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_69/A0 (1900:2127:2355)(1900:2127:2355)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_72/A0 (1099:1264:1430)(1099:1264:1430)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_74/A0 (1099:1264:1430)(1099:1264:1430)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_77/C0 (2555:2838:3122)(2555:2838:3122)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_78/B1 (2308:2570:2833)(2308:2570:2833)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_80/D1 (1263:1396:1530)(1263:1396:1530)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_92/B1 (1188:1353:1519)(1188:1353:1519)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_95/D1 (1326:1456:1587)(1326:1456:1587)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_96/D1 (1326:1456:1587)(1326:1456:1587)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_102/C1 (957:1109:1262)(957:1109:1262)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_106/B1 (778:905:1032)(778:905:1032)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_112/D1 (1326:1456:1587)(1326:1456:1587)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_113/A0 (1900:2127:2355)(1900:2127:2355)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_115/D1 (556:619:683)(556:619:683)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_117/D1 (1386:1524:1662)(1386:1524:1662)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_117/D0 (1386:1524:1662)(1386:1524:1662)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_118/C1 (1397:1590:1783)(1397:1590:1783)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_118/C0 (1397:1590:1783)(1397:1590:1783)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_119/C1 (910:1067:1224)(910:1067:1224)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_119/C0 (910:1067:1224)(910:1067:1224)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_121/D1 (1263:1396:1530)(1263:1396:1530)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_121/D0 (1263:1396:1530)(1263:1396:1530)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_127/C1 (910:1067:1224)(910:1067:1224)) + (INTERCONNECT SLICE_33/Q1 SLICE_138/D1 (2914:3174:3435)(2914:3174:3435)) + (INTERCONNECT SLICE_33/Q1 SLICE_138/D0 (2914:3174:3435)(2914:3174:3435)) + (INTERCONNECT SLICE_33/Q0 SLICE_9/B1 (776:908:1040)(776:908:1040)) + (INTERCONNECT SLICE_33/Q0 SLICE_9/C0 (546:671:796)(546:671:796)) + (INTERCONNECT SLICE_33/Q0 SLICE_35/D1 (570:638:707)(570:638:707)) + (INTERCONNECT SLICE_33/Q0 SLICE_36/B1 (1059:1233:1407)(1059:1233:1407)) + (INTERCONNECT SLICE_33/Q0 SLICE_37/B1 (1444:1651:1859)(1444:1651:1859)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_56/B1 (1824:2064:2305)(1824:2064:2305)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_69/B0 (1444:1651:1859)(1444:1651:1859)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_71/A0 (2119:2392:2665)(2119:2392:2665)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_72/D1 (570:638:707)(570:638:707)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_74/C0 (929:1091:1254)(929:1091:1254)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_77/A0 (2655:2960:3266)(2655:2960:3266)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_78/D1 (1967:2173:2379)(1967:2173:2379)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_80/C1 (1978:2239:2500)(1978:2239:2500)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_92/D0 (817:923:1029)(817:923:1029)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_95/B1 (1429:1635:1841)(1429:1635:1841)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_96/B1 (1429:1635:1841)(1429:1635:1841)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_106/C1 (540:656:772)(540:656:772)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_107/B1 (2188:2460:2732)(2188:2460:2732)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_112/B1 (1444:1651:1859)(1444:1651:1859)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_113/B0 (1444:1651:1859)(1444:1651:1859)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_115/D0 (534:598:662)(534:598:662)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_117/C1 (874:1044:1214)(874:1044:1214)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_117/C0 (874:1044:1214)(874:1044:1214)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_118/B1 (1105:1288:1471)(1105:1288:1471)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_118/B0 (1105:1288:1471)(1105:1288:1471)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_119/D1 (570:638:707)(570:638:707)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_119/D0 (570:638:707)(570:638:707)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_120/D1 (545:611:677)(545:611:677)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_120/D0 (545:611:677)(545:611:677)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_121/A1 (2177:2448:2720)(2177:2448:2720)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_121/A0 (2177:2448:2720)(2177:2448:2720)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_127/D1 (570:638:707)(570:638:707)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_127/D0 (570:638:707)(570:638:707)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_129/C1 (929:1091:1254)(929:1091:1254)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_129/C0 (929:1091:1254)(929:1091:1254)) + (INTERCONNECT SLICE_33/Q0 SLICE_138/A1 (3352:3724:4097)(3352:3724:4097)) + (INTERCONNECT SLICE_33/Q0 SLICE_138/B0 (3057:3397:3737)(3057:3397:3737)) + (INTERCONNECT SLICE_35/F0 SLICE_9/A1 (1019:1185:1351)(1019:1185:1351)) + (INTERCONNECT SLICE_35/F0 SLICE_9/A0 (1019:1185:1351)(1019:1185:1351)) + (INTERCONNECT SLICE_35/F0 SLICE_35/C1 (284:372:461)(284:372:461)) + (INTERCONNECT SLICE_35/F0 SLICE_35/DI0 (7:16:25)(7:16:25)) + (INTERCONNECT SLICE_35/F0 ram2e_ufm\/SLICE_80/A1 (740:864:989)(740:864:989)) + (INTERCONNECT SLICE_35/F0 ram2e_ufm\/SLICE_92/A1 (1383:1580:1778)(1383:1580:1778)) + (INTERCONNECT SLICE_35/F0 ram2e_ufm\/SLICE_129/D0 (532:597:662)(532:597:662)) + (INTERCONNECT ram2e_ufm\/CKE_7\/SLICE_61/OFX0 SLICE_9/B0 (1099:1259:1420) + (1099:1259:1420)) (INTERCONNECT SLICE_9/F0 SLICE_9/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_20/F1 SLICE_9/CE (2023:2253:2484)(2023:2253:2484)) - (INTERCONNECT SLICE_20/F1 SLICE_12/CE (2023:2253:2484)(2023:2253:2484)) - (INTERCONNECT SLICE_20/F1 SLICE_13/CE (2023:2253:2484)(2023:2253:2484)) - (INTERCONNECT SLICE_20/F1 SLICE_14/CE (2023:2253:2484)(2023:2253:2484)) - (INTERCONNECT SLICE_20/F1 SLICE_15/CE (2023:2253:2484)(2023:2253:2484)) - (INTERCONNECT SLICE_20/F1 SLICE_16/CE (2023:2253:2484)(2023:2253:2484)) - (INTERCONNECT SLICE_20/F1 SLICE_17/CE (2023:2253:2484)(2023:2253:2484)) - (INTERCONNECT SLICE_20/F1 SLICE_18/CE (2023:2253:2484)(2023:2253:2484)) - (INTERCONNECT SLICE_20/F1 SLICE_19/CE (2023:2253:2484)(2023:2253:2484)) - (INTERCONNECT SLICE_20/F1 SLICE_19/CE (2023:2253:2484)(2023:2253:2484)) - (INTERCONNECT SLICE_20/F1 Dout\[7\]_MGIOL/CE (2205:2457:2710)(2205:2457:2710)) - (INTERCONNECT SLICE_20/F1 Dout\[6\]_MGIOL/CE (2205:2457:2710)(2205:2457:2710)) - (INTERCONNECT SLICE_20/F1 Dout\[5\]_MGIOL/CE (2205:2457:2710)(2205:2457:2710)) - (INTERCONNECT SLICE_20/F1 Dout\[4\]_MGIOL/CE (2205:2457:2710)(2205:2457:2710)) - (INTERCONNECT SLICE_20/F1 Dout\[3\]_MGIOL/CE (2205:2457:2710)(2205:2457:2710)) - (INTERCONNECT SLICE_20/F1 Dout\[2\]_MGIOL/CE (2205:2457:2710)(2205:2457:2710)) - (INTERCONNECT SLICE_20/F1 Dout\[1\]_MGIOL/CE (2205:2457:2710)(2205:2457:2710)) - (INTERCONNECT SLICE_20/F1 Dout\[0\]_MGIOL/CE (2205:2457:2710)(2205:2457:2710)) - (INTERCONNECT SLICE_9/F1 SLICE_33/D1 (546:605:664)(546:605:664)) - (INTERCONNECT SLICE_9/F1 SLICE_33/B0 (1115:1277:1439)(1115:1277:1439)) - (INTERCONNECT SLICE_9/F1 SLICE_34/C1 (557:671:785)(557:671:785)) - (INTERCONNECT SLICE_9/F1 SLICE_34/C0 (557:671:785)(557:671:785)) - (INTERCONNECT SLICE_57/F0 SLICE_10/D1 (1782:1951:2121)(1782:1951:2121)) - (INTERCONNECT SLICE_57/F0 SLICE_10/C0 (1466:1655:1845)(1466:1655:1845)) - (INTERCONNECT SLICE_57/F0 SLICE_11/B1 (1697:1899:2102)(1697:1899:2102)) - (INTERCONNECT SLICE_57/F0 SLICE_57/B1 (765:889:1013)(765:889:1013)) - (INTERCONNECT SLICE_57/F0 SLICE_82/A0 (2029:2260:2492)(2029:2260:2492)) - (INTERCONNECT SLICE_10/Q0 SLICE_10/C1 (559:677:795)(559:677:795)) - (INTERCONNECT SLICE_10/Q0 SLICE_10/A0 (483:579:675)(483:579:675)) - (INTERCONNECT SLICE_10/Q0 SLICE_11/C1 (559:677:795)(559:677:795)) - (INTERCONNECT SLICE_10/Q0 SLICE_49/A1 (753:882:1012)(753:882:1012)) - (INTERCONNECT SLICE_10/Q0 SLICE_77/C1 (559:677:795)(559:677:795)) - (INTERCONNECT SLICE_10/Q0 SLICE_81/A1 (753:882:1012)(753:882:1012)) - (INTERCONNECT SLICE_10/Q0 SLICE_87/B1 (1117:1285:1454)(1117:1285:1454)) - (INTERCONNECT SLICE_10/Q0 SLICE_91/B1 (1117:1285:1454)(1117:1285:1454)) - (INTERCONNECT SLICE_82/F1 SLICE_10/B1 (771:904:1037)(771:904:1037)) - (INTERCONNECT SLICE_82/F1 SLICE_10/B0 (771:904:1037)(771:904:1037)) - (INTERCONNECT SLICE_82/F1 SLICE_11/D1 (529:594:659)(529:594:659)) - (INTERCONNECT SLICE_82/F1 SLICE_82/B0 (765:889:1013)(765:889:1013)) - (INTERCONNECT SLICE_10/Q1 SLICE_10/A1 (483:579:675)(483:579:675)) - (INTERCONNECT SLICE_10/Q1 SLICE_11/D0 (538:599:660)(538:599:660)) - (INTERCONNECT SLICE_10/Q1 SLICE_18/B1 (774:904:1034)(774:904:1034)) - (INTERCONNECT SLICE_10/Q1 SLICE_49/A0 (758:888:1018)(758:888:1018)) - (INTERCONNECT SLICE_10/Q1 SLICE_54/C1 (886:1040:1195)(886:1040:1195)) - (INTERCONNECT SLICE_10/Q1 SLICE_87/D0 (532:594:656)(532:594:656)) - (INTERCONNECT SLICE_10/Q1 SLICE_93/D0 (538:599:660)(538:599:660)) - (INTERCONNECT SLICE_10/Q1 SLICE_94/A0 (758:888:1018)(758:888:1018)) - (INTERCONNECT SLICE_10/F1 SLICE_10/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_9/Q0 CKEout_MGIOL/OPOS (2313:2510:2707)(2313:2510:2707)) + (INTERCONNECT SLICE_9/F1 ram2e_ufm\/SLICE_56/CE (1277:1401:1526)(1277:1401:1526)) + (INTERCONNECT SLICE_31/Q0 SLICE_10/B0 (1252:1425:1598)(1252:1425:1598)) + (INTERCONNECT SLICE_31/Q0 SLICE_18/D1 (1010:1115:1220)(1010:1115:1220)) + (INTERCONNECT SLICE_31/Q0 SLICE_18/D0 (1010:1115:1220)(1010:1115:1220)) + (INTERCONNECT SLICE_31/Q0 ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60/M0 + (975:1068:1162)(975:1068:1162)) + (INTERCONNECT SLICE_31/Q0 ram2e_ufm\/SLICE_77/B1 (1237:1408:1580)(1237:1408:1580)) + (INTERCONNECT SLICE_31/Q0 ram2e_ufm\/SLICE_79/C0 (805:952:1100)(805:952:1100)) + (INTERCONNECT SLICE_31/Q0 ram2e_ufm\/SLICE_80/B0 (1467:1663:1859)(1467:1663:1859)) + (INTERCONNECT SLICE_31/Q0 ram2e_ufm\/SLICE_83/B0 (1616:1820:2025)(1616:1820:2025)) + (INTERCONNECT SLICE_31/Q0 ram2e_ufm\/SLICE_130/C1 (1006:1164:1323)(1006:1164:1323)) + (INTERCONNECT SLICE_31/Q0 ram2e_ufm\/SLICE_130/C0 (1006:1164:1323)(1006:1164:1323)) + (INTERCONNECT SLICE_31/Q0 ram2e_ufm\/SLICE_133/B1 (1601:1804:2007)(1601:1804:2007)) + (INTERCONNECT SLICE_10/Q0 SLICE_10/A0 (481:575:669)(481:575:669)) + (INTERCONNECT SLICE_10/Q0 SLICE_18/C1 (547:661:775)(547:661:775)) + (INTERCONNECT SLICE_10/Q0 SLICE_18/B0 (767:892:1017)(767:892:1017)) + (INTERCONNECT SLICE_10/Q0 ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60/C0 + (547:661:775)(547:661:775)) (INTERCONNECT SLICE_10/F0 SLICE_10/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_77/F0 SLICE_10/LSR (550:609:668)(550:609:668)) - (INTERCONNECT SLICE_77/F0 SLICE_10/LSR (550:609:668)(550:609:668)) - (INTERCONNECT SLICE_77/F0 SLICE_11/LSR (550:609:668)(550:609:668)) - (INTERCONNECT SLICE_11/F1 SLICE_11/C0 (277:356:436)(277:356:436)) + (INTERCONNECT ram2e_ufm\/SLICE_119/F1 SLICE_10/CE (1258:1384:1510)(1258:1384:1510)) + (INTERCONNECT ram2e_ufm\/SLICE_119/F1 SLICE_18/CE (1258:1384:1510)(1258:1384:1510)) + (INTERCONNECT ram2e_ufm\/SLICE_119/F1 SLICE_18/CE (1258:1384:1510)(1258:1384:1510)) + (INTERCONNECT SLICE_10/F1 nCSout_I/PADDO (1536:1697:1858)(1536:1697:1858)) + (INTERCONNECT SLICE_26/Q1 SLICE_11/D1 (554:615:676)(554:615:676)) + (INTERCONNECT SLICE_26/Q1 SLICE_11/D0 (554:615:676)(554:615:676)) + (INTERCONNECT SLICE_26/Q1 SLICE_26/A1 (479:571:663)(479:571:663)) + (INTERCONNECT SLICE_26/Q1 SLICE_26/D0 (554:615:676)(554:615:676)) + (INTERCONNECT SLICE_26/Q1 ram2e_ufm\/SLICE_91/D1 (554:615:676)(554:615:676)) + (INTERCONNECT SLICE_11/Q0 SLICE_11/A1 (748:874:1001)(748:874:1001)) (INTERCONNECT SLICE_11/Q0 SLICE_11/A0 (483:579:675)(483:579:675)) - (INTERCONNECT SLICE_11/Q0 SLICE_18/D1 (543:607:671)(543:607:671)) - (INTERCONNECT SLICE_11/Q0 SLICE_49/D0 (543:607:671)(543:607:671)) - (INTERCONNECT SLICE_11/Q0 SLICE_54/C0 (541:652:763)(541:652:763)) - (INTERCONNECT SLICE_11/Q0 SLICE_87/A0 (1091:1257:1424)(1091:1257:1424)) - (INTERCONNECT SLICE_11/Q0 SLICE_91/A1 (1091:1257:1424)(1091:1257:1424)) - (INTERCONNECT SLICE_11/Q0 SLICE_94/D0 (543:607:671)(543:607:671)) + (INTERCONNECT SLICE_11/Q0 SLICE_26/D1 (527:586:645)(527:586:645)) + (INTERCONNECT SLICE_11/Q0 SLICE_26/A0 (748:874:1001)(748:874:1001)) + (INTERCONNECT SLICE_11/Q0 ram2e_ufm\/SLICE_91/B1 (769:896:1023)(769:896:1023)) + (INTERCONNECT SLICE_26/Q0 SLICE_11/B0 (788:917:1046)(788:917:1046)) + (INTERCONNECT SLICE_26/Q0 SLICE_26/B1 (788:917:1046)(788:917:1046)) + (INTERCONNECT SLICE_26/Q0 SLICE_26/B0 (788:917:1046)(788:917:1046)) + (INTERCONNECT SLICE_26/Q0 ram2e_ufm\/CKE_7\/SLICE_61/A1 (745:872:999)(745:872:999)) + (INTERCONNECT SLICE_26/Q0 ram2e_ufm\/SLICE_91/C1 (536:648:760)(536:648:760)) (INTERCONNECT SLICE_11/F0 SLICE_11/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[0\]_I/PADDI SLICE_12/D1 (2161:2313:2466)(2161:2313:2466)) - (INTERCONNECT Din\[0\]_I/PADDI SLICE_14/C1 (2199:2413:2628)(2199:2413:2628)) - (INTERCONNECT Din\[0\]_I/PADDI SLICE_21/A0 (2654:2908:3163)(2654:2908:3163)) - (INTERCONNECT Din\[0\]_I/PADDI SLICE_23/B0 (3383:3707:4031)(3383:3707:4031)) - (INTERCONNECT Din\[0\]_I/PADDI SLICE_27/A0 (3024:3310:3597)(3024:3310:3597)) - (INTERCONNECT Din\[0\]_I/PADDI SLICE_54/D1 (2631:2835:3039)(2631:2835:3039)) - (INTERCONNECT Din\[0\]_I/PADDI SLICE_92/D0 (2161:2313:2466)(2161:2313:2466)) - (INTERCONNECT Din\[0\]_I/PADDI SLICE_94/D1 (2625:2828:3032)(2625:2828:3032)) - (INTERCONNECT Din\[0\]_I/PADDI SLICE_95/C0 (2536:2775:3014)(2536:2775:3014)) - (INTERCONNECT Din\[0\]_I/PADDI SLICE_98/D1 (2188:2347:2507)(2188:2347:2507)) - (INTERCONNECT Din\[0\]_I/PADDI SLICE_99/D0 (3370:3638:3906)(3370:3638:3906)) - (INTERCONNECT Din\[0\]_I/PADDI SLICE_100/D1 (2488:2675:2863)(2488:2675:2863)) - (INTERCONNECT Din\[0\]_I/PADDI SLICE_118/D0 (3370:3638:3906)(3370:3638:3906)) - (INTERCONNECT Din\[0\]_I/PADDI RD\[0\]_I/PADDO (4660:5052:5445)(4660:5052:5445)) - (INTERCONNECT Din\[2\]_I/PADDI SLICE_12/C1 (1737:1921:2106)(1737:1921:2106)) - (INTERCONNECT Din\[2\]_I/PADDI SLICE_24/C0 (2477:2725:2974)(2477:2725:2974)) - (INTERCONNECT Din\[2\]_I/PADDI SLICE_28/C0 (2477:2725:2974)(2477:2725:2974)) - (INTERCONNECT Din\[2\]_I/PADDI SLICE_36/A0 (3034:3324:3614)(3034:3324:3614)) - (INTERCONNECT Din\[2\]_I/PADDI SLICE_54/B1 (2414:2638:2862)(2414:2638:2862)) - (INTERCONNECT Din\[2\]_I/PADDI SLICE_94/B1 (2414:2638:2862)(2414:2638:2862)) - (INTERCONNECT Din\[2\]_I/PADDI SLICE_98/C1 (1747:1932:2118)(1747:1932:2118)) - (INTERCONNECT Din\[2\]_I/PADDI SLICE_99/C1 (1747:1932:2118)(1747:1932:2118)) - (INTERCONNECT Din\[2\]_I/PADDI SLICE_100/B1 (2450:2682:2914)(2450:2682:2914)) - (INTERCONNECT Din\[2\]_I/PADDI SLICE_118/C0 (1747:1932:2118)(1747:1932:2118)) - (INTERCONNECT Din\[2\]_I/PADDI RD\[2\]_I/PADDO (2595:2804:3014)(2595:2804:3014)) - (INTERCONNECT Din\[3\]_I/PADDI SLICE_12/B1 (1831:2032:2234)(1831:2032:2234)) - (INTERCONNECT Din\[3\]_I/PADDI SLICE_24/C1 (2334:2586:2838)(2334:2586:2838)) - (INTERCONNECT Din\[3\]_I/PADDI SLICE_28/A1 (3224:3553:3882)(3224:3553:3882)) - (INTERCONNECT Din\[3\]_I/PADDI SLICE_36/C1 (1964:2184:2404)(1964:2184:2404)) - (INTERCONNECT Din\[3\]_I/PADDI SLICE_81/C1 (2077:2296:2516)(2077:2296:2516)) - (INTERCONNECT Din\[3\]_I/PADDI SLICE_93/C0 (2515:2781:3048)(2515:2781:3048)) - (INTERCONNECT Din\[3\]_I/PADDI SLICE_94/C1 (2077:2296:2516)(2077:2296:2516)) - (INTERCONNECT Din\[3\]_I/PADDI SLICE_98/B1 (2308:2540:2773)(2308:2540:2773)) - (INTERCONNECT Din\[3\]_I/PADDI SLICE_99/B1 (2308:2540:2773)(2308:2540:2773)) - (INTERCONNECT Din\[3\]_I/PADDI SLICE_100/C1 (2384:2649:2915)(2384:2649:2915)) - (INTERCONNECT Din\[3\]_I/PADDI RD\[3\]_I/PADDO (3299:3575:3851)(3299:3575:3851)) - (INTERCONNECT SLICE_12/F1 SLICE_12/D0 (520:573:626)(520:573:626)) - (INTERCONNECT SLICE_16/F1 SLICE_12/C0 (536:650:764)(536:650:764)) - (INTERCONNECT SLICE_16/F1 SLICE_13/C0 (546:664:783)(546:664:783)) - (INTERCONNECT SLICE_16/F1 SLICE_16/D0 (525:584:643)(525:584:643)) - (INTERCONNECT SLICE_12/Q0 SLICE_12/A0 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_12/Q0 SLICE_110/C0 (1234:1411:1588)(1234:1411:1588)) + (INTERCONNECT ram2e_ufm\/SLICE_118/F1 SLICE_11/CE (1002:1104:1207)(1002:1104:1207)) + (INTERCONNECT ram2e_ufm\/SLICE_118/F1 SLICE_26/CE (1002:1104:1207)(1002:1104:1207)) + (INTERCONNECT ram2e_ufm\/SLICE_118/F1 SLICE_26/CE (1002:1104:1207)(1002:1104:1207)) + (INTERCONNECT SLICE_11/F1 ram2e_ufm\/CKE_7\/SLICE_61/D1 (523:573:623)(523:573:623)) + (INTERCONNECT SLICE_13/F1 SLICE_12/D1 (520:573:626)(520:573:626)) + (INTERCONNECT ram2e_ufm\/SLICE_62/F1 SLICE_12/C1 (534:645:756)(534:645:756)) + (INTERCONNECT ram2e_ufm\/SLICE_62/F1 ram2e_ufm\/SLICE_62/C0 (280:362:445) + (280:362:445)) + (INTERCONNECT ram2e_ufm\/SLICE_84/F1 SLICE_12/B1 (513:611:710)(513:611:710)) + (INTERCONNECT ram2e_ufm\/SLICE_84/F1 ram2e_ufm\/SLICE_84/B0 (767:894:1021) + (767:894:1021)) + (INTERCONNECT ram2e_ufm\/SLICE_84/F1 ram2e_ufm\/SLICE_87/D0 (535:598:662) + (535:598:662)) + (INTERCONNECT ram2e_ufm\/SLICE_77/F1 SLICE_12/A1 (1081:1244:1407)(1081:1244:1407)) + (INTERCONNECT ram2e_ufm\/SLICE_77/F1 SLICE_12/B0 (770:897:1024)(770:897:1024)) + (INTERCONNECT ram2e_ufm\/SLICE_77/F1 SLICE_13/A1 (1081:1244:1407)(1081:1244:1407)) + (INTERCONNECT ram2e_ufm\/SLICE_77/F1 SLICE_13/D0 (528:587:646)(528:587:646)) + (INTERCONNECT SLICE_12/Q1 SLICE_12/D0 (576:643:710)(576:643:710)) + (INTERCONNECT SLICE_12/Q1 SLICE_13/C1 (587:709:831)(587:709:831)) + (INTERCONNECT SLICE_12/Q1 SLICE_13/C0 (587:709:831)(587:709:831)) + (INTERCONNECT SLICE_12/Q1 SLICE_19/C1 (552:669:786)(552:669:786)) + (INTERCONNECT SLICE_12/Q1 ram2e_ufm\/SLICE_40/C1 (552:669:786)(552:669:786)) + (INTERCONNECT SLICE_12/Q1 ram2e_ufm\/SUM0_i_m3_0\/SLICE_59/C1 (542:663:784) + (542:663:784)) + (INTERCONNECT SLICE_12/Q1 ram2e_ufm\/SLICE_62/A1 (786:918:1051)(786:918:1051)) + (INTERCONNECT SLICE_12/Q1 ram2e_ufm\/SLICE_62/A0 (786:918:1051)(786:918:1051)) + (INTERCONNECT SLICE_12/Q1 ram2e_ufm\/SLICE_65/A0 (1013:1179:1346)(1013:1179:1346)) + (INTERCONNECT SLICE_12/Q1 ram2e_ufm\/SLICE_84/A0 (741:872:1004)(741:872:1004)) + (INTERCONNECT SLICE_12/Q1 ram2e_ufm\/SLICE_87/B0 (818:953:1088)(818:953:1088)) + (INTERCONNECT SLICE_12/Q1 ram2e_ufm\/SLICE_101/C0 (814:970:1126)(814:970:1126)) + (INTERCONNECT ram2e_ufm\/SLICE_63/F0 SLICE_12/C0 (534:639:744)(534:639:744)) + (INTERCONNECT SLICE_13/Q0 SLICE_12/A0 (770:902:1035)(770:902:1035)) + (INTERCONNECT SLICE_13/Q0 SLICE_13/D1 (560:627:694)(560:627:694)) + (INTERCONNECT SLICE_13/Q0 SLICE_13/A0 (485:583:681)(485:583:681)) + (INTERCONNECT SLICE_13/Q0 SLICE_15/B1 (1043:1215:1388)(1043:1215:1388)) + (INTERCONNECT SLICE_13/Q0 SLICE_19/B1 (787:921:1055)(787:921:1055)) + (INTERCONNECT SLICE_13/Q0 ram2e_ufm\/SLICE_40/B1 (787:921:1055)(787:921:1055)) + (INTERCONNECT SLICE_13/Q0 ram2e_ufm\/SLICE_62/B1 (802:937:1072)(802:937:1072)) + (INTERCONNECT SLICE_13/Q0 ram2e_ufm\/SLICE_62/B0 (802:937:1072)(802:937:1072)) + (INTERCONNECT SLICE_13/Q0 ram2e_ufm\/SLICE_63/D1 (535:605:675)(535:605:675)) + (INTERCONNECT SLICE_13/Q0 ram2e_ufm\/SLICE_83/B1 (792:926:1061)(792:926:1061)) + (INTERCONNECT SLICE_13/Q0 ram2e_ufm\/SLICE_84/D1 (535:605:675)(535:605:675)) + (INTERCONNECT SLICE_13/Q0 ram2e_ufm\/SLICE_94/A0 (760:892:1024)(760:892:1024)) + (INTERCONNECT SLICE_13/Q0 ram2e_ufm\/SLICE_100/C0 (561:682:804)(561:682:804)) + (INTERCONNECT SLICE_12/F1 SLICE_12/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_12/F0 SLICE_12/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[5\]_I/PADDI SLICE_13/C1 (2094:2309:2524)(2094:2309:2524)) - (INTERCONNECT Din\[5\]_I/PADDI SLICE_25/C1 (1718:1900:2083)(1718:1900:2083)) - (INTERCONNECT Din\[5\]_I/PADDI SLICE_29/C1 (2045:2262:2480)(2045:2262:2480)) - (INTERCONNECT Din\[5\]_I/PADDI SLICE_37/A1 (3021:3309:3598)(3021:3309:3598)) - (INTERCONNECT Din\[5\]_I/PADDI SLICE_93/C1 (2566:2814:3063)(2566:2814:3063)) - (INTERCONNECT Din\[5\]_I/PADDI SLICE_98/B0 (3499:3829:4159)(3499:3829:4159)) - (INTERCONNECT Din\[5\]_I/PADDI SLICE_99/B0 (3499:3829:4159)(3499:3829:4159)) - (INTERCONNECT Din\[5\]_I/PADDI RD\[5\]_I/PADDO (3095:3329:3564)(3095:3329:3564)) - (INTERCONNECT Din\[7\]_I/PADDI SLICE_13/B1 (2775:3028:3281)(2775:3028:3281)) - (INTERCONNECT Din\[7\]_I/PADDI SLICE_26/C1 (1641:1812:1983)(1641:1812:1983)) - (INTERCONNECT Din\[7\]_I/PADDI SLICE_30/C1 (2005:2207:2410)(2005:2207:2410)) - (INTERCONNECT Din\[7\]_I/PADDI SLICE_38/B1 (3503:3819:4135)(3503:3819:4135)) - (INTERCONNECT Din\[7\]_I/PADDI SLICE_91/D0 (3708:3994:4280)(3708:3994:4280)) - (INTERCONNECT Din\[7\]_I/PADDI SLICE_93/A0 (3918:4269:4621)(3918:4269:4621)) - (INTERCONNECT Din\[7\]_I/PADDI SLICE_98/A0 (3221:3505:3790)(3221:3505:3790)) - (INTERCONNECT Din\[7\]_I/PADDI RD\[7\]_I/PADDO (2669:2870:3072)(2669:2870:3072)) - (INTERCONNECT SLICE_100/F1 SLICE_13/B0 (765:889:1013)(765:889:1013)) - (INTERCONNECT SLICE_100/F1 SLICE_100/C0 (280:362:445)(280:362:445)) - (INTERCONNECT SLICE_13/Q0 SLICE_13/A0 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_13/Q0 SLICE_39/A0 (1013:1166:1319)(1013:1166:1319)) - (INTERCONNECT SLICE_13/Q0 SLICE_53/A0 (1013:1166:1319)(1013:1166:1319)) - (INTERCONNECT SLICE_13/Q0 SLICE_57/A1 (1013:1166:1319)(1013:1166:1319)) + (INTERCONNECT ram2e_ufm\/SLICE_82/F0 SLICE_12/LSR (933:1041:1149)(933:1041:1149)) + (INTERCONNECT ram2e_ufm\/SLICE_82/F0 SLICE_12/LSR (933:1041:1149)(933:1041:1149)) + (INTERCONNECT ram2e_ufm\/SLICE_82/F0 SLICE_13/LSR (933:1041:1149)(933:1041:1149)) + (INTERCONNECT SLICE_12/Q0 ram2e_ufm\/SLICE_40/A1 (1089:1253:1418)(1089:1253:1418)) + (INTERCONNECT SLICE_12/Q0 ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60/B1 + (1153:1315:1477)(1153:1315:1477)) + (INTERCONNECT SLICE_12/Q0 ram2e_ufm\/SLICE_63/A1 (1078:1240:1403)(1078:1240:1403)) + (INTERCONNECT SLICE_12/Q0 ram2e_ufm\/SLICE_63/D0 (868:965:1062)(868:965:1062)) + (INTERCONNECT SLICE_12/Q0 ram2e_ufm\/SLICE_65/B1 (2225:2487:2750)(2225:2487:2750)) + (INTERCONNECT SLICE_12/Q0 ram2e_ufm\/SLICE_65/B0 (2225:2487:2750)(2225:2487:2750)) + (INTERCONNECT SLICE_12/Q0 ram2e_ufm\/SLICE_77/A1 (1089:1253:1418)(1089:1253:1418)) + (INTERCONNECT SLICE_12/Q0 ram2e_ufm\/SLICE_82/B1 (1850:2079:2308)(1850:2079:2308)) + (INTERCONNECT SLICE_12/Q0 ram2e_ufm\/SLICE_83/A0 (745:872:999)(745:872:999)) + (INTERCONNECT ram2e_ufm\/SLICE_87/F1 SLICE_13/B1 (769:899:1029)(769:899:1029)) + (INTERCONNECT ram2e_ufm\/SLICE_87/F1 SLICE_13/B0 (515:616:718)(515:616:718)) + (INTERCONNECT ram2e_ufm\/SLICE_87/F1 ram2e_ufm\/SLICE_62/D0 (527:589:651) + (527:589:651)) + (INTERCONNECT ram2e_ufm\/SLICE_87/F1 ram2e_ufm\/SLICE_87/C0 (284:372:461) + (284:372:461)) (INTERCONNECT SLICE_13/F0 SLICE_13/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_13/F1 SLICE_77/A1 (1051:1210:1370)(1051:1210:1370)) - (INTERCONNECT SLICE_13/F1 SLICE_81/D0 (1677:1836:1995)(1677:1836:1995)) - (INTERCONNECT Din\[4\]_I/PADDI SLICE_14/D1 (2532:2727:2922)(2532:2727:2922)) - (INTERCONNECT Din\[4\]_I/PADDI SLICE_15/B1 (3569:3909:4249)(3569:3909:4249)) - (INTERCONNECT Din\[4\]_I/PADDI SLICE_16/B1 (4260:4666:5073)(4260:4666:5073)) - (INTERCONNECT Din\[4\]_I/PADDI SLICE_17/A1 (4063:4448:4833)(4063:4448:4833)) - (INTERCONNECT Din\[4\]_I/PADDI SLICE_25/D0 (4119:4473:4827)(4119:4473:4827)) - (INTERCONNECT Din\[4\]_I/PADDI SLICE_29/C0 (3864:4238:4613)(3864:4238:4613)) - (INTERCONNECT Din\[4\]_I/PADDI SLICE_37/C0 (2517:2759:3002)(2517:2759:3002)) - (INTERCONNECT Din\[4\]_I/PADDI SLICE_81/D1 (3271:3530:3789)(3271:3530:3789)) - (INTERCONNECT Din\[4\]_I/PADDI SLICE_93/A1 (3444:3772:4100)(3444:3772:4100)) - (INTERCONNECT Din\[4\]_I/PADDI SLICE_94/B0 (3513:3840:4167)(3513:3840:4167)) - (INTERCONNECT Din\[4\]_I/PADDI SLICE_100/A0 (4693:5144:5595)(4693:5144:5595)) - (INTERCONNECT Din\[4\]_I/PADDI SLICE_118/C1 (2543:2793:3043)(2543:2793:3043)) - (INTERCONNECT Din\[4\]_I/PADDI RD\[4\]_I/PADDO (3334:3618:3903)(3334:3618:3903)) - (INTERCONNECT Din\[1\]_I/PADDI SLICE_14/B1 (1964:2160:2356)(1964:2160:2356)) - (INTERCONNECT Din\[1\]_I/PADDI SLICE_15/C1 (1716:1896:2076)(1716:1896:2076)) - (INTERCONNECT Din\[1\]_I/PADDI SLICE_16/A1 (2285:2507:2730)(2285:2507:2730)) - (INTERCONNECT Din\[1\]_I/PADDI SLICE_17/C1 (2102:2317:2532)(2102:2317:2532)) - (INTERCONNECT Din\[1\]_I/PADDI SLICE_23/C1 (2429:2679:2929)(2429:2679:2929)) - (INTERCONNECT Din\[1\]_I/PADDI SLICE_27/D1 (2091:2251:2411)(2091:2251:2411)) - (INTERCONNECT Din\[1\]_I/PADDI SLICE_35/B1 (2414:2636:2858)(2414:2636:2858)) - (INTERCONNECT Din\[1\]_I/PADDI SLICE_54/A1 (2736:2995:3254)(2736:2995:3254)) - (INTERCONNECT Din\[1\]_I/PADDI SLICE_54/A0 (2736:2995:3254)(2736:2995:3254)) - (INTERCONNECT Din\[1\]_I/PADDI SLICE_93/B1 (2420:2642:2865)(2420:2642:2865)) - (INTERCONNECT Din\[1\]_I/PADDI SLICE_94/A1 (2736:2995:3254)(2736:2995:3254)) - (INTERCONNECT Din\[1\]_I/PADDI SLICE_100/D0 (2075:2232:2389)(2075:2232:2389)) - (INTERCONNECT Din\[1\]_I/PADDI SLICE_118/B1 (1964:2160:2356)(1964:2160:2356)) - (INTERCONNECT Din\[1\]_I/PADDI RD\[1\]_I/PADDO (2591:2799:3007)(2591:2799:3007)) - (INTERCONNECT SLICE_99/F1 SLICE_14/A1 (733:854:976)(733:854:976)) - (INTERCONNECT SLICE_99/F1 SLICE_99/C0 (280:362:445)(280:362:445)) - (INTERCONNECT SLICE_14/F1 SLICE_14/D0 (520:573:626)(520:573:626)) - (INTERCONNECT SLICE_18/F1 SLICE_14/B0 (770:894:1018)(770:894:1018)) - (INTERCONNECT SLICE_18/F1 SLICE_15/D1 (993:1098:1203)(993:1098:1203)) - (INTERCONNECT SLICE_18/F1 SLICE_16/D1 (1357:1493:1630)(1357:1493:1630)) - (INTERCONNECT SLICE_18/F1 SLICE_17/B1 (1599:1803:2008)(1599:1803:2008)) - (INTERCONNECT SLICE_18/F1 SLICE_18/B0 (513:611:710)(513:611:710)) - (INTERCONNECT SLICE_14/Q0 SLICE_14/A0 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_14/Q0 SLICE_117/C1 (1437:1618:1800)(1437:1618:1800)) + (INTERCONNECT Din\[3\]_I/PADDI SLICE_14/D1 (2505:2694:2883)(2505:2694:2883)) + (INTERCONNECT Din\[3\]_I/PADDI SLICE_16/B1 (3122:3411:3701)(3122:3411:3701)) + (INTERCONNECT Din\[3\]_I/PADDI SLICE_28/B1 (3210:3494:3779)(3210:3494:3779)) + (INTERCONNECT Din\[3\]_I/PADDI ram2e_ufm\/SLICE_39/C1 (3432:3753:4074) + (3432:3753:4074)) + (INTERCONNECT Din\[3\]_I/PADDI ram2e_ufm\/SLICE_44/A1 (3505:3822:4139) + (3505:3822:4139)) + (INTERCONNECT Din\[3\]_I/PADDI ram2e_ufm\/SLICE_48/B1 (3938:4285:4633) + (3938:4285:4633)) + (INTERCONNECT Din\[3\]_I/PADDI ram2e_ufm\/SUM0_i_m3_0\/SLICE_59/B1 + (2286:2514:2742)(2286:2514:2742)) + (INTERCONNECT Din\[3\]_I/PADDI ram2e_ufm\/SUM0_i_m3_0\/SLICE_59/B0 + (2286:2514:2742)(2286:2514:2742)) + (INTERCONNECT Din\[3\]_I/PADDI ram2e_ufm\/SLICE_100/B1 (3122:3411:3701) + (3122:3411:3701)) + (INTERCONNECT Din\[3\]_I/PADDI ram2e_ufm\/SLICE_101/B1 (3122:3411:3701) + (3122:3411:3701)) + (INTERCONNECT Din\[3\]_I/PADDI ram2e_ufm\/SLICE_116/D1 (3421:3687:3953) + (3421:3687:3953)) + (INTERCONNECT Din\[3\]_I/PADDI ram2e_ufm\/SLICE_141/A0 (3042:3331:3621) + (3042:3331:3621)) + (INTERCONNECT Din\[5\]_I/PADDI SLICE_14/C1 (2207:2423:2640)(2207:2423:2640)) + (INTERCONNECT Din\[5\]_I/PADDI SLICE_16/C1 (2587:2836:3086)(2587:2836:3086)) + (INTERCONNECT Din\[5\]_I/PADDI SLICE_29/B1 (2278:2507:2737)(2278:2507:2737)) + (INTERCONNECT Din\[5\]_I/PADDI ram2e_ufm\/SLICE_39/B1 (2438:2667:2897) + (2438:2667:2897)) + (INTERCONNECT Din\[5\]_I/PADDI ram2e_ufm\/SLICE_45/B1 (2315:2541:2767) + (2315:2541:2767)) + (INTERCONNECT Din\[5\]_I/PADDI ram2e_ufm\/SLICE_49/B1 (2309:2534:2760) + (2309:2534:2760)) + (INTERCONNECT Din\[5\]_I/PADDI ram2e_ufm\/SUM0_i_m3_0\/SLICE_59/D1 + (3381:3644:3908)(3381:3644:3908)) + (INTERCONNECT Din\[5\]_I/PADDI ram2e_ufm\/SUM0_i_m3_0\/SLICE_59/C0 + (3065:3348:3632)(3065:3348:3632)) + (INTERCONNECT Din\[5\]_I/PADDI ram2e_ufm\/SLICE_100/C1 (2587:2836:3086) + (2587:2836:3086)) + (INTERCONNECT Din\[5\]_I/PADDI ram2e_ufm\/SLICE_101/C1 (2587:2836:3086) + (2587:2836:3086)) + (INTERCONNECT Din\[5\]_I/PADDI ram2e_ufm\/SLICE_116/C1 (2207:2423:2640) + (2207:2423:2640)) + (INTERCONNECT Din\[5\]_I/PADDI ram2e_ufm\/SLICE_147/B0 (2438:2667:2897) + (2438:2667:2897)) + (INTERCONNECT ram2e_ufm\/SLICE_40/F1 SLICE_14/A1 (751:880:1010)(751:880:1010)) + (INTERCONNECT ram2e_ufm\/SLICE_40/F1 ram2e_ufm\/SLICE_39/B0 (513:611:710) + (513:611:710)) + (INTERCONNECT ram2e_ufm\/SLICE_40/F1 ram2e_ufm\/SLICE_40/C0 (282:367:453) + (282:367:453)) + (INTERCONNECT ram2e_ufm\/SLICE_40/F1 ram2e_ufm\/SLICE_41/C0 (552:671:790) + (552:671:790)) + (INTERCONNECT ram2e_ufm\/SLICE_142/F1 SLICE_14/D0 (523:573:623)(523:573:623)) + (INTERCONNECT Din\[1\]_I/PADDI SLICE_14/C0 (1743:1927:2111)(1743:1927:2111)) + (INTERCONNECT Din\[1\]_I/PADDI SLICE_15/A1 (3034:3332:3630)(3034:3332:3630)) + (INTERCONNECT Din\[1\]_I/PADDI SLICE_15/A0 (3034:3332:3630)(3034:3332:3630)) + (INTERCONNECT Din\[1\]_I/PADDI SLICE_16/A1 (2686:2945:3204)(2686:2945:3204)) + (INTERCONNECT Din\[1\]_I/PADDI SLICE_16/A0 (2686:2945:3204)(2686:2945:3204)) + (INTERCONNECT Din\[1\]_I/PADDI SLICE_17/D0 (1732:1861:1990)(1732:1861:1990)) + (INTERCONNECT Din\[1\]_I/PADDI SLICE_27/C1 (2191:2410:2629)(2191:2410:2629)) + (INTERCONNECT Din\[1\]_I/PADDI ram2e_ufm\/SLICE_39/A0 (4310:4724:5139) + (4310:4724:5139)) + (INTERCONNECT Din\[1\]_I/PADDI ram2e_ufm\/SLICE_41/D1 (3622:3937:4252) + (3622:3937:4252)) + (INTERCONNECT Din\[1\]_I/PADDI ram2e_ufm\/SLICE_43/C1 (2555:2805:3056) + (2555:2805:3056)) + (INTERCONNECT Din\[1\]_I/PADDI ram2e_ufm\/SLICE_47/C1 (1705:1883:2061) + (1705:1883:2061)) + (INTERCONNECT Din\[1\]_I/PADDI ram2e_ufm\/SUM0_i_m3_0\/SLICE_59/M0 + (2441:2623:2805)(2441:2623:2805)) + (INTERCONNECT Din\[1\]_I/PADDI ram2e_ufm\/SLICE_87/C1 (1727:1909:2092) + (1727:1909:2092)) + (INTERCONNECT Din\[1\]_I/PADDI ram2e_ufm\/SLICE_100/D1 (3350:3630:3910) + (3350:3630:3910)) + (INTERCONNECT Din\[1\]_I/PADDI ram2e_ufm\/SLICE_116/B0 (4342:4759:5176) + (4342:4759:5176)) + (INTERCONNECT Din\[1\]_I/PADDI ram2e_ufm\/SLICE_143/C1 (2107:2322:2538) + (2107:2322:2538)) + (INTERCONNECT SLICE_14/F1 SLICE_14/B0 (511:606:702)(511:606:702)) + (INTERCONNECT SLICE_14/F1 SLICE_17/B1 (765:889:1013)(765:889:1013)) + (INTERCONNECT Din\[2\]_I/PADDI SLICE_14/A0 (2322:2538:2754)(2322:2538:2754)) + (INTERCONNECT Din\[2\]_I/PADDI SLICE_17/D1 (2439:2624:2810)(2439:2624:2810)) + (INTERCONNECT Din\[2\]_I/PADDI SLICE_28/B0 (1872:2056:2240)(1872:2056:2240)) + (INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_39/D0 (2112:2262:2413) + (2112:2262:2413)) + (INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_41/C1 (2123:2328:2534) + (2123:2328:2534)) + (INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_42/C1 (2016:2219:2423) + (2016:2219:2423)) + (INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_44/A0 (2579:2824:3070) + (2579:2824:3070)) + (INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_48/A0 (2943:3220:3497) + (2943:3220:3497)) + (INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_100/A1 (2692:2940:3188) + (2692:2940:3188)) + (INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_116/A0 (2322:2538:2754) + (2322:2538:2754)) + (INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_133/C1 (2971:3242:3514) + (2971:3242:3514)) + (INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_133/C0 (2971:3242:3514) + (2971:3242:3514)) + (INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_144/C1 (2814:3086:3358) + (2814:3086:3358)) (INTERCONNECT SLICE_14/F0 SLICE_14/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_98/F1 SLICE_15/A1 (1647:1842:2037)(1647:1842:2037)) - (INTERCONNECT SLICE_98/F1 SLICE_16/C0 (2139:2390:2641)(2139:2390:2641)) - (INTERCONNECT SLICE_98/F1 SLICE_17/D1 (985:1087:1190)(985:1087:1190)) - (INTERCONNECT SLICE_98/F1 SLICE_98/C0 (280:362:445)(280:362:445)) - (INTERCONNECT SLICE_15/F1 SLICE_15/B0 (508:600:693)(508:600:693)) - (INTERCONNECT SLICE_15/Q0 SLICE_15/A0 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_15/Q0 SLICE_86/D0 (523:578:633)(523:578:633)) + (INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_14/CE (2023:2253:2484)(2023:2253:2484)) + (INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_15/CE (2023:2253:2484)(2023:2253:2484)) + (INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_16/CE (2023:2253:2484)(2023:2253:2484)) + (INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_17/CE (2023:2253:2484)(2023:2253:2484)) + (INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_27/CE (2023:2253:2484)(2023:2253:2484)) + (INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_27/CE (2023:2253:2484)(2023:2253:2484)) + (INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_28/CE (2023:2253:2484)(2023:2253:2484)) + (INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_28/CE (2023:2253:2484)(2023:2253:2484)) + (INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_29/CE (2023:2253:2484)(2023:2253:2484)) + (INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_29/CE (2023:2253:2484)(2023:2253:2484)) + (INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_30/CE (2023:2253:2484)(2023:2253:2484)) + (INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_30/CE (2023:2253:2484)(2023:2253:2484)) + (INTERCONNECT ram2e_ufm\/SLICE_130/F1 ram2e_ufm\/SLICE_39/CE (2023:2253:2484) + (2023:2253:2484)) + (INTERCONNECT ram2e_ufm\/SLICE_130/F1 ram2e_ufm\/SLICE_40/CE (2023:2253:2484) + (2023:2253:2484)) + (INTERCONNECT ram2e_ufm\/SLICE_130/F1 ram2e_ufm\/SLICE_41/CE (2023:2253:2484) + (2023:2253:2484)) + (INTERCONNECT SLICE_14/Q0 ram2e_ufm\/SLICE_147/B1 (762:883:1004)(762:883:1004)) + (INTERCONNECT Din\[7\]_I/PADDI SLICE_15/D1 (2882:3133:3384)(2882:3133:3384)) + (INTERCONNECT Din\[7\]_I/PADDI SLICE_15/B0 (2786:3068:3350)(2786:3068:3350)) + (INTERCONNECT Din\[7\]_I/PADDI SLICE_16/D1 (2882:3133:3384)(2882:3133:3384)) + (INTERCONNECT Din\[7\]_I/PADDI SLICE_16/B0 (2786:3068:3350)(2786:3068:3350)) + (INTERCONNECT Din\[7\]_I/PADDI SLICE_17/B0 (2776:3057:3338)(2776:3057:3338)) + (INTERCONNECT Din\[7\]_I/PADDI SLICE_30/A1 (2655:2908:3162)(2655:2908:3162)) + (INTERCONNECT Din\[7\]_I/PADDI ram2e_ufm\/SLICE_41/A0 (3502:3821:4141) + (3502:3821:4141)) + (INTERCONNECT Din\[7\]_I/PADDI ram2e_ufm\/SLICE_46/A1 (3019:3304:3589) + (3019:3304:3589)) + (INTERCONNECT Din\[7\]_I/PADDI ram2e_ufm\/SLICE_50/A1 (2644:2896:3149) + (2644:2896:3149)) + (INTERCONNECT Din\[7\]_I/PADDI ram2e_ufm\/SUM0_i_m3_0\/SLICE_59/D0 + (3016:3263:3511)(3016:3263:3511)) + (INTERCONNECT Din\[7\]_I/PADDI ram2e_ufm\/SLICE_94/B1 (3170:3460:3751) + (3170:3460:3751)) + (INTERCONNECT Din\[7\]_I/PADDI ram2e_ufm\/SLICE_100/D0 (2928:3150:3373) + (2928:3150:3373)) + (INTERCONNECT Din\[7\]_I/PADDI ram2e_ufm\/SLICE_142/C1 (2915:3215:3515) + (2915:3215:3515)) + (INTERCONNECT Din\[7\]_I/PADDI ram2e_ufm\/SLICE_143/B0 (3510:3854:4199) + (3510:3854:4199)) + (INTERCONNECT SLICE_17/F1 SLICE_15/D0 (539:600:661)(539:600:661)) + (INTERCONNECT SLICE_17/F1 SLICE_16/D0 (539:600:661)(539:600:661)) + (INTERCONNECT SLICE_17/F1 SLICE_17/C0 (280:362:445)(280:362:445)) + (INTERCONNECT Din\[4\]_I/PADDI SLICE_15/C0 (2089:2307:2526)(2089:2307:2526)) + (INTERCONNECT Din\[4\]_I/PADDI SLICE_16/C0 (2089:2307:2526)(2089:2307:2526)) + (INTERCONNECT Din\[4\]_I/PADDI SLICE_17/A0 (2663:2924:3186)(2663:2924:3186)) + (INTERCONNECT Din\[4\]_I/PADDI SLICE_29/C0 (2069:2285:2502)(2069:2285:2502)) + (INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_41/A1 (2663:2924:3186) + (2663:2924:3186)) + (INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_45/A0 (2595:2857:3119) + (2595:2857:3119)) + (INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_49/B0 (1821:2020:2220) + (1821:2020:2220)) + (INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_84/A1 (3104:3404:3704) + (3104:3404:3704)) + (INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_94/C1 (2089:2307:2526) + (2089:2307:2526)) + (INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_100/A0 (2615:2879:3143) + (2615:2879:3143)) + (INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_133/A1 (2766:3029:3292) + (2766:3029:3292)) + (INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_133/B0 (3136:3438:3741) + (3136:3438:3741)) + (INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_142/D1 (2823:3051:3279) + (2823:3051:3279)) + (INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_142/D0 (2823:3051:3279) + (2823:3051:3279)) (INTERCONNECT SLICE_15/F0 SLICE_15/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_16/Q0 SLICE_16/A0 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_16/Q0 SLICE_114/D0 (533:592:652)(533:592:652)) + (INTERCONNECT SLICE_15/Q0 ram2e_ufm\/SLICE_79/D1 (1233:1354:1476)(1233:1354:1476)) + (INTERCONNECT SLICE_15/F1 ram2e_ufm\/SLICE_101/D0 (520:573:626)(520:573:626)) (INTERCONNECT SLICE_16/F0 SLICE_16/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_17/F1 SLICE_17/C0 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_17/Q0 SLICE_17/A0 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_17/Q0 SLICE_117/D1 (526:578:630)(526:578:630)) + (INTERCONNECT SLICE_16/Q0 ram2e_ufm\/SLICE_85/A0 (1443:1630:1817)(1443:1630:1817)) + (INTERCONNECT SLICE_16/F1 ram2e_ufm\/SLICE_84/B1 (768:889:1010)(768:889:1010)) + (INTERCONNECT SLICE_16/F1 ram2e_ufm\/SLICE_101/B0 (511:606:702)(511:606:702)) + (INTERCONNECT Din\[0\]_I/PADDI SLICE_17/C1 (2376:2622:2868)(2376:2622:2868)) + (INTERCONNECT Din\[0\]_I/PADDI SLICE_27/C0 (2355:2599:2843)(2355:2599:2843)) + (INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_39/D1 (1985:2143:2301) + (1985:2143:2301)) + (INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_41/B1 (2934:3228:3522) + (2934:3228:3522)) + (INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_42/A1 (2929:3216:3503) + (2929:3216:3503)) + (INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_42/A0 (2929:3216:3503) + (2929:3216:3503)) + (INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_43/A0 (2929:3216:3503) + (2929:3216:3503)) + (INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_65/C1 (2355:2599:2843) + (2355:2599:2843)) + (INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_86/D1 (1957:2111:2265) + (1957:2111:2265)) + (INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_94/B0 (2330:2553:2776) + (2330:2553:2776)) + (INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_116/D0 (1985:2143:2301) + (1985:2143:2301)) + (INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_133/D1 (1980:2137:2295) + (1980:2137:2295)) + (INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_141/B1 (2607:2866:3125) + (2607:2866:3125)) + (INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_142/A1 (2575:2831:3088) + (2575:2831:3088)) (INTERCONNECT SLICE_17/F0 SLICE_17/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_77/F1 SLICE_18/C1 (537:645:753)(537:645:753)) - (INTERCONNECT SLICE_77/F1 SLICE_77/C0 (280:362:445)(280:362:445)) - (INTERCONNECT SLICE_100/F0 SLICE_18/D0 (576:643:710)(576:643:710)) + (INTERCONNECT SLICE_17/Q0 ram2e_ufm\/SLICE_147/D1 (520:573:626)(520:573:626)) + (INTERCONNECT SLICE_18/Q0 SLICE_18/B1 (776:901:1026)(776:901:1026)) (INTERCONNECT SLICE_18/Q0 SLICE_18/A0 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_18/Q0 SLICE_117/B1 (1857:2088:2319)(1857:2088:2319)) + (INTERCONNECT SLICE_18/Q0 ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60/A0 + (744:866:989)(744:866:989)) + (INTERCONNECT SLICE_18/Q1 SLICE_18/A1 (479:571:663)(479:571:663)) + (INTERCONNECT SLICE_18/Q1 ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60/B0 + (765:888:1011)(765:888:1011)) + (INTERCONNECT SLICE_18/F1 SLICE_18/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_18/F0 SLICE_18/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_19/Q0 SLICE_19/D1 (534:591:648)(534:591:648)) - (INTERCONNECT SLICE_19/Q0 SLICE_19/D0 (534:591:648)(534:591:648)) - (INTERCONNECT SLICE_19/Q0 SLICE_82/D1 (533:592:652)(533:592:652)) - (INTERCONNECT SLICE_19/Q1 SLICE_19/B1 (765:888:1011)(765:888:1011)) - (INTERCONNECT SLICE_19/Q1 SLICE_82/A1 (1002:1154:1306)(1002:1154:1306)) - (INTERCONNECT SLICE_19/F1 SLICE_19/DI1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_19/F0 SLICE_19/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_34/Q0 SLICE_20/D1 (1481:1626:1772)(1481:1626:1772)) - (INTERCONNECT SLICE_34/Q0 SLICE_20/D0 (1481:1626:1772)(1481:1626:1772)) - (INTERCONNECT SLICE_34/Q0 SLICE_34/A1 (762:894:1027)(762:894:1027)) - (INTERCONNECT SLICE_34/Q0 SLICE_34/A0 (487:587:687)(487:587:687)) - (INTERCONNECT SLICE_34/Q0 SLICE_35/A1 (1669:1873:2078)(1669:1873:2078)) - (INTERCONNECT SLICE_34/Q0 SLICE_36/D1 (1864:2038:2213)(1864:2038:2213)) - (INTERCONNECT SLICE_34/Q0 SLICE_36/D0 (1864:2038:2213)(1864:2038:2213)) - (INTERCONNECT SLICE_34/Q0 SLICE_37/D1 (2239:2446:2653)(2239:2446:2653)) - (INTERCONNECT SLICE_34/Q0 SLICE_37/D0 (2239:2446:2653)(2239:2446:2653)) - (INTERCONNECT SLICE_34/Q0 SLICE_38/D1 (1844:2016:2189)(1844:2016:2189)) - (INTERCONNECT SLICE_34/Q0 SLICE_38/D0 (1844:2016:2189)(1844:2016:2189)) - (INTERCONNECT SLICE_34/Q0 SLICE_40/B0 (2106:2348:2591)(2106:2348:2591)) - (INTERCONNECT SLICE_34/Q0 SLICE_41/C0 (1875:2104:2334)(1875:2104:2334)) - (INTERCONNECT SLICE_34/Q0 SLICE_42/B1 (2106:2348:2591)(2106:2348:2591)) - (INTERCONNECT SLICE_34/Q0 SLICE_44/LSR (2253:2463:2674)(2253:2463:2674)) - (INTERCONNECT SLICE_34/Q0 SLICE_47/D0 (1398:1544:1690)(1398:1544:1690)) - (INTERCONNECT SLICE_34/Q0 SLICE_48/D1 (1762:1939:2117)(1762:1939:2117)) - (INTERCONNECT SLICE_34/Q0 SLICE_51/B1 (794:930:1067)(794:930:1067)) - (INTERCONNECT SLICE_34/Q0 SLICE_53/D1 (2234:2440:2647)(2234:2440:2647)) - (INTERCONNECT SLICE_34/Q0 SLICE_53/D0 (2234:2440:2647)(2234:2440:2647)) - (INTERCONNECT SLICE_34/Q0 SLICE_57/D0 (2234:2440:2647)(2234:2440:2647)) - (INTERCONNECT SLICE_34/Q0 SLICE_59/A0 (1608:1819:2031)(1608:1819:2031)) - (INTERCONNECT SLICE_34/Q0 SLICE_66/C1 (2225:2484:2744)(2225:2484:2744)) - (INTERCONNECT SLICE_34/Q0 SLICE_67/B0 (778:912:1046)(778:912:1046)) - (INTERCONNECT SLICE_34/Q0 SLICE_68/A1 (2074:2314:2554)(2074:2314:2554)) - (INTERCONNECT SLICE_34/Q0 SLICE_69/C0 (1409:1610:1811)(1409:1610:1811)) - (INTERCONNECT SLICE_34/Q0 SLICE_71/A0 (762:896:1030)(762:896:1030)) - (INTERCONNECT SLICE_34/Q0 SLICE_72/C0 (824:980:1137)(824:980:1137)) - (INTERCONNECT SLICE_34/Q0 SLICE_79/D1 (1003:1114:1226)(1003:1114:1226)) - (INTERCONNECT SLICE_34/Q0 SLICE_80/C1 (1014:1180:1347)(1014:1180:1347)) - (INTERCONNECT SLICE_34/Q0 SLICE_83/A1 (762:896:1030)(762:896:1030)) - (INTERCONNECT SLICE_34/Q0 SLICE_84/D0 (1459:1598:1737)(1459:1598:1737)) - (INTERCONNECT SLICE_34/Q0 SLICE_92/C0 (2219:2478:2737)(2219:2478:2737)) - (INTERCONNECT SLICE_34/Q0 SLICE_95/B0 (2456:2728:3001)(2456:2728:3001)) - (INTERCONNECT SLICE_34/Q0 SLICE_96/B1 (1640:1854:2068)(1640:1854:2068)) - (INTERCONNECT SLICE_34/Q0 SLICE_101/C1 (1409:1610:1811)(1409:1610:1811)) - (INTERCONNECT SLICE_34/Q0 SLICE_101/C0 (1409:1610:1811)(1409:1610:1811)) - (INTERCONNECT SLICE_34/Q0 SLICE_102/A1 (762:894:1027)(762:894:1027)) - (INTERCONNECT SLICE_34/Q0 SLICE_102/A0 (762:894:1027)(762:894:1027)) - (INTERCONNECT SLICE_34/Q0 SLICE_103/B1 (1055:1224:1394)(1055:1224:1394)) - (INTERCONNECT SLICE_34/Q0 SLICE_103/B0 (1055:1224:1394)(1055:1224:1394)) - (INTERCONNECT SLICE_34/Q0 SLICE_107/C1 (547:668:789)(547:668:789)) - (INTERCONNECT SLICE_34/Q0 SLICE_107/C0 (547:668:789)(547:668:789)) - (INTERCONNECT SLICE_34/Q0 SLICE_109/A1 (2074:2314:2554)(2074:2314:2554)) - (INTERCONNECT SLICE_34/Q0 SLICE_109/A0 (2074:2314:2554)(2074:2314:2554)) - (INTERCONNECT SLICE_34/Q0 SLICE_110/D1 (2234:2440:2647)(2234:2440:2647)) - (INTERCONNECT SLICE_34/Q0 SLICE_110/D0 (2234:2440:2647)(2234:2440:2647)) - (INTERCONNECT SLICE_34/Q0 SLICE_114/C1 (2614:2907:3201)(2614:2907:3201)) - (INTERCONNECT SLICE_33/Q1 SLICE_20/C1 (1484:1679:1874)(1484:1679:1874)) - (INTERCONNECT SLICE_33/Q1 SLICE_20/C0 (1484:1679:1874)(1484:1679:1874)) - (INTERCONNECT SLICE_33/Q1 SLICE_33/D0 (568:635:702)(568:635:702)) - (INTERCONNECT SLICE_33/Q1 SLICE_47/A0 (1943:2188:2434)(1943:2188:2434)) - (INTERCONNECT SLICE_33/Q1 SLICE_48/A1 (1955:2191:2428)(1955:2191:2428)) - (INTERCONNECT SLICE_33/Q1 SLICE_57/C0 (2114:2371:2628)(2114:2371:2628)) - (INTERCONNECT SLICE_33/Q1 SLICE_59/B0 (1975:2223:2471)(1975:2223:2471)) - (INTERCONNECT SLICE_33/Q1 SLICE_67/C1 (541:652:763)(541:652:763)) - (INTERCONNECT SLICE_33/Q1 SLICE_69/D0 (1733:1913:2093)(1733:1913:2093)) - (INTERCONNECT SLICE_33/Q1 SLICE_71/D0 (2072:2278:2484)(2072:2278:2484)) - (INTERCONNECT SLICE_33/Q1 SLICE_79/A1 (1205:1376:1548)(1205:1376:1548)) - (INTERCONNECT SLICE_33/Q1 SLICE_80/D1 (995:1101:1207)(995:1101:1207)) - (INTERCONNECT SLICE_33/Q1 SLICE_96/C1 (1744:1979:2214)(1744:1979:2214)) - (INTERCONNECT SLICE_33/Q1 SLICE_101/D1 (1723:1901:2079)(1723:1901:2079)) - (INTERCONNECT SLICE_33/Q1 SLICE_101/D0 (1723:1901:2079)(1723:1901:2079)) - (INTERCONNECT SLICE_33/Q1 SLICE_102/D1 (568:635:702)(568:635:702)) - (INTERCONNECT SLICE_33/Q1 SLICE_102/D0 (568:635:702)(568:635:702)) - (INTERCONNECT SLICE_33/Q1 SLICE_106/D1 (568:635:702)(568:635:702)) - (INTERCONNECT SLICE_33/Q1 SLICE_106/D0 (568:635:702)(568:635:702)) - (INTERCONNECT SLICE_33/Q1 SLICE_107/A0 (747:876:1005)(747:876:1005)) - (INTERCONNECT SLICE_33/Q1 SLICE_112/B1 (1965:2211:2457)(1965:2211:2457)) - (INTERCONNECT SLICE_33/Q0 SLICE_20/B1 (2038:2281:2524)(2038:2281:2524)) - (INTERCONNECT SLICE_33/Q0 SLICE_20/B0 (2038:2281:2524)(2038:2281:2524)) - (INTERCONNECT SLICE_33/Q0 SLICE_21/A1 (762:896:1030)(762:896:1030)) - (INTERCONNECT SLICE_33/Q0 SLICE_22/C1 (927:1082:1237)(927:1082:1237)) - (INTERCONNECT SLICE_33/Q0 SLICE_22/C0 (927:1082:1237)(927:1082:1237)) - (INTERCONNECT SLICE_33/Q0 SLICE_33/A0 (487:587:687)(487:587:687)) - (INTERCONNECT SLICE_33/Q0 SLICE_47/B1 (2546:2829:3112)(2546:2829:3112)) - (INTERCONNECT SLICE_33/Q0 SLICE_47/B0 (2546:2829:3112)(2546:2829:3112)) - (INTERCONNECT SLICE_33/Q0 SLICE_48/C1 (1344:1546:1749)(1344:1546:1749)) - (INTERCONNECT SLICE_33/Q0 SLICE_48/B0 (1237:1415:1594)(1237:1415:1594)) - (INTERCONNECT SLICE_33/Q0 SLICE_57/B0 (1485:1688:1891)(1485:1688:1891)) - (INTERCONNECT SLICE_33/Q0 SLICE_59/C0 (2315:2585:2855)(2315:2585:2855)) - (INTERCONNECT SLICE_33/Q0 SLICE_67/A1 (762:896:1030)(762:896:1030)) - (INTERCONNECT SLICE_33/Q0 SLICE_69/A0 (2514:2794:3075)(2514:2794:3075)) - (INTERCONNECT SLICE_33/Q0 SLICE_71/B0 (1575:1790:2006)(1575:1790:2006)) - (INTERCONNECT SLICE_33/Q0 SLICE_80/B0 (1709:1921:2133)(1709:1921:2133)) - (INTERCONNECT SLICE_33/Q0 SLICE_83/D1 (541:608:676)(541:608:676)) - (INTERCONNECT SLICE_33/Q0 SLICE_96/D0 (2304:2519:2734)(2304:2519:2734)) - (INTERCONNECT SLICE_33/Q0 SLICE_101/A1 (1210:1386:1563)(1210:1386:1563)) - (INTERCONNECT SLICE_33/Q0 SLICE_101/A0 (1210:1386:1563)(1210:1386:1563)) - (INTERCONNECT SLICE_33/Q0 SLICE_102/C1 (563:685:807)(563:685:807)) - (INTERCONNECT SLICE_33/Q0 SLICE_106/C1 (563:685:807)(563:685:807)) - (INTERCONNECT SLICE_33/Q0 SLICE_106/C0 (563:685:807)(563:685:807)) - (INTERCONNECT SLICE_33/Q0 SLICE_111/A1 (1870:2100:2330)(1870:2100:2330)) - (INTERCONNECT SLICE_33/Q0 SLICE_111/A0 (1870:2100:2330)(1870:2100:2330)) - (INTERCONNECT SLICE_33/Q0 SLICE_112/A1 (1210:1386:1563)(1210:1386:1563)) - (INTERCONNECT SLICE_33/Q0 SLICE_112/A0 (1210:1386:1563)(1210:1386:1563)) - (INTERCONNECT SLICE_33/Q0 SLICE_113/A1 (1870:2100:2330)(1870:2100:2330)) - (INTERCONNECT SLICE_33/Q0 SLICE_113/A0 (1870:2100:2330)(1870:2100:2330)) - (INTERCONNECT SLICE_33/Q0 SLICE_115/D0 (2300:2503:2707)(2300:2503:2707)) - (INTERCONNECT SLICE_34/Q1 SLICE_20/A1 (1666:1867:2069)(1666:1867:2069)) - (INTERCONNECT SLICE_34/Q1 SLICE_20/A0 (1666:1867:2069)(1666:1867:2069)) - (INTERCONNECT SLICE_34/Q1 SLICE_21/D1 (580:649:719)(580:649:719)) - (INTERCONNECT SLICE_34/Q1 SLICE_21/D0 (580:649:719)(580:649:719)) - (INTERCONNECT SLICE_34/Q1 SLICE_22/A1 (1023:1200:1377)(1023:1200:1377)) - (INTERCONNECT SLICE_34/Q1 SLICE_22/A0 (1023:1200:1377)(1023:1200:1377)) - (INTERCONNECT SLICE_34/Q1 SLICE_27/B1 (1207:1378:1549)(1207:1378:1549)) - (INTERCONNECT SLICE_34/Q1 SLICE_27/B0 (1207:1378:1549)(1207:1378:1549)) - (INTERCONNECT SLICE_34/Q1 SLICE_28/D1 (580:649:719)(580:649:719)) - (INTERCONNECT SLICE_34/Q1 SLICE_28/D0 (580:649:719)(580:649:719)) - (INTERCONNECT SLICE_34/Q1 SLICE_29/B1 (1207:1378:1549)(1207:1378:1549)) - (INTERCONNECT SLICE_34/Q1 SLICE_29/B0 (1207:1378:1549)(1207:1378:1549)) - (INTERCONNECT SLICE_34/Q1 SLICE_30/D1 (580:649:719)(580:649:719)) - (INTERCONNECT SLICE_34/Q1 SLICE_30/D0 (580:649:719)(580:649:719)) - (INTERCONNECT SLICE_34/Q1 SLICE_34/B1 (802:937:1072)(802:937:1072)) - (INTERCONNECT SLICE_34/Q1 SLICE_34/B0 (802:937:1072)(802:937:1072)) - (INTERCONNECT SLICE_34/Q1 SLICE_39/C0 (966:1123:1280)(966:1123:1280)) - (INTERCONNECT SLICE_34/Q1 SLICE_44/D0 (955:1057:1159)(955:1057:1159)) - (INTERCONNECT SLICE_34/Q1 SLICE_47/C0 (849:1018:1187)(849:1018:1187)) - (INTERCONNECT SLICE_34/Q1 SLICE_48/B1 (1230:1406:1582)(1230:1406:1582)) - (INTERCONNECT SLICE_34/Q1 SLICE_51/D1 (888:991:1095)(888:991:1095)) - (INTERCONNECT SLICE_34/Q1 SLICE_51/B0 (792:926:1061)(792:926:1061)) - (INTERCONNECT SLICE_34/Q1 SLICE_53/B1 (1577:1780:1983)(1577:1780:1983)) - (INTERCONNECT SLICE_34/Q1 SLICE_57/A0 (1165:1332:1500)(1165:1332:1500)) - (INTERCONNECT SLICE_34/Q1 SLICE_59/D0 (838:952:1066)(838:952:1066)) - (INTERCONNECT SLICE_34/Q1 SLICE_67/D0 (580:649:719)(580:649:719)) - (INTERCONNECT SLICE_34/Q1 SLICE_69/B0 (1080:1262:1444)(1080:1262:1444)) - (INTERCONNECT SLICE_34/Q1 SLICE_71/C0 (888:1044:1201)(888:1044:1201)) - (INTERCONNECT SLICE_34/Q1 SLICE_72/A0 (1203:1377:1551)(1203:1377:1551)) - (INTERCONNECT SLICE_34/Q1 SLICE_79/C1 (1477:1674:1871)(1477:1674:1871)) - (INTERCONNECT SLICE_34/Q1 SLICE_80/B1 (1708:1918:2128)(1708:1918:2128)) - (INTERCONNECT SLICE_34/Q1 SLICE_83/C1 (899:1057:1216)(899:1057:1216)) - (INTERCONNECT SLICE_34/Q1 SLICE_96/A1 (1048:1227:1407)(1048:1227:1407)) - (INTERCONNECT SLICE_34/Q1 SLICE_101/B1 (1080:1262:1444)(1080:1262:1444)) - (INTERCONNECT SLICE_34/Q1 SLICE_101/B0 (1080:1262:1444)(1080:1262:1444)) - (INTERCONNECT SLICE_34/Q1 SLICE_102/B1 (802:937:1072)(802:937:1072)) - (INTERCONNECT SLICE_34/Q1 SLICE_102/B0 (802:937:1072)(802:937:1072)) - (INTERCONNECT SLICE_34/Q1 SLICE_103/A1 (1203:1377:1551)(1203:1377:1551)) - (INTERCONNECT SLICE_34/Q1 SLICE_103/A0 (1203:1377:1551)(1203:1377:1551)) - (INTERCONNECT SLICE_34/Q1 SLICE_107/B1 (778:922:1066)(778:922:1066)) - (INTERCONNECT SLICE_34/Q1 SLICE_107/B0 (778:922:1066)(778:922:1066)) - (INTERCONNECT SLICE_34/Q1 SLICE_110/A1 (1545:1745:1946)(1545:1745:1946)) - (INTERCONNECT SLICE_34/Q1 SLICE_111/D1 (1608:1796:1985)(1608:1796:1985)) - (INTERCONNECT SLICE_34/Q1 SLICE_111/D0 (1608:1796:1985)(1608:1796:1985)) - (INTERCONNECT SLICE_34/Q1 SLICE_112/D1 (838:952:1066)(838:952:1066)) - (INTERCONNECT SLICE_34/Q1 SLICE_112/D0 (838:952:1066)(838:952:1066)) - (INTERCONNECT SLICE_34/Q1 SLICE_113/D1 (1608:1796:1985)(1608:1796:1985)) - (INTERCONNECT SLICE_34/Q1 SLICE_113/D0 (1608:1796:1985)(1608:1796:1985)) + (INTERCONNECT SLICE_20/Q1 SLICE_19/B0 (1224:1391:1559)(1224:1391:1559)) + (INTERCONNECT SLICE_20/Q1 RAout\[1\]_MGIOL/OPOS (2318:2516:2715)(2318:2516:2715)) + (INTERCONNECT ram2e_ufm\/SLICE_96/F1 SLICE_19/A0 (1562:1763:1965)(1562:1763:1965)) + (INTERCONNECT ram2e_ufm\/SLICE_96/F1 SLICE_31/A1 (2409:2676:2944)(2409:2676:2944)) + (INTERCONNECT ram2e_ufm\/SLICE_96/F1 ram2e_ufm\/SLICE_95/A0 (756:884:1013) + (756:884:1013)) + (INTERCONNECT ram2e_ufm\/SLICE_96/F1 ram2e_ufm\/SLICE_96/C0 (282:367:453) + (282:367:453)) + (INTERCONNECT ram2e_ufm\/SLICE_96/F1 ram2e_ufm\/SLICE_132/B1 (2441:2711:2981) + (2441:2711:2981)) + (INTERCONNECT ram2e_ufm\/SLICE_96/F1 ram2e_ufm\/SLICE_132/B0 (2441:2711:2981) + (2441:2711:2981)) + (INTERCONNECT ram2e_ufm\/SLICE_96/F1 ram2e_ufm\/SLICE_140/A1 (756:884:1013) + (756:884:1013)) + (INTERCONNECT ram2e_ufm\/SLICE_96/F1 ram2e_ufm\/SLICE_140/A0 (756:884:1013) + (756:884:1013)) + (INTERCONNECT SLICE_34/Q1 SLICE_19/M0 (1263:1395:1527)(1263:1395:1527)) + (INTERCONNECT SLICE_34/Q1 SLICE_34/A1 (485:583:681)(485:583:681)) + (INTERCONNECT SLICE_34/Q1 SLICE_34/D0 (550:615:680)(550:615:680)) + (INTERCONNECT SLICE_34/Q1 SLICE_35/B0 (822:959:1097)(822:959:1097)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_42/D0 (1344:1480:1616)(1344:1480:1616)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_43/D1 (1344:1480:1616)(1344:1480:1616)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_43/D0 (1344:1480:1616)(1344:1480:1616)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_44/D1 (1724:1893:2062)(1724:1893:2062)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_44/D0 (1724:1893:2062)(1724:1893:2062)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_45/D1 (1344:1480:1616)(1344:1480:1616)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_45/D0 (1344:1480:1616)(1344:1480:1616)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_46/D1 (1344:1480:1616)(1344:1480:1616)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_46/D0 (1344:1480:1616)(1344:1480:1616)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_51/D0 (2099:2300:2502)(2099:2300:2502)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_56/D1 (2479:2713:2948)(2479:2713:2948)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_69/C0 (976:1134:1292)(976:1134:1292)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_71/B0 (1571:1773:1976)(1571:1773:1976)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_72/B0 (822:959:1097)(822:959:1097)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_74/B0 (822:959:1097)(822:959:1097)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_77/D0 (1335:1475:1615)(1335:1475:1615)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_78/B0 (2721:3023:3326)(2721:3023:3326)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_79/A0 (1934:2168:2403)(1934:2168:2403)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_95/C1 (976:1134:1292)(976:1134:1292)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_96/A1 (1175:1343:1512)(1175:1343:1512)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_102/B1 (1375:1577:1779)(1375:1577:1779)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_105/A1 (1175:1343:1512)(1175:1343:1512)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_107/D1 (2099:2300:2502)(2099:2300:2502)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_113/B1 (776:908:1040)(776:908:1040)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_115/A0 (1728:1963:2198)(1728:1963:2198)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_117/B1 (1208:1384:1560)(1208:1384:1560)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_117/B0 (1208:1384:1560)(1208:1384:1560)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_118/A1 (1514:1724:1935)(1514:1724:1935)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_118/A0 (1514:1724:1935)(1514:1724:1935)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_119/B1 (822:959:1097)(822:959:1097)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_119/B0 (822:959:1097)(822:959:1097)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_120/B1 (1760:1997:2235)(1760:1997:2235)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_120/B0 (1760:1997:2235)(1760:1997:2235)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_121/C1 (2490:2779:3069)(2490:2779:3069)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_121/C0 (2490:2779:3069)(2490:2779:3069)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_127/B1 (822:959:1097)(822:959:1097)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_127/B0 (822:959:1097)(822:959:1097)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_135/C1 (561:681:801)(561:681:801)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_135/C0 (561:681:801)(561:681:801)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_136/B1 (1213:1389:1566)(1213:1389:1566)) + (INTERCONNECT SLICE_34/Q1 SLICE_138/B1 (1213:1389:1566)(1213:1389:1566)) + (INTERCONNECT SLICE_138/F0 SLICE_19/LSR (539:596:653)(539:596:653)) + (INTERCONNECT SLICE_19/F0 SLICE_20/B1 (1219:1385:1551)(1219:1385:1551)) + (INTERCONNECT SLICE_19/Q0 ram2e_ufm\/SLICE_136/D0 (520:573:626)(520:573:626)) + (INTERCONNECT SLICE_19/F1 ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60/C1 + (547:660:773)(547:660:773)) + (INTERCONNECT SLICE_19/F1 ram2e_ufm\/SLICE_65/D1 (1264:1385:1506)(1264:1385:1506)) + (INTERCONNECT ram2e_ufm\/SLICE_95/F1 SLICE_20/D1 (535:618:701)(535:618:701)) + (INTERCONNECT ram2e_ufm\/SLICE_95/F1 SLICE_21/B0 (777:928:1079)(777:928:1079)) + (INTERCONNECT ram2e_ufm\/SLICE_95/F1 SLICE_22/D1 (273:306:340)(273:306:340)) + (INTERCONNECT ram2e_ufm\/SLICE_95/F1 SLICE_31/B1 (1043:1228:1414)(1043:1228:1414)) + (INTERCONNECT ram2e_ufm\/SLICE_95/F1 ram2e_ufm\/SLICE_95/C0 (284:372:461) + (284:372:461)) + (INTERCONNECT ram2e_ufm\/SLICE_95/F1 ram2e_ufm\/SLICE_96/D0 (273:306:340) + (273:306:340)) + (INTERCONNECT ram2e_ufm\/SLICE_95/F1 ram2e_ufm\/SLICE_132/D1 (535:618:701) + (535:618:701)) + (INTERCONNECT ram2e_ufm\/SLICE_95/F1 ram2e_ufm\/SLICE_132/D0 (535:618:701) + (535:618:701)) + (INTERCONNECT Ain\[1\]_I/PADDI SLICE_20/C1 (2395:2638:2881)(2395:2638:2881)) + (INTERCONNECT ram2e_ufm\/SLICE_107/F0 SLICE_20/A1 (740:863:986)(740:863:986)) + (INTERCONNECT ram2e_ufm\/SLICE_132/F1 SLICE_20/D0 (520:573:626)(520:573:626)) + (INTERCONNECT ram2e_ufm\/SLICE_71/F1 SLICE_20/C0 (539:668:797)(539:668:797)) + (INTERCONNECT ram2e_ufm\/SLICE_71/F1 SLICE_21/A1 (738:877:1017)(738:877:1017)) + (INTERCONNECT ram2e_ufm\/SLICE_71/F1 SLICE_22/A0 (1004:1178:1352)(1004:1178:1352)) + (INTERCONNECT ram2e_ufm\/SLICE_71/F1 SLICE_23/A1 (738:877:1017)(738:877:1017)) + (INTERCONNECT ram2e_ufm\/SLICE_71/F1 SLICE_23/A0 (738:877:1017)(738:877:1017)) + (INTERCONNECT ram2e_ufm\/SLICE_124/F0 SLICE_20/A0 (1067:1225:1383)(1067:1225:1383)) + (INTERCONNECT SLICE_20/F1 SLICE_20/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_20/F0 SLICE_20/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_20/Q0 SLICE_31/A1 (740:863:986)(740:863:986)) - (INTERCONNECT Ain\[1\]_I/PADDI SLICE_21/C1 (1949:2165:2382)(1949:2165:2382)) - (INTERCONNECT ufmefb\/EFBInst_0/WBDATO0 SLICE_21/C0 (1167:1335:1503) - (1167:1335:1503)) - (INTERCONNECT ufmefb\/EFBInst_0/WBDATO0 SLICE_27/C0 (1531:1730:1930) - (1531:1730:1930)) + (INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_20/CE (827:920:1013)(827:920:1013)) + (INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_20/CE (827:920:1013)(827:920:1013)) + (INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_21/CE (827:920:1013)(827:920:1013)) + (INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_21/CE (827:920:1013)(827:920:1013)) + (INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_22/CE (1197:1322:1447)(1197:1322:1447)) + (INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_22/CE (1197:1322:1447)(1197:1322:1447)) + (INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_23/CE (827:920:1013)(827:920:1013)) + (INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_23/CE (827:920:1013)(827:920:1013)) + (INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_24/CE (542:602:662)(542:602:662)) + (INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_24/CE (542:602:662)(542:602:662)) + (INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_25/CE (1561:1717:1874)(1561:1717:1874)) + (INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_25/CE (1561:1717:1874)(1561:1717:1874)) + (INTERCONNECT SLICE_20/Q0 SLICE_31/D0 (539:599:659)(539:599:659)) + (INTERCONNECT SLICE_20/Q0 ram2e_ufm\/SLICE_132/A1 (733:853:974)(733:853:974)) + (INTERCONNECT SLICE_20/Q0 RAout\[0\]_MGIOL/OPOS (2163:2372:2582)(2163:2372:2582)) + (INTERCONNECT SLICE_31/F1 SLICE_21/D1 (523:573:623)(523:573:623)) + (INTERCONNECT ram2e_ufm\/SLICE_140/F1 SLICE_21/D0 (857:949:1042)(857:949:1042)) + (INTERCONNECT ram2e_ufm\/SLICE_134/F0 SLICE_21/C0 (534:639:744)(534:639:744)) + (INTERCONNECT Ain\[2\]_I/PADDI SLICE_21/A0 (2456:2723:2990)(2456:2723:2990)) + (INTERCONNECT SLICE_21/F1 SLICE_21/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_21/F0 SLICE_21/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_86/F0 SLICE_21/CE (876:972:1069)(876:972:1069)) - (INTERCONNECT SLICE_21/Q0 SLICE_117/A1 (733:853:974)(733:853:974)) - (INTERCONNECT SLICE_21/Q0 SLICE_117/D0 (523:578:633)(523:578:633)) - (INTERCONNECT SLICE_21/F1 RA\[1\]_MGIOL/OPOS (2218:2418:2619)(2218:2418:2619)) - (INTERCONNECT Ain\[3\]_I/PADDI SLICE_22/B1 (2262:2486:2711)(2262:2486:2711)) - (INTERCONNECT Ain\[0\]_I/PADDI SLICE_22/B0 (2262:2486:2711)(2262:2486:2711)) + (INTERCONNECT SLICE_21/Q0 ram2e_ufm\/SLICE_140/B1 (767:891:1015)(767:891:1015)) + (INTERCONNECT SLICE_21/Q0 RAout\[2\]_MGIOL/OPOS (1785:1948:2112)(1785:1948:2112)) + (INTERCONNECT SLICE_21/Q1 SLICE_31/C1 (877:1027:1177)(877:1027:1177)) + (INTERCONNECT SLICE_21/Q1 SLICE_31/A0 (749:874:1000)(749:874:1000)) + (INTERCONNECT SLICE_21/Q1 RAout\[3\]_MGIOL/OPOS (1530:1666:1802)(1530:1666:1802)) + (INTERCONNECT Ain\[5\]_I/PADDI SLICE_22/C1 (1961:2162:2364)(1961:2162:2364)) + (INTERCONNECT ram2e_ufm\/SLICE_124/F1 SLICE_22/B1 (1031:1183:1336)(1031:1183:1336)) + (INTERCONNECT ram2e_ufm\/SLICE_140/F0 SLICE_22/A1 (730:848:967)(730:848:967)) + (INTERCONNECT ram2e_ufm\/SLICE_95/F0 SLICE_22/D0 (266:290:315)(266:290:315)) (INTERCONNECT SLICE_22/F1 SLICE_22/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_22/F0 SLICE_22/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_101/F0 SLICE_22/CE (1141:1265:1389)(1141:1265:1389)) - (INTERCONNECT SLICE_101/F0 SLICE_22/CE (1141:1265:1389)(1141:1265:1389)) - (INTERCONNECT SLICE_101/F0 RA\[7\]_MGIOL/CE (2496:2756:3016)(2496:2756:3016)) - (INTERCONNECT SLICE_101/F0 RA\[6\]_MGIOL/CE (2496:2756:3016)(2496:2756:3016)) - (INTERCONNECT SLICE_101/F0 RA\[5\]_MGIOL/CE (2486:2744:3002)(2486:2744:3002)) - (INTERCONNECT SLICE_101/F0 RA\[4\]_MGIOL/CE (2475:2731:2987)(2475:2731:2987)) - (INTERCONNECT SLICE_101/F0 RA\[2\]_MGIOL/CE (2486:2744:3002)(2486:2744:3002)) - (INTERCONNECT SLICE_101/F0 RA\[1\]_MGIOL/CE (2496:2756:3016)(2496:2756:3016)) - (INTERCONNECT SLICE_22/Q0 SLICE_31/D0 (1435:1561:1688)(1435:1561:1688)) - (INTERCONNECT SLICE_22/Q0 RA\[0\]_I/PADDO (1713:1938:2163)(1713:1938:2163)) - (INTERCONNECT SLICE_22/Q1 SLICE_31/C0 (1437:1618:1800)(1437:1618:1800)) - (INTERCONNECT SLICE_22/Q1 RA\[3\]_I/PADDO (1365:1550:1735)(1365:1550:1735)) - (INTERCONNECT SLICE_27/Q1 SLICE_23/B1 (762:883:1004)(762:883:1004)) - (INTERCONNECT SLICE_117/F1 SLICE_23/A1 (740:867:995)(740:867:995)) - (INTERCONNECT SLICE_117/F1 SLICE_23/A0 (740:867:995)(740:867:995)) - (INTERCONNECT SLICE_117/F1 SLICE_24/A1 (481:577:673)(481:577:673)) - (INTERCONNECT SLICE_117/F1 SLICE_24/B0 (513:611:710)(513:611:710)) - (INTERCONNECT SLICE_117/F1 SLICE_25/B1 (774:916:1058)(774:916:1058)) - (INTERCONNECT SLICE_117/F1 SLICE_25/B0 (774:916:1058)(774:916:1058)) - (INTERCONNECT SLICE_117/F1 SLICE_26/D1 (532:606:680)(532:606:680)) - (INTERCONNECT SLICE_117/F1 SLICE_26/D0 (532:606:680)(532:606:680)) - (INTERCONNECT SLICE_27/Q0 SLICE_23/D0 (520:573:626)(520:573:626)) + (INTERCONNECT SLICE_22/Q0 ram2e_ufm\/SLICE_95/D0 (523:578:633)(523:578:633)) + (INTERCONNECT SLICE_22/Q0 RAout\[4\]_MGIOL/OPOS (1894:2061:2229)(1894:2061:2229)) + (INTERCONNECT SLICE_22/Q1 ram2e_ufm\/SLICE_140/C0 (534:644:754)(534:644:754)) + (INTERCONNECT SLICE_22/Q1 RAout\[5\]_MGIOL/OPOS (1429:1575:1721)(1429:1575:1721)) + (INTERCONNECT ram2e_ufm\/SLICE_132/F0 SLICE_23/C1 (531:639:747)(531:639:747)) + (INTERCONNECT ram2e_ufm\/SLICE_96/F0 SLICE_23/C0 (534:639:744)(534:639:744)) (INTERCONNECT SLICE_23/F1 SLICE_23/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_23/F0 SLICE_23/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_67/F0 SLICE_23/CE (885:985:1085)(885:985:1085)) - (INTERCONNECT SLICE_67/F0 SLICE_23/CE (885:985:1085)(885:985:1085)) - (INTERCONNECT SLICE_67/F0 SLICE_24/CE (563:627:691)(563:627:691)) - (INTERCONNECT SLICE_67/F0 SLICE_24/CE (563:627:691)(563:627:691)) - (INTERCONNECT SLICE_67/F0 SLICE_25/CE (563:627:691)(563:627:691)) - (INTERCONNECT SLICE_67/F0 SLICE_25/CE (563:627:691)(563:627:691)) - (INTERCONNECT SLICE_67/F0 SLICE_26/CE (563:627:691)(563:627:691)) - (INTERCONNECT SLICE_67/F0 SLICE_26/CE (563:627:691)(563:627:691)) - (INTERCONNECT SLICE_67/F0 SLICE_86/A0 (1119:1277:1436)(1119:1277:1436)) - (INTERCONNECT SLICE_67/F0 SLICE_114/A0 (1119:1277:1436)(1119:1277:1436)) - (INTERCONNECT SLICE_23/Q0 SLICE_96/A0 (1538:1731:1925)(1538:1731:1925)) - (INTERCONNECT SLICE_23/Q1 SLICE_110/C1 (534:639:744)(534:639:744)) - (INTERCONNECT SLICE_28/Q1 SLICE_24/D1 (857:949:1042)(857:949:1042)) - (INTERCONNECT SLICE_28/Q0 SLICE_24/A0 (740:863:986)(740:863:986)) + (INTERCONNECT SLICE_23/Q0 ram2e_ufm\/SLICE_96/A0 (735:856:978)(735:856:978)) + (INTERCONNECT SLICE_23/Q0 RAout\[6\]_MGIOL/OPOS (2067:2267:2467)(2067:2267:2467)) + (INTERCONNECT SLICE_23/Q1 ram2e_ufm\/SLICE_132/A0 (733:853:974)(733:853:974)) + (INTERCONNECT SLICE_23/Q1 RAout\[7\]_MGIOL/OPOS (1857:2028:2199)(1857:2028:2199)) + (INTERCONNECT ram2e_ufm\/SLICE_121/F0 SLICE_24/D1 (536:594:652)(536:594:652)) + (INTERCONNECT ram2e_ufm\/SLICE_121/F0 SLICE_25/C1 (874:1022:1170)(874:1022:1170)) + (INTERCONNECT ram2e_ufm\/SLICE_146/F1 SLICE_24/C1 (800:939:1079)(800:939:1079)) + (INTERCONNECT SLICE_24/Q1 SLICE_24/A1 (479:571:663)(479:571:663)) + (INTERCONNECT SLICE_24/Q1 RAout\[9\]_MGIOL/OPOS (1863:2048:2233)(1863:2048:2233)) + (INTERCONNECT ram2e_ufm\/SLICE_78/F0 SLICE_24/D0 (857:949:1042)(857:949:1042)) + (INTERCONNECT ram2e_ufm\/SLICE_115/F1 SLICE_24/C0 (803:945:1088)(803:945:1088)) + (INTERCONNECT ram2e_ufm\/SLICE_115/F1 ram2e_ufm\/SLICE_115/C0 (280:362:445) + (280:362:445)) + (INTERCONNECT ram2e_ufm\/SLICE_127/F0 SLICE_24/B0 (762:883:1004)(762:883:1004)) + (INTERCONNECT SLICE_24/Q0 SLICE_24/A0 (479:571:663)(479:571:663)) + (INTERCONNECT SLICE_24/Q0 RAout\[8\]_MGIOL/OPOS (1793:1970:2148)(1793:1970:2148)) (INTERCONNECT SLICE_24/F1 SLICE_24/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_24/F0 SLICE_24/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_24/Q0 SLICE_69/A1 (1104:1258:1413)(1104:1258:1413)) - (INTERCONNECT SLICE_24/Q1 SLICE_103/D1 (523:573:623)(523:573:623)) - (INTERCONNECT SLICE_29/Q1 SLICE_25/A1 (740:863:986)(740:863:986)) - (INTERCONNECT SLICE_29/Q0 SLICE_25/A0 (740:863:986)(740:863:986)) + (INTERCONNECT ram2e_ufm\/SLICE_74/F0 SLICE_25/D1 (271:301:332)(271:301:332)) + (INTERCONNECT ram2e_ufm\/SLICE_74/F0 ram2e_ufm\/SLICE_74/C1 (282:367:453) + (282:367:453)) + (INTERCONNECT ram2e_ufm\/SLICE_74/F0 ram2e_ufm\/SLICE_146/D1 (794:884:975) + (794:884:975)) + (INTERCONNECT SLICE_29/Q0 SLICE_25/B1 (1136:1293:1450)(1136:1293:1450)) + (INTERCONNECT SLICE_25/Q1 SLICE_25/A1 (479:571:663)(479:571:663)) + (INTERCONNECT SLICE_25/Q1 RAout\[11\]_MGIOL/OPOS (1998:2162:2326)(1998:2162:2326)) + (INTERCONNECT ram2e_ufm\/SLICE_113/F0 SLICE_25/D0 (1449:1580:1712)(1449:1580:1712)) + (INTERCONNECT ram2e_ufm\/SLICE_129/F0 SLICE_25/C0 (277:356:436)(277:356:436)) + (INTERCONNECT ram2e_ufm\/SLICE_106/F0 SLICE_25/B0 (508:600:693)(508:600:693)) + (INTERCONNECT ram2e_ufm\/SLICE_74/F1 SLICE_25/A0 (476:566:656)(476:566:656)) (INTERCONNECT SLICE_25/F1 SLICE_25/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_25/F0 SLICE_25/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_25/Q0 SLICE_102/C0 (534:639:744)(534:639:744)) - (INTERCONNECT SLICE_25/Q1 SLICE_107/D0 (857:949:1042)(857:949:1042)) - (INTERCONNECT SLICE_30/Q1 SLICE_26/A1 (733:848:964)(733:848:964)) - (INTERCONNECT Din\[6\]_I/PADDI SLICE_26/C0 (1720:1901:2083)(1720:1901:2083)) - (INTERCONNECT Din\[6\]_I/PADDI SLICE_30/C0 (2411:2659:2907)(2411:2659:2907)) - (INTERCONNECT Din\[6\]_I/PADDI SLICE_38/B0 (2402:2623:2845)(2402:2623:2845)) - (INTERCONNECT Din\[6\]_I/PADDI SLICE_49/C1 (3351:3662:3973)(3351:3662:3973)) - (INTERCONNECT Din\[6\]_I/PADDI SLICE_77/D1 (2632:2819:3006)(2632:2819:3006)) - (INTERCONNECT Din\[6\]_I/PADDI SLICE_81/B1 (3582:3906:4230)(3582:3906:4230)) - (INTERCONNECT Din\[6\]_I/PADDI SLICE_87/C1 (3383:3689:3995)(3383:3689:3995)) - (INTERCONNECT Din\[6\]_I/PADDI SLICE_91/D1 (3699:3985:4271)(3699:3985:4271)) - (INTERCONNECT Din\[6\]_I/PADDI RD\[6\]_I/PADDO (3091:3324:3557)(3091:3324:3557)) - (INTERCONNECT SLICE_30/Q0 SLICE_26/B0 (772:897:1023)(772:897:1023)) + (INTERCONNECT SLICE_25/Q0 ram2e_ufm\/SLICE_106/D0 (536:594:652)(536:594:652)) + (INTERCONNECT SLICE_25/Q0 RAout\[10\]_MGIOL/OPOS (1432:1576:1721)(1432:1576:1721)) (INTERCONNECT SLICE_26/F1 SLICE_26/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_26/F0 SLICE_26/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_26/Q0 SLICE_47/D1 (900:989:1079)(900:989:1079)) - (INTERCONNECT SLICE_26/Q0 SLICE_59/A1 (1110:1265:1420)(1110:1265:1420)) - (INTERCONNECT SLICE_26/Q1 SLICE_96/C0 (1232:1411:1590)(1232:1411:1590)) - (INTERCONNECT ufmefb\/EFBInst_0/WBDATO1 SLICE_27/C1 (1161:1328:1496) - (1161:1328:1496)) + (INTERCONNECT ram2e_ufm\/SLICE_147/F1 SLICE_27/D1 (846:940:1035)(846:940:1035)) + (INTERCONNECT ram2e_ufm\/SLICE_147/F1 SLICE_27/D0 (846:940:1035)(846:940:1035)) + (INTERCONNECT ram2e_ufm\/SLICE_147/F1 SLICE_28/A1 (1811:2036:2262)(1811:2036:2262)) + (INTERCONNECT ram2e_ufm\/SLICE_147/F1 SLICE_28/A0 (1811:2036:2262)(1811:2036:2262)) + (INTERCONNECT ram2e_ufm\/SLICE_147/F1 SLICE_29/D1 (1231:1359:1487)(1231:1359:1487)) + (INTERCONNECT ram2e_ufm\/SLICE_147/F1 SLICE_29/D0 (1231:1359:1487)(1231:1359:1487)) + (INTERCONNECT ram2e_ufm\/SLICE_147/F1 SLICE_30/D1 (1231:1359:1487)(1231:1359:1487)) + (INTERCONNECT ram2e_ufm\/SLICE_147/F1 SLICE_30/D0 (1231:1359:1487)(1231:1359:1487)) + (INTERCONNECT ram2e_ufm\/SLICE_43/Q1 SLICE_27/B1 (772:897:1023)(772:897:1023)) + (INTERCONNECT ram2e_ufm\/SLICE_43/Q0 SLICE_27/B0 (772:897:1023)(772:897:1023)) (INTERCONNECT SLICE_27/F1 SLICE_27/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_27/F0 SLICE_27/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_114/F0 SLICE_27/CE (893:992:1091)(893:992:1091)) - (INTERCONNECT SLICE_114/F0 SLICE_27/CE (893:992:1091)(893:992:1091)) - (INTERCONNECT SLICE_114/F0 SLICE_28/CE (893:992:1091)(893:992:1091)) - (INTERCONNECT SLICE_114/F0 SLICE_28/CE (893:992:1091)(893:992:1091)) - (INTERCONNECT SLICE_114/F0 SLICE_29/CE (893:992:1091)(893:992:1091)) - (INTERCONNECT SLICE_114/F0 SLICE_29/CE (893:992:1091)(893:992:1091)) - (INTERCONNECT SLICE_114/F0 SLICE_30/CE (893:992:1091)(893:992:1091)) - (INTERCONNECT SLICE_114/F0 SLICE_30/CE (893:992:1091)(893:992:1091)) - (INTERCONNECT ufmefb\/EFBInst_0/WBDATO3 SLICE_28/B1 (1756:1968:2180) - (1756:1968:2180)) - (INTERCONNECT ufmefb\/EFBInst_0/WBDATO2 SLICE_28/B0 (1392:1572:1753) - (1392:1572:1753)) + (INTERCONNECT SLICE_27/Q0 ram2e_ufm\/SLICE_120/A1 (1180:1342:1505)(1180:1342:1505)) + (INTERCONNECT SLICE_27/Q0 ram2e_ufm\/SLICE_120/A0 (1180:1342:1505)(1180:1342:1505)) + (INTERCONNECT SLICE_27/Q1 ram2e_ufm\/SLICE_78/C0 (531:639:747)(531:639:747)) + (INTERCONNECT ram2e_ufm\/SLICE_44/Q1 SLICE_28/C1 (868:1015:1163)(868:1015:1163)) + (INTERCONNECT ram2e_ufm\/SLICE_44/Q0 SLICE_28/D0 (523:573:623)(523:573:623)) (INTERCONNECT SLICE_28/F1 SLICE_28/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_28/F0 SLICE_28/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT ufmefb\/EFBInst_0/WBDATO5 SLICE_29/D1 (1150:1262:1375) - (1150:1262:1375)) - (INTERCONNECT ufmefb\/EFBInst_0/WBDATO4 SLICE_29/A0 (1290:1460:1631) - (1290:1460:1631)) + (INTERCONNECT SLICE_28/Q0 ram2e_ufm\/SLICE_146/C1 (534:639:744)(534:639:744)) + (INTERCONNECT SLICE_28/Q1 ram2e_ufm\/SLICE_74/A1 (1174:1336:1498)(1174:1336:1498)) + (INTERCONNECT ram2e_ufm\/SLICE_45/Q1 SLICE_29/A1 (740:863:986)(740:863:986)) + (INTERCONNECT ram2e_ufm\/SLICE_45/Q0 SLICE_29/A0 (740:863:986)(740:863:986)) (INTERCONNECT SLICE_29/F1 SLICE_29/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_29/F0 SLICE_29/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT ufmefb\/EFBInst_0/WBDATO7 SLICE_30/A1 (1360:1538:1716) - (1360:1538:1716)) - (INTERCONNECT ufmefb\/EFBInst_0/WBDATO6 SLICE_30/B0 (1581:1781:1981) - (1581:1781:1981)) + (INTERCONNECT SLICE_29/Q1 ram2e_ufm\/SLICE_72/B1 (1136:1293:1450)(1136:1293:1450)) + (INTERCONNECT ram2e_ufm\/SLICE_46/Q1 SLICE_30/B1 (765:883:1001)(765:883:1001)) + (INTERCONNECT ram2e_ufm\/SLICE_46/Q0 SLICE_30/C0 (534:639:744)(534:639:744)) + (INTERCONNECT Din\[6\]_I/PADDI SLICE_30/A0 (2705:2960:3215)(2705:2960:3215)) + (INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/SLICE_40/D1 (2049:2212:2375) + (2049:2212:2375)) + (INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/SLICE_42/D1 (2913:3132:3351) + (2913:3132:3351)) + (INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/SLICE_46/A0 (3123:3407:3692) + (3123:3407:3692)) + (INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/SLICE_50/C0 (3288:3593:3899) + (3288:3593:3899)) + (INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60/A1 + (2628:2888:3149)(2628:2888:3149)) + (INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/SLICE_62/D1 (2413:2607:2802) + (2413:2607:2802)) + (INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/SLICE_65/A1 (3220:3505:3791) + (3220:3505:3791)) + (INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/SLICE_82/D1 (2461:2653:2845) + (2461:2653:2845)) + (INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/SLICE_83/D1 (2461:2653:2845) + (2461:2653:2845)) + (INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/SLICE_144/B0 (2333:2561:2789) + (2333:2561:2789)) (INTERCONNECT SLICE_30/F1 SLICE_30/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_30/F0 SLICE_30/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT nEN80_I/PADDI SLICE_31/C1 (2184:2392:2600)(2184:2392:2600)) - (INTERCONNECT nEN80_I/PADDI SLICE_79/B1 (2742:2998:3254)(2742:2998:3254)) - (INTERCONNECT nEN80_I/PADDI SLICE_106/B0 (2317:2542:2767)(2317:2542:2767)) - (INTERCONNECT nEN80_I/PADDI SLICE_115/D1 (2433:2621:2809)(2433:2621:2809)) - (INTERCONNECT nEN80_I/PADDI SLICE_117/B0 (2681:2937:3194)(2681:2937:3194)) - (INTERCONNECT nWE_I/PADDI SLICE_31/B1 (2579:2824:3070)(2579:2824:3070)) - (INTERCONNECT nWE_I/PADDI SLICE_31/B0 (2579:2824:3070)(2579:2824:3070)) - (INTERCONNECT nC07X_I/PADDI SLICE_31/A0 (1987:2195:2403)(1987:2195:2403)) + (INTERCONNECT SLICE_30/Q0 ram2e_ufm\/SLICE_129/D1 (789:873:958)(789:873:958)) + (INTERCONNECT SLICE_30/Q1 ram2e_ufm\/SLICE_127/C0 (541:653:766)(541:653:766)) + (INTERCONNECT Ain\[3\]_I/PADDI SLICE_31/D1 (2384:2572:2760)(2384:2572:2760)) + (INTERCONNECT nC07X_I/PADDI SLICE_31/C0 (1909:2116:2323)(1909:2116:2323)) + (INTERCONNECT nWE_I/PADDI SLICE_31/B0 (2602:2880:3158)(2602:2880:3158)) + (INTERCONNECT nWE_I/PADDI SLICE_36/D0 (3105:3379:3654)(3105:3379:3654)) + (INTERCONNECT nWE_I/PADDI ram2e_ufm\/CKE_7\/SLICE_61/B0 (3341:3683:4025) + (3341:3683:4025)) + (INTERCONNECT nWE_I/PADDI ram2e_ufm\/SLICE_92/D1 (3105:3379:3654)(3105:3379:3654)) + (INTERCONNECT nWE_I/PADDI ram2e_ufm\/SLICE_112/D0 (3073:3352:3632)(3073:3352:3632)) + (INTERCONNECT nWE_I/PADDI ram2e_ufm\/SLICE_113/D0 (3073:3352:3632)(3073:3352:3632)) + (INTERCONNECT nWE_I/PADDI ram2e_ufm\/SLICE_136/C1 (2698:2998:3298)(2698:2998:3298)) + (INTERCONNECT nWE_I/PADDI ram2e_ufm\/SLICE_136/B0 (2602:2880:3158)(2602:2880:3158)) + (INTERCONNECT nWE_I/PADDI ram2e_ufm\/SLICE_137/B1 (2214:2429:2644)(2214:2429:2644)) (INTERCONNECT SLICE_31/F0 SLICE_31/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_71/F0 SLICE_31/CE (927:1039:1151)(927:1039:1151)) - (INTERCONNECT SLICE_71/F0 SLICE_59/B1 (777:908:1040)(777:908:1040)) - (INTERCONNECT SLICE_71/F0 SLICE_71/B1 (767:894:1021)(767:894:1021)) - (INTERCONNECT SLICE_31/F1 nDOE_I/PADDO (1820:2001:2182)(1820:2001:2182)) - (INTERCONNECT SLICE_97/F0 SLICE_32/D1 (975:1072:1170)(975:1072:1170)) - (INTERCONNECT SLICE_97/F0 SLICE_51/D0 (975:1072:1170)(975:1072:1170)) - (INTERCONNECT SLICE_97/F0 SLICE_75/D1 (975:1072:1170)(975:1072:1170)) - (INTERCONNECT SLICE_72/F1 SLICE_32/B1 (762:883:1004)(762:883:1004)) + (INTERCONNECT ram2e_ufm\/SLICE_127/F1 SLICE_31/CE (549:610:672)(549:610:672)) + (INTERCONNECT ram2e_ufm\/SLICE_57/F1 SLICE_32/D1 (520:573:626)(520:573:626)) + (INTERCONNECT ram2e_ufm\/SLICE_110/F1 SLICE_32/C1 (546:664:783)(546:664:783)) + (INTERCONNECT ram2e_ufm\/SLICE_110/F1 ram2e_ufm\/SLICE_58/B1 (1036:1194:1353) + (1036:1194:1353)) + (INTERCONNECT ram2e_ufm\/SLICE_110/F1 ram2e_ufm\/SLICE_110/B0 (513:611:710) + (513:611:710)) + (INTERCONNECT ram2e_ufm\/SLICE_131/F1 SLICE_32/B1 (765:883:1001)(765:883:1001)) + (INTERCONNECT ram2e_ufm\/SLICE_146/F0 SLICE_32/A1 (730:848:967)(730:848:967)) (INTERCONNECT SLICE_32/F1 SLICE_32/C0 (277:356:436)(277:356:436)) + (INTERCONNECT SLICE_32/Q0 SLICE_32/A0 (481:575:669)(481:575:669)) + (INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_137/C1 (1388:1580:1773)(1388:1580:1773)) + (INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_137/C0 (1388:1580:1773)(1388:1580:1773)) + (INTERCONNECT SLICE_32/Q0 SLICE_139/B0 (777:906:1036)(777:906:1036)) + (INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_141/D1 (1003:1108:1213)(1003:1108:1213)) + (INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_141/D0 (1003:1108:1213)(1003:1108:1213)) + (INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_142/C0 (1014:1174:1334)(1014:1174:1334)) + (INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_143/D1 (1377:1514:1652)(1377:1514:1652)) + (INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_143/D0 (1377:1514:1652)(1377:1514:1652)) + (INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_144/A1 (1587:1790:1993)(1587:1790:1993)) + (INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_144/A0 (1587:1790:1993)(1587:1790:1993)) + (INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_147/C0 (1014:1174:1334)(1014:1174:1334)) (INTERCONNECT SLICE_32/F0 SLICE_32/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_107/F1 SLICE_33/C1 (534:639:744)(534:639:744)) - (INTERCONNECT SLICE_67/F1 SLICE_33/B1 (770:894:1018)(770:894:1018)) - (INTERCONNECT SLICE_67/F1 SLICE_44/B0 (1047:1206:1366)(1047:1206:1366)) - (INTERCONNECT SLICE_67/F1 SLICE_51/A1 (1722:1947:2172)(1722:1947:2172)) - (INTERCONNECT SLICE_67/F1 SLICE_53/B0 (1422:1614:1806)(1422:1614:1806)) - (INTERCONNECT SLICE_67/F1 SLICE_67/C0 (536:650:764)(536:650:764)) - (INTERCONNECT SLICE_67/F1 SLICE_72/B0 (1427:1619:1812)(1427:1619:1812)) - (INTERCONNECT SLICE_67/F1 SLICE_103/C1 (1523:1737:1952)(1523:1737:1952)) - (INTERCONNECT SLICE_67/F1 SLICE_103/D0 (1512:1671:1831)(1512:1671:1831)) - (INTERCONNECT SLICE_67/F1 SLICE_110/B1 (1422:1614:1806)(1422:1614:1806)) - (INTERCONNECT SLICE_67/F1 SLICE_110/B0 (1422:1614:1806)(1422:1614:1806)) - (INTERCONNECT SLICE_106/F1 SLICE_33/A1 (744:867:991)(744:867:991)) - (INTERCONNECT SLICE_106/F1 SLICE_34/D1 (534:592:650)(534:592:650)) - (INTERCONNECT SLICE_106/F1 SLICE_34/D0 (269:296:324)(269:296:324)) - (INTERCONNECT SLICE_102/F1 SLICE_33/C0 (531:639:747)(531:639:747)) + (INTERCONNECT SLICE_139/F0 SLICE_33/D1 (1248:1376:1505)(1248:1376:1505)) + (INTERCONNECT SLICE_139/F0 SLICE_33/D0 (1248:1376:1505)(1248:1376:1505)) + (INTERCONNECT SLICE_139/F0 SLICE_34/D1 (900:989:1079)(900:989:1079)) + (INTERCONNECT SLICE_139/F0 SLICE_34/B0 (1490:1686:1883)(1490:1686:1883)) + (INTERCONNECT ram2e_ufm\/SLICE_106/F1 SLICE_33/C1 (538:655:772)(538:655:772)) + (INTERCONNECT ram2e_ufm\/SLICE_106/F1 SLICE_34/C1 (538:655:772)(538:655:772)) + (INTERCONNECT ram2e_ufm\/SLICE_106/F1 SLICE_34/C0 (284:372:461)(284:372:461)) + (INTERCONNECT ram2e_ufm\/SLICE_106/F1 ram2e_ufm\/SLICE_106/B0 (515:616:718) + (515:616:718)) + (INTERCONNECT ram2e_ufm\/SLICE_135/F1 SLICE_33/B1 (511:606:702)(511:606:702)) + (INTERCONNECT ram2e_ufm\/SLICE_135/F1 SLICE_33/C0 (534:645:756)(534:645:756)) + (INTERCONNECT ram2e_ufm\/SLICE_78/F1 SLICE_33/A1 (1025:1183:1341)(1025:1183:1341)) + (INTERCONNECT ram2e_ufm\/SLICE_78/F1 SLICE_38/B1 (1748:1975:2202)(1748:1975:2202)) + (INTERCONNECT ram2e_ufm\/SLICE_78/F1 ram2e_ufm\/SLICE_78/D0 (525:584:643) + (525:584:643)) + (INTERCONNECT ram2e_ufm\/SLICE_78/F1 ram2e_ufm\/SLICE_79/B0 (1036:1194:1353) + (1036:1194:1353)) + (INTERCONNECT ram2e_ufm\/SLICE_78/F1 ram2e_ufm\/SLICE_105/B1 (1421:1613:1805) + (1421:1613:1805)) + (INTERCONNECT ram2e_ufm\/SLICE_78/F1 ram2e_ufm\/SLICE_106/A0 (1025:1183:1341) + (1025:1183:1341)) + (INTERCONNECT ram2e_ufm\/SLICE_78/F1 ram2e_ufm\/SLICE_135/D0 (1142:1269:1397) + (1142:1269:1397)) + (INTERCONNECT ram2e_ufm\/SLICE_117/F1 SLICE_33/A0 (1372:1566:1760)(1372:1566:1760)) (INTERCONNECT SLICE_33/F1 SLICE_33/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_33/F0 SLICE_33/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_34/Q0 SLICE_34/B1 (800:933:1066)(800:933:1066)) + (INTERCONNECT SLICE_34/Q0 SLICE_34/A0 (483:579:675)(483:579:675)) + (INTERCONNECT SLICE_34/Q0 SLICE_35/A0 (1126:1299:1472)(1126:1299:1472)) + (INTERCONNECT SLICE_34/Q0 SLICE_38/A1 (746:897:1049)(746:897:1049)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_47/A1 (1478:1705:1932)(1478:1705:1932)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_48/D1 (1248:1407:1567)(1248:1407:1567)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_48/D0 (1248:1407:1567)(1248:1407:1567)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_49/A1 (1853:2112:2372)(1853:2112:2372)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_49/A0 (1853:2112:2372)(1853:2112:2372)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_50/B1 (2265:2560:2855)(2265:2560:2855)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_50/B0 (2265:2560:2855)(2265:2560:2855)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_52/A0 (1868:2129:2390)(1868:2129:2390)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_53/D0 (2028:2255:2483)(2028:2255:2483)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_54/A1 (1868:2129:2390)(1868:2129:2390)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_56/LSR (2733:3030:3328)(2733:3030:3328)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_69/D0 (813:934:1056)(813:934:1056)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_71/D0 (813:934:1056)(813:934:1056)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_72/D0 (886:987:1089)(886:987:1089)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_74/D0 (886:987:1089)(886:987:1089)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_77/B0 (1972:2234:2496)(1972:2234:2496)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_78/A0 (1468:1694:1920)(1468:1694:1920)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_79/D0 (2023:2250:2477)(2023:2250:2477)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_86/A1 (1478:1705:1932)(1478:1705:1932)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_89/B0 (2270:2565:2861)(2270:2565:2861)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_90/B0 (2270:2565:2861)(2270:2565:2861)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_95/A1 (1393:1612:1831)(1393:1612:1831)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_96/C1 (1194:1402:1611)(1194:1402:1611)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_97/A0 (1868:2129:2390)(1868:2129:2390)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_98/A0 (2565:2893:3221)(2565:2893:3221)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_102/A1 (1018:1204:1391)(1018:1204:1391)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_106/C0 (569:689:809)(569:689:809)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_107/C1 (2007:2286:2566)(2007:2286:2566)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_109/B1 (1510:1739:1969)(1510:1739:1969)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_112/A0 (746:897:1049)(746:897:1049)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_113/C1 (547:688:829)(547:688:829)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_115/B1 (1420:1641:1862)(1420:1641:1862)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_117/A1 (1876:2129:2383)(1876:2129:2383)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_117/A0 (1876:2129:2383)(1876:2129:2383)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_118/D1 (1666:1854:2042)(1666:1854:2042)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_118/D0 (1666:1854:2042)(1666:1854:2042)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_119/A1 (1126:1299:1472)(1126:1299:1472)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_119/A0 (1126:1299:1472)(1126:1299:1472)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_121/B1 (1500:1728:1957)(1500:1728:1957)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_121/B0 (1500:1728:1957)(1500:1728:1957)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_127/A1 (1126:1299:1472)(1126:1299:1472)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_127/A0 (1126:1299:1472)(1126:1299:1472)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_135/A1 (768:898:1029)(768:898:1029)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_135/A0 (768:898:1029)(768:898:1029)) + (INTERCONNECT SLICE_34/Q0 SLICE_138/A0 (1557:1755:1954)(1557:1755:1954)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_145/A1 (1868:2129:2390)(1868:2129:2390)) (INTERCONNECT SLICE_34/F1 SLICE_34/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_34/F0 SLICE_34/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_62/F1 SLICE_35/D1 (523:573:623)(523:573:623)) - (INTERCONNECT SLICE_60/F1 SLICE_35/C1 (541:653:766)(541:653:766)) - (INTERCONNECT SLICE_74/F1 SLICE_35/C0 (282:367:453)(282:367:453)) - (INTERCONNECT SLICE_74/F1 SLICE_74/A0 (735:859:984)(735:859:984)) - (INTERCONNECT SLICE_74/F1 SLICE_108/A0 (745:874:1003)(745:874:1003)) - (INTERCONNECT SLICE_85/F0 SLICE_35/B0 (765:883:1001)(765:883:1001)) - (INTERCONNECT SLICE_104/F0 SLICE_35/A0 (730:848:967)(730:848:967)) - (INTERCONNECT SLICE_35/F1 SLICE_35/DI1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_35/F0 SLICE_35/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_110/F0 SLICE_35/CE (819:908:998)(819:908:998)) - (INTERCONNECT SLICE_110/F0 SLICE_35/CE (819:908:998)(819:908:998)) - (INTERCONNECT SLICE_110/F0 SLICE_36/CE (1209:1332:1456)(1209:1332:1456)) - (INTERCONNECT SLICE_110/F0 SLICE_36/CE (1209:1332:1456)(1209:1332:1456)) - (INTERCONNECT SLICE_110/F0 SLICE_37/CE (1573:1728:1883)(1573:1728:1883)) - (INTERCONNECT SLICE_110/F0 SLICE_37/CE (1573:1728:1883)(1573:1728:1883)) - (INTERCONNECT SLICE_110/F0 SLICE_38/CE (819:908:998)(819:908:998)) - (INTERCONNECT SLICE_110/F0 SLICE_38/CE (819:908:998)(819:908:998)) - (INTERCONNECT SLICE_110/F0 SLICE_40/CE (1209:1332:1456)(1209:1332:1456)) - (INTERCONNECT SLICE_110/F0 SLICE_40/CE (1209:1332:1456)(1209:1332:1456)) - (INTERCONNECT SLICE_110/F0 SLICE_41/CE (1209:1332:1456)(1209:1332:1456)) - (INTERCONNECT SLICE_110/F0 SLICE_41/CE (1209:1332:1456)(1209:1332:1456)) - (INTERCONNECT SLICE_110/F0 SLICE_42/CE (1209:1332:1456)(1209:1332:1456)) - (INTERCONNECT SLICE_110/F0 SLICE_42/CE (1209:1332:1456)(1209:1332:1456)) - (INTERCONNECT SLICE_110/F0 SLICE_43/CE (1209:1332:1456)(1209:1332:1456)) - (INTERCONNECT SLICE_110/F0 SLICE_43/CE (1209:1332:1456)(1209:1332:1456)) - (INTERCONNECT SLICE_35/Q0 SLICE_40/D0 (1590:1742:1894)(1590:1742:1894)) - (INTERCONNECT SLICE_35/Q0 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI0in (1343:1474:1606) - (1343:1474:1606)) - (INTERCONNECT SLICE_35/Q1 SLICE_109/B0 (1467:1656:1846)(1467:1656:1846)) - (INTERCONNECT SLICE_35/Q1 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI1in (1342:1474:1607) - (1342:1474:1607)) - (INTERCONNECT SLICE_36/F1 SLICE_36/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_138/F1 SLICE_35/LSR (873:967:1062)(873:967:1062)) + (INTERCONNECT SLICE_35/Q0 SLICE_139/A1 (733:848:964)(733:848:964)) + (INTERCONNECT SLICE_35/F1 BA\[1\]_MGIOL/LSR (2019:2186:2354)(2019:2186:2354)) + (INTERCONNECT SLICE_35/F1 BA\[0\]_MGIOL/LSR (2019:2186:2354)(2019:2186:2354)) + (INTERCONNECT ram2e_ufm\/SLICE_113/F1 SLICE_36/C1 (545:668:791)(545:668:791)) + (INTERCONNECT ram2e_ufm\/SLICE_113/F1 SLICE_37/A1 (739:869:1000)(739:869:1000)) + (INTERCONNECT ram2e_ufm\/SLICE_113/F1 SLICE_38/D0 (275:311:348)(275:311:348)) + (INTERCONNECT ram2e_ufm\/SLICE_113/F1 ram2e_ufm\/CKE_7\/SLICE_61/D0 (532:594:656) + (532:594:656)) + (INTERCONNECT ram2e_ufm\/SLICE_113/F1 ram2e_ufm\/SLICE_92/C1 (545:668:791) + (545:668:791)) + (INTERCONNECT ram2e_ufm\/SLICE_113/F1 ram2e_ufm\/SLICE_113/C0 (286:377:469) + (286:377:469)) + (INTERCONNECT ram2e_ufm\/SLICE_105/F0 SLICE_36/A1 (1092:1256:1420)(1092:1256:1420)) + (INTERCONNECT ram2e_ufm\/SLICE_105/F0 SLICE_37/C1 (903:1058:1214)(903:1058:1214)) + (INTERCONNECT ram2e_ufm\/SLICE_105/F0 SLICE_38/C1 (903:1058:1214)(903:1058:1214)) + (INTERCONNECT ram2e_ufm\/SLICE_105/F0 ram2e_ufm\/SLICE_91/B0 (1150:1311:1472) + (1150:1311:1472)) + (INTERCONNECT ram2e_ufm\/SLICE_105/F0 ram2e_ufm\/SLICE_92/A0 (1092:1256:1420) + (1092:1256:1420)) + (INTERCONNECT ram2e_ufm\/SLICE_105/F0 ram2e_ufm\/SLICE_105/C1 (280:362:445) + (280:362:445)) + (INTERCONNECT ram2e_ufm\/SLICE_105/F0 ram2e_ufm\/SLICE_112/C1 (903:1058:1214) + (903:1058:1214)) + (INTERCONNECT SLICE_36/F1 SLICE_36/C0 (277:356:436)(277:356:436)) + (INTERCONNECT ram2e_ufm\/SLICE_69/F1 SLICE_36/B0 (772:897:1023)(772:897:1023)) + (INTERCONNECT ram2e_ufm\/SLICE_92/F0 SLICE_36/A0 (476:566:656)(476:566:656)) (INTERCONNECT SLICE_36/F0 SLICE_36/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_36/Q0 SLICE_41/B0 (1139:1298:1457)(1139:1298:1457)) - (INTERCONNECT SLICE_36/Q0 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI2in (643:706:769) - (643:706:769)) - (INTERCONNECT SLICE_36/Q1 SLICE_68/B1 (765:888:1011)(765:888:1011)) - (INTERCONNECT SLICE_36/Q1 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI3in (650:720:791) - (650:720:791)) - (INTERCONNECT SLICE_37/F1 SLICE_37/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_36/Q0 nCASout_MGIOL/OPOS (1549:1684:1820)(1549:1684:1820)) + (INTERCONNECT SLICE_38/F1 SLICE_37/D0 (523:579:635)(523:579:635)) + (INTERCONNECT SLICE_38/F1 SLICE_38/B0 (511:606:702)(511:606:702)) + (INTERCONNECT SLICE_37/F1 SLICE_37/C0 (277:356:436)(277:356:436)) + (INTERCONNECT ram2e_ufm\/SLICE_112/F0 SLICE_37/B0 (508:600:693)(508:600:693)) + (INTERCONNECT ram2e_ufm\/SLICE_91/F0 SLICE_37/A0 (1067:1225:1383)(1067:1225:1383)) (INTERCONNECT SLICE_37/F0 SLICE_37/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_37/Q0 SLICE_114/D1 (791:881:972)(791:881:972)) - (INTERCONNECT SLICE_37/Q0 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI4in (1599:1767:1935) - (1599:1767:1935)) - (INTERCONNECT SLICE_37/Q1 SLICE_42/A1 (1073:1231:1390)(1073:1231:1390)) - (INTERCONNECT SLICE_37/Q1 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI5in (1344:1479:1615) - (1344:1479:1615)) - (INTERCONNECT SLICE_38/F1 SLICE_38/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_37/Q0 nRASout_MGIOL/OPOS (1986:2148:2310)(1986:2148:2310)) + (INTERCONNECT ram2e_ufm\/SLICE_136/F1 SLICE_38/D1 (977:1075:1173)(977:1075:1173)) + (INTERCONNECT ram2e_ufm\/SLICE_105/F1 SLICE_38/C0 (534:639:744)(534:639:744)) + (INTERCONNECT ram2e_ufm\/SLICE_112/F1 SLICE_38/A0 (733:854:976)(733:854:976)) + (INTERCONNECT ram2e_ufm\/SLICE_112/F1 ram2e_ufm\/SLICE_112/C0 (280:362:445) + (280:362:445)) (INTERCONNECT SLICE_38/F0 SLICE_38/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_38/Q0 SLICE_84/B0 (778:904:1030)(778:904:1030)) - (INTERCONNECT SLICE_38/Q0 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI6in (1708:1875:2042) - (1708:1875:2042)) - (INTERCONNECT SLICE_38/Q1 SLICE_109/C1 (980:1133:1286)(980:1133:1286)) - (INTERCONNECT SLICE_38/Q1 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI7in (1413:1557:1701) - (1413:1557:1701)) - (INTERCONNECT SLICE_63/F1 SLICE_39/D1 (791:881:972)(791:881:972)) - (INTERCONNECT SLICE_63/F1 SLICE_52/D1 (1222:1348:1474)(1222:1348:1474)) - (INTERCONNECT ufmefb\/EFBInst_0/WBACKO SLICE_39/C1 (1776:2012:2248) - (1776:2012:2248)) - (INTERCONNECT ufmefb\/EFBInst_0/WBACKO SLICE_45/B1 (1996:2243:2490) - (1996:2243:2490)) - (INTERCONNECT ufmefb\/EFBInst_0/WBACKO SLICE_57/C1 (1776:2012:2248) - (1776:2012:2248)) - (INTERCONNECT ufmefb\/EFBInst_0/WBACKO SLICE_64/A0 (1623:1832:2041) - (1623:1832:2041)) - (INTERCONNECT ufmefb\/EFBInst_0/WBACKO SLICE_105/D1 (1413:1556:1700) - (1413:1556:1700)) - (INTERCONNECT SLICE_39/F1 SLICE_39/B0 (762:883:1004)(762:883:1004)) - (INTERCONNECT SLICE_39/F0 SLICE_39/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_52/F0 SLICE_39/CE (539:596:653)(539:596:653)) - (INTERCONNECT SLICE_39/Q0 ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBCYCIin - (1707:1870:2033)(1707:1870:2033)) - (INTERCONNECT SLICE_39/Q0 ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBSTBIin - (2053:2255:2457)(2053:2255:2457)) - (INTERCONNECT SLICE_109/F0 SLICE_40/D1 (520:573:626)(520:573:626)) - (INTERCONNECT SLICE_68/F0 SLICE_40/C1 (986:1144:1302)(986:1144:1302)) - (INTERCONNECT SLICE_68/F0 SLICE_43/D0 (975:1078:1181)(975:1078:1181)) - (INTERCONNECT SLICE_68/F0 SLICE_68/C1 (282:367:453)(282:367:453)) - (INTERCONNECT SLICE_68/F0 SLICE_70/B1 (770:894:1018)(770:894:1018)) - (INTERCONNECT SLICE_90/F0 SLICE_40/B1 (770:897:1024)(770:897:1024)) - (INTERCONNECT SLICE_90/F0 SLICE_41/B1 (1036:1197:1359)(1036:1197:1359)) - (INTERCONNECT SLICE_90/F0 SLICE_88/A0 (733:854:976)(733:854:976)) - (INTERCONNECT SLICE_56/F0 SLICE_40/A1 (1188:1354:1520)(1188:1354:1520)) - (INTERCONNECT SLICE_56/F0 SLICE_43/A0 (1188:1354:1520)(1188:1354:1520)) - (INTERCONNECT SLICE_56/F0 SLICE_50/C0 (1316:1506:1697)(1316:1506:1697)) - (INTERCONNECT SLICE_56/F0 SLICE_104/D1 (871:968:1066)(871:968:1066)) - (INTERCONNECT SLICE_56/F0 SLICE_104/D0 (871:968:1066)(871:968:1066)) - (INTERCONNECT SLICE_116/F0 SLICE_40/C0 (800:939:1079)(800:939:1079)) - (INTERCONNECT SLICE_86/F1 SLICE_40/A0 (756:886:1016)(756:886:1016)) - (INTERCONNECT SLICE_86/F1 SLICE_74/D1 (969:1071:1174)(969:1071:1174)) - (INTERCONNECT SLICE_86/F1 SLICE_86/C0 (282:367:453)(282:367:453)) - (INTERCONNECT SLICE_86/F1 SLICE_90/A0 (756:886:1016)(756:886:1016)) - (INTERCONNECT SLICE_86/F1 SLICE_105/A0 (756:886:1016)(756:886:1016)) - (INTERCONNECT SLICE_40/F1 SLICE_40/DI1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_40/F0 SLICE_40/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_40/Q0 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI0in (1233:1363:1494) - (1233:1363:1494)) - (INTERCONNECT SLICE_40/Q1 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI1in (1081:1188:1296) - (1081:1188:1296)) - (INTERCONNECT SLICE_58/F1 SLICE_41/D1 (523:573:623)(523:573:623)) - (INTERCONNECT SLICE_68/F1 SLICE_41/C1 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_88/F0 SLICE_41/D0 (795:880:965)(795:880:965)) - (INTERCONNECT SLICE_88/F0 SLICE_42/D1 (795:880:965)(795:880:965)) - (INTERCONNECT SLICE_70/F1 SLICE_41/A0 (738:862:987)(738:862:987)) - (INTERCONNECT SLICE_70/F1 SLICE_42/C1 (539:653:767)(539:653:767)) - (INTERCONNECT SLICE_70/F1 SLICE_42/D0 (860:955:1051)(860:955:1051)) - (INTERCONNECT SLICE_41/F1 SLICE_41/DI1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_41/F0 SLICE_41/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_41/Q0 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI2in (1338:1473:1608) - (1338:1473:1608)) - (INTERCONNECT SLICE_41/Q1 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI3in (906:1001:1097) - (906:1001:1097)) - (INTERCONNECT SLICE_74/F0 SLICE_42/C0 (544:659:775)(544:659:775)) - (INTERCONNECT SLICE_74/F0 SLICE_84/A0 (479:572:665)(479:572:665)) - (INTERCONNECT SLICE_104/F1 SLICE_42/B0 (1031:1183:1336)(1031:1183:1336)) - (INTERCONNECT SLICE_114/F1 SLICE_42/A0 (1326:1511:1696)(1326:1511:1696)) - (INTERCONNECT SLICE_42/F1 SLICE_42/DI1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_42/F0 SLICE_42/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_42/Q0 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI4in (1233:1363:1494) - (1233:1363:1494)) - (INTERCONNECT SLICE_42/Q1 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI5in (1338:1473:1608) - (1338:1473:1608)) - (INTERCONNECT SLICE_50/F0 SLICE_43/D1 (266:290:315)(266:290:315)) - (INTERCONNECT SLICE_109/F1 SLICE_43/C1 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_108/F0 SLICE_43/B1 (772:897:1023)(772:897:1023)) - (INTERCONNECT SLICE_105/F0 SLICE_43/A1 (733:848:964)(733:848:964)) - (INTERCONNECT SLICE_84/F0 SLICE_43/B0 (765:883:1001)(765:883:1001)) - (INTERCONNECT SLICE_43/F1 SLICE_43/DI1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_43/F0 SLICE_43/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_43/Q0 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI6in (1233:1363:1494) - (1233:1363:1494)) - (INTERCONNECT SLICE_43/Q1 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI7in (1081:1188:1296) - (1081:1188:1296)) - (INTERCONNECT SLICE_44/F1 SLICE_44/A0 (730:848:967)(730:848:967)) - (INTERCONNECT SLICE_44/F0 SLICE_44/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_103/F0 SLICE_44/CE (1566:1725:1884)(1566:1725:1884)) - (INTERCONNECT SLICE_44/Q0 SLICE_52/B1 (762:883:1004)(762:883:1004)) - (INTERCONNECT SLICE_45/F0 SLICE_45/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_47/F0 SLICE_45/LSR (1589:1762:1936)(1589:1762:1936)) - (INTERCONNECT SLICE_47/F0 SLICE_47/C1 (282:367:453)(282:367:453)) - (INTERCONNECT SLICE_47/F0 SLICE_56/C1 (1618:1839:2060)(1618:1839:2060)) - (INTERCONNECT SLICE_47/F0 SLICE_59/C1 (282:367:453)(282:367:453)) - (INTERCONNECT SLICE_47/F0 SLICE_73/B0 (1474:1675:1877)(1474:1675:1877)) - (INTERCONNECT SLICE_47/F0 SLICE_75/A0 (1006:1168:1330)(1006:1168:1330)) - (INTERCONNECT SLICE_47/F0 SLICE_86/C1 (1618:1839:2060)(1618:1839:2060)) - (INTERCONNECT SLICE_45/Q0 ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBRSTIin - (1492:1649:1807)(1492:1649:1807)) - (INTERCONNECT SLICE_45/F1 SLICE_52/A0 (1067:1225:1383)(1067:1225:1383)) - (INTERCONNECT SLICE_95/F0 SLICE_46/D0 (520:573:626)(520:573:626)) - (INTERCONNECT SLICE_46/F1 SLICE_46/C0 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_66/F1 SLICE_46/B0 (515:616:718)(515:616:718)) - (INTERCONNECT SLICE_66/F1 SLICE_60/A1 (742:872:1003)(742:872:1003)) - (INTERCONNECT SLICE_66/F1 SLICE_62/D1 (530:589:648)(530:589:648)) - (INTERCONNECT SLICE_66/F1 SLICE_66/D0 (527:589:651)(527:589:651)) - (INTERCONNECT SLICE_66/F1 SLICE_95/A1 (1439:1639:1840)(1439:1639:1840)) - (INTERCONNECT SLICE_46/F0 SLICE_46/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_53/F0 SLICE_46/CE (1604:1763:1923)(1604:1763:1923)) - (INTERCONNECT SLICE_46/Q0 ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBWEIin + (INTERCONNECT SLICE_38/Q0 nRWEout_MGIOL/OPOS (1913:2080:2247)(1913:2080:2247)) + (INTERCONNECT ram2e_ufm\/SLICE_94/F1 ram2e_ufm\/SLICE_39/A1 (1059:1222:1385) + (1059:1222:1385)) + (INTERCONNECT ram2e_ufm\/SLICE_94/F1 ram2e_ufm\/SLICE_87/D1 (1213:1342:1471) + (1213:1342:1471)) + (INTERCONNECT ram2e_ufm\/SLICE_94/F1 ram2e_ufm\/SLICE_94/C0 (280:362:445) + (280:362:445)) + (INTERCONNECT ram2e_ufm\/SLICE_94/F1 ram2e_ufm\/SLICE_116/B1 (1091:1256:1422) + (1091:1256:1422)) + (INTERCONNECT ram2e_ufm\/SLICE_39/F1 ram2e_ufm\/SLICE_39/C0 (277:356:436) + (277:356:436)) + (INTERCONNECT ram2e_ufm\/SLICE_39/F0 ram2e_ufm\/SLICE_39/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_39/Q0 ram2e_ufm\/SLICE_80/D0 (854:944:1035) + (854:944:1035)) + (INTERCONNECT ram2e_ufm\/SLICE_116/F1 ram2e_ufm\/SLICE_40/B0 (511:606:702) + (511:606:702)) + (INTERCONNECT ram2e_ufm\/SLICE_116/F1 ram2e_ufm\/SLICE_62/C1 (550:666:782) + (550:666:782)) + (INTERCONNECT ram2e_ufm\/SLICE_116/F1 ram2e_ufm\/SLICE_83/A1 (1113:1271:1429) + (1113:1271:1429)) + (INTERCONNECT ram2e_ufm\/SLICE_40/F0 ram2e_ufm\/SLICE_40/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_40/Q0 ram2e_ufm\/SLICE_51/A0 (1642:1834:2027) + (1642:1834:2027)) + (INTERCONNECT ram2e_ufm\/SLICE_40/Q0 ram2e_ufm\/SLICE_66/D0 (1759:1921:2083) + (1759:1921:2083)) + (INTERCONNECT ram2e_ufm\/SLICE_40/Q0 ram2e_ufm\/SLICE_130/A0 (743:868:993) + (743:868:993)) + (INTERCONNECT ram2e_ufm\/SLICE_41/F1 ram2e_ufm\/SLICE_41/D0 (520:573:626) + (520:573:626)) + (INTERCONNECT ram2e_ufm\/SLICE_101/F1 ram2e_ufm\/SLICE_41/B0 (781:910:1039) + (781:910:1039)) + (INTERCONNECT ram2e_ufm\/SLICE_101/F1 ram2e_ufm\/SLICE_87/B1 (1253:1415:1578) + (1253:1415:1578)) + (INTERCONNECT ram2e_ufm\/SLICE_101/F1 ram2e_ufm\/SLICE_101/A0 (733:854:976) + (733:854:976)) + (INTERCONNECT ram2e_ufm\/SLICE_41/F0 ram2e_ufm\/SLICE_41/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_41/Q0 ram2e_ufm\/SLICE_147/A1 (730:848:967) + (730:848:967)) + (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBDATO0 ram2e_ufm\/SLICE_42/C0 + (1531:1730:1930)(1531:1730:1930)) + (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBDATO0 ram2e_ufm\/SLICE_43/B0 + (1762:1974:2187)(1762:1974:2187)) + (INTERCONNECT ram2e_ufm\/SLICE_42/F0 ram2e_ufm\/SLICE_42/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_79/F1 ram2e_ufm\/SLICE_42/CE (876:972:1069) + (876:972:1069)) + (INTERCONNECT ram2e_ufm\/SLICE_42/Q0 ram2e_ufm\/SLICE_137/B0 (1670:1864:2058) + (1670:1864:2058)) + (INTERCONNECT ram2e_ufm\/SLICE_42/Q0 ram2e_ufm\/SLICE_147/C1 (993:1147:1302) + (993:1147:1302)) + (INTERCONNECT ram2e_ufm\/SLICE_42/F1 ram2e_ufm\/SLICE_84/C1 (849:1000:1152) + (849:1000:1152)) + (INTERCONNECT ram2e_ufm\/SLICE_42/F1 ram2e_ufm\/SLICE_87/A1 (1215:1374:1534) + (1215:1374:1534)) + (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBDATO1 ram2e_ufm\/SLICE_43/B1 + (1769:1982:2196)(1769:1982:2196)) + (INTERCONNECT ram2e_ufm\/SLICE_43/F1 ram2e_ufm\/SLICE_43/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_43/F0 ram2e_ufm\/SLICE_43/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_85/F0 ram2e_ufm\/SLICE_43/CE (900:1003:1107) + (900:1003:1107)) + (INTERCONNECT ram2e_ufm\/SLICE_85/F0 ram2e_ufm\/SLICE_43/CE (900:1003:1107) + (900:1003:1107)) + (INTERCONNECT ram2e_ufm\/SLICE_85/F0 ram2e_ufm\/SLICE_44/CE (542:602:662) + (542:602:662)) + (INTERCONNECT ram2e_ufm\/SLICE_85/F0 ram2e_ufm\/SLICE_44/CE (542:602:662) + (542:602:662)) + (INTERCONNECT ram2e_ufm\/SLICE_85/F0 ram2e_ufm\/SLICE_45/CE (900:1003:1107) + (900:1003:1107)) + (INTERCONNECT ram2e_ufm\/SLICE_85/F0 ram2e_ufm\/SLICE_45/CE (900:1003:1107) + (900:1003:1107)) + (INTERCONNECT ram2e_ufm\/SLICE_85/F0 ram2e_ufm\/SLICE_46/CE (900:1003:1107) + (900:1003:1107)) + (INTERCONNECT ram2e_ufm\/SLICE_85/F0 ram2e_ufm\/SLICE_46/CE (900:1003:1107) + (900:1003:1107)) + (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBDATO3 ram2e_ufm\/SLICE_44/B1 + (1686:1890:2095)(1686:1890:2095)) + (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBDATO2 ram2e_ufm\/SLICE_44/C0 + (1174:1343:1512)(1174:1343:1512)) + (INTERCONNECT ram2e_ufm\/SLICE_44/F1 ram2e_ufm\/SLICE_44/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_44/F0 ram2e_ufm\/SLICE_44/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBDATO5 ram2e_ufm\/SLICE_45/C1 + (1525:1724:1923)(1525:1724:1923)) + (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBDATO4 ram2e_ufm\/SLICE_45/C0 + (1538:1738:1939)(1538:1738:1939)) + (INTERCONNECT ram2e_ufm\/SLICE_45/F1 ram2e_ufm\/SLICE_45/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_45/F0 ram2e_ufm\/SLICE_45/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBDATO7 ram2e_ufm\/SLICE_46/C1 + (1525:1724:1923)(1525:1724:1923)) + (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBDATO6 ram2e_ufm\/SLICE_46/C0 + (1538:1738:1939)(1538:1738:1939)) + (INTERCONNECT ram2e_ufm\/SLICE_46/F1 ram2e_ufm\/SLICE_46/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_46/F0 ram2e_ufm\/SLICE_46/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_103/F0 ram2e_ufm\/SLICE_47/D1 (266:290:315) + (266:290:315)) + (INTERCONNECT ram2e_ufm\/SLICE_114/F0 ram2e_ufm\/SLICE_47/B1 (508:600:693) + (508:600:693)) + (INTERCONNECT ram2e_ufm\/SLICE_86/F0 ram2e_ufm\/SLICE_47/D0 (523:573:623) + (523:573:623)) + (INTERCONNECT ram2e_ufm\/SLICE_64/F0 ram2e_ufm\/SLICE_47/C0 (534:639:744) + (534:639:744)) + (INTERCONNECT ram2e_ufm\/SLICE_85/F1 ram2e_ufm\/SLICE_47/B0 (1156:1317:1479) + (1156:1317:1479)) + (INTERCONNECT ram2e_ufm\/SLICE_85/F1 ram2e_ufm\/SLICE_52/B1 (1161:1323:1485) + (1161:1323:1485)) + (INTERCONNECT ram2e_ufm\/SLICE_85/F1 ram2e_ufm\/SLICE_55/A0 (1129:1288:1448) + (1129:1288:1448)) + (INTERCONNECT ram2e_ufm\/SLICE_85/F1 ram2e_ufm\/SLICE_64/D1 (1278:1403:1528) + (1278:1403:1528)) + (INTERCONNECT ram2e_ufm\/SLICE_85/F1 ram2e_ufm\/SLICE_85/C0 (280:362:445) + (280:362:445)) + (INTERCONNECT ram2e_ufm\/SLICE_85/F1 ram2e_ufm\/SLICE_88/D0 (544:605:667) + (544:605:667)) + (INTERCONNECT ram2e_ufm\/SLICE_85/F1 ram2e_ufm\/SLICE_125/C0 (930:1079:1228) + (930:1079:1228)) + (INTERCONNECT ram2e_ufm\/SLICE_47/F1 ram2e_ufm\/SLICE_47/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_47/F0 ram2e_ufm\/SLICE_47/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_47/CE (1369:1503:1637) + (1369:1503:1637)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_47/CE (1369:1503:1637) + (1369:1503:1637)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_48/CE (1364:1497:1631) + (1364:1497:1631)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_48/CE (1364:1497:1631) + (1364:1497:1631)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_49/CE (1733:1898:2064) + (1733:1898:2064)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_49/CE (1733:1898:2064) + (1733:1898:2064)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_50/CE (1358:1491:1624) + (1358:1491:1624)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_50/CE (1358:1491:1624) + (1358:1491:1624)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_52/CE (1744:1910:2077) + (1744:1910:2077)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_52/CE (1744:1910:2077) + (1744:1910:2077)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_53/CE (1728:1893:2058) + (1728:1893:2058)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_53/CE (1728:1893:2058) + (1728:1893:2058)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_54/CE (1744:1910:2077) + (1744:1910:2077)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_54/CE (1744:1910:2077) + (1744:1910:2077)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_55/CE (2108:2306:2504) + (2108:2306:2504)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_55/CE (2108:2306:2504) + (2108:2306:2504)) + (INTERCONNECT ram2e_ufm\/SLICE_47/Q0 ram2e_ufm\/SLICE_52/B0 (767:891:1015) + (767:891:1015)) + (INTERCONNECT ram2e_ufm\/SLICE_47/Q0 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBADRI0in + (1272:1405:1538)(1272:1405:1538)) + (INTERCONNECT ram2e_ufm\/SLICE_47/Q1 ram2e_ufm\/SLICE_97/D0 (1297:1429:1561) + (1297:1429:1561)) + (INTERCONNECT ram2e_ufm\/SLICE_47/Q1 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBADRI1in + (1451:1590:1730)(1451:1590:1730)) + (INTERCONNECT ram2e_ufm\/SLICE_48/F1 ram2e_ufm\/SLICE_48/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_48/F0 ram2e_ufm\/SLICE_48/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_48/Q0 ram2e_ufm\/SLICE_53/C0 (536:647:758) + (536:647:758)) + (INTERCONNECT ram2e_ufm\/SLICE_48/Q0 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBADRI2in + (1235:1371:1508)(1235:1371:1508)) + (INTERCONNECT ram2e_ufm\/SLICE_48/Q1 ram2e_ufm\/SLICE_89/A0 (1432:1623:1815) + (1432:1623:1815)) + (INTERCONNECT ram2e_ufm\/SLICE_48/Q1 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBADRI3in + (1235:1371:1508)(1235:1371:1508)) + (INTERCONNECT ram2e_ufm\/SLICE_49/F1 ram2e_ufm\/SLICE_49/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_49/F0 ram2e_ufm\/SLICE_49/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_49/Q0 ram2e_ufm\/SLICE_145/B1 (1142:1299:1457) + (1142:1299:1457)) + (INTERCONNECT ram2e_ufm\/SLICE_49/Q0 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBADRI4in + (1708:1875:2042)(1708:1875:2042)) + (INTERCONNECT ram2e_ufm\/SLICE_49/Q1 ram2e_ufm\/SLICE_54/B1 (1142:1299:1457) + (1142:1299:1457)) + (INTERCONNECT ram2e_ufm\/SLICE_49/Q1 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBADRI5in + (1381:1513:1645)(1381:1513:1645)) + (INTERCONNECT ram2e_ufm\/SLICE_50/F1 ram2e_ufm\/SLICE_50/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_50/F0 ram2e_ufm\/SLICE_50/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_50/Q0 ram2e_ufm\/SLICE_90/D0 (536:594:652) + (536:594:652)) + (INTERCONNECT ram2e_ufm\/SLICE_50/Q0 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBADRI6in + (1708:1875:2042)(1708:1875:2042)) + (INTERCONNECT ram2e_ufm\/SLICE_50/Q1 ram2e_ufm\/SLICE_98/B0 (1105:1266:1427) + (1105:1266:1427)) + (INTERCONNECT ram2e_ufm\/SLICE_50/Q1 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBADRI7in + (1381:1513:1645)(1381:1513:1645)) + (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBACKO ram2e_ufm\/SLICE_51/D1 + (1166:1281:1396)(1166:1281:1396)) + (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBACKO ram2e_ufm\/SLICE_66/A1 + (1376:1556:1737)(1376:1556:1737)) + (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBACKO ram2e_ufm\/SLICE_66/C0 + (1504:1709:1914)(1504:1709:1914)) + (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBACKO ram2e_ufm\/SLICE_75/C0 + (1640:1837:2035)(1640:1837:2035)) + (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBACKO ram2e_ufm\/SLICE_110/C0 + (1640:1837:2035)(1640:1837:2035)) + (INTERCONNECT ram2e_ufm\/SLICE_108/F1 ram2e_ufm\/SLICE_51/B1 (768:889:1010) + (768:889:1010)) + (INTERCONNECT ram2e_ufm\/SLICE_108/F1 ram2e_ufm\/SLICE_108/C0 (280:362:445) + (280:362:445)) + (INTERCONNECT ram2e_ufm\/SLICE_51/F1 ram2e_ufm\/SLICE_51/B0 (508:600:693) + (508:600:693)) + (INTERCONNECT ram2e_ufm\/SLICE_51/F0 ram2e_ufm\/SLICE_51/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_66/F0 ram2e_ufm\/SLICE_51/CE (539:596:653) + (539:596:653)) + (INTERCONNECT ram2e_ufm\/SLICE_51/Q0 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBCYCIin + (1087:1195:1303)(1087:1195:1303)) + (INTERCONNECT ram2e_ufm\/SLICE_51/Q0 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBSTBIin + (1433:1580:1727)(1433:1580:1727)) + (INTERCONNECT ram2e_ufm\/SLICE_97/F0 ram2e_ufm\/SLICE_52/D1 (266:290:315) + (266:290:315)) + (INTERCONNECT ram2e_ufm\/SLICE_111/F1 ram2e_ufm\/SLICE_52/C1 (547:660:773) + (547:660:773)) + (INTERCONNECT ram2e_ufm\/SLICE_111/F1 ram2e_ufm\/SLICE_53/C1 (1645:1853:2061) + (1645:1853:2061)) + (INTERCONNECT ram2e_ufm\/SLICE_111/F1 ram2e_ufm\/SLICE_73/C1 (917:1062:1207) + (917:1062:1207)) + (INTERCONNECT ram2e_ufm\/SLICE_123/F0 ram2e_ufm\/SLICE_52/A1 (735:859:984) + (735:859:984)) + (INTERCONNECT ram2e_ufm\/SLICE_123/F0 ram2e_ufm\/SLICE_53/D1 (1226:1356:1486) + (1226:1356:1486)) + (INTERCONNECT ram2e_ufm\/SLICE_123/F0 ram2e_ufm\/SLICE_55/B0 (1211:1381:1552) + (1211:1381:1552)) + (INTERCONNECT ram2e_ufm\/SLICE_81/F1 ram2e_ufm\/SLICE_52/D0 (528:587:646) + (528:587:646)) + (INTERCONNECT ram2e_ufm\/SLICE_81/F1 ram2e_ufm\/SLICE_64/C0 (811:960:1109) + (811:960:1109)) + (INTERCONNECT ram2e_ufm\/SLICE_81/F1 ram2e_ufm\/SLICE_81/B0 (511:606:702) + (511:606:702)) + (INTERCONNECT ram2e_ufm\/SLICE_81/F1 ram2e_ufm\/SLICE_131/C0 (1539:1751:1963) + (1539:1751:1963)) + (INTERCONNECT ram2e_ufm\/SLICE_128/F1 ram2e_ufm\/SLICE_52/C0 (531:639:747) + (531:639:747)) + (INTERCONNECT ram2e_ufm\/SLICE_52/F1 ram2e_ufm\/SLICE_52/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_52/F0 ram2e_ufm\/SLICE_52/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_52/Q0 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBDATI0in + (974:1077:1181)(974:1077:1181)) + (INTERCONNECT ram2e_ufm\/SLICE_52/Q1 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBDATI1in + (906:1001:1097)(906:1001:1097)) + (INTERCONNECT ram2e_ufm\/SLICE_89/F1 ram2e_ufm\/SLICE_53/B1 (782:917:1052) + (782:917:1052)) + (INTERCONNECT ram2e_ufm\/SLICE_89/F1 ram2e_ufm\/SLICE_68/D1 (275:311:348) + (275:311:348)) + (INTERCONNECT ram2e_ufm\/SLICE_89/F1 ram2e_ufm\/SLICE_89/C0 (286:377:469) + (286:377:469)) + (INTERCONNECT ram2e_ufm\/SLICE_89/F1 ram2e_ufm\/SLICE_90/A0 (742:869:997) + (742:869:997)) + (INTERCONNECT ram2e_ufm\/SLICE_89/F1 ram2e_ufm\/SLICE_93/B0 (782:917:1052) + (782:917:1052)) + (INTERCONNECT ram2e_ufm\/SLICE_89/F1 ram2e_ufm\/SLICE_145/D1 (532:594:656) + (532:594:656)) + (INTERCONNECT ram2e_ufm\/SLICE_89/F0 ram2e_ufm\/SLICE_53/A1 (730:848:967) + (730:848:967)) + (INTERCONNECT ram2e_ufm\/SLICE_73/F1 ram2e_ufm\/SLICE_53/B0 (775:903:1032) + (775:903:1032)) + (INTERCONNECT ram2e_ufm\/SLICE_73/F1 ram2e_ufm\/SLICE_54/D1 (269:296:324) + (269:296:324)) + (INTERCONNECT ram2e_ufm\/SLICE_93/F0 ram2e_ufm\/SLICE_53/A0 (733:854:976) + (733:854:976)) + (INTERCONNECT ram2e_ufm\/SLICE_93/F0 ram2e_ufm\/SLICE_54/C1 (539:653:767) + (539:653:767)) + (INTERCONNECT ram2e_ufm\/SLICE_93/F0 ram2e_ufm\/SLICE_54/C0 (539:653:767) + (539:653:767)) + (INTERCONNECT ram2e_ufm\/SLICE_53/F1 ram2e_ufm\/SLICE_53/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_53/F0 ram2e_ufm\/SLICE_53/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_53/Q0 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBDATI2in + (1081:1188:1296)(1081:1188:1296)) + (INTERCONNECT ram2e_ufm\/SLICE_53/Q1 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBDATI3in + (1011:1111:1211)(1011:1111:1211)) + (INTERCONNECT ram2e_ufm\/SLICE_125/F0 ram2e_ufm\/SLICE_54/D0 (523:573:623) + (523:573:623)) + (INTERCONNECT ram2e_ufm\/SLICE_81/F0 ram2e_ufm\/SLICE_54/B0 (1034:1189:1345) + (1034:1189:1345)) + (INTERCONNECT ram2e_ufm\/SLICE_81/F0 ram2e_ufm\/SLICE_55/D0 (269:296:324) + (269:296:324)) + (INTERCONNECT ram2e_ufm\/SLICE_145/F1 ram2e_ufm\/SLICE_54/A0 (730:848:967) + (730:848:967)) + (INTERCONNECT ram2e_ufm\/SLICE_54/F1 ram2e_ufm\/SLICE_54/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_54/F0 ram2e_ufm\/SLICE_54/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_54/Q0 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBDATI4in + (906:1001:1097)(906:1001:1097)) + (INTERCONNECT ram2e_ufm\/SLICE_54/Q1 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBDATI5in + (1233:1363:1494)(1233:1363:1494)) + (INTERCONNECT ram2e_ufm\/SLICE_88/F0 ram2e_ufm\/SLICE_55/D1 (1220:1340:1460) + (1220:1340:1460)) + (INTERCONNECT ram2e_ufm\/SLICE_70/F0 ram2e_ufm\/SLICE_55/C1 (534:639:744) + (534:639:744)) + (INTERCONNECT ram2e_ufm\/SLICE_131/F0 ram2e_ufm\/SLICE_55/B1 (1206:1370:1535) + (1206:1370:1535)) + (INTERCONNECT ram2e_ufm\/SLICE_98/F0 ram2e_ufm\/SLICE_55/A1 (730:848:967) + (730:848:967)) + (INTERCONNECT ram2e_ufm\/SLICE_90/F0 ram2e_ufm\/SLICE_55/C0 (531:639:747) + (531:639:747)) + (INTERCONNECT ram2e_ufm\/SLICE_55/F1 ram2e_ufm\/SLICE_55/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_55/F0 ram2e_ufm\/SLICE_55/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_55/Q0 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBDATI6in + (647:715:784)(647:715:784)) + (INTERCONNECT ram2e_ufm\/SLICE_55/Q1 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBDATI7in (1337:1468:1599)(1337:1468:1599)) - (INTERCONNECT SLICE_96/F1 SLICE_47/A1 (481:577:673)(481:577:673)) - (INTERCONNECT SLICE_96/F1 SLICE_69/B1 (767:894:1021)(767:894:1021)) - (INTERCONNECT SLICE_96/F1 SLICE_96/B0 (513:611:710)(513:611:710)) - (INTERCONNECT SLICE_47/F1 DQMH_MGIOL/OPOS (1549:1684:1820)(1549:1684:1820)) - (INTERCONNECT SLICE_80/F1 SLICE_48/D0 (857:950:1044)(857:950:1044)) - (INTERCONNECT SLICE_80/F1 SLICE_80/C0 (280:362:445)(280:362:445)) - (INTERCONNECT SLICE_76/F1 SLICE_48/C0 (538:655:772)(538:655:772)) - (INTERCONNECT SLICE_76/F1 SLICE_63/A1 (740:864:989)(740:864:989)) - (INTERCONNECT SLICE_76/F1 SLICE_76/C0 (284:372:461)(284:372:461)) - (INTERCONNECT SLICE_76/F1 SLICE_112/C1 (807:955:1104)(807:955:1104)) - (INTERCONNECT SLICE_48/F1 SLICE_48/A0 (733:854:976)(733:854:976)) - (INTERCONNECT SLICE_48/F1 Vout\[7\]_MGIOL/CE (1635:1790:1945)(1635:1790:1945)) - (INTERCONNECT SLICE_48/F1 Vout\[6\]_MGIOL/CE (1635:1790:1945)(1635:1790:1945)) - (INTERCONNECT SLICE_48/F1 Vout\[5\]_MGIOL/CE (2103:2286:2469)(2103:2286:2469)) - (INTERCONNECT SLICE_48/F1 Vout\[4\]_MGIOL/CE (2103:2286:2469)(2103:2286:2469)) - (INTERCONNECT SLICE_48/F1 Vout\[3\]_MGIOL/CE (1635:1790:1945)(1635:1790:1945)) - (INTERCONNECT SLICE_48/F1 Vout\[2\]_MGIOL/CE (2103:2286:2469)(2103:2286:2469)) - (INTERCONNECT SLICE_48/F1 Vout\[1\]_MGIOL/CE (1635:1790:1945)(1635:1790:1945)) - (INTERCONNECT SLICE_48/F1 Vout\[0\]_MGIOL/CE (2103:2286:2469)(2103:2286:2469)) - (INTERCONNECT SLICE_48/F0 SLICE_78/B0 (762:883:1004)(762:883:1004)) - (INTERCONNECT SLICE_93/F0 SLICE_49/D1 (523:573:623)(523:573:623)) - (INTERCONNECT SLICE_49/F1 SLICE_49/C0 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_49/F0 SLICE_81/C0 (531:639:747)(531:639:747)) - (INTERCONNECT SLICE_50/F1 SLICE_50/D0 (520:573:626)(520:573:626)) - (INTERCONNECT SLICE_89/F1 SLICE_50/B0 (1217:1388:1559)(1217:1388:1559)) - (INTERCONNECT SLICE_89/F1 SLICE_85/C1 (282:367:453)(282:367:453)) - (INTERCONNECT SLICE_89/F1 SLICE_89/A0 (735:859:984)(735:859:984)) - (INTERCONNECT SLICE_89/F1 SLICE_105/B1 (1951:2185:2420)(1951:2185:2420)) - (INTERCONNECT SLICE_89/F1 SLICE_105/B0 (1951:2185:2420)(1951:2185:2420)) - (INTERCONNECT SLICE_106/F0 SLICE_51/C1 (1231:1406:1581)(1231:1406:1581)) - (INTERCONNECT SLICE_51/F1 SLICE_51/C0 (531:639:747)(531:639:747)) - (INTERCONNECT SLICE_51/F0 CKE_MGIOL/OPOS (2313:2510:2707)(2313:2510:2707)) - (INTERCONNECT SLICE_72/F0 SLICE_52/C1 (541:658:775)(541:658:775)) - (INTERCONNECT SLICE_72/F0 SLICE_52/C0 (541:658:775)(541:658:775)) - (INTERCONNECT SLICE_72/F0 SLICE_72/A1 (735:859:984)(735:859:984)) - (INTERCONNECT SLICE_72/F0 SLICE_76/A0 (1435:1626:1818)(1435:1626:1818)) - (INTERCONNECT SLICE_57/F1 SLICE_52/D0 (266:290:315)(266:290:315)) - (INTERCONNECT SLICE_52/F1 SLICE_52/B0 (508:600:693)(508:600:693)) - (INTERCONNECT SLICE_53/F1 SLICE_53/C0 (280:362:445)(280:362:445)) - (INTERCONNECT SLICE_53/F1 SLICE_110/A0 (479:572:665)(479:572:665)) - (INTERCONNECT SLICE_118/F0 SLICE_54/D0 (795:880:965)(795:880:965)) - (INTERCONNECT SLICE_118/F0 SLICE_93/D1 (795:880:965)(795:880:965)) - (INTERCONNECT SLICE_54/F1 SLICE_54/B0 (508:600:693)(508:600:693)) - (INTERCONNECT SLICE_54/F0 SLICE_81/A0 (476:566:656)(476:566:656)) - (INTERCONNECT SLICE_63/F0 SLICE_55/C1 (536:650:764)(536:650:764)) - (INTERCONNECT SLICE_63/F0 SLICE_63/C1 (282:367:453)(282:367:453)) - (INTERCONNECT SLICE_63/F0 SLICE_76/D0 (528:584:640)(528:584:640)) - (INTERCONNECT SLICE_55/F0 SLICE_55/B1 (765:889:1013)(765:889:1013)) - (INTERCONNECT SLICE_55/F0 SLICE_71/C1 (882:1034:1187)(882:1034:1187)) - (INTERCONNECT SLICE_55/F0 SLICE_78/C1 (882:1034:1187)(882:1034:1187)) - (INTERCONNECT SLICE_55/F1 SLICE_83/C0 (800:939:1079)(800:939:1079)) - (INTERCONNECT SLICE_56/F1 SLICE_56/D0 (523:579:635)(523:579:635)) - (INTERCONNECT SLICE_56/F1 SLICE_85/A1 (1552:1749:1947)(1552:1749:1947)) - (INTERCONNECT SLICE_56/F1 SLICE_88/D0 (1712:1876:2040)(1712:1876:2040)) - (INTERCONNECT SLICE_56/F1 SLICE_92/A0 (1916:2145:2374)(1916:2145:2374)) - (INTERCONNECT SLICE_56/F1 SLICE_109/D1 (2414:2646:2879)(2414:2646:2879)) - (INTERCONNECT SLICE_56/F1 SLICE_109/D0 (2414:2646:2879)(2414:2646:2879)) - (INTERCONNECT SLICE_73/F0 SLICE_58/D1 (988:1090:1193)(988:1090:1193)) - (INTERCONNECT SLICE_73/F0 SLICE_68/D1 (988:1090:1193)(988:1090:1193)) - (INTERCONNECT SLICE_73/F0 SLICE_70/D1 (988:1090:1193)(988:1090:1193)) - (INTERCONNECT SLICE_73/F0 SLICE_73/B1 (765:889:1013)(765:889:1013)) - (INTERCONNECT SLICE_73/F0 SLICE_84/B1 (1927:2164:2402)(1927:2164:2402)) - (INTERCONNECT SLICE_73/F0 SLICE_89/C0 (1733:1954:2175)(1733:1954:2175)) - (INTERCONNECT SLICE_73/F0 SLICE_114/B1 (1220:1389:1559)(1220:1389:1559)) - (INTERCONNECT SLICE_73/F0 SLICE_114/B0 (1220:1389:1559)(1220:1389:1559)) - (INTERCONNECT SLICE_70/F0 SLICE_58/C1 (534:645:756)(534:645:756)) - (INTERCONNECT SLICE_70/F0 SLICE_70/A1 (479:572:665)(479:572:665)) - (INTERCONNECT SLICE_58/F0 SLICE_58/B1 (762:883:1004)(762:883:1004)) - (INTERCONNECT SLICE_59/F0 SLICE_59/D1 (520:573:626)(520:573:626)) - (INTERCONNECT SLICE_59/F1 DQML_MGIOL/OPOS (1876:2046:2217)(1876:2046:2217)) - (INTERCONNECT SLICE_88/F1 SLICE_60/D1 (537:603:670)(537:603:670)) - (INTERCONNECT SLICE_88/F1 SLICE_88/C0 (284:372:461)(284:372:461)) - (INTERCONNECT SLICE_88/F1 SLICE_105/A1 (737:864:992)(737:864:992)) - (INTERCONNECT SLICE_88/F1 SLICE_105/D0 (527:589:651)(527:589:651)) - (INTERCONNECT SLICE_60/F0 SLICE_60/C1 (531:639:747)(531:639:747)) - (INTERCONNECT SLICE_64/F1 SLICE_60/B1 (771:904:1037)(771:904:1037)) - (INTERCONNECT SLICE_64/F1 SLICE_64/C0 (280:362:445)(280:362:445)) - (INTERCONNECT SLICE_64/F1 SLICE_95/B1 (1037:1204:1372)(1037:1204:1372)) - (INTERCONNECT SLICE_64/F1 SLICE_97/D0 (529:594:659)(529:594:659)) - (INTERCONNECT SLICE_61/F0 SLICE_61/C1 (282:367:453)(282:367:453)) - (INTERCONNECT SLICE_61/F0 SLICE_88/B0 (767:894:1021)(767:894:1021)) - (INTERCONNECT SLICE_61/F0 SLICE_114/A1 (738:859:981)(738:859:981)) - (INTERCONNECT SLICE_61/F1 SLICE_109/C0 (868:1015:1163)(868:1015:1163)) - (INTERCONNECT SLICE_62/F0 SLICE_62/B1 (762:883:1004)(762:883:1004)) - (INTERCONNECT SLICE_64/F0 SLICE_114/C0 (534:639:744)(534:639:744)) - (INTERCONNECT SLICE_65/F1 SLICE_65/B0 (765:889:1013)(765:889:1013)) - (INTERCONNECT SLICE_65/F1 SLICE_84/A1 (736:854:973)(736:854:973)) - (INTERCONNECT SLICE_65/F0 SLICE_109/B1 (1099:1259:1420)(1099:1259:1420)) - (INTERCONNECT SLICE_66/F0 SLICE_95/A0 (730:848:967)(730:848:967)) - (INTERCONNECT SLICE_76/F0 SLICE_69/D1 (528:587:646)(528:587:646)) - (INTERCONNECT SLICE_76/F0 SLICE_78/D0 (269:296:324)(269:296:324)) - (INTERCONNECT SLICE_76/F0 SLICE_115/A0 (1004:1163:1322)(1004:1163:1322)) - (INTERCONNECT SLICE_69/F0 SLICE_69/C1 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_69/F1 RA\[10\]_MGIOL/OPOS (1527:1661:1795)(1527:1661:1795)) - (INTERCONNECT SLICE_75/F0 SLICE_71/D1 (536:594:652)(536:594:652)) - (INTERCONNECT SLICE_75/F0 SLICE_78/C0 (547:660:773)(547:660:773)) - (INTERCONNECT SLICE_71/F1 SLICE_115/B0 (772:897:1023)(772:897:1023)) - (INTERCONNECT SLICE_119/F0 SLICE_72/D1 (1220:1340:1460)(1220:1340:1460)) - (INTERCONNECT SLICE_116/F1 SLICE_73/D1 (863:956:1049)(863:956:1049)) - (INTERCONNECT SLICE_116/F1 SLICE_92/B1 (778:904:1030)(778:904:1030)) - (INTERCONNECT SLICE_73/F1 SLICE_85/A0 (740:863:986)(740:863:986)) - (INTERCONNECT SLICE_75/F1 SLICE_75/C0 (282:367:453)(282:367:453)) - (INTERCONNECT SLICE_75/F1 SLICE_76/B0 (777:908:1040)(777:908:1040)) - (INTERCONNECT SLICE_75/F1 SLICE_83/B0 (513:611:710)(513:611:710)) - (INTERCONNECT SLICE_81/F0 SLICE_77/D0 (523:573:623)(523:573:623)) - (INTERCONNECT SLICE_94/F0 SLICE_77/B0 (1099:1259:1420)(1099:1259:1420)) - (INTERCONNECT SLICE_82/F0 SLICE_77/A0 (733:848:964)(733:848:964)) - (INTERCONNECT SLICE_78/F1 SLICE_78/A0 (730:848:967)(730:848:967)) - (INTERCONNECT SLICE_78/F0 nCAS_MGIOL/OPOS (1986:2148:2310)(1986:2148:2310)) - (INTERCONNECT SLICE_79/F1 SLICE_79/C0 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_83/F0 SLICE_79/A0 (1218:1375:1532)(1218:1375:1532)) - (INTERCONNECT SLICE_83/F0 SLICE_80/D0 (1008:1099:1191)(1008:1099:1191)) - (INTERCONNECT SLICE_79/F0 nCS_MGIOL/OPOS (1854:2023:2192)(1854:2023:2192)) - (INTERCONNECT SLICE_80/F0 nRAS_MGIOL/OPOS (1527:1661:1795)(1527:1661:1795)) - (INTERCONNECT SLICE_81/F1 SLICE_81/B0 (762:883:1004)(762:883:1004)) - (INTERCONNECT SLICE_91/F0 SLICE_82/D0 (266:290:315)(266:290:315)) - (INTERCONNECT SLICE_87/F0 SLICE_82/C0 (531:639:747)(531:639:747)) - (INTERCONNECT SLICE_112/F1 SLICE_83/D0 (523:573:623)(523:573:623)) - (INTERCONNECT SLICE_83/F1 SLICE_83/A0 (730:848:967)(730:848:967)) - (INTERCONNECT SLICE_84/F1 SLICE_84/C0 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_108/F1 SLICE_85/B1 (762:883:1004)(762:883:1004)) - (INTERCONNECT SLICE_92/F0 SLICE_85/D0 (530:587:645)(530:587:645)) - (INTERCONNECT SLICE_89/F0 SLICE_85/C0 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_85/F1 SLICE_85/B0 (508:600:693)(508:600:693)) - (INTERCONNECT SLICE_105/F1 SLICE_86/B0 (765:883:1001)(765:883:1001)) - (INTERCONNECT SLICE_98/F0 SLICE_87/D1 (530:587:645)(530:587:645)) - (INTERCONNECT SLICE_87/F1 SLICE_87/C0 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_90/F1 SLICE_90/B0 (508:600:693)(508:600:693)) - (INTERCONNECT SLICE_99/F0 SLICE_91/C1 (534:639:744)(534:639:744)) - (INTERCONNECT SLICE_91/F1 SLICE_91/C0 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_92/F1 SLICE_92/B0 (762:883:1004)(762:883:1004)) - (INTERCONNECT SLICE_93/F1 SLICE_93/B0 (508:600:693)(508:600:693)) - (INTERCONNECT SLICE_94/F1 SLICE_94/C0 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_95/F1 SLICE_95/D0 (520:573:626)(520:573:626)) - (INTERCONNECT SLICE_96/F0 RA\[8\]_MGIOL/OPOS (1445:1584:1723)(1445:1584:1723)) - (INTERCONNECT SLICE_97/F1 SLICE_97/C0 (280:362:445)(280:362:445)) - (INTERCONNECT SLICE_97/F1 SLICE_116/D0 (967:1066:1166)(967:1066:1166)) - (INTERCONNECT SLICE_118/F1 SLICE_98/D0 (269:296:324)(269:296:324)) - (INTERCONNECT SLICE_118/F1 SLICE_99/A0 (733:854:976)(733:854:976)) - (INTERCONNECT SLICE_101/F1 BA\[1\]_MGIOL/LSR (2375:2573:2771)(2375:2573:2771)) - (INTERCONNECT SLICE_101/F1 BA\[0\]_MGIOL/LSR (2739:2968:3198)(2739:2968:3198)) - (INTERCONNECT SLICE_102/F0 BA\[0\]_MGIOL/OPOS (2322:2519:2716)(2322:2519:2716)) - (INTERCONNECT SLICE_103/F1 RA\[11\]_MGIOL/OPOS (2313:2510:2707)(2313:2510:2707)) - (INTERCONNECT SLICE_107/F0 BA\[1\]_MGIOL/OPOS (2432:2620:2809)(2432:2620:2809)) - (INTERCONNECT SLICE_110/F1 RA\[9\]_MGIOL/OPOS (1973:2133:2294)(1973:2133:2294)) - (INTERCONNECT Ain\[5\]_I/PADDI SLICE_111/B1 (2263:2489:2715)(2263:2489:2715)) - (INTERCONNECT Ain\[4\]_I/PADDI SLICE_111/C0 (1705:1883:2061)(1705:1883:2061)) - (INTERCONNECT SLICE_111/F0 RA\[4\]_MGIOL/OPOS (1445:1584:1723)(1445:1584:1723)) - (INTERCONNECT SLICE_111/F1 RA\[5\]_MGIOL/OPOS (1337:1468:1599)(1337:1468:1599)) - (INTERCONNECT Ain\[6\]_I/PADDI SLICE_112/B0 (1936:2127:2318)(1936:2127:2318)) - (INTERCONNECT SLICE_112/F0 RA\[6\]_MGIOL/OPOS (1445:1584:1723)(1445:1584:1723)) - (INTERCONNECT Ain\[2\]_I/PADDI SLICE_113/C1 (2923:3187:3452)(2923:3187:3452)) - (INTERCONNECT Ain\[7\]_I/PADDI SLICE_113/C0 (2477:2715:2953)(2477:2715:2953)) - (INTERCONNECT SLICE_113/F0 RA\[7\]_MGIOL/OPOS (1702:1868:2035)(1702:1868:2035)) - (INTERCONNECT SLICE_113/F1 RA\[2\]_MGIOL/OPOS (1375:1506:1638)(1375:1506:1638)) - (INTERCONNECT nWE80_I/PADDI SLICE_115/C1 (2075:2285:2495)(2075:2285:2495)) - (INTERCONNECT nWE80_I/PADDI SLICE_115/C0 (2075:2285:2495)(2075:2285:2495)) - (INTERCONNECT SLICE_115/F0 nRWE_MGIOL/OPOS (2313:2510:2707)(2313:2510:2707)) - (INTERCONNECT SLICE_115/F1 RD\[0\]_I/PADDT (1600:1744:1888)(1600:1744:1888)) - (INTERCONNECT SLICE_115/F1 RD\[7\]_I/PADDT (2390:2611:2833)(2390:2611:2833)) - (INTERCONNECT SLICE_115/F1 RD\[6\]_I/PADDT (2390:2611:2833)(2390:2611:2833)) - (INTERCONNECT SLICE_115/F1 RD\[5\]_I/PADDT (2390:2611:2833)(2390:2611:2833)) - (INTERCONNECT SLICE_115/F1 RD\[4\]_I/PADDT (2390:2611:2833)(2390:2611:2833)) - (INTERCONNECT SLICE_115/F1 RD\[3\]_I/PADDT (1600:1744:1888)(1600:1744:1888)) - (INTERCONNECT SLICE_115/F1 RD\[2\]_I/PADDT (1600:1744:1888)(1600:1744:1888)) - (INTERCONNECT SLICE_115/F1 RD\[1\]_I/PADDT (1600:1744:1888)(1600:1744:1888)) - (INTERCONNECT SLICE_117/F0 LED_I/PADDO (1124:1239:1355)(1124:1239:1355)) + (INTERCONNECT ram2e_ufm\/SLICE_56/F1 ram2e_ufm\/SLICE_56/B0 (762:883:1004) + (762:883:1004)) + (INTERCONNECT ram2e_ufm\/SLICE_56/F0 ram2e_ufm\/SLICE_56/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_56/Q0 ram2e_ufm\/SLICE_108/D0 (530:587:645) + (530:587:645)) + (INTERCONNECT ram2e_ufm\/SLICE_57/F0 ram2e_ufm\/SLICE_57/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_69/F0 ram2e_ufm\/SLICE_57/LSR (813:907:1002) + (813:907:1002)) + (INTERCONNECT ram2e_ufm\/SLICE_69/F0 ram2e_ufm\/SLICE_69/B1 (767:894:1021) + (767:894:1021)) + (INTERCONNECT ram2e_ufm\/SLICE_69/F0 ram2e_ufm\/SLICE_105/C0 (282:367:453) + (282:367:453)) + (INTERCONNECT ram2e_ufm\/SLICE_57/Q0 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBRSTIin + (1938:2122:2306)(1938:2122:2306)) + (INTERCONNECT ram2e_ufm\/SLICE_109/F1 ram2e_ufm\/SLICE_58/D1 (544:604:664) + (544:604:664)) + (INTERCONNECT ram2e_ufm\/SLICE_109/F1 ram2e_ufm\/SLICE_58/D0 (544:604:664) + (544:604:664)) + (INTERCONNECT ram2e_ufm\/SLICE_109/F1 ram2e_ufm\/SLICE_103/A0 (738:862:987) + (738:862:987)) + (INTERCONNECT ram2e_ufm\/SLICE_109/F1 ram2e_ufm\/SLICE_109/D0 (544:604:664) + (544:604:664)) + (INTERCONNECT ram2e_ufm\/SLICE_109/F1 ram2e_ufm\/SLICE_114/C0 (539:653:767) + (539:653:767)) + (INTERCONNECT ram2e_ufm\/SLICE_109/F0 ram2e_ufm\/SLICE_58/C1 (531:639:747) + (531:639:747)) + (INTERCONNECT ram2e_ufm\/SLICE_58/F1 ram2e_ufm\/SLICE_58/C0 (277:356:436) + (277:356:436)) + (INTERCONNECT ram2e_ufm\/SLICE_126/F1 ram2e_ufm\/SLICE_58/B0 (508:600:693) + (508:600:693)) + (INTERCONNECT ram2e_ufm\/SLICE_58/F0 ram2e_ufm\/SLICE_58/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_130/F0 ram2e_ufm\/SLICE_58/CE (2142:2337:2532) + (2142:2337:2532)) + (INTERCONNECT ram2e_ufm\/SLICE_58/Q0 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBWEIin + (1081:1188:1296)(1081:1188:1296)) + (INTERCONNECT ram2e_ufm\/SUM0_i_m3_0\/SLICE_59/OFX0 ram2e_ufm\/SLICE_133/D0 + (520:573:626)(520:573:626)) + (INTERCONNECT ram2e_ufm\/SLICE_94/F0 + ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60/D1 (530:587:645)(530:587:645)) + (INTERCONNECT ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60/OFX0 + ram2e_ufm\/SLICE_82/D0 (1220:1340:1460)(1220:1340:1460)) + (INTERCONNECT ram2e_ufm\/SLICE_102/F1 ram2e_ufm\/CKE_7\/SLICE_61/C1 (536:650:764) + (536:650:764)) + (INTERCONNECT ram2e_ufm\/SLICE_102/F1 ram2e_ufm\/SLICE_91/A1 (481:577:673) + (481:577:673)) + (INTERCONNECT ram2e_ufm\/SLICE_102/F1 ram2e_ufm\/SLICE_102/D0 (525:584:643) + (525:584:643)) + (INTERCONNECT ram2e_ufm\/SLICE_102/F0 ram2e_ufm\/CKE_7\/SLICE_61/M0 (485:526:568) + (485:526:568)) + (INTERCONNECT ram2e_ufm\/SLICE_62/F0 ram2e_ufm\/SLICE_84/D0 (530:587:645) + (530:587:645)) + (INTERCONNECT ram2e_ufm\/SLICE_65/F0 ram2e_ufm\/SLICE_63/C1 (534:639:744) + (534:639:744)) + (INTERCONNECT ram2e_ufm\/SLICE_133/F0 ram2e_ufm\/SLICE_63/B1 (762:883:1004) + (762:883:1004)) + (INTERCONNECT ram2e_ufm\/SLICE_84/F0 ram2e_ufm\/SLICE_63/C0 (531:639:747) + (531:639:747)) + (INTERCONNECT ram2e_ufm\/SLICE_63/F1 ram2e_ufm\/SLICE_63/B0 (762:883:1004) + (762:883:1004)) + (INTERCONNECT ram2e_ufm\/SLICE_79/F0 ram2e_ufm\/SLICE_63/A0 (1225:1391:1557) + (1225:1391:1557)) + (INTERCONNECT ram2e_ufm\/SLICE_79/F0 ram2e_ufm\/SLICE_66/A0 (1006:1165:1324) + (1006:1165:1324)) + (INTERCONNECT ram2e_ufm\/SLICE_79/F0 ram2e_ufm\/SLICE_79/C1 (284:372:461) + (284:372:461)) + (INTERCONNECT ram2e_ufm\/SLICE_79/F0 ram2e_ufm\/SLICE_84/C0 (1026:1181:1337) + (1026:1181:1337)) + (INTERCONNECT ram2e_ufm\/SLICE_79/F0 ram2e_ufm\/SLICE_85/D0 (527:589:651) + (527:589:651)) + (INTERCONNECT ram2e_ufm\/SLICE_64/F1 ram2e_ufm\/SLICE_64/A0 (730:848:967) + (730:848:967)) + (INTERCONNECT ram2e_ufm\/SLICE_100/F0 ram2e_ufm\/SLICE_65/D0 (523:573:623) + (523:573:623)) + (INTERCONNECT ram2e_ufm\/SLICE_65/F1 ram2e_ufm\/SLICE_65/C0 (277:356:436) + (277:356:436)) + (INTERCONNECT ram2e_ufm\/SLICE_108/F0 ram2e_ufm\/SLICE_66/C1 (868:1015:1163) + (868:1015:1163)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F1 ram2e_ufm\/SLICE_66/B1 (1042:1201:1360) + (1042:1201:1360)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F1 ram2e_ufm\/SLICE_70/A1 (1750:1970:2191) + (1750:1970:2191)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F1 ram2e_ufm\/SLICE_80/C0 (282:367:453) + (282:367:453)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F1 ram2e_ufm\/SLICE_81/A1 (2125:2378:2631) + (2125:2378:2631)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F1 ram2e_ufm\/SLICE_85/A1 (1380:1568:1757) + (1380:1568:1757)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F1 ram2e_ufm\/SLICE_89/A1 (2489:2773:3058) + (2489:2773:3058)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F1 ram2e_ufm\/SLICE_98/C1 (1926:2168:2411) + (1926:2168:2411)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F1 ram2e_ufm\/SLICE_130/B0 (1249:1414:1579) + (1249:1414:1579)) + (INTERCONNECT ram2e_ufm\/SLICE_66/F1 ram2e_ufm\/SLICE_66/B0 (762:883:1004) + (762:883:1004)) + (INTERCONNECT ram2e_ufm\/SLICE_67/F1 ram2e_ufm\/SLICE_67/B0 (508:600:693) + (508:600:693)) + (INTERCONNECT ram2e_ufm\/SLICE_67/F0 ram2e_ufm\/SLICE_91/A0 (999:1149:1299) + (999:1149:1299)) + (INTERCONNECT ram2e_ufm\/SLICE_68/F0 ram2e_ufm\/SLICE_68/A1 (730:848:967) + (730:848:967)) + (INTERCONNECT ram2e_ufm\/SLICE_68/F1 ram2e_ufm\/SLICE_86/A0 (740:863:986) + (740:863:986)) + (INTERCONNECT ram2e_ufm\/SLICE_122/F0 ram2e_ufm\/SLICE_69/A1 (730:848:967) + (730:848:967)) + (INTERCONNECT ram2e_ufm\/SLICE_75/F1 ram2e_ufm\/SLICE_70/D0 (528:584:640) + (528:584:640)) + (INTERCONNECT ram2e_ufm\/SLICE_75/F1 ram2e_ufm\/SLICE_75/B0 (513:611:710) + (513:611:710)) + (INTERCONNECT ram2e_ufm\/SLICE_75/F1 ram2e_ufm\/SLICE_88/A0 (738:859:981) + (738:859:981)) + (INTERCONNECT ram2e_ufm\/SLICE_70/F1 ram2e_ufm\/SLICE_70/C0 (282:367:453) + (282:367:453)) + (INTERCONNECT ram2e_ufm\/SLICE_70/F1 ram2e_ufm\/SLICE_79/B1 (777:908:1040) + (777:908:1040)) + (INTERCONNECT ram2e_ufm\/SLICE_70/F1 ram2e_ufm\/SLICE_111/C1 (282:367:453) + (282:367:453)) + (INTERCONNECT ram2e_ufm\/SLICE_71/F0 ram2e_ufm\/SLICE_71/C1 (286:377:469) + (286:377:469)) + (INTERCONNECT ram2e_ufm\/SLICE_71/F0 ram2e_ufm\/SLICE_91/D0 (973:1081:1190) + (973:1081:1190)) + (INTERCONNECT ram2e_ufm\/SLICE_71/F0 ram2e_ufm\/SLICE_124/C1 (540:660:780) + (540:660:780)) + (INTERCONNECT ram2e_ufm\/SLICE_71/F0 ram2e_ufm\/SLICE_124/D0 (529:594:659) + (529:594:659)) + (INTERCONNECT ram2e_ufm\/SLICE_71/F0 ram2e_ufm\/SLICE_146/B1 (774:904:1034) + (774:904:1034)) + (INTERCONNECT ram2e_ufm\/SLICE_72/F0 ram2e_ufm\/SLICE_72/C1 (534:645:756) + (534:645:756)) + (INTERCONNECT ram2e_ufm\/SLICE_72/F0 ram2e_ufm\/SLICE_129/A1 (733:854:976) + (733:854:976)) + (INTERCONNECT ram2e_ufm\/SLICE_72/F1 BA\[0\]_MGIOL/OPOS (2268:2466:2665) + (2268:2466:2665)) + (INTERCONNECT ram2e_ufm\/SLICE_103/F1 ram2e_ufm\/SLICE_73/D1 (862:960:1059) + (862:960:1059)) + (INTERCONNECT ram2e_ufm\/SLICE_103/F1 ram2e_ufm\/SLICE_103/C0 (282:367:453) + (282:367:453)) + (INTERCONNECT ram2e_ufm\/SLICE_103/F1 ram2e_ufm\/SLICE_109/B0 (777:908:1040) + (777:908:1040)) + (INTERCONNECT ram2e_ufm\/SLICE_73/F0 ram2e_ufm\/SLICE_73/B1 (767:894:1021) + (767:894:1021)) + (INTERCONNECT ram2e_ufm\/SLICE_73/F0 ram2e_ufm\/SLICE_97/D1 (525:584:643) + (525:584:643)) + (INTERCONNECT ram2e_ufm\/SLICE_73/F0 ram2e_ufm\/SLICE_145/C1 (536:650:764) + (536:650:764)) + (INTERCONNECT ram2e_ufm\/SLICE_98/F1 ram2e_ufm\/SLICE_73/A1 (1013:1167:1321) + (1013:1167:1321)) + (INTERCONNECT ram2e_ufm\/SLICE_98/F1 ram2e_ufm\/SLICE_97/B0 (1045:1201:1358) + (1045:1201:1358)) + (INTERCONNECT ram2e_ufm\/SLICE_98/F1 ram2e_ufm\/SLICE_98/C0 (280:362:445) + (280:362:445)) + (INTERCONNECT ram2e_ufm\/SLICE_98/F1 ram2e_ufm\/SLICE_99/A0 (1383:1569:1755) + (1383:1569:1755)) + (INTERCONNECT ram2e_ufm\/SLICE_98/F1 ram2e_ufm\/SLICE_126/C0 (1548:1755:1962) + (1548:1755:1962)) + (INTERCONNECT ram2e_ufm\/SLICE_135/F0 ram2e_ufm\/SLICE_74/B1 (765:883:1001) + (765:883:1001)) + (INTERCONNECT ram2e_ufm\/SLICE_75/F0 ram2e_ufm\/SLICE_79/A1 (733:848:964) + (733:848:964)) + (INTERCONNECT ram2e_ufm\/SLICE_76/F1 ram2e_ufm\/SLICE_76/C0 (282:367:453) + (282:367:453)) + (INTERCONNECT ram2e_ufm\/SLICE_76/F1 ram2e_ufm\/SLICE_81/D0 (530:592:654) + (530:592:654)) + (INTERCONNECT ram2e_ufm\/SLICE_76/F1 ram2e_ufm\/SLICE_111/C0 (536:650:764) + (536:650:764)) + (INTERCONNECT ram2e_ufm\/SLICE_76/F1 ram2e_ufm\/SLICE_131/B0 (1469:1669:1869) + (1469:1669:1869)) + (INTERCONNECT ram2e_ufm\/SLICE_145/F0 ram2e_ufm\/SLICE_76/A0 (1010:1161:1312) + (1010:1161:1312)) + (INTERCONNECT ram2e_ufm\/SLICE_145/F0 ram2e_ufm\/SLICE_88/B0 (1369:1557:1746) + (1369:1557:1746)) + (INTERCONNECT ram2e_ufm\/SLICE_145/F0 ram2e_ufm\/SLICE_90/D1 (800:885:971) + (800:885:971)) + (INTERCONNECT ram2e_ufm\/SLICE_76/F0 ram2e_ufm\/SLICE_98/D0 (1220:1340:1460) + (1220:1340:1460)) + (INTERCONNECT ram2e_ufm\/SLICE_77/F0 ram2e_ufm\/SLICE_77/C1 (282:367:453) + (282:367:453)) + (INTERCONNECT ram2e_ufm\/SLICE_77/F0 ram2e_ufm\/SLICE_80/A0 (797:936:1075) + (797:936:1075)) + (INTERCONNECT ram2e_ufm\/SLICE_77/F0 ram2e_ufm\/SLICE_82/B0 (1665:1871:2078) + (1665:1871:2078)) + (INTERCONNECT ram2e_ufm\/SLICE_77/F0 ram2e_ufm\/SLICE_130/D1 (541:605:669) + (541:605:669)) + (INTERCONNECT ram2e_ufm\/SLICE_77/F0 ram2e_ufm\/SLICE_130/D0 (541:605:669) + (541:605:669)) + (INTERCONNECT ram2e_ufm\/SLICE_101/F0 ram2e_ufm\/SLICE_82/C1 (534:639:744) + (534:639:744)) + (INTERCONNECT ram2e_ufm\/SLICE_133/F1 ram2e_ufm\/SLICE_82/A1 (1104:1258:1413) + (1104:1258:1413)) + (INTERCONNECT ram2e_ufm\/SLICE_83/F0 ram2e_ufm\/SLICE_82/C0 (531:639:747) + (531:639:747)) + (INTERCONNECT ram2e_ufm\/SLICE_82/F1 ram2e_ufm\/SLICE_82/A0 (730:848:967) + (730:848:967)) + (INTERCONNECT ram2e_ufm\/SLICE_83/F1 ram2e_ufm\/SLICE_83/D0 (520:573:626) + (520:573:626)) + (INTERCONNECT ram2e_ufm\/SLICE_87/F0 ram2e_ufm\/SLICE_83/C0 (541:653:766) + (541:653:766)) + (INTERCONNECT ram2e_ufm\/SLICE_110/F0 ram2e_ufm\/SLICE_85/B0 (762:883:1004) + (762:883:1004)) + (INTERCONNECT ram2e_ufm\/SLICE_86/F1 ram2e_ufm\/SLICE_86/D0 (531:586:641) + (531:586:641)) + (INTERCONNECT ram2e_ufm\/SLICE_86/F1 ram2e_ufm\/SLICE_109/A0 (741:861:982) + (741:861:982)) + (INTERCONNECT ram2e_ufm\/SLICE_126/F0 ram2e_ufm\/SLICE_86/C0 (531:639:747) + (531:639:747)) + (INTERCONNECT ram2e_ufm\/SLICE_99/F0 ram2e_ufm\/SLICE_86/B0 (772:897:1023) + (772:897:1023)) + (INTERCONNECT ram2e_ufm\/SLICE_88/F1 ram2e_ufm\/SLICE_88/C0 (280:362:445) + (280:362:445)) + (INTERCONNECT ram2e_ufm\/SLICE_88/F1 ram2e_ufm\/SLICE_111/B0 (765:889:1013) + (765:889:1013)) + (INTERCONNECT ram2e_ufm\/SLICE_104/F0 ram2e_ufm\/SLICE_89/D0 (857:949:1042) + (857:949:1042)) + (INTERCONNECT ram2e_ufm\/SLICE_90/F1 ram2e_ufm\/SLICE_90/C0 (277:356:436) + (277:356:436)) + (INTERCONNECT ram2e_ufm\/SLICE_91/F1 ram2e_ufm\/SLICE_91/C0 (280:362:445) + (280:362:445)) + (INTERCONNECT ram2e_ufm\/SLICE_91/F1 ram2e_ufm\/SLICE_92/C0 (537:645:753) + (537:645:753)) + (INTERCONNECT ram2e_ufm\/SLICE_92/F1 ram2e_ufm\/SLICE_92/B0 (511:606:702) + (511:606:702)) + (INTERCONNECT ram2e_ufm\/SLICE_92/F1 ram2e_ufm\/SLICE_102/B0 (765:889:1013) + (765:889:1013)) + (INTERCONNECT ram2e_ufm\/SLICE_93/F1 ram2e_ufm\/SLICE_93/D0 (520:573:626) + (520:573:626)) + (INTERCONNECT ram2e_ufm\/SLICE_100/F1 ram2e_ufm\/SLICE_94/D0 (269:296:324) + (269:296:324)) + (INTERCONNECT ram2e_ufm\/SLICE_100/F1 ram2e_ufm\/SLICE_100/B0 (765:889:1013) + (765:889:1013)) + (INTERCONNECT Ain\[4\]_I/PADDI ram2e_ufm\/SLICE_95/B0 (2382:2599:2817) + (2382:2599:2817)) + (INTERCONNECT Ain\[6\]_I/PADDI ram2e_ufm\/SLICE_96/B0 (1829:2016:2203) + (1829:2016:2203)) + (INTERCONNECT ram2e_ufm\/SLICE_97/F1 ram2e_ufm\/SLICE_97/C0 (277:356:436) + (277:356:436)) + (INTERCONNECT ram2e_ufm\/SLICE_125/F1 ram2e_ufm\/SLICE_99/D0 (523:573:623) + (523:573:623)) + (INTERCONNECT ram2e_ufm\/SLICE_99/F1 ram2e_ufm\/SLICE_99/C0 (277:356:436) + (277:356:436)) + (INTERCONNECT ram2e_ufm\/SLICE_115/F0 ram2e_ufm\/SLICE_102/C0 (534:639:744) + (534:639:744)) + (INTERCONNECT ram2e_ufm\/SLICE_128/F0 ram2e_ufm\/SLICE_103/D0 (857:949:1042) + (857:949:1042)) + (INTERCONNECT ram2e_ufm\/SLICE_123/F1 ram2e_ufm\/SLICE_103/B0 (772:897:1023) + (772:897:1023)) + (INTERCONNECT ram2e_ufm\/SLICE_104/F1 ram2e_ufm\/SLICE_104/C0 (277:356:436) + (277:356:436)) + (INTERCONNECT ram2e_ufm\/SLICE_122/F1 ram2e_ufm\/SLICE_105/D1 (520:573:626) + (520:573:626)) + (INTERCONNECT ram2e_ufm\/SLICE_107/F1 ram2e_ufm\/SLICE_107/A0 (733:854:976) + (733:854:976)) + (INTERCONNECT ram2e_ufm\/SLICE_107/F1 ram2e_ufm\/SLICE_134/D0 (523:579:635) + (523:579:635)) + (INTERCONNECT ram2e_ufm\/SLICE_134/F1 ram2e_ufm\/SLICE_108/C1 (531:639:747) + (531:639:747)) + (INTERCONNECT ram2e_ufm\/SLICE_111/F0 ram2e_ufm\/SLICE_111/A1 (476:566:656) + (476:566:656)) + (INTERCONNECT nEN80_I/PADDI ram2e_ufm\/SLICE_112/B0 (1960:2153:2347) + (1960:2153:2347)) + (INTERCONNECT nEN80_I/PADDI ram2e_ufm\/SLICE_113/D1 (2045:2205:2366) + (2045:2205:2366)) + (INTERCONNECT nEN80_I/PADDI ram2e_ufm\/SLICE_115/B0 (2699:2956:3214) + (2699:2956:3214)) + (INTERCONNECT nEN80_I/PADDI ram2e_ufm\/SLICE_135/B0 (2335:2561:2787) + (2335:2561:2787)) + (INTERCONNECT nEN80_I/PADDI ram2e_ufm\/SLICE_136/A1 (3150:3439:3729) + (3150:3439:3729)) + (INTERCONNECT nEN80_I/PADDI ram2e_ufm\/SLICE_136/A0 (3150:3439:3729) + (3150:3439:3729)) + (INTERCONNECT nEN80_I/PADDI ram2e_ufm\/SLICE_137/A1 (3520:3841:4163) + (3520:3841:4163)) + (INTERCONNECT nEN80_I/PADDI ram2e_ufm\/SLICE_137/A0 (3520:3841:4163) + (3520:3841:4163)) + (INTERCONNECT ram2e_ufm\/SLICE_114/F1 ram2e_ufm\/SLICE_114/A0 (730:848:967) + (730:848:967)) + (INTERCONNECT ram2e_ufm\/SLICE_116/F0 ram2e_ufm\/SLICE_116/A1 (476:566:656) + (476:566:656)) + (INTERCONNECT ram2e_ufm\/SLICE_117/F0 BA\[1\]_MGIOL/CE (1617:1766:1916) + (1617:1766:1916)) + (INTERCONNECT ram2e_ufm\/SLICE_117/F0 BA\[0\]_MGIOL/CE (1617:1766:1916) + (1617:1766:1916)) + (INTERCONNECT ram2e_ufm\/SLICE_118/F0 DQMH_MGIOL/CE (1617:1766:1916) + (1617:1766:1916)) + (INTERCONNECT ram2e_ufm\/SLICE_118/F0 DQML_MGIOL/CE (1617:1766:1916) + (1617:1766:1916)) + (INTERCONNECT ram2e_ufm\/SLICE_120/F0 DQML_MGIOL/OPOS (1549:1684:1820) + (1549:1684:1820)) + (INTERCONNECT ram2e_ufm\/SLICE_120/F1 DQMH_MGIOL/OPOS (1867:2037:2208) + (1867:2037:2208)) + (INTERCONNECT ram2e_ufm\/SLICE_121/F1 Vout\[7\]_MGIOL/CE (1550:1706:1862) + (1550:1706:1862)) + (INTERCONNECT ram2e_ufm\/SLICE_121/F1 Vout\[6\]_MGIOL/CE (1550:1706:1862) + (1550:1706:1862)) + (INTERCONNECT ram2e_ufm\/SLICE_121/F1 Vout\[5\]_MGIOL/CE (2402:2624:2847) + (2402:2624:2847)) + (INTERCONNECT ram2e_ufm\/SLICE_121/F1 Vout\[4\]_MGIOL/CE (2402:2624:2847) + (2402:2624:2847)) + (INTERCONNECT ram2e_ufm\/SLICE_121/F1 Vout\[3\]_MGIOL/CE (1550:1706:1862) + (1550:1706:1862)) + (INTERCONNECT ram2e_ufm\/SLICE_121/F1 Vout\[2\]_MGIOL/CE (2402:2624:2847) + (2402:2624:2847)) + (INTERCONNECT ram2e_ufm\/SLICE_121/F1 Vout\[1\]_MGIOL/CE (1550:1706:1862) + (1550:1706:1862)) + (INTERCONNECT ram2e_ufm\/SLICE_121/F1 Vout\[0\]_MGIOL/CE (2402:2624:2847) + (2402:2624:2847)) + (INTERCONNECT ram2e_ufm\/SLICE_129/F1 BA\[1\]_MGIOL/OPOS (2322:2519:2716) + (2322:2519:2716)) + (INTERCONNECT Ain\[0\]_I/PADDI ram2e_ufm\/SLICE_132/C1 (2031:2242:2454) + (2031:2242:2454)) + (INTERCONNECT Ain\[7\]_I/PADDI ram2e_ufm\/SLICE_132/C0 (2031:2242:2454) + (2031:2242:2454)) + (INTERCONNECT ram2e_ufm\/SLICE_136/F0 nDOE_I/PADDO (1902:2078:2254) + (1902:2078:2254)) + (INTERCONNECT ram2e_ufm\/SLICE_137/F0 LED_I/PADDO (1300:1433:1567)(1300:1433:1567)) + (INTERCONNECT ram2e_ufm\/SLICE_137/F1 RD\[0\]_I/PADDT (688:769:851)(688:769:851)) + (INTERCONNECT ram2e_ufm\/SLICE_137/F1 RD\[7\]_I/PADDT (1491:1644:1798) + (1491:1644:1798)) + (INTERCONNECT ram2e_ufm\/SLICE_137/F1 RD\[6\]_I/PADDT (1491:1644:1798) + (1491:1644:1798)) + (INTERCONNECT ram2e_ufm\/SLICE_137/F1 RD\[5\]_I/PADDT (1491:1644:1798) + (1491:1644:1798)) + (INTERCONNECT ram2e_ufm\/SLICE_137/F1 RD\[4\]_I/PADDT (1491:1644:1798) + (1491:1644:1798)) + (INTERCONNECT ram2e_ufm\/SLICE_137/F1 RD\[3\]_I/PADDT (947:1055:1164) + (947:1055:1164)) + (INTERCONNECT ram2e_ufm\/SLICE_137/F1 RD\[2\]_I/PADDT (947:1055:1164) + (947:1055:1164)) + (INTERCONNECT ram2e_ufm\/SLICE_137/F1 RD\[1\]_I/PADDT (688:769:851)(688:769:851)) + (INTERCONNECT PHI1_I/PADDI SLICE_139/B1 (2525:2775:3025)(2525:2775:3025)) + (INTERCONNECT PHI1_I/PADDI SLICE_139/A0 (2166:2378:2591)(2166:2378:2591)) + (INTERCONNECT PHI1_I/PADDI PHI1_MGIOL/DI (544:554:565)(544:554:565)) + (INTERCONNECT PHI1_MGIOL/IN SLICE_139/C0 (1174:1343:1512)(1174:1343:1512)) + (INTERCONNECT SLICE_139/F1 nVOE_I/PADDO (1534:1723:1913)(1534:1723:1913)) + (INTERCONNECT ram2e_ufm\/SLICE_141/F0 RD\[3\]_I/PADDO (936:1038:1140) + (936:1038:1140)) + (INTERCONNECT ram2e_ufm\/SLICE_141/F1 RD\[0\]_I/PADDO (1300:1433:1567) + (1300:1433:1567)) + (INTERCONNECT ram2e_ufm\/SLICE_142/F0 RD\[4\]_I/PADDO (1802:1982:2163) + (1802:1982:2163)) + (INTERCONNECT ram2e_ufm\/SLICE_143/F0 RD\[7\]_I/PADDO (1367:1504:1642) + (1367:1504:1642)) + (INTERCONNECT ram2e_ufm\/SLICE_143/F1 RD\[1\]_I/PADDO (936:1038:1140) + (936:1038:1140)) + (INTERCONNECT ram2e_ufm\/SLICE_144/F0 RD\[6\]_I/PADDO (1557:1697:1838) + (1557:1697:1838)) + (INTERCONNECT ram2e_ufm\/SLICE_144/F1 RD\[2\]_I/PADDO (1111:1225:1339) + (1111:1225:1339)) + (INTERCONNECT ram2e_ufm\/SLICE_147/F0 RD\[5\]_I/PADDO (1839:2016:2193) + (1839:2016:2193)) (INTERCONNECT RD\[0\]_I/PADDI Vout\[0\]_MGIOL/OPOS (2735:2961:3187) (2735:2961:3187)) - (INTERCONNECT RD\[0\]_I/PADDI Dout\[0\]_MGIOL/OPOS (1970:2136:2303) - (1970:2136:2303)) - (INTERCONNECT DQMH_MGIOL/IOLDO DQMH_I/IOLDO (30:36:43)(30:36:43)) - (INTERCONNECT DQML_MGIOL/IOLDO DQML_I/IOLDO (30:36:43)(30:36:43)) + (INTERCONNECT RD\[0\]_I/PADDI Dout\[0\]_I/PADDO (2000:2173:2346)(2000:2173:2346)) (INTERCONNECT RD\[7\]_I/PADDI Vout\[7\]_MGIOL/OPOS (3308:3554:3800) (3308:3554:3800)) - (INTERCONNECT RD\[7\]_I/PADDI Dout\[7\]_MGIOL/OPOS (2416:2609:2802) - (2416:2609:2802)) + (INTERCONNECT RD\[7\]_I/PADDI Dout\[7\]_I/PADDO (2446:2645:2845)(2446:2645:2845)) (INTERCONNECT RD\[6\]_I/PADDI Vout\[6\]_MGIOL/OPOS (3321:3568:3816) (3321:3568:3816)) - (INTERCONNECT RD\[6\]_I/PADDI Dout\[6\]_MGIOL/OPOS (3380:3625:3871) - (3380:3625:3871)) - (INTERCONNECT RD\[5\]_I/PADDI Vout\[5\]_MGIOL/OPOS (2885:3105:3325) - (2885:3105:3325)) - (INTERCONNECT RD\[5\]_I/PADDI Dout\[5\]_MGIOL/OPOS (3249:3500:3752) - (3249:3500:3752)) + (INTERCONNECT RD\[6\]_I/PADDI Dout\[6\]_I/PADDO (3437:3693:3950)(3437:3693:3950)) + (INTERCONNECT RD\[5\]_I/PADDI Vout\[5\]_MGIOL/OPOS (2884:3105:3326) + (2884:3105:3326)) + (INTERCONNECT RD\[5\]_I/PADDI Dout\[5\]_I/PADDO (2910:3136:3363)(2910:3136:3363)) (INTERCONNECT RD\[4\]_I/PADDI Vout\[4\]_MGIOL/OPOS (2876:3096:3316) (2876:3096:3316)) - (INTERCONNECT RD\[4\]_I/PADDI Dout\[4\]_MGIOL/OPOS (3604:3887:4170) - (3604:3887:4170)) + (INTERCONNECT RD\[4\]_I/PADDI Dout\[4\]_I/PADDO (3652:3942:4232)(3652:3942:4232)) (INTERCONNECT RD\[3\]_I/PADDI Vout\[3\]_MGIOL/OPOS (2884:3105:3326) (2884:3105:3326)) - (INTERCONNECT RD\[3\]_I/PADDI Dout\[3\]_MGIOL/OPOS (1970:2136:2303) - (1970:2136:2303)) + (INTERCONNECT RD\[3\]_I/PADDI Dout\[3\]_I/PADDO (2000:2173:2346)(2000:2173:2346)) (INTERCONNECT RD\[2\]_I/PADDI Vout\[2\]_MGIOL/OPOS (2467:2657:2847) (2467:2657:2847)) - (INTERCONNECT RD\[2\]_I/PADDI Dout\[2\]_MGIOL/OPOS (3195:3448:3701) - (3195:3448:3701)) + (INTERCONNECT RD\[2\]_I/PADDI Dout\[2\]_I/PADDO (3206:3469:3733)(3206:3469:3733)) (INTERCONNECT RD\[1\]_I/PADDI Vout\[1\]_MGIOL/OPOS (2794:3032:3271) (2794:3032:3271)) - (INTERCONNECT RD\[1\]_I/PADDI Dout\[1\]_MGIOL/OPOS (1894:2047:2201) - (1894:2047:2201)) - (INTERCONNECT RA\[11\]_MGIOL/IOLDO RA\[11\]_I/IOLDO (9:36:63)(9:36:63)) - (INTERCONNECT RA\[10\]_MGIOL/IOLDO RA\[10\]_I/IOLDO (25:77:129)(25:77:129)) - (INTERCONNECT RA\[9\]_MGIOL/IOLDO RA\[9\]_I/IOLDO (25:77:129)(25:77:129)) - (INTERCONNECT RA\[8\]_MGIOL/IOLDO RA\[8\]_I/IOLDO (25:77:129)(25:77:129)) - (INTERCONNECT RA\[7\]_MGIOL/IOLDO RA\[7\]_I/IOLDO (25:77:129)(25:77:129)) - (INTERCONNECT RA\[6\]_MGIOL/IOLDO RA\[6\]_I/IOLDO (25:77:129)(25:77:129)) - (INTERCONNECT RA\[5\]_MGIOL/IOLDO RA\[5\]_I/IOLDO (25:77:129)(25:77:129)) - (INTERCONNECT RA\[4\]_MGIOL/IOLDO RA\[4\]_I/IOLDO (25:77:129)(25:77:129)) - (INTERCONNECT RA\[2\]_MGIOL/IOLDO RA\[2\]_I/IOLDO (25:77:129)(25:77:129)) - (INTERCONNECT RA\[1\]_MGIOL/IOLDO RA\[1\]_I/IOLDO (25:77:129)(25:77:129)) + (INTERCONNECT RD\[1\]_I/PADDI Dout\[1\]_I/PADDO (1924:2084:2244)(1924:2084:2244)) + (INTERCONNECT DQMH_MGIOL/IOLDO DQMH_I/IOLDO (30:36:43)(30:36:43)) + (INTERCONNECT DQML_MGIOL/IOLDO DQML_I/IOLDO (30:36:43)(30:36:43)) + (INTERCONNECT RAout\[11\]_MGIOL/IOLDO RAout\[11\]_I/IOLDO (9:36:63)(9:36:63)) + (INTERCONNECT RAout\[10\]_MGIOL/IOLDO RAout\[10\]_I/IOLDO (25:77:129)(25:77:129)) + (INTERCONNECT RAout\[9\]_MGIOL/IOLDO RAout\[9\]_I/IOLDO (25:77:129)(25:77:129)) + (INTERCONNECT RAout\[8\]_MGIOL/IOLDO RAout\[8\]_I/IOLDO (25:77:129)(25:77:129)) + (INTERCONNECT RAout\[7\]_MGIOL/IOLDO RAout\[7\]_I/IOLDO (25:77:129)(25:77:129)) + (INTERCONNECT RAout\[6\]_MGIOL/IOLDO RAout\[6\]_I/IOLDO (25:77:129)(25:77:129)) + (INTERCONNECT RAout\[5\]_MGIOL/IOLDO RAout\[5\]_I/IOLDO (25:77:129)(25:77:129)) + (INTERCONNECT RAout\[4\]_MGIOL/IOLDO RAout\[4\]_I/IOLDO (25:77:129)(25:77:129)) + (INTERCONNECT RAout\[3\]_MGIOL/IOLDO RAout\[3\]_I/IOLDO (25:77:129)(25:77:129)) + (INTERCONNECT RAout\[2\]_MGIOL/IOLDO RAout\[2\]_I/IOLDO (25:77:129)(25:77:129)) + (INTERCONNECT RAout\[1\]_MGIOL/IOLDO RAout\[1\]_I/IOLDO (25:77:129)(25:77:129)) + (INTERCONNECT RAout\[0\]_MGIOL/IOLDO RAout\[0\]_I/IOLDO (25:77:129)(25:77:129)) (INTERCONNECT BA\[1\]_MGIOL/IOLDO BA\[1\]_I/IOLDO (9:36:63)(9:36:63)) (INTERCONNECT BA\[0\]_MGIOL/IOLDO BA\[0\]_I/IOLDO (9:36:63)(9:36:63)) - (INTERCONNECT nRWE_MGIOL/IOLDO nRWE_I/IOLDO (9:36:63)(9:36:63)) - (INTERCONNECT nCAS_MGIOL/IOLDO nCAS_I/IOLDO (9:36:63)(9:36:63)) - (INTERCONNECT nRAS_MGIOL/IOLDO nRAS_I/IOLDO (9:36:63)(9:36:63)) - (INTERCONNECT nCS_MGIOL/IOLDO nCS_I/IOLDO (9:36:63)(9:36:63)) - (INTERCONNECT CKE_MGIOL/IOLDO CKE_I/IOLDO (9:36:63)(9:36:63)) + (INTERCONNECT nRWEout_MGIOL/IOLDO nRWEout_I/IOLDO (9:36:63)(9:36:63)) + (INTERCONNECT nCASout_MGIOL/IOLDO nCASout_I/IOLDO (9:36:63)(9:36:63)) + (INTERCONNECT nRASout_MGIOL/IOLDO nRASout_I/IOLDO (9:36:63)(9:36:63)) + (INTERCONNECT CKEout_MGIOL/IOLDO CKEout_I/IOLDO (9:36:63)(9:36:63)) (INTERCONNECT Vout\[7\]_MGIOL/IOLDO Vout\[7\]_I/IOLDO (7:62:118)(7:62:118)) (INTERCONNECT Vout\[6\]_MGIOL/IOLDO Vout\[6\]_I/IOLDO (7:62:118)(7:62:118)) (INTERCONNECT Vout\[5\]_MGIOL/IOLDO Vout\[5\]_I/IOLDO (11:21:32)(11:21:32)) @@ -4932,14 +5979,6 @@ (INTERCONNECT Vout\[2\]_MGIOL/IOLDO Vout\[2\]_I/IOLDO (11:21:32)(11:21:32)) (INTERCONNECT Vout\[1\]_MGIOL/IOLDO Vout\[1\]_I/IOLDO (7:62:118)(7:62:118)) (INTERCONNECT Vout\[0\]_MGIOL/IOLDO Vout\[0\]_I/IOLDO (11:21:32)(11:21:32)) - (INTERCONNECT Dout\[7\]_MGIOL/IOLDO Dout\[7\]_I/IOLDO (30:36:43)(30:36:43)) - (INTERCONNECT Dout\[6\]_MGIOL/IOLDO Dout\[6\]_I/IOLDO (30:36:43)(30:36:43)) - (INTERCONNECT Dout\[5\]_MGIOL/IOLDO Dout\[5\]_I/IOLDO (11:21:32)(11:21:32)) - (INTERCONNECT Dout\[4\]_MGIOL/IOLDO Dout\[4\]_I/IOLDO (11:21:32)(11:21:32)) - (INTERCONNECT Dout\[3\]_MGIOL/IOLDO Dout\[3\]_I/IOLDO (30:36:43)(30:36:43)) - (INTERCONNECT Dout\[2\]_MGIOL/IOLDO Dout\[2\]_I/IOLDO (11:21:32)(11:21:32)) - (INTERCONNECT Dout\[1\]_MGIOL/IOLDO Dout\[1\]_I/IOLDO (30:36:43)(30:36:43)) - (INTERCONNECT Dout\[0\]_MGIOL/IOLDO Dout\[0\]_I/IOLDO (30:36:43)(30:36:43)) ) ) ) diff --git a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_vo.vo b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_vo.vo index f5ba39f..4821083 100644 --- a/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_vo.vo +++ b/CPLD/LCMXO2-1200HC/impl1/RAM2E_LCMXO2_1200HC_impl1_vo.vo @@ -2,8 +2,8 @@ // Verilog netlist produced by program ldbanno, Version Diamond (64-bit) 3.12.1.454 // ldbanno -n Verilog -o RAM2E_LCMXO2_1200HC_impl1_vo.vo -w -neg -gui -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC/promote.xml RAM2E_LCMXO2_1200HC_impl1.ncd -// Netlist created on Thu Sep 21 05:34:46 2023 -// Netlist written on Thu Sep 21 05:35:16 2023 +// Netlist created on Thu Dec 28 23:09:57 2023 +// Netlist written on Thu Dec 28 23:10:24 2023 // Design is for device LCMXO2-1200HC // Design is for package TQFP100 // Design is for performance grade 4 @@ -11,7 +11,8 @@ `timescale 1 ns / 1 ps module RAM2E ( C14M, PHI1, LED, nWE, nWE80, nEN80, nC07X, Ain, Din, Dout, nDOE, - Vout, nVOE, CKE, nCS, nRAS, nCAS, nRWE, BA, RA, RD, DQML, DQMH ); + Vout, nVOE, CKEout, nCSout, nRASout, nCASout, nRWEout, BA, + RAout, DQML, DQMH, RD ); input C14M, PHI1, nWE, nWE80, nEN80, nC07X; input [7:0] Ain; input [7:0] Din; @@ -19,9 +20,9 @@ module RAM2E ( C14M, PHI1, LED, nWE, nWE80, nEN80, nC07X, Ain, Din, Dout, nDOE, output [7:0] Dout; output nDOE; output [7:0] Vout; - output nVOE, CKE, nCS, nRAS, nCAS, nRWE; + output nVOE, CKEout, nCSout, nRASout, nCASout, nRWEout; output [1:0] BA; - output [11:0] RA; + output [11:0] RAout; output DQML, DQMH; inout [7:0] RD; wire \FS[0] , \FS_s[0] , C14M_c, \FS_cry[0] , \FS[15] , \FS_s[15] , @@ -30,77 +31,140 @@ module RAM2E ( C14M, PHI1, LED, nWE, nWE80, nEN80, nC07X, Ain, Din, Dout, nDOE, \FS_cry[10] , \FS[10] , \FS[9] , \FS_s[10] , \FS_s[9] , \FS_cry[8] , \FS[8] , \FS[7] , \FS_s[8] , \FS_s[7] , \FS_cry[6] , \FS[6] , \FS[5] , \FS_s[6] , \FS_s[5] , \FS_cry[4] , \FS[4] , \FS[3] , \FS_s[4] , - \FS_s[3] , \FS_cry[2] , \FS[2] , \FS[1] , \FS_s[2] , \FS_s[1] , Ready, - PHI1reg, PHI1_c, RWSel, CO0_1, \CmdTout_3[0] , N_576_i, S_1, - \S_RNII9DO1_0[1] , \CS[0] , N_461, \CS[1] , N_511_i, N_504_i, - un1_CS_0_sqmuxa_i, N_637, \CS[2] , N_510_i, \Din_c[0] , \Din_c[2] , - \Din_c[3] , CmdBitbangMXO2_4_u_0_0_a2_0_1, N_643, CmdBitbangMXO2, - CmdBitbangMXO2_4, \Din_c[5] , \Din_c[7] , N_629, CmdExecMXO2, - CmdExecMXO2_4, N_466, \Din_c[4] , \Din_c[1] , N_478, - CmdLEDGet_4_u_0_0_a2_0_2, N_476, CmdLEDGet, CmdLEDGet_4, N_626, N_605, - CmdLEDSet, CmdLEDSet_4, CmdRWMaskSet, CmdRWMaskSet_4, N_401, - CmdSetRWBankFFLED, CmdSetRWBankFFLED_4, N_474, - CmdSetRWBankFFMXO2_4_u_0_0_a2_0_0, CmdSetRWBankFFMXO2, - CmdSetRWBankFFMXO2_4, \CmdTout[1] , \CmdTout[2] , N_556_i, N_555_i, - \S[2] , \S[1] , \S[0] , \S[3] , N_6_i, DOEEN, \Ain_c[1] , - \wb_dato[0] , LEDEN_RNO, \un1_LEDEN_0_sqmuxa_1_i_0[0] , LEDEN, - N_558_i, \Ain_c[3] , \Ain_c[0] , N_552_i, N_127_i, \S_RNII9DO1_1[1] , - \RA_c[0] , \RA_c[3] , \RWMask[1] , N_591, \RWMask[0] , \RWBank_5[1] , - \RWBank_5[0] , LEDEN13, \RWBank[0] , \RWBank[1] , \RWMask[3] , - \RWMask[2] , \RWBank_5[3] , \RWBank_5[2] , \RWBank[2] , \RWBank[3] , - \RWMask[5] , \RWMask[4] , \RWBank_5[5] , \RWBank_5[4] , \RWBank[4] , - \RWBank[5] , \RWMask[7] , \Din_c[6] , \RWMask[6] , \RWBank_5[7] , - \RWBank_5[6] , \RWBank[6] , \RWBank[7] , \wb_dato[1] , N_291_i, - N_292_i, N_88, \wb_dato[3] , \wb_dato[2] , N_289_i, N_290_i, - \wb_dato[5] , \wb_dato[4] , N_287_i, N_288_i, \wb_dato[7] , - \wb_dato[6] , N_285, N_286_i, nEN80_c, nWE_c, nC07X_c, RWSel_2, nCS61, - nDOE_c, N_489, Ready_0_sqmuxa_0_a2_6_a2_4, Ready_0_sqmuxa, N_876_0, - N_572, wb_reqc_1, N_575, \S_s_0_1[0] , N_133_i, \S_s_0[0] , N_129_i, - N_131_i, N_388, wb_adr_7_5_214_0_1, N_642, \wb_adr_7_0_4[0] , N_376, - \wb_adr_RNO[1] , \wb_adr_7[0] , \un1_wb_adr_0_sqmuxa_2_i[0] , - \wb_adr[0] , \wb_adr[1] , N_41_i, N_43_i, \wb_adr[2] , \wb_adr[3] , - N_295, N_294, \wb_adr[4] , \wb_adr[5] , N_39_i, N_296, \wb_adr[6] , - \wb_adr[7] , N_300, wb_ack, N_395, wb_cyc_stb_RNO, N_104, wb_cyc_stb, - \wb_dati_7_0_0[1] , N_627, N_336, N_621, \wb_dati_7_0_a2_1[0] , N_484, - \wb_dati_7[1] , \wb_dati_7[0] , \wb_dati[0] , \wb_dati[1] , - \wb_dati_7_0_2[3] , \wb_dati_7_0_0[3] , \wb_dati_7_0_o2_0[2] , N_345, - \wb_dati_7[3] , \wb_dati_7[2] , \wb_dati[2] , \wb_dati[3] , N_346, - N_349, \wb_dati_7_0_0[4] , \wb_dati_7[5] , \wb_dati_7[4] , - \wb_dati[4] , \wb_dati[5] , \wb_dati_7_0_RNO[7] , \wb_dati_7_0_0[7] , - N_422, N_424, \wb_dati_7_0_1[6] , \wb_dati_7[7] , \wb_dati_7[6] , - \wb_dati[6] , \wb_dati[7] , N_397, wb_reqc_i, wb_adr_0_sqmuxa_i, - wb_req, wb_rst8, \S_RNII9DO1[1] , wb_rst, N_586, wb_we_7_iv_0_0_0_1, - N_584, N_475, wb_we_RNO, \un1_wb_cyc_stb_0_sqmuxa_1_i[0] , wb_we, - N_255, N_358_i, N_635, N_254, Vout3, nCAS_s_i_tz_0, - un1_CS_0_sqmuxa_0_0_a2_1_4, N_327, un1_CS_0_sqmuxa_0_0_0, - \wb_dati_7_0_a2_0_1[7] , N_579, CKE_6_iv_i_a2_0, CKE_6_iv_i_0_1, - CKE_6_iv_i_0, N_449, N_364, N_365, \un1_wb_adr_0_sqmuxa_2_1[0] , - N_616, N_623, N_279, N_264, N_633, N_570, N_452, N_455, N_644, - \wb_dati_7_0_a2_2_1[3] , DQML_s_i_a2_0, N_28_i, N_569, - wb_adr_7_5_214_a2_2_0, N_577, N_634, \wb_dati_7_0_a2_2_0[1] , N_265_i, - \un1_RWMask_0_sqmuxa_1_i_a2_0_1[0] , \wb_dati_7_0_a2_0[6] , - \wb_dati_7_0_a2_4_0[7] , N_393, nCAS_0_sqmuxa, N_639, \RA_42[10] , - N_640, un1_nCS61_1_i, Ready_0_sqmuxa_0_a2_6_a2_2, N_562, N_377, N_628, - un1_CS_0_sqmuxa_0_0_2, un1_CS_0_sqmuxa_0_0_a2_3_2, - un1_CS_0_sqmuxa_0_0_3, N_567, N_561_i, nCS_6_u_i_0, N_559_1, N_559_i, - nRAS_2_iv_i, un1_CS_0_sqmuxa_0_0_a2_1, N_328, N_330, N_429, - nCS_6_u_i_a2_1, N_351, \wb_adr_7_0_a2_5_0[0] , \wb_adr_7_0_0[0] , - N_378, \wb_adr_7_0_1[0] , \un1_LEDEN_0_sqmuxa_1_i_a2_0_1[0] , - un1_CS_0_sqmuxa_0_0_a2_4_2, un1_CS_0_sqmuxa_0_0_a2_4_4, N_565, - un1_CS_0_sqmuxa_0_0_a2_2_2, un1_CS_0_sqmuxa_0_0_a2_2_4, - \wb_adr_7_0_a2_0[0] , un1_CS_0_sqmuxa_0_0_a2_1_2, - un1_CS_0_sqmuxa_0_0_a2_3_0, N_394, N_49_i, N_456, N_477, N_566_i, - \BA_4[0] , \RA_42[11] , \BA_4[1] , N_59_i, \Ain_c[5] , \Ain_c[4] , - N_551_i, \RA_42_3_0[5] , \Ain_c[6] , N_550_i, \Ain_c[2] , \Ain_c[7] , - N_549_i, N_553_i, nWE80_c, nRWE_r_0, RDOE_i, LED_c, \RD_in[0] , - DQMH_c, DQML_c, \RD_in[7] , \RD_in[6] , \RD_in[5] , \RD_in[4] , - \RD_in[3] , \RD_in[2] , \RD_in[1] , \RA_c[11] , \RA_c[10] , \RA_c[9] , - \RA_c[8] , \RA_c[7] , \RA_c[6] , \RA_c[5] , \RA_c[4] , \RA_c[2] , - \RA_c[1] , \BA_c[1] , \BA_c[0] , nRWE_c, nCAS_c, nRAS_c, nCS_c, CKE_c, + \FS_s[3] , \FS_cry[2] , \FS[2] , \FS[1] , \FS_s[2] , \FS_s[1] , + \S[1] , \S[0] , N_551, \ram2e_ufm/CKE_7 , CKE_7_RNIS77M1, CKE, + \ram2e_ufm/wb_adr_0_sqmuxa_1_i , RWSel, CO0_0, \CmdTout_3[0] , + N_185_i, GND, \RC[2] , CO0_1, \RC[1] , N_360_i, RC12, + \ram2e_ufm/N_821 , \ram2e_ufm/SUM1_0_0 , \ram2e_ufm/SUM0_i_a3_4_0 , + \ram2e_ufm/N_886 , \ram2e_ufm/N_215 , \CS[1] , \ram2e_ufm/SUM0_i_4 , + \CS[2] , CmdExecMXO2_3_0_a3_0_RNI6S1P8, N_547_i, un1_CS_0_sqmuxa_i, + \CS[0] , \ram2e_ufm/N_234 , CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514, + \Din_c[3] , \Din_c[5] , \ram2e_ufm/N_800 , + \ram2e_ufm/CmdLEDGet_3_0_a3_1 , \Din_c[1] , \ram2e_ufm/N_847 , + \Din_c[2] , CmdLEDGet_3, N_187_i, CmdLEDGet, \Din_c[7] , + \ram2e_ufm/N_883 , \Din_c[4] , CmdLEDSet_3, CmdLEDSet, + \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_5_1 , CmdRWMaskSet_3, CmdRWMaskSet, + \ram2e_ufm/N_850 , \Din_c[0] , CmdSetRWBankFFLED_4, CmdSetRWBankFFLED, + \CmdTout[1] , \CmdTout[2] , N_369_i, N_368_i, \RA[1] , + \ram2e_ufm/N_186 , \S[3] , N_1080_0, \ram2e_ufm/N_660 , DOEEN, + \ram2e_ufm/N_193 , \ram2e_ufm/N_182 , \Ain_c[1] , \ram2e_ufm/N_659 , + \ram2e_ufm/RA_35_0_0_1[0] , \ram2e_ufm/N_801 , \ram2e_ufm/N_684 , + N_223, \RA_35[0] , N_126, \RA[0] , \ram2e_ufm/RA_35_0_0_0[3] , + \ram2e_ufm/N_680 , \ram2e_ufm/N_679 , \Ain_c[2] , \RA_35[3] , + \RA_35[2] , \RA[2] , \RA[3] , \Ain_c[5] , \ram2e_ufm/RA_35_0_0_0[5] , + \ram2e_ufm/N_621 , \ram2e_ufm/RA_35_0_0_0[4] , \RA_35[5] , \RA_35[4] , + \RA[4] , \RA[5] , \ram2e_ufm/RA_35_0_0_0_0[7] , + \ram2e_ufm/RA_35_0_0_0_0[6] , \RA_35[7] , \RA_35[6] , \RA[6] , + \RA[7] , \ram2e_ufm/N_242 , \ram2e_ufm/RA_35_0_0_0[9] , \RA[9] , + \ram2e_ufm/N_699 , \ram2e_ufm/N_221 , \ram2e_ufm/N_698 , \RA[8] , + \RA_35[9] , un2_S_2_i_0_0_o3_RNIHFHN3, \ram2e_ufm/N_845 , \RWBank[4] , + \RA[11] , \ram2e_ufm/N_628 , \ram2e_ufm/N_627 , \ram2e_ufm/N_624 , + \ram2e_ufm/RA_35_2_0_0[10] , \RA_35[11] , \RA_35[10] , \RA[10] , + \RC_3[2] , \RC_3[1] , \ram2e_ufm/N_188 , \ram2e_ufm/RWMask[1] , + \ram2e_ufm/RWMask[0] , \RWBank_3[1] , \RWBank_3[0] , \RWBank[0] , + \RWBank[1] , \ram2e_ufm/RWMask[3] , \ram2e_ufm/RWMask[2] , + \RWBank_3[3] , \RWBank_3[2] , \RWBank[2] , \RWBank[3] , + \ram2e_ufm/RWMask[5] , \ram2e_ufm/RWMask[4] , \RWBank_3[5] , + \RWBank_3[4] , \RWBank[5] , \ram2e_ufm/RWMask[7] , + \ram2e_ufm/RWMask[6] , \Din_c[6] , \RWBank_3[7] , \RWBank_3[6] , + \RWBank[6] , \RWBank[7] , \Ain_c[3] , nC07X_c, nWE_c, RWSel_2, + un9_VOEEN_0_a2_0_a3_0_a3, \ram2e_ufm/Ready3_0_a3_4 , + \ram2e_ufm/N_885 , \ram2e_ufm/Ready3_0_a3_5 , + \ram2e_ufm/Ready3_0_a3_3 , Ready3, Ready, N_1026_0, S_1, + \ram2e_ufm/N_194 , \ram2e_ufm/N_271 , \ram2e_ufm/S_r_i_0_o2[1] , + \ram2e_ufm/N_643 , N_362_i, \S_s_0_0[0] , \S[2] , N_372_i, N_361_i, + N_1078_0, VOEEN, BA_0_sqmuxa, \ram2e_ufm/N_804 , \ram2e_ufm/N_285_i , + \ram2e_ufm/N_872 , \ram2e_ufm/N_641 , \ram2e_ufm/N_640 , N_370_i, + nCAS, \ram2e_ufm/N_615 , \ram2e_ufm/N_617 , \ram2e_ufm/N_616 , + \ram2e_ufm/nRAS_s_i_0_0 , N_358_i, nRAS, \ram2e_ufm/N_226 , + \ram2e_ufm/S_r_i_0_o2_RNI62C53[1] , \ram2e_ufm/N_866 , N_359_i, nRWE, + \ram2e_ufm/un1_CS_0_sqmuxa_0_0_o3 , + \ram2e_ufm/CmdBitbangMXO2_3_0_a3_1 , \ram2e_ufm/CmdBitbangMXO2_3 , + \ram2e_ufm/CmdBitbangMXO2 , \ram2e_ufm/N_851 , + \ram2e_ufm/CmdExecMXO2_3 , \ram2e_ufm/CmdExecMXO2 , + \ram2e_ufm/CmdSetRWBankFFChip_3_0_a8_0_a3_0 , \ram2e_ufm/N_190 , + \ram2e_ufm/CmdSetRWBankFFChip_3 , \ram2e_ufm/CmdSetRWBankFFChip , + \ram2e_ufm/wb_dato[0] , \ram2e_ufm/N_295 , + \ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0_0[0] , \ram2e_ufm/LEDEN , + \ram2e_ufm/N_212 , \ram2e_ufm/wb_dato[1] , \ram2e_ufm/N_307_i , + \ram2e_ufm/N_309_i , \ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0_0[0] , + \ram2e_ufm/wb_dato[3] , \ram2e_ufm/wb_dato[2] , \ram2e_ufm/N_302_i , + \ram2e_ufm/N_304_i , \ram2e_ufm/wb_dato[5] , \ram2e_ufm/wb_dato[4] , + \ram2e_ufm/N_301_i , \ram2e_ufm/N_310_i , \ram2e_ufm/wb_dato[7] , + \ram2e_ufm/wb_dato[6] , \ram2e_ufm/N_296 , \ram2e_ufm/N_300_i , + \ram2e_ufm/wb_adr_7_5_41_0_1 , \ram2e_ufm/N_768 , + \ram2e_ufm/wb_adr_7_i_i_4[0] , \ram2e_ufm/wb_adr_7_i_i_5[0] , + \ram2e_ufm/N_793 , \ram2e_ufm/wb_adr_RNO[1] , + \ram2e_ufm/wb_adr_7_i_i[0] , \ram2e_ufm/CmdBitbangMXO2_RNINSM62 , + \ram2e_ufm/wb_adr[0] , \ram2e_ufm/wb_adr[1] , \ram2e_ufm/N_268_i , + \ram2e_ufm/N_80_i , \ram2e_ufm/wb_adr[2] , \ram2e_ufm/wb_adr[3] , + \ram2e_ufm/N_290 , \ram2e_ufm/N_294 , \ram2e_ufm/wb_adr[4] , + \ram2e_ufm/wb_adr[5] , \ram2e_ufm/N_267_i , \ram2e_ufm/N_284 , + \ram2e_ufm/wb_adr[6] , \ram2e_ufm/wb_adr[7] , \ram2e_ufm/wb_ack , + \ram2e_ufm/N_336 , \ram2e_ufm/N_687 , \ram2e_ufm/wb_cyc_stb_RNO , + \ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0[0] , + \ram2e_ufm/wb_cyc_stb , \ram2e_ufm/wb_dati_7_0_0_0[1] , + \ram2e_ufm/N_611 , \ram2e_ufm/N_849 , \ram2e_ufm/N_856 , + \ram2e_ufm/wb_dati_7_0_0_0_a3_0[0] , \ram2e_ufm/wb_dati_7[1] , + \ram2e_ufm/wb_dati_7[0] , \ram2e_ufm/wb_dati[0] , + \ram2e_ufm/wb_dati[1] , \ram2e_ufm/N_783 , + \ram2e_ufm/wb_dati_7_0_0_0_0[3] , \ram2e_ufm/wb_dati_7_0_0_o3_0[2] , + \ram2e_ufm/N_760 , \ram2e_ufm/wb_dati_7[3] , \ram2e_ufm/wb_dati_7[2] , + \ram2e_ufm/wb_dati[2] , \ram2e_ufm/wb_dati[3] , \ram2e_ufm/N_763 , + \ram2e_ufm/N_757 , \ram2e_ufm/wb_dati_7_0_0_0[4] , + \ram2e_ufm/wb_dati_7[5] , \ram2e_ufm/wb_dati_7[4] , + \ram2e_ufm/wb_dati[4] , \ram2e_ufm/wb_dati[5] , + \ram2e_ufm/wb_dati_7_0_0_RNO_0[7] , \ram2e_ufm/N_604 , + \ram2e_ufm/N_602 , \ram2e_ufm/wb_dati_7_0_0_0_0[7] , + \ram2e_ufm/wb_dati_7_0_0_0[6] , \ram2e_ufm/wb_dati_7[7] , + \ram2e_ufm/wb_dati_7[6] , \ram2e_ufm/wb_dati[6] , + \ram2e_ufm/wb_dati[7] , \ram2e_ufm/wb_reqc_1 , \ram2e_ufm/wb_reqc_i , + \ram2e_ufm/wb_req , \ram2e_ufm/wb_rst8 , \ram2e_ufm/wb_rst16_i , + \ram2e_ufm/wb_rst , \ram2e_ufm/N_799 , + \ram2e_ufm/wb_we_7_iv_0_0_3_0_0 , \ram2e_ufm/wb_we_7_iv_0_0_3_0_1 , + \ram2e_ufm/N_208 , \ram2e_ufm/wb_we_RNO , \ram2e_ufm/wb_we_RNO_0 , + \ram2e_ufm/wb_we , \ram2e_ufm/N_338 , + \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_2_2 , + \ram2e_ufm/un1_CS_0_sqmuxa_0_0_0 , \ram2e_ufm/N_817 , + \ram2e_ufm/CKE_7_sm0 , \ram2e_ufm/N_720_tz , \ram2e_ufm/SUM0_i_0 , + \ram2e_ufm/N_350 , \ram2e_ufm/SUM0_i_3 , \ram2e_ufm/SUM0_i_1 , + \ram2e_ufm/N_187 , \ram2e_ufm/N_755 , \ram2e_ufm/N_345 , + \ram2e_ufm/N_735 , + \ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_a3_0_0[0] , + \ram2e_ufm/N_777 , \ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_0[0] , + \ram2e_ufm/N_250 , \ram2e_ufm/N_256 , \ram2e_ufm/wb_adr_7_i_i_3_1[0] , + \ram2e_ufm/wb_adr_7_i_i_3[0] , \ram2e_ufm/N_254 , \ram2e_ufm/N_876 , + \ram2e_ufm/N_807 , \ram2e_ufm/N_784 , \ram2e_ufm/N_560 , \BA_4[0] , + \ram2e_ufm/N_184 , \ram2e_ufm/N_873 , \ram2e_ufm/N_781 , + \ram2e_ufm/N_625 , \ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0_a3_0_1[0] , + \ram2e_ufm/N_811 , \ram2e_ufm/N_206 , + \ram2e_ufm/wb_dati_7_0_0_a3_8_0[7] , \ram2e_ufm/N_185 , + \ram2e_ufm/un1_CS_0_sqmuxa_0_0_o2 , + \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_0_1 , + \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_1_0_RNIS35S , \ram2e_ufm/N_637 , + \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_1_0 , \ram2e_ufm/N_592 , + \ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0_a3_0_0[0] , \ram2e_ufm/N_634 , + \ram2e_ufm/N_753 , \ram2e_ufm/wb_adr_7_i_i_1[0] , + \ram2e_ufm/wb_dati_7_0_0_a3_6_1[3] , + \ram2e_ufm/wb_dati_7_0_0_0_a3_0[3] , + \ram2e_ufm/wb_dati_7_0_0_a3_1[6] , \ram2e_ufm/N_890 , + \ram2e_ufm/N_220 , \ram2e_ufm/N_196 , \ram2e_ufm/N_243 , \Ain_c[4] , + \Ain_c[6] , \ram2e_ufm/wb_dati_7_0_0_a3_0_0[1] , \ram2e_ufm/N_565 , + \ram2e_ufm/wb_adr_7_i_i_a3_2_0[0] , \ram2e_ufm/CKE_7s2_0_0_0 , + \ram2e_ufm/wb_adr_7_5_41_a3_3_0 , \ram2e_ufm/N_204 , + \ram2e_ufm/N_595 , \ram2e_ufm/nRWE_s_i_0_63_1 , \ram2e_ufm/N_792 , + \ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_o3_3[0] , + \ram2e_ufm/N_553 , nEN80_c, \ram2e_ufm/N_241_i , \ram2e_ufm/N_814 , + N_225_i, N_201_i, N_507_i, N_508, Vout3, \BA_4[1] , \Ain_c[0] , + \Ain_c[7] , nDOE_c, LED_c, RDOE_i, PHI1_c, PHI1r, nVOE_c, N_263_i, + N_667, N_648, N_662, N_666, N_663, N_665, N_664, \RD_in[0] , + \RD_in[7] , \RD_in[6] , \RD_in[5] , \RD_in[4] , \RD_in[3] , + \RD_in[2] , \RD_in[1] , DQMH_c, DQML_c, \RAout_c[11] , \RAout_c[10] , + \RAout_c[9] , \RAout_c[8] , \RAout_c[7] , \RAout_c[6] , \RAout_c[5] , + \RAout_c[4] , \RAout_c[3] , \RAout_c[2] , \RAout_c[1] , \RAout_c[0] , + \BA_c[1] , \BA_c[0] , nRWEout_c, nCASout_c, nRASout_c, CKEout_c, \Vout_c[7] , \Vout_c[6] , \Vout_c[5] , \Vout_c[4] , \Vout_c[3] , - \Vout_c[2] , \Vout_c[1] , \Vout_c[0] , \Dout_c[7] , \Dout_c[6] , - \Dout_c[5] , \Dout_c[4] , \Dout_c[3] , \Dout_c[2] , \Dout_c[1] , - \Dout_c[0] , VCCI; + \Vout_c[2] , \Vout_c[1] , \Vout_c[0] , VCCI; SLICE_0 SLICE_0( .A1(\FS[0] ), .DI1(\FS_s[0] ), .CLK(C14M_c), .F1(\FS_s[0] ), .Q1(\FS[0] ), .FCO(\FS_cry[0] )); @@ -127,428 +191,679 @@ module RAM2E ( C14M, PHI1, LED, nWE, nWE80, nEN80, nC07X, Ain, Din, Dout, nDOE, SLICE_8 SLICE_8( .A1(\FS[2] ), .A0(\FS[1] ), .DI1(\FS_s[2] ), .DI0(\FS_s[1] ), .CLK(C14M_c), .FCI(\FS_cry[0] ), .F0(\FS_s[1] ), .Q0(\FS[1] ), .F1(\FS_s[2] ), .Q1(\FS[2] ), .FCO(\FS_cry[2] )); - SLICE_9 SLICE_9( .D1(Ready), .C1(PHI1reg), .B1(PHI1_c), .C0(RWSel), - .A0(CO0_1), .DI0(\CmdTout_3[0] ), .CE(N_576_i), .CLK(C14M_c), - .F0(\CmdTout_3[0] ), .Q0(CO0_1), .F1(S_1)); - SLICE_10 SLICE_10( .D1(\S_RNII9DO1_0[1] ), .C1(\CS[0] ), .B1(N_461), - .A1(\CS[1] ), .C0(\S_RNII9DO1_0[1] ), .B0(N_461), .A0(\CS[0] ), - .DI1(N_511_i), .DI0(N_504_i), .LSR(un1_CS_0_sqmuxa_i), .CLK(C14M_c), - .F0(N_504_i), .Q0(\CS[0] ), .F1(N_511_i), .Q1(\CS[1] )); - SLICE_11 SLICE_11( .D1(N_461), .C1(\CS[0] ), .B1(\S_RNII9DO1_0[1] ), - .D0(\CS[1] ), .C0(N_637), .A0(\CS[2] ), .DI0(N_510_i), - .LSR(un1_CS_0_sqmuxa_i), .CLK(C14M_c), .F0(N_510_i), .Q0(\CS[2] ), - .F1(N_637)); - SLICE_12 SLICE_12( .D1(\Din_c[0] ), .C1(\Din_c[2] ), .B1(\Din_c[3] ), - .D0(CmdBitbangMXO2_4_u_0_0_a2_0_1), .C0(N_643), .B0(RWSel), - .A0(CmdBitbangMXO2), .DI0(CmdBitbangMXO2_4), .CE(N_576_i), .CLK(C14M_c), - .F0(CmdBitbangMXO2_4), .Q0(CmdBitbangMXO2), - .F1(CmdBitbangMXO2_4_u_0_0_a2_0_1)); - SLICE_13 SLICE_13( .D1(RWSel), .C1(\Din_c[5] ), .B1(\Din_c[7] ), .D0(RWSel), - .C0(N_643), .B0(N_629), .A0(CmdExecMXO2), .DI0(CmdExecMXO2_4), - .CE(N_576_i), .CLK(C14M_c), .F0(CmdExecMXO2_4), .Q0(CmdExecMXO2), - .F1(N_466)); - SLICE_14 SLICE_14( .D1(\Din_c[4] ), .C1(\Din_c[0] ), .B1(\Din_c[1] ), - .A1(N_478), .D0(CmdLEDGet_4_u_0_0_a2_0_2), .C0(RWSel), .B0(N_476), - .A0(CmdLEDGet), .DI0(CmdLEDGet_4), .CE(N_576_i), .CLK(C14M_c), - .F0(CmdLEDGet_4), .Q0(CmdLEDGet), .F1(CmdLEDGet_4_u_0_0_a2_0_2)); - SLICE_15 SLICE_15( .D1(N_476), .C1(\Din_c[1] ), .B1(\Din_c[4] ), .A1(N_626), - .D0(RWSel), .B0(N_605), .A0(CmdLEDSet), .DI0(CmdLEDSet_4), .CE(N_576_i), - .CLK(C14M_c), .F0(CmdLEDSet_4), .Q0(CmdLEDSet), .F1(N_605)); - SLICE_16 SLICE_16( .D1(N_476), .B1(\Din_c[4] ), .A1(\Din_c[1] ), .D0(N_643), - .C0(N_626), .B0(RWSel), .A0(CmdRWMaskSet), .DI0(CmdRWMaskSet_4), - .CE(N_576_i), .CLK(C14M_c), .F0(CmdRWMaskSet_4), .Q0(CmdRWMaskSet), - .F1(N_643)); - SLICE_17 SLICE_17( .D1(N_626), .C1(\Din_c[1] ), .B1(N_476), .A1(\Din_c[4] ), - .C0(N_401), .B0(RWSel), .A0(CmdSetRWBankFFLED), .DI0(CmdSetRWBankFFLED_4), - .CE(N_576_i), .CLK(C14M_c), .F0(CmdSetRWBankFFLED_4), - .Q0(CmdSetRWBankFFLED), .F1(N_401)); - SLICE_18 SLICE_18( .D1(\CS[2] ), .C1(N_474), .B1(\CS[1] ), - .D0(CmdSetRWBankFFMXO2_4_u_0_0_a2_0_0), .C0(RWSel), .B0(N_476), - .A0(CmdSetRWBankFFMXO2), .DI0(CmdSetRWBankFFMXO2_4), .CE(N_576_i), - .CLK(C14M_c), .F0(CmdSetRWBankFFMXO2_4), .Q0(CmdSetRWBankFFMXO2), - .F1(N_476)); - SLICE_19 SLICE_19( .D1(\CmdTout[1] ), .C1(RWSel), .B1(\CmdTout[2] ), - .A1(CO0_1), .D0(\CmdTout[1] ), .C0(RWSel), .A0(CO0_1), .DI1(N_556_i), - .DI0(N_555_i), .CE(N_576_i), .CLK(C14M_c), .F0(N_555_i), .Q0(\CmdTout[1] ), - .F1(N_556_i), .Q1(\CmdTout[2] )); - SLICE_20 SLICE_20( .D1(\S[2] ), .C1(\S[1] ), .B1(\S[0] ), .A1(\S[3] ), - .D0(\S[2] ), .C0(\S[1] ), .B0(\S[0] ), .A0(\S[3] ), .DI0(N_6_i), - .CLK(C14M_c), .F0(N_6_i), .Q0(DOEEN), .F1(N_576_i)); - SLICE_21 SLICE_21( .D1(\S[3] ), .C1(\Ain_c[1] ), .A1(\S[0] ), .D0(\S[3] ), - .C0(\wb_dato[0] ), .A0(\Din_c[0] ), .DI0(LEDEN_RNO), - .CE(\un1_LEDEN_0_sqmuxa_1_i_0[0] ), .CLK(C14M_c), .F0(LEDEN_RNO), - .Q0(LEDEN), .F1(N_558_i)); - SLICE_22 SLICE_22( .C1(\S[0] ), .B1(\Ain_c[3] ), .A1(\S[3] ), .C0(\S[0] ), - .B0(\Ain_c[0] ), .A0(\S[3] ), .DI1(N_552_i), .DI0(N_127_i), - .CE(\S_RNII9DO1_1[1] ), .CLK(C14M_c), .F0(N_127_i), .Q0(\RA_c[0] ), - .F1(N_552_i), .Q1(\RA_c[3] )); - SLICE_23 SLICE_23( .C1(\Din_c[1] ), .B1(\RWMask[1] ), .A1(N_591), - .D0(\RWMask[0] ), .B0(\Din_c[0] ), .A0(N_591), .DI1(\RWBank_5[1] ), - .DI0(\RWBank_5[0] ), .CE(LEDEN13), .CLK(C14M_c), .F0(\RWBank_5[0] ), - .Q0(\RWBank[0] ), .F1(\RWBank_5[1] ), .Q1(\RWBank[1] )); - SLICE_24 SLICE_24( .D1(\RWMask[3] ), .C1(\Din_c[3] ), .A1(N_591), - .C0(\Din_c[2] ), .B0(N_591), .A0(\RWMask[2] ), .DI1(\RWBank_5[3] ), - .DI0(\RWBank_5[2] ), .CE(LEDEN13), .CLK(C14M_c), .F0(\RWBank_5[2] ), - .Q0(\RWBank[2] ), .F1(\RWBank_5[3] ), .Q1(\RWBank[3] )); - SLICE_25 SLICE_25( .C1(\Din_c[5] ), .B1(N_591), .A1(\RWMask[5] ), - .D0(\Din_c[4] ), .B0(N_591), .A0(\RWMask[4] ), .DI1(\RWBank_5[5] ), - .DI0(\RWBank_5[4] ), .CE(LEDEN13), .CLK(C14M_c), .F0(\RWBank_5[4] ), - .Q0(\RWBank[4] ), .F1(\RWBank_5[5] ), .Q1(\RWBank[5] )); - SLICE_26 SLICE_26( .D1(N_591), .C1(\Din_c[7] ), .A1(\RWMask[7] ), .D0(N_591), - .C0(\Din_c[6] ), .B0(\RWMask[6] ), .DI1(\RWBank_5[7] ), - .DI0(\RWBank_5[6] ), .CE(LEDEN13), .CLK(C14M_c), .F0(\RWBank_5[6] ), - .Q0(\RWBank[6] ), .F1(\RWBank_5[7] ), .Q1(\RWBank[7] )); - SLICE_27 SLICE_27( .D1(\Din_c[1] ), .C1(\wb_dato[1] ), .B1(\S[3] ), - .C0(\wb_dato[0] ), .B0(\S[3] ), .A0(\Din_c[0] ), .DI1(N_291_i), - .DI0(N_292_i), .CE(N_88), .CLK(C14M_c), .F0(N_292_i), .Q0(\RWMask[0] ), - .F1(N_291_i), .Q1(\RWMask[1] )); - SLICE_28 SLICE_28( .D1(\S[3] ), .B1(\wb_dato[3] ), .A1(\Din_c[3] ), - .D0(\S[3] ), .C0(\Din_c[2] ), .B0(\wb_dato[2] ), .DI1(N_289_i), - .DI0(N_290_i), .CE(N_88), .CLK(C14M_c), .F0(N_290_i), .Q0(\RWMask[2] ), - .F1(N_289_i), .Q1(\RWMask[3] )); - SLICE_29 SLICE_29( .D1(\wb_dato[5] ), .C1(\Din_c[5] ), .B1(\S[3] ), - .C0(\Din_c[4] ), .B0(\S[3] ), .A0(\wb_dato[4] ), .DI1(N_287_i), - .DI0(N_288_i), .CE(N_88), .CLK(C14M_c), .F0(N_288_i), .Q0(\RWMask[4] ), - .F1(N_287_i), .Q1(\RWMask[5] )); - SLICE_30 SLICE_30( .D1(\S[3] ), .C1(\Din_c[7] ), .A1(\wb_dato[7] ), - .D0(\S[3] ), .C0(\Din_c[6] ), .B0(\wb_dato[6] ), .DI1(N_285), - .DI0(N_286_i), .CE(N_88), .CLK(C14M_c), .F0(N_286_i), .Q0(\RWMask[6] ), - .F1(N_285), .Q1(\RWMask[7] )); - SLICE_31 SLICE_31( .C1(nEN80_c), .B1(nWE_c), .A1(DOEEN), .D0(\RA_c[0] ), - .C0(\RA_c[3] ), .B0(nWE_c), .A0(nC07X_c), .DI0(RWSel_2), .CE(nCS61), - .CLK(C14M_c), .F0(RWSel_2), .Q0(RWSel), .F1(nDOE_c)); - SLICE_32 SLICE_32( .D1(N_489), .C1(\FS[6] ), .B1(Ready_0_sqmuxa_0_a2_6_a2_4), - .A1(\FS[7] ), .C0(Ready_0_sqmuxa), .A0(Ready), .DI0(N_876_0), .CLK(C14M_c), - .F0(N_876_0), .Q0(Ready), .F1(Ready_0_sqmuxa)); - SLICE_33 SLICE_33( .D1(S_1), .C1(N_572), .B1(wb_reqc_1), .A1(N_575), - .D0(\S[1] ), .C0(\S_s_0_1[0] ), .B0(S_1), .A0(\S[0] ), .DI1(N_133_i), - .DI0(\S_s_0[0] ), .CLK(C14M_c), .F0(\S_s_0[0] ), .Q0(\S[0] ), .F1(N_133_i), - .Q1(\S[1] )); - SLICE_34 SLICE_34( .D1(N_575), .C1(S_1), .B1(\S[3] ), .A1(\S[2] ), - .D0(N_575), .C0(S_1), .B0(\S[3] ), .A0(\S[2] ), .DI1(N_129_i), - .DI0(N_131_i), .CLK(C14M_c), .F0(N_131_i), .Q0(\S[2] ), .F1(N_129_i), - .Q1(\S[3] )); - SLICE_35 SLICE_35( .D1(N_388), .C1(wb_adr_7_5_214_0_1), .B1(\Din_c[1] ), - .A1(\S[2] ), .D0(\FS[13] ), .C0(N_642), .B0(\wb_adr_7_0_4[0] ), .A0(N_376), - .DI1(\wb_adr_RNO[1] ), .DI0(\wb_adr_7[0] ), - .CE(\un1_wb_adr_0_sqmuxa_2_i[0] ), .CLK(C14M_c), .F0(\wb_adr_7[0] ), - .Q0(\wb_adr[0] ), .F1(\wb_adr_RNO[1] ), .Q1(\wb_adr[1] )); - SLICE_36 SLICE_36( .D1(\S[2] ), .C1(\Din_c[3] ), .D0(\S[2] ), - .A0(\Din_c[2] ), .DI1(N_41_i), .DI0(N_43_i), - .CE(\un1_wb_adr_0_sqmuxa_2_i[0] ), .CLK(C14M_c), .F0(N_43_i), - .Q0(\wb_adr[2] ), .F1(N_41_i), .Q1(\wb_adr[3] )); - SLICE_37 SLICE_37( .D1(\S[2] ), .B1(\FS[14] ), .A1(\Din_c[5] ), .D0(\S[2] ), - .C0(\Din_c[4] ), .B0(\FS[14] ), .DI1(N_295), .DI0(N_294), - .CE(\un1_wb_adr_0_sqmuxa_2_i[0] ), .CLK(C14M_c), .F0(N_294), - .Q0(\wb_adr[4] ), .F1(N_295), .Q1(\wb_adr[5] )); - SLICE_38 SLICE_38( .D1(\S[2] ), .B1(\Din_c[7] ), .D0(\S[2] ), .C0(\FS[14] ), - .B0(\Din_c[6] ), .DI1(N_39_i), .DI0(N_296), - .CE(\un1_wb_adr_0_sqmuxa_2_i[0] ), .CLK(C14M_c), .F0(N_296), - .Q0(\wb_adr[6] ), .F1(N_39_i), .Q1(\wb_adr[7] )); - SLICE_39 SLICE_39( .D1(N_300), .C1(wb_ack), .B1(\FS[14] ), .A1(\FS[0] ), - .C0(\S[3] ), .B0(N_395), .A0(CmdExecMXO2), .DI0(wb_cyc_stb_RNO), - .CE(N_104), .CLK(C14M_c), .F0(wb_cyc_stb_RNO), .Q0(wb_cyc_stb), .F1(N_395)); - SLICE_40 SLICE_40( .D1(\wb_dati_7_0_0[1] ), .C1(N_627), .B1(N_336), - .A1(N_621), .D0(\wb_adr[0] ), .C0(\wb_dati_7_0_a2_1[0] ), .B0(\S[2] ), - .A0(N_484), .DI1(\wb_dati_7[1] ), .DI0(\wb_dati_7[0] ), - .CE(\un1_wb_adr_0_sqmuxa_2_i[0] ), .CLK(C14M_c), .F0(\wb_dati_7[0] ), - .Q0(\wb_dati[0] ), .F1(\wb_dati_7[1] ), .Q1(\wb_dati[1] )); - SLICE_41 SLICE_41( .D1(\wb_dati_7_0_2[3] ), .C1(\wb_dati_7_0_0[3] ), - .B1(N_336), .D0(\wb_dati_7_0_o2_0[2] ), .C0(\S[2] ), .B0(\wb_adr[2] ), - .A0(N_345), .DI1(\wb_dati_7[3] ), .DI0(\wb_dati_7[2] ), - .CE(\un1_wb_adr_0_sqmuxa_2_i[0] ), .CLK(C14M_c), .F0(\wb_dati_7[2] ), - .Q0(\wb_dati[2] ), .F1(\wb_dati_7[3] ), .Q1(\wb_dati[3] )); - SLICE_42 SLICE_42( .D1(\wb_dati_7_0_o2_0[2] ), .C1(N_345), .B1(\S[2] ), - .A1(\wb_adr[5] ), .D0(N_345), .C0(N_346), .B0(N_349), - .A0(\wb_dati_7_0_0[4] ), .DI1(\wb_dati_7[5] ), .DI0(\wb_dati_7[4] ), - .CE(\un1_wb_adr_0_sqmuxa_2_i[0] ), .CLK(C14M_c), .F0(\wb_dati_7[4] ), - .Q0(\wb_dati[4] ), .F1(\wb_dati_7[5] ), .Q1(\wb_dati[5] )); - SLICE_43 SLICE_43( .D1(\wb_dati_7_0_RNO[7] ), .C1(\wb_dati_7_0_0[7] ), - .B1(N_422), .A1(N_424), .D0(N_627), .B0(\wb_dati_7_0_1[6] ), .A0(N_621), - .DI1(\wb_dati_7[7] ), .DI0(\wb_dati_7[6] ), - .CE(\un1_wb_adr_0_sqmuxa_2_i[0] ), .CLK(C14M_c), .F0(\wb_dati_7[6] ), - .Q0(\wb_dati[6] ), .F1(\wb_dati_7[7] ), .Q1(\wb_dati[7] )); - SLICE_44 SLICE_44( .D1(\FS[12] ), .B1(\FS[13] ), .A1(\FS[11] ), .D0(\S[3] ), - .C0(\FS[14] ), .B0(wb_reqc_1), .A0(N_397), .DI0(wb_reqc_i), - .CE(wb_adr_0_sqmuxa_i), .LSR(\S[2] ), .CLK(C14M_c), .F0(wb_reqc_i), - .Q0(wb_req), .F1(N_397)); - SLICE_45 SLICE_45( .B1(wb_ack), .A1(\FS[14] ), .D0(\FS[15] ), .A0(\FS[14] ), - .DI0(wb_rst8), .LSR(\S_RNII9DO1[1] ), .CLK(C14M_c), .F0(wb_rst8), - .Q0(wb_rst), .F1(N_586)); - SLICE_46 SLICE_46( .D1(\FS[10] ), .C1(\FS[12] ), .B1(\FS[8] ), .A1(\FS[9] ), - .D0(wb_we_7_iv_0_0_0_1), .C0(N_584), .B0(N_475), .A0(\FS[13] ), - .DI0(wb_we_RNO), .CE(\un1_wb_cyc_stb_0_sqmuxa_1_i[0] ), .CLK(C14M_c), - .F0(wb_we_RNO), .Q0(wb_we), .F1(N_584)); - SLICE_47 SLICE_47( .D1(\RWBank[6] ), .C1(\S_RNII9DO1[1] ), .B1(\S[0] ), - .A1(N_255), .D0(\S[2] ), .C0(\S[3] ), .B0(\S[0] ), .A0(\S[1] ), - .F0(\S_RNII9DO1[1] ), .F1(N_358_i)); - SLICE_48 SLICE_48( .D1(\S[2] ), .C1(\S[0] ), .B1(\S[3] ), .A1(\S[1] ), - .D0(N_635), .C0(N_254), .B0(\S[0] ), .A0(Vout3), .F0(nCAS_s_i_tz_0), - .F1(Vout3)); - SLICE_49 SLICE_49( .D1(un1_CS_0_sqmuxa_0_0_a2_1_4), .C1(\Din_c[6] ), - .B1(RWSel), .A1(\CS[0] ), .D0(\CS[2] ), .C0(N_327), .B0(RWSel), - .A0(\CS[1] ), .F0(un1_CS_0_sqmuxa_0_0_0), .F1(N_327)); - SLICE_50 SLICE_50( .D1(\FS[10] ), .C1(\FS[11] ), .B1(\FS[8] ), .A1(\FS[9] ), - .D0(\wb_dati_7_0_a2_0_1[7] ), .C0(N_621), .B0(N_579), .A0(\FS[9] ), - .F0(\wb_dati_7_0_RNO[7] ), .F1(\wb_dati_7_0_a2_0_1[7] )); - SLICE_51 SLICE_51( .D1(\S[3] ), .C1(CKE_6_iv_i_a2_0), .B1(\S[2] ), - .A1(wb_reqc_1), .D0(N_489), .C0(CKE_6_iv_i_0_1), .B0(\S[3] ), - .A0(\FS[15] ), .F0(CKE_6_iv_i_0), .F1(CKE_6_iv_i_0_1)); - SLICE_52 SLICE_52( .D1(N_300), .C1(N_449), .B1(wb_req), .A1(\FS[0] ), - .D0(N_364), .C0(N_449), .B0(N_365), .A0(N_586), .F0(N_104), .F1(N_365)); - SLICE_53 SLICE_53( .D1(\S[2] ), .C1(RWSel), .B1(\S[3] ), .A1(\FS[15] ), - .D0(\S[2] ), .C0(\un1_wb_adr_0_sqmuxa_2_1[0] ), .B0(wb_reqc_1), - .A0(CmdExecMXO2), .F0(\un1_wb_cyc_stb_0_sqmuxa_1_i[0] ), - .F1(\un1_wb_adr_0_sqmuxa_2_1[0] )); - SLICE_54 SLICE_54( .D1(\Din_c[0] ), .C1(\CS[1] ), .B1(\Din_c[2] ), - .A1(\Din_c[1] ), .D0(N_616), .C0(\CS[2] ), .B0(N_623), .A0(\Din_c[1] ), - .F0(N_279), .F1(N_623)); - SLICE_55 SLICE_55( .D1(\FS[5] ), .C1(N_264), .B1(N_633), .A1(\FS[4] ), - .D0(\FS[5] ), .C0(\FS[1] ), .B0(\FS[2] ), .A0(\FS[3] ), .F0(N_633), - .F1(N_570)); - SLICE_56 SLICE_56( .D1(\FS[15] ), .C1(\S_RNII9DO1[1] ), .A1(\FS[14] ), - .D0(N_452), .C0(\FS[13] ), .A0(\FS[12] ), .F0(N_621), .F1(N_452)); - SLICE_57 SLICE_57( .D1(RWSel), .C1(wb_ack), .B1(\S_RNII9DO1_0[1] ), - .A1(CmdExecMXO2), .D0(\S[2] ), .C0(\S[1] ), .B0(\S[0] ), .A0(\S[3] ), - .F0(\S_RNII9DO1_0[1] ), .F1(N_364)); - SLICE_58 SLICE_58( .D1(N_455), .C1(N_644), .B1(\wb_dati_7_0_a2_2_1[3] ), - .A1(\FS[12] ), .D0(\FS[8] ), .C0(\FS[9] ), .B0(\FS[10] ), .A0(\FS[11] ), - .F0(\wb_dati_7_0_a2_2_1[3] ), .F1(\wb_dati_7_0_2[3] )); - SLICE_59 SLICE_59( .D1(DQML_s_i_a2_0), .C1(\S_RNII9DO1[1] ), .B1(nCS61), - .A1(\RWBank[6] ), .D0(\S[3] ), .C0(\S[0] ), .B0(\S[1] ), .A0(\S[2] ), - .F0(DQML_s_i_a2_0), .F1(N_28_i)); - SLICE_60 SLICE_60( .D1(N_569), .C1(wb_adr_7_5_214_a2_2_0), .B1(N_577), - .A1(N_475), .C0(\FS[12] ), .B0(\FS[10] ), .A0(\FS[13] ), - .F0(wb_adr_7_5_214_a2_2_0), .F1(wb_adr_7_5_214_0_1)); - SLICE_61 SLICE_61( .D1(\FS[12] ), .C1(N_634), .B1(\FS[13] ), .D0(\FS[8] ), - .C0(\FS[10] ), .B0(\FS[9] ), .A0(\FS[11] ), .F0(N_634), - .F1(\wb_dati_7_0_a2_2_0[1] )); - SLICE_62 SLICE_62( .D1(N_475), .C1(\FS[11] ), .B1(N_265_i), .A1(\FS[12] ), - .D0(\FS[10] ), .C0(\FS[9] ), .B0(\FS[8] ), .F0(N_265_i), .F1(N_388)); - SLICE_63 SLICE_63( .D1(\FS[7] ), .C1(N_264), .B1(\FS[6] ), .A1(N_254), - .C0(\FS[1] ), .B0(\FS[2] ), .A0(\FS[3] ), .F0(N_264), .F1(N_300)); - SLICE_64 SLICE_64( .C1(\FS[11] ), .B1(\FS[8] ), .A1(\FS[9] ), .D0(\FS[10] ), - .C0(N_577), .B0(\FS[12] ), .A0(wb_ack), - .F0(\un1_RWMask_0_sqmuxa_1_i_a2_0_1[0] ), .F1(N_577)); - SLICE_65 SLICE_65( .D1(\FS[8] ), .B1(\FS[11] ), .A1(\FS[9] ), .D0(\FS[10] ), - .C0(\FS[12] ), .B0(\wb_dati_7_0_a2_0[6] ), .A0(\FS[13] ), - .F0(\wb_dati_7_0_a2_4_0[7] ), .F1(\wb_dati_7_0_a2_0[6] )); - SLICE_66 SLICE_66( .C1(\S[2] ), .A1(\FS[14] ), .D0(N_475), .C0(\FS[12] ), - .B0(\FS[11] ), .A0(\FS[13] ), .F0(N_393), .F1(N_475)); - SLICE_67 SLICE_67( .C1(\S[1] ), .A1(\S[0] ), .D0(\S[3] ), .C0(wb_reqc_1), - .B0(\S[2] ), .A0(RWSel), .F0(LEDEN13), .F1(wb_reqc_1)); - SLICE_68 SLICE_68( .D1(N_455), .C1(N_627), .B1(\wb_adr[3] ), .A1(\S[2] ), - .D0(\FS[11] ), .C0(\FS[8] ), .B0(\FS[10] ), .A0(\FS[9] ), .F0(N_627), - .F1(\wb_dati_7_0_0[3] )); - SLICE_69 SLICE_69( .D1(nCAS_0_sqmuxa), .C1(N_639), .B1(N_255), - .A1(\RWBank[2] ), .D0(\S[1] ), .C0(\S[2] ), .B0(\S[3] ), .A0(\S[0] ), - .F0(N_639), .F1(\RA_42[10] )); - SLICE_70 SLICE_70( .D1(N_455), .C1(\FS[12] ), .B1(N_627), .A1(N_644), - .D0(\FS[10] ), .C0(\FS[11] ), .B0(\FS[8] ), .A0(\FS[9] ), .F0(N_644), - .F1(N_345)); - SLICE_71 SLICE_71( .D1(N_640), .C1(N_633), .B1(nCS61), .A1(\FS[4] ), - .D0(\S[1] ), .C0(\S[3] ), .B0(\S[0] ), .A0(\S[2] ), .F0(nCS61), - .F1(un1_nCS61_1_i)); - SLICE_72 SLICE_72( .D1(Ready_0_sqmuxa_0_a2_6_a2_2), .C1(\FS[5] ), - .B1(\FS[3] ), .A1(N_449), .D0(\FS[15] ), .C0(\S[2] ), .B0(wb_reqc_1), - .A0(\S[3] ), .F0(N_449), .F1(Ready_0_sqmuxa_0_a2_6_a2_4)); - SLICE_73 SLICE_73( .D1(N_562), .C1(\FS[12] ), .B1(N_455), .A1(\FS[11] ), - .D0(\FS[15] ), .C0(\FS[14] ), .B0(\S_RNII9DO1[1] ), .A0(\FS[13] ), - .F0(N_455), .F1(N_377)); - SLICE_74 SLICE_74( .D1(N_484), .B1(\FS[11] ), .A1(\FS[9] ), .D0(\FS[13] ), - .C0(\FS[12] ), .B0(\FS[10] ), .A0(N_642), .F0(N_346), .F1(N_642)); - SLICE_75 SLICE_75( .D1(N_489), .C1(\FS[6] ), .B1(\FS[7] ), .A1(\FS[0] ), - .D0(\FS[15] ), .C0(N_628), .A0(\S_RNII9DO1[1] ), .F0(N_640), .F1(N_628)); - SLICE_76 SLICE_76( .B1(\FS[5] ), .A1(\FS[4] ), .D0(N_264), .C0(N_254), - .B0(N_628), .A0(N_449), .F0(nCAS_0_sqmuxa), .F1(N_254)); - SLICE_77 SLICE_77( .D1(\Din_c[6] ), .C1(\CS[0] ), .A1(N_466), - .D0(un1_CS_0_sqmuxa_0_0_2), .C0(N_474), .B0(un1_CS_0_sqmuxa_0_0_a2_3_2), - .A0(un1_CS_0_sqmuxa_0_0_3), .F0(un1_CS_0_sqmuxa_i), .F1(N_474)); - SLICE_78 SLICE_78( .C1(N_633), .A1(\FS[4] ), .D0(nCAS_0_sqmuxa), .C0(N_640), - .B0(nCAS_s_i_tz_0), .A0(N_567), .F0(N_561_i), .F1(N_567)); - SLICE_79 SLICE_79( .D1(\S[2] ), .C1(\S[3] ), .B1(nEN80_c), .A1(\S[1] ), - .C0(nCS_6_u_i_0), .A0(N_559_1), .F0(N_559_i), .F1(nCS_6_u_i_0)); - SLICE_80 SLICE_80( .D1(\S[1] ), .C1(\S[2] ), .B1(\S[3] ), .D0(N_559_1), - .C0(N_635), .B0(\S[0] ), .F0(nRAS_2_iv_i), .F1(N_635)); - SLICE_81 SLICE_81( .D1(\Din_c[4] ), .C1(\Din_c[3] ), .B1(\Din_c[6] ), - .A1(\CS[0] ), .D0(N_466), .C0(un1_CS_0_sqmuxa_0_0_0), - .B0(un1_CS_0_sqmuxa_0_0_a2_1), .A0(N_279), .F0(un1_CS_0_sqmuxa_0_0_2), - .F1(un1_CS_0_sqmuxa_0_0_a2_1)); - SLICE_82 SLICE_82( .D1(\CmdTout[1] ), .C1(RWSel), .B1(CO0_1), - .A1(\CmdTout[2] ), .D0(N_328), .C0(N_330), .B0(N_461), - .A0(\S_RNII9DO1_0[1] ), .F0(un1_CS_0_sqmuxa_0_0_3), .F1(N_461)); - SLICE_83 SLICE_83( .D1(\S[0] ), .C1(\S[3] ), .B1(\FS[15] ), .A1(\S[2] ), - .D0(N_429), .C0(N_570), .B0(N_628), .A0(nCS_6_u_i_a2_1), .F0(N_559_1), - .F1(nCS_6_u_i_a2_1)); - SLICE_84 SLICE_84( .D1(\FS[10] ), .C1(\FS[12] ), .B1(N_455), - .A1(\wb_dati_7_0_a2_0[6] ), .D0(\S[2] ), .C0(N_351), .B0(\wb_adr[6] ), - .A0(N_346), .F0(\wb_dati_7_0_1[6] ), .F1(N_351)); - SLICE_85 SLICE_85( .D1(\FS[8] ), .C1(N_579), .B1(\wb_adr_7_0_a2_5_0[0] ), - .A1(N_452), .D0(\wb_adr_7_0_0[0] ), .C0(N_378), .B0(\wb_adr_7_0_1[0] ), - .A0(N_377), .F0(\wb_adr_7_0_4[0] ), .F1(\wb_adr_7_0_1[0] )); - SLICE_86 SLICE_86( .D1(\FS[15] ), .C1(\S_RNII9DO1[1] ), .B1(\FS[8] ), - .A1(\FS[14] ), .D0(CmdLEDSet), .C0(N_484), - .B0(\un1_LEDEN_0_sqmuxa_1_i_a2_0_1[0] ), .A0(LEDEN13), - .F0(\un1_LEDEN_0_sqmuxa_1_i_0[0] ), .F1(N_484)); - SLICE_87 SLICE_87( .D1(un1_CS_0_sqmuxa_0_0_a2_4_2), .C1(\Din_c[6] ), - .B1(\CS[0] ), .A1(RWSel), .D0(\CS[1] ), .C0(un1_CS_0_sqmuxa_0_0_a2_4_4), - .A0(\CS[2] ), .F0(N_330), .F1(un1_CS_0_sqmuxa_0_0_a2_4_4)); - SLICE_88 SLICE_88( .D1(\FS[13] ), .B1(\FS[12] ), .D0(N_452), .C0(N_569), - .B0(N_634), .A0(N_336), .F0(\wb_dati_7_0_o2_0[2] ), .F1(N_569)); - SLICE_89 SLICE_89( .D1(\FS[10] ), .B1(\FS[11] ), .D0(\FS[9] ), .C0(N_455), - .B0(\FS[8] ), .A0(N_579), .F0(N_378), .F1(N_579)); - SLICE_90 SLICE_90( .D1(\FS[13] ), .C1(\FS[11] ), .B1(\FS[10] ), .A1(\FS[9] ), - .C0(\FS[12] ), .B0(N_565), .A0(N_484), .F0(N_336), .F1(N_565)); - SLICE_91 SLICE_91( .D1(\Din_c[6] ), .C1(un1_CS_0_sqmuxa_0_0_a2_2_2), - .B1(\CS[0] ), .A1(\CS[2] ), .D0(\Din_c[7] ), - .C0(un1_CS_0_sqmuxa_0_0_a2_2_4), .B0(RWSel), .F0(N_328), - .F1(un1_CS_0_sqmuxa_0_0_a2_2_4)); - SLICE_92 SLICE_92( .D1(\FS[11] ), .C1(\FS[12] ), .B1(N_562), .A1(\FS[13] ), - .D0(\Din_c[0] ), .C0(\S[2] ), .B0(\wb_adr_7_0_a2_0[0] ), .A0(N_452), - .F0(\wb_adr_7_0_0[0] ), .F1(\wb_adr_7_0_a2_0[0] )); - SLICE_93 SLICE_93( .D1(N_616), .C1(\Din_c[5] ), .B1(\Din_c[1] ), - .A1(\Din_c[4] ), .D0(\CS[1] ), .C0(\Din_c[3] ), - .B0(un1_CS_0_sqmuxa_0_0_a2_1_2), .A0(\Din_c[7] ), - .F0(un1_CS_0_sqmuxa_0_0_a2_1_4), .F1(un1_CS_0_sqmuxa_0_0_a2_1_2)); - SLICE_94 SLICE_94( .D1(\Din_c[0] ), .C1(\Din_c[3] ), .B1(\Din_c[2] ), - .A1(\Din_c[1] ), .D0(\CS[2] ), .C0(un1_CS_0_sqmuxa_0_0_a2_3_0), - .B0(\Din_c[4] ), .A0(\CS[1] ), .F0(un1_CS_0_sqmuxa_0_0_a2_3_2), - .F1(un1_CS_0_sqmuxa_0_0_a2_3_0)); - SLICE_95 SLICE_95( .D1(\FS[10] ), .C1(\FS[12] ), .B1(N_577), .A1(N_475), - .D0(N_394), .C0(\Din_c[0] ), .B0(\S[2] ), .A0(N_393), - .F0(wb_we_7_iv_0_0_0_1), .F1(N_394)); - SLICE_96 SLICE_96( .C1(\S[1] ), .B1(\S[2] ), .A1(\S[3] ), .D0(\S[0] ), - .C0(\RWBank[7] ), .B0(N_255), .A0(\RWBank[0] ), .F0(N_49_i), .F1(N_255)); - SLICE_97 SLICE_97( .D1(\FS[12] ), .C1(\FS[10] ), .D0(N_577), .C0(N_456), - .B0(\FS[13] ), .A0(\FS[14] ), .F0(N_489), .F1(N_456)); - SLICE_98 SLICE_98( .D1(\Din_c[0] ), .C1(\Din_c[2] ), .B1(\Din_c[3] ), - .D0(N_477), .C0(N_626), .B0(\Din_c[5] ), .A0(\Din_c[7] ), - .F0(un1_CS_0_sqmuxa_0_0_a2_4_2), .F1(N_626)); - SLICE_99 SLICE_99( .C1(\Din_c[2] ), .B1(\Din_c[3] ), .D0(\Din_c[0] ), - .C0(N_478), .B0(\Din_c[5] ), .A0(N_477), .F0(un1_CS_0_sqmuxa_0_0_a2_2_2), - .F1(N_478)); - SLICE_100 SLICE_100( .D1(\Din_c[0] ), .C1(\Din_c[3] ), .B1(\Din_c[2] ), - .D0(\Din_c[1] ), .C0(N_629), .A0(\Din_c[4] ), - .F0(CmdSetRWBankFFMXO2_4_u_0_0_a2_0_0), .F1(N_629)); - SLICE_101 SLICE_101( .D1(\S[1] ), .C1(\S[2] ), .B1(\S[3] ), .A1(\S[0] ), - .D0(\S[1] ), .C0(\S[2] ), .B0(\S[3] ), .A0(\S[0] ), .F0(\S_RNII9DO1_1[1] ), - .F1(N_566_i)); - SLICE_102 SLICE_102( .D1(\S[1] ), .C1(\S[0] ), .B1(\S[3] ), .A1(\S[2] ), - .D0(\S[1] ), .C0(\RWBank[4] ), .B0(\S[3] ), .A0(\S[2] ), .F0(\BA_4[0] ), - .F1(\S_s_0_1[0] )); - SLICE_103 SLICE_103( .D1(\RWBank[3] ), .C1(wb_reqc_1), .B1(\S[2] ), - .A1(\S[3] ), .D0(wb_reqc_1), .C0(\FS[15] ), .B0(\S[2] ), .A0(\S[3] ), - .F0(wb_adr_0_sqmuxa_i), .F1(\RA_42[11] )); - SLICE_104 SLICE_104( .D1(N_621), .C1(\FS[8] ), .B1(\FS[9] ), .A1(\FS[11] ), - .D0(N_621), .C0(\FS[10] ), .B0(\FS[9] ), .A0(\FS[11] ), .F0(N_376), - .F1(N_349)); - SLICE_105 SLICE_105( .D1(wb_ack), .C1(\FS[9] ), .B1(N_579), .A1(N_569), - .D0(N_569), .C0(\FS[9] ), .B0(N_579), .A0(N_484), .F0(N_424), - .F1(\un1_LEDEN_0_sqmuxa_1_i_a2_0_1[0] )); - SLICE_106 SLICE_106( .D1(\S[1] ), .C1(\S[0] ), .D0(\S[1] ), .C0(\S[0] ), - .B0(nEN80_c), .F0(CKE_6_iv_i_a2_0), .F1(N_575)); - SLICE_107 SLICE_107( .C1(\S[2] ), .B1(\S[3] ), .D0(\RWBank[5] ), .C0(\S[2] ), - .B0(\S[3] ), .A0(\S[1] ), .F0(\BA_4[1] ), .F1(N_572)); - SLICE_108 SLICE_108( .D1(\FS[10] ), .C1(\FS[12] ), .A1(\FS[9] ), - .D0(\FS[10] ), .C0(\FS[12] ), .B0(\FS[13] ), .A0(N_642), .F0(N_422), - .F1(\wb_adr_7_0_a2_5_0[0] )); - SLICE_109 SLICE_109( .D1(N_452), .C1(\wb_adr[7] ), - .B1(\wb_dati_7_0_a2_4_0[7] ), .A1(\S[2] ), .D0(N_452), - .C0(\wb_dati_7_0_a2_2_0[1] ), .B0(\wb_adr[1] ), .A0(\S[2] ), - .F0(\wb_dati_7_0_0[1] ), .F1(\wb_dati_7_0_0[7] )); - SLICE_110 SLICE_110( .D1(\S[2] ), .C1(\RWBank[1] ), .B1(wb_reqc_1), - .A1(\S[3] ), .D0(\S[2] ), .C0(CmdBitbangMXO2), .B0(wb_reqc_1), - .A0(\un1_wb_adr_0_sqmuxa_2_1[0] ), .F0(\un1_wb_adr_0_sqmuxa_2_i[0] ), - .F1(N_59_i)); - SLICE_111 SLICE_111( .D1(\S[3] ), .B1(\Ain_c[5] ), .A1(\S[0] ), .D0(\S[3] ), - .C0(\Ain_c[4] ), .A0(\S[0] ), .F0(N_551_i), .F1(\RA_42_3_0[5] )); - SLICE_112 SLICE_112( .D1(\S[3] ), .C1(N_254), .B1(\S[1] ), .A1(\S[0] ), - .D0(\S[3] ), .B0(\Ain_c[6] ), .A0(\S[0] ), .F0(N_550_i), .F1(N_429)); - SLICE_113 SLICE_113( .D1(\S[3] ), .C1(\Ain_c[2] ), .A1(\S[0] ), .D0(\S[3] ), - .C0(\Ain_c[7] ), .A0(\S[0] ), .F0(N_549_i), .F1(N_553_i)); - SLICE_114 SLICE_114( .D1(\wb_adr[4] ), .C1(\S[2] ), .B1(N_455), .A1(N_634), - .D0(CmdRWMaskSet), .C0(\un1_RWMask_0_sqmuxa_1_i_a2_0_1[0] ), .B0(N_455), - .A0(LEDEN13), .F0(N_88), .F1(\wb_dati_7_0_0[4] )); - SLICE_115 SLICE_115( .D1(nEN80_c), .C1(nWE80_c), .D0(\S[0] ), .C0(nWE80_c), - .B0(un1_nCS61_1_i), .A0(nCAS_0_sqmuxa), .F0(nRWE_r_0), .F1(RDOE_i)); - SLICE_116 SLICE_116( .B1(\FS[8] ), .A1(\FS[9] ), .D0(N_456), .C0(\FS[13] ), - .B0(\FS[11] ), .A0(\FS[9] ), .F0(\wb_dati_7_0_a2_1[0] ), .F1(N_562)); - SLICE_117 SLICE_117( .D1(CmdSetRWBankFFLED), .C1(CmdLEDGet), - .B1(CmdSetRWBankFFMXO2), .A1(LEDEN), .D0(LEDEN), .B0(nEN80_c), .F0(LED_c), - .F1(N_591)); - SLICE_118 SLICE_118( .C1(\Din_c[4] ), .B1(\Din_c[1] ), .D0(\Din_c[0] ), - .C0(\Din_c[2] ), .F0(N_616), .F1(N_477)); - SLICE_119 SLICE_119( .D0(\FS[0] ), .C0(\FS[1] ), .B0(\FS[2] ), .A0(\FS[4] ), - .F0(Ready_0_sqmuxa_0_a2_6_a2_2)); - RD_0_ \RD[0]_I ( .PADDI(\RD_in[0] ), .PADDT(RDOE_i), .PADDO(\Din_c[0] ), + SLICE_9 SLICE_9( .D1(\S[1] ), .C1(\FS[15] ), .B1(\S[0] ), .A1(N_551), + .D0(\S[1] ), .C0(\S[0] ), .B0(\ram2e_ufm/CKE_7 ), .A0(N_551), + .DI0(CKE_7_RNIS77M1), .CLK(C14M_c), .F0(CKE_7_RNIS77M1), .Q0(CKE), + .F1(\ram2e_ufm/wb_adr_0_sqmuxa_1_i )); + SLICE_10 SLICE_10( .B0(RWSel), .A0(CO0_0), .DI0(\CmdTout_3[0] ), + .CE(N_185_i), .CLK(C14M_c), .F0(\CmdTout_3[0] ), .Q0(CO0_0), .F1(GND)); + SLICE_11 SLICE_11( .D1(\RC[2] ), .A1(CO0_1), .D0(\RC[2] ), .B0(\RC[1] ), + .A0(CO0_1), .DI0(N_360_i), .CE(RC12), .CLK(C14M_c), .F0(N_360_i), + .Q0(CO0_1), .F1(\ram2e_ufm/N_821 )); + SLICE_12 SLICE_12( .D1(\ram2e_ufm/SUM1_0_0 ), .C1(\ram2e_ufm/SUM0_i_a3_4_0 ), + .B1(\ram2e_ufm/N_886 ), .A1(\ram2e_ufm/N_215 ), .D0(\CS[1] ), + .C0(\ram2e_ufm/SUM0_i_4 ), .B0(\ram2e_ufm/N_215 ), .A0(\CS[2] ), + .DI1(CmdExecMXO2_3_0_a3_0_RNI6S1P8), .DI0(N_547_i), + .LSR(un1_CS_0_sqmuxa_i), .CLK(C14M_c), .F0(N_547_i), .Q0(\CS[0] ), + .F1(CmdExecMXO2_3_0_a3_0_RNI6S1P8), .Q1(\CS[1] )); + SLICE_13 SLICE_13( .D1(\CS[2] ), .C1(\CS[1] ), .B1(\ram2e_ufm/N_234 ), + .A1(\ram2e_ufm/N_215 ), .D0(\ram2e_ufm/N_215 ), .C0(\CS[1] ), + .B0(\ram2e_ufm/N_234 ), .A0(\CS[2] ), + .DI0(CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514), .LSR(un1_CS_0_sqmuxa_i), + .CLK(C14M_c), .F0(CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514), .Q0(\CS[2] ), + .F1(\ram2e_ufm/SUM1_0_0 )); + SLICE_14 SLICE_14( .D1(\Din_c[3] ), .C1(\Din_c[5] ), .A1(\ram2e_ufm/N_800 ), + .D0(\ram2e_ufm/CmdLEDGet_3_0_a3_1 ), .C0(\Din_c[1] ), + .B0(\ram2e_ufm/N_847 ), .A0(\Din_c[2] ), .DI0(CmdLEDGet_3), .CE(N_187_i), + .CLK(C14M_c), .F0(CmdLEDGet_3), .Q0(CmdLEDGet), .F1(\ram2e_ufm/N_847 )); + SLICE_15 SLICE_15( .D1(\Din_c[7] ), .B1(\CS[2] ), .A1(\Din_c[1] ), + .D0(\ram2e_ufm/N_883 ), .C0(\Din_c[4] ), .B0(\Din_c[7] ), .A0(\Din_c[1] ), + .DI0(CmdLEDSet_3), .CE(N_187_i), .CLK(C14M_c), .F0(CmdLEDSet_3), + .Q0(CmdLEDSet), .F1(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_5_1 )); + SLICE_16 SLICE_16( .D1(\Din_c[7] ), .C1(\Din_c[5] ), .B1(\Din_c[3] ), + .A1(\Din_c[1] ), .D0(\ram2e_ufm/N_883 ), .C0(\Din_c[4] ), .B0(\Din_c[7] ), + .A0(\Din_c[1] ), .DI0(CmdRWMaskSet_3), .CE(N_187_i), .CLK(C14M_c), + .F0(CmdRWMaskSet_3), .Q0(CmdRWMaskSet), .F1(\ram2e_ufm/N_850 )); + SLICE_17 SLICE_17( .D1(\Din_c[2] ), .C1(\Din_c[0] ), .B1(\ram2e_ufm/N_847 ), + .D0(\Din_c[1] ), .C0(\ram2e_ufm/N_883 ), .B0(\Din_c[7] ), .A0(\Din_c[4] ), + .DI0(CmdSetRWBankFFLED_4), .CE(N_187_i), .CLK(C14M_c), + .F0(CmdSetRWBankFFLED_4), .Q0(CmdSetRWBankFFLED), .F1(\ram2e_ufm/N_883 )); + SLICE_18 SLICE_18( .D1(RWSel), .C1(CO0_0), .B1(\CmdTout[1] ), + .A1(\CmdTout[2] ), .D0(RWSel), .B0(CO0_0), .A0(\CmdTout[1] ), + .DI1(N_369_i), .DI0(N_368_i), .CE(N_185_i), .CLK(C14M_c), .F0(N_368_i), + .Q0(\CmdTout[1] ), .F1(N_369_i), .Q1(\CmdTout[2] )); + SLICE_19 SLICE_19( .C1(\CS[1] ), .B1(\CS[2] ), .B0(\RA[1] ), + .A0(\ram2e_ufm/N_186 ), .M0(\S[3] ), .LSR(N_1080_0), .CLK(C14M_c), + .F0(\ram2e_ufm/N_660 ), .Q0(DOEEN), .F1(\ram2e_ufm/N_193 )); + SLICE_20 SLICE_20( .D1(\ram2e_ufm/N_182 ), .C1(\Ain_c[1] ), + .B1(\ram2e_ufm/N_660 ), .A1(\ram2e_ufm/N_659 ), + .D0(\ram2e_ufm/RA_35_0_0_1[0] ), .C0(\ram2e_ufm/N_801 ), .B0(\FS[7] ), + .A0(\ram2e_ufm/N_684 ), .DI1(N_223), .DI0(\RA_35[0] ), .CE(N_126), + .CLK(C14M_c), .F0(\RA_35[0] ), .Q0(\RA[0] ), .F1(N_223), .Q1(\RA[1] )); + SLICE_21 SLICE_21( .D1(\ram2e_ufm/RA_35_0_0_0[3] ), .B1(\FS[10] ), + .A1(\ram2e_ufm/N_801 ), .D0(\ram2e_ufm/N_680 ), .C0(\ram2e_ufm/N_679 ), + .B0(\ram2e_ufm/N_182 ), .A0(\Ain_c[2] ), .DI1(\RA_35[3] ), + .DI0(\RA_35[2] ), .CE(N_126), .CLK(C14M_c), .F0(\RA_35[2] ), .Q0(\RA[2] ), + .F1(\RA_35[3] ), .Q1(\RA[3] )); + SLICE_22 SLICE_22( .D1(\ram2e_ufm/N_182 ), .C1(\Ain_c[5] ), + .B1(\ram2e_ufm/RA_35_0_0_0[5] ), .A1(\ram2e_ufm/N_621 ), + .D0(\ram2e_ufm/RA_35_0_0_0[4] ), .C0(\FS[11] ), .A0(\ram2e_ufm/N_801 ), + .DI1(\RA_35[5] ), .DI0(\RA_35[4] ), .CE(N_126), .CLK(C14M_c), + .F0(\RA_35[4] ), .Q0(\RA[4] ), .F1(\RA_35[5] ), .Q1(\RA[5] )); + SLICE_23 SLICE_23( .C1(\ram2e_ufm/RA_35_0_0_0_0[7] ), .B1(\FS[14] ), + .A1(\ram2e_ufm/N_801 ), .C0(\ram2e_ufm/RA_35_0_0_0_0[6] ), .B0(\FS[13] ), + .A0(\ram2e_ufm/N_801 ), .DI1(\RA_35[7] ), .DI0(\RA_35[6] ), .CE(N_126), + .CLK(C14M_c), .F0(\RA_35[6] ), .Q0(\RA[6] ), .F1(\RA_35[7] ), .Q1(\RA[7] )); + SLICE_24 SLICE_24( .D1(\ram2e_ufm/N_242 ), .C1(\ram2e_ufm/RA_35_0_0_0[9] ), + .A1(\RA[9] ), .D0(\ram2e_ufm/N_699 ), .C0(\ram2e_ufm/N_221 ), + .B0(\ram2e_ufm/N_698 ), .A0(\RA[8] ), .DI1(\RA_35[9] ), + .DI0(un2_S_2_i_0_0_o3_RNIHFHN3), .CE(N_126), .CLK(C14M_c), + .F0(un2_S_2_i_0_0_o3_RNIHFHN3), .Q0(\RA[8] ), .F1(\RA_35[9] ), + .Q1(\RA[9] )); + SLICE_25 SLICE_25( .D1(\ram2e_ufm/N_845 ), .C1(\ram2e_ufm/N_242 ), + .B1(\RWBank[4] ), .A1(\RA[11] ), .D0(\ram2e_ufm/N_628 ), + .C0(\ram2e_ufm/N_627 ), .B0(\ram2e_ufm/N_624 ), + .A0(\ram2e_ufm/RA_35_2_0_0[10] ), .DI1(\RA_35[11] ), .DI0(\RA_35[10] ), + .CE(N_126), .CLK(C14M_c), .F0(\RA_35[10] ), .Q0(\RA[10] ), + .F1(\RA_35[11] ), .Q1(\RA[11] )); + SLICE_26 SLICE_26( .D1(CO0_1), .B1(\RC[1] ), .A1(\RC[2] ), .D0(\RC[2] ), + .B0(\RC[1] ), .A0(CO0_1), .DI1(\RC_3[2] ), .DI0(\RC_3[1] ), .CE(RC12), + .CLK(C14M_c), .F0(\RC_3[1] ), .Q0(\RC[1] ), .F1(\RC_3[2] ), .Q1(\RC[2] )); + SLICE_27 SLICE_27( .D1(\ram2e_ufm/N_188 ), .C1(\Din_c[1] ), + .B1(\ram2e_ufm/RWMask[1] ), .D0(\ram2e_ufm/N_188 ), .C0(\Din_c[0] ), + .B0(\ram2e_ufm/RWMask[0] ), .DI1(\RWBank_3[1] ), .DI0(\RWBank_3[0] ), + .CE(N_187_i), .CLK(C14M_c), .F0(\RWBank_3[0] ), .Q0(\RWBank[0] ), + .F1(\RWBank_3[1] ), .Q1(\RWBank[1] )); + SLICE_28 SLICE_28( .C1(\ram2e_ufm/RWMask[3] ), .B1(\Din_c[3] ), + .A1(\ram2e_ufm/N_188 ), .D0(\ram2e_ufm/RWMask[2] ), .B0(\Din_c[2] ), + .A0(\ram2e_ufm/N_188 ), .DI1(\RWBank_3[3] ), .DI0(\RWBank_3[2] ), + .CE(N_187_i), .CLK(C14M_c), .F0(\RWBank_3[2] ), .Q0(\RWBank[2] ), + .F1(\RWBank_3[3] ), .Q1(\RWBank[3] )); + SLICE_29 SLICE_29( .D1(\ram2e_ufm/N_188 ), .B1(\Din_c[5] ), + .A1(\ram2e_ufm/RWMask[5] ), .D0(\ram2e_ufm/N_188 ), .C0(\Din_c[4] ), + .A0(\ram2e_ufm/RWMask[4] ), .DI1(\RWBank_3[5] ), .DI0(\RWBank_3[4] ), + .CE(N_187_i), .CLK(C14M_c), .F0(\RWBank_3[4] ), .Q0(\RWBank[4] ), + .F1(\RWBank_3[5] ), .Q1(\RWBank[5] )); + SLICE_30 SLICE_30( .D1(\ram2e_ufm/N_188 ), .B1(\ram2e_ufm/RWMask[7] ), + .A1(\Din_c[7] ), .D0(\ram2e_ufm/N_188 ), .C0(\ram2e_ufm/RWMask[6] ), + .A0(\Din_c[6] ), .DI1(\RWBank_3[7] ), .DI0(\RWBank_3[6] ), .CE(N_187_i), + .CLK(C14M_c), .F0(\RWBank_3[6] ), .Q0(\RWBank[6] ), .F1(\RWBank_3[7] ), + .Q1(\RWBank[7] )); + SLICE_31 SLICE_31( .D1(\Ain_c[3] ), .C1(\RA[3] ), .B1(\ram2e_ufm/N_182 ), + .A1(\ram2e_ufm/N_186 ), .D0(\RA[0] ), .C0(nC07X_c), .B0(nWE_c), + .A0(\RA[3] ), .DI0(RWSel_2), .CE(un9_VOEEN_0_a2_0_a3_0_a3), .CLK(C14M_c), + .F0(RWSel_2), .Q0(RWSel), .F1(\ram2e_ufm/RA_35_0_0_0[3] )); + SLICE_32 SLICE_32( .D1(\ram2e_ufm/Ready3_0_a3_4 ), .C1(\ram2e_ufm/N_885 ), + .B1(\ram2e_ufm/Ready3_0_a3_5 ), .A1(\ram2e_ufm/Ready3_0_a3_3 ), + .C0(Ready3), .A0(Ready), .DI0(N_1026_0), .CLK(C14M_c), .F0(N_1026_0), + .Q0(Ready), .F1(Ready3)); + SLICE_33 SLICE_33( .D1(S_1), .C1(\ram2e_ufm/N_194 ), .B1(\ram2e_ufm/N_271 ), + .A1(\ram2e_ufm/S_r_i_0_o2[1] ), .D0(S_1), .C0(\ram2e_ufm/N_271 ), + .B0(\S[1] ), .A0(\ram2e_ufm/N_643 ), .DI1(N_362_i), .DI0(\S_s_0_0[0] ), + .CLK(C14M_c), .F0(\S_s_0_0[0] ), .Q0(\S[0] ), .F1(N_362_i), .Q1(\S[1] )); + SLICE_34 SLICE_34( .D1(S_1), .C1(\ram2e_ufm/N_194 ), .B1(\S[2] ), + .A1(\S[3] ), .D0(\S[3] ), .C0(\ram2e_ufm/N_194 ), .B0(S_1), .A0(\S[2] ), + .DI1(N_372_i), .DI0(N_361_i), .CLK(C14M_c), .F0(N_361_i), .Q0(\S[2] ), + .F1(N_372_i), .Q1(\S[3] )); + SLICE_35 SLICE_35( .D1(\S[0] ), .C1(N_551), .B1(\S[1] ), .A1(\FS[4] ), + .B0(\S[3] ), .A0(\S[2] ), .DI0(N_551), .LSR(N_1078_0), .CLK(C14M_c), + .F0(N_551), .Q0(VOEEN), .F1(BA_0_sqmuxa)); + SLICE_36 SLICE_36( .D1(\S[1] ), .C1(\ram2e_ufm/N_804 ), .B1(\S[0] ), + .A1(\ram2e_ufm/N_285_i ), .D0(nWE_c), .C0(\ram2e_ufm/N_872 ), + .B0(\ram2e_ufm/N_641 ), .A0(\ram2e_ufm/N_640 ), .DI0(N_370_i), + .CLK(C14M_c), .F0(N_370_i), .Q0(nCAS), .F1(\ram2e_ufm/N_872 )); + SLICE_37 SLICE_37( .D1(\S[1] ), .C1(\ram2e_ufm/N_285_i ), .B1(\S[0] ), + .A1(\ram2e_ufm/N_804 ), .D0(\ram2e_ufm/N_615 ), .C0(\ram2e_ufm/N_617 ), + .B0(\ram2e_ufm/N_616 ), .A0(\ram2e_ufm/nRAS_s_i_0_0 ), .DI0(N_358_i), + .CLK(C14M_c), .F0(N_358_i), .Q0(nRAS), .F1(\ram2e_ufm/N_617 )); + SLICE_38 SLICE_38( .D1(\ram2e_ufm/N_226 ), .C1(\ram2e_ufm/N_285_i ), + .B1(\ram2e_ufm/S_r_i_0_o2[1] ), .A1(\S[2] ), .D0(\ram2e_ufm/N_804 ), + .C0(\ram2e_ufm/S_r_i_0_o2_RNI62C53[1] ), .B0(\ram2e_ufm/N_615 ), + .A0(\ram2e_ufm/N_866 ), .DI0(N_359_i), .CLK(C14M_c), .F0(N_359_i), + .Q0(nRWE), .F1(\ram2e_ufm/N_615 )); + ram2e_ufm_SLICE_39 \ram2e_ufm/SLICE_39 ( .D1(\Din_c[0] ), .C1(\Din_c[3] ), + .B1(\Din_c[5] ), .A1(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_o3 ), .D0(\Din_c[2] ), + .C0(\ram2e_ufm/CmdBitbangMXO2_3_0_a3_1 ), .B0(\ram2e_ufm/N_800 ), + .A0(\Din_c[1] ), .DI0(\ram2e_ufm/CmdBitbangMXO2_3 ), .CE(N_187_i), + .CLK(C14M_c), .F0(\ram2e_ufm/CmdBitbangMXO2_3 ), + .Q0(\ram2e_ufm/CmdBitbangMXO2 ), .F1(\ram2e_ufm/CmdBitbangMXO2_3_0_a3_1 )); + ram2e_ufm_SLICE_40 \ram2e_ufm/SLICE_40 ( .D1(\Din_c[6] ), .C1(\CS[1] ), + .B1(\CS[2] ), .A1(\CS[0] ), .C0(\ram2e_ufm/N_800 ), .B0(\ram2e_ufm/N_851 ), + .DI0(\ram2e_ufm/CmdExecMXO2_3 ), .CE(N_187_i), .CLK(C14M_c), + .F0(\ram2e_ufm/CmdExecMXO2_3 ), .Q0(\ram2e_ufm/CmdExecMXO2 ), + .F1(\ram2e_ufm/N_800 )); + ram2e_ufm_SLICE_41 \ram2e_ufm/SLICE_41 ( .D1(\Din_c[1] ), .C1(\Din_c[2] ), + .B1(\Din_c[0] ), .A1(\Din_c[4] ), + .D0(\ram2e_ufm/CmdSetRWBankFFChip_3_0_a8_0_a3_0 ), .C0(\ram2e_ufm/N_800 ), + .B0(\ram2e_ufm/N_190 ), .A0(\Din_c[7] ), + .DI0(\ram2e_ufm/CmdSetRWBankFFChip_3 ), .CE(N_187_i), .CLK(C14M_c), + .F0(\ram2e_ufm/CmdSetRWBankFFChip_3 ), .Q0(\ram2e_ufm/CmdSetRWBankFFChip ), + .F1(\ram2e_ufm/CmdSetRWBankFFChip_3_0_a8_0_a3_0 )); + ram2e_ufm_SLICE_42 \ram2e_ufm/SLICE_42 ( .D1(\Din_c[6] ), .C1(\Din_c[2] ), + .A1(\Din_c[0] ), .D0(\S[3] ), .C0(\ram2e_ufm/wb_dato[0] ), .A0(\Din_c[0] ), + .DI0(\ram2e_ufm/N_295 ), .CE(\ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0_0[0] ), + .CLK(C14M_c), .F0(\ram2e_ufm/N_295 ), .Q0(\ram2e_ufm/LEDEN ), + .F1(\ram2e_ufm/N_212 )); + ram2e_ufm_SLICE_43 \ram2e_ufm/SLICE_43 ( .D1(\S[3] ), .C1(\Din_c[1] ), + .B1(\ram2e_ufm/wb_dato[1] ), .D0(\S[3] ), .B0(\ram2e_ufm/wb_dato[0] ), + .A0(\Din_c[0] ), .DI1(\ram2e_ufm/N_307_i ), .DI0(\ram2e_ufm/N_309_i ), + .CE(\ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0_0[0] ), .CLK(C14M_c), + .F0(\ram2e_ufm/N_309_i ), .Q0(\ram2e_ufm/RWMask[0] ), + .F1(\ram2e_ufm/N_307_i ), .Q1(\ram2e_ufm/RWMask[1] )); + ram2e_ufm_SLICE_44 \ram2e_ufm/SLICE_44 ( .D1(\S[3] ), + .B1(\ram2e_ufm/wb_dato[3] ), .A1(\Din_c[3] ), .D0(\S[3] ), + .C0(\ram2e_ufm/wb_dato[2] ), .A0(\Din_c[2] ), .DI1(\ram2e_ufm/N_302_i ), + .DI0(\ram2e_ufm/N_304_i ), .CE(\ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0_0[0] ), + .CLK(C14M_c), .F0(\ram2e_ufm/N_304_i ), .Q0(\ram2e_ufm/RWMask[2] ), + .F1(\ram2e_ufm/N_302_i ), .Q1(\ram2e_ufm/RWMask[3] )); + ram2e_ufm_SLICE_45 \ram2e_ufm/SLICE_45 ( .D1(\S[3] ), + .C1(\ram2e_ufm/wb_dato[5] ), .B1(\Din_c[5] ), .D0(\S[3] ), + .C0(\ram2e_ufm/wb_dato[4] ), .A0(\Din_c[4] ), .DI1(\ram2e_ufm/N_301_i ), + .DI0(\ram2e_ufm/N_310_i ), .CE(\ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0_0[0] ), + .CLK(C14M_c), .F0(\ram2e_ufm/N_310_i ), .Q0(\ram2e_ufm/RWMask[4] ), + .F1(\ram2e_ufm/N_301_i ), .Q1(\ram2e_ufm/RWMask[5] )); + ram2e_ufm_SLICE_46 \ram2e_ufm/SLICE_46 ( .D1(\S[3] ), + .C1(\ram2e_ufm/wb_dato[7] ), .A1(\Din_c[7] ), .D0(\S[3] ), + .C0(\ram2e_ufm/wb_dato[6] ), .A0(\Din_c[6] ), .DI1(\ram2e_ufm/N_296 ), + .DI0(\ram2e_ufm/N_300_i ), .CE(\ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0_0[0] ), + .CLK(C14M_c), .F0(\ram2e_ufm/N_300_i ), .Q0(\ram2e_ufm/RWMask[6] ), + .F1(\ram2e_ufm/N_296 ), .Q1(\ram2e_ufm/RWMask[7] )); + ram2e_ufm_SLICE_47 \ram2e_ufm/SLICE_47 ( .D1(\ram2e_ufm/wb_adr_7_5_41_0_1 ), + .C1(\Din_c[1] ), .B1(\ram2e_ufm/N_768 ), .A1(\S[2] ), + .D0(\ram2e_ufm/wb_adr_7_i_i_4[0] ), .C0(\ram2e_ufm/wb_adr_7_i_i_5[0] ), + .B0(\ram2e_ufm/N_793 ), .A0(\FS[10] ), .DI1(\ram2e_ufm/wb_adr_RNO[1] ), + .DI0(\ram2e_ufm/wb_adr_7_i_i[0] ), + .CE(\ram2e_ufm/CmdBitbangMXO2_RNINSM62 ), .CLK(C14M_c), + .F0(\ram2e_ufm/wb_adr_7_i_i[0] ), .Q0(\ram2e_ufm/wb_adr[0] ), + .F1(\ram2e_ufm/wb_adr_RNO[1] ), .Q1(\ram2e_ufm/wb_adr[1] )); + ram2e_ufm_SLICE_48 \ram2e_ufm/SLICE_48 ( .D1(\S[2] ), .B1(\Din_c[3] ), + .D0(\S[2] ), .A0(\Din_c[2] ), .DI1(\ram2e_ufm/N_268_i ), + .DI0(\ram2e_ufm/N_80_i ), .CE(\ram2e_ufm/CmdBitbangMXO2_RNINSM62 ), + .CLK(C14M_c), .F0(\ram2e_ufm/N_80_i ), .Q0(\ram2e_ufm/wb_adr[2] ), + .F1(\ram2e_ufm/N_268_i ), .Q1(\ram2e_ufm/wb_adr[3] )); + ram2e_ufm_SLICE_49 \ram2e_ufm/SLICE_49 ( .C1(\FS[14] ), .B1(\Din_c[5] ), + .A1(\S[2] ), .C0(\FS[14] ), .B0(\Din_c[4] ), .A0(\S[2] ), + .DI1(\ram2e_ufm/N_290 ), .DI0(\ram2e_ufm/N_294 ), + .CE(\ram2e_ufm/CmdBitbangMXO2_RNINSM62 ), .CLK(C14M_c), + .F0(\ram2e_ufm/N_294 ), .Q0(\ram2e_ufm/wb_adr[4] ), .F1(\ram2e_ufm/N_290 ), + .Q1(\ram2e_ufm/wb_adr[5] )); + ram2e_ufm_SLICE_50 \ram2e_ufm/SLICE_50 ( .B1(\S[2] ), .A1(\Din_c[7] ), + .D0(\FS[14] ), .C0(\Din_c[6] ), .B0(\S[2] ), .DI1(\ram2e_ufm/N_267_i ), + .DI0(\ram2e_ufm/N_284 ), .CE(\ram2e_ufm/CmdBitbangMXO2_RNINSM62 ), + .CLK(C14M_c), .F0(\ram2e_ufm/N_284 ), .Q0(\ram2e_ufm/wb_adr[6] ), + .F1(\ram2e_ufm/N_267_i ), .Q1(\ram2e_ufm/wb_adr[7] )); + ram2e_ufm_SLICE_51 \ram2e_ufm/SLICE_51 ( .D1(\ram2e_ufm/wb_ack ), + .C1(\FS[14] ), .B1(\ram2e_ufm/N_336 ), .A1(\FS[0] ), .D0(\S[3] ), + .B0(\ram2e_ufm/N_687 ), .A0(\ram2e_ufm/CmdExecMXO2 ), + .DI0(\ram2e_ufm/wb_cyc_stb_RNO ), + .CE(\ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0[0] ), .CLK(C14M_c), + .F0(\ram2e_ufm/wb_cyc_stb_RNO ), .Q0(\ram2e_ufm/wb_cyc_stb ), + .F1(\ram2e_ufm/N_687 )); + ram2e_ufm_SLICE_52 \ram2e_ufm/SLICE_52 ( .D1(\ram2e_ufm/wb_dati_7_0_0_0[1] ), + .C1(\ram2e_ufm/N_611 ), .B1(\ram2e_ufm/N_793 ), .A1(\ram2e_ufm/N_849 ), + .D0(\ram2e_ufm/N_856 ), .C0(\ram2e_ufm/wb_dati_7_0_0_0_a3_0[0] ), + .B0(\ram2e_ufm/wb_adr[0] ), .A0(\S[2] ), .DI1(\ram2e_ufm/wb_dati_7[1] ), + .DI0(\ram2e_ufm/wb_dati_7[0] ), .CE(\ram2e_ufm/CmdBitbangMXO2_RNINSM62 ), + .CLK(C14M_c), .F0(\ram2e_ufm/wb_dati_7[0] ), .Q0(\ram2e_ufm/wb_dati[0] ), + .F1(\ram2e_ufm/wb_dati_7[1] ), .Q1(\ram2e_ufm/wb_dati[1] )); + ram2e_ufm_SLICE_53 \ram2e_ufm/SLICE_53 ( .D1(\ram2e_ufm/N_849 ), + .C1(\ram2e_ufm/N_611 ), .B1(\ram2e_ufm/N_783 ), + .A1(\ram2e_ufm/wb_dati_7_0_0_0_0[3] ), .D0(\S[2] ), + .C0(\ram2e_ufm/wb_adr[2] ), .B0(\ram2e_ufm/wb_dati_7_0_0_o3_0[2] ), + .A0(\ram2e_ufm/N_760 ), .DI1(\ram2e_ufm/wb_dati_7[3] ), + .DI0(\ram2e_ufm/wb_dati_7[2] ), .CE(\ram2e_ufm/CmdBitbangMXO2_RNINSM62 ), + .CLK(C14M_c), .F0(\ram2e_ufm/wb_dati_7[2] ), .Q0(\ram2e_ufm/wb_dati[2] ), + .F1(\ram2e_ufm/wb_dati_7[3] ), .Q1(\ram2e_ufm/wb_dati[3] )); + ram2e_ufm_SLICE_54 \ram2e_ufm/SLICE_54 ( + .D1(\ram2e_ufm/wb_dati_7_0_0_o3_0[2] ), .C1(\ram2e_ufm/N_760 ), + .B1(\ram2e_ufm/wb_adr[5] ), .A1(\S[2] ), .D0(\ram2e_ufm/N_763 ), + .C0(\ram2e_ufm/N_760 ), .B0(\ram2e_ufm/N_757 ), + .A0(\ram2e_ufm/wb_dati_7_0_0_0[4] ), .DI1(\ram2e_ufm/wb_dati_7[5] ), + .DI0(\ram2e_ufm/wb_dati_7[4] ), .CE(\ram2e_ufm/CmdBitbangMXO2_RNINSM62 ), + .CLK(C14M_c), .F0(\ram2e_ufm/wb_dati_7[4] ), .Q0(\ram2e_ufm/wb_dati[4] ), + .F1(\ram2e_ufm/wb_dati_7[5] ), .Q1(\ram2e_ufm/wb_dati[5] )); + ram2e_ufm_SLICE_55 \ram2e_ufm/SLICE_55 ( + .D1(\ram2e_ufm/wb_dati_7_0_0_RNO_0[7] ), .C1(\ram2e_ufm/N_604 ), + .B1(\ram2e_ufm/N_602 ), .A1(\ram2e_ufm/wb_dati_7_0_0_0_0[7] ), + .D0(\ram2e_ufm/N_757 ), .C0(\ram2e_ufm/wb_dati_7_0_0_0[6] ), + .B0(\ram2e_ufm/N_849 ), .A0(\ram2e_ufm/N_793 ), + .DI1(\ram2e_ufm/wb_dati_7[7] ), .DI0(\ram2e_ufm/wb_dati_7[6] ), + .CE(\ram2e_ufm/CmdBitbangMXO2_RNINSM62 ), .CLK(C14M_c), + .F0(\ram2e_ufm/wb_dati_7[6] ), .Q0(\ram2e_ufm/wb_dati[6] ), + .F1(\ram2e_ufm/wb_dati_7[7] ), .Q1(\ram2e_ufm/wb_dati[7] )); + ram2e_ufm_SLICE_56 \ram2e_ufm/SLICE_56 ( .D1(\S[3] ), .C1(\S[1] ), + .B1(\S[0] ), .A1(\FS[14] ), .D0(\FS[13] ), .C0(\FS[12] ), + .B0(\ram2e_ufm/wb_reqc_1 ), .A0(\FS[11] ), .DI0(\ram2e_ufm/wb_reqc_i ), + .CE(\ram2e_ufm/wb_adr_0_sqmuxa_1_i ), .LSR(\S[2] ), .CLK(C14M_c), + .F0(\ram2e_ufm/wb_reqc_i ), .Q0(\ram2e_ufm/wb_req ), + .F1(\ram2e_ufm/wb_reqc_1 )); + ram2e_ufm_SLICE_57 \ram2e_ufm/SLICE_57 ( .D1(\FS[4] ), .C1(\FS[14] ), + .B1(\FS[2] ), .A1(\FS[15] ), .C0(\FS[14] ), .A0(\FS[15] ), + .DI0(\ram2e_ufm/wb_rst8 ), .LSR(\ram2e_ufm/wb_rst16_i ), .CLK(C14M_c), + .F0(\ram2e_ufm/wb_rst8 ), .Q0(\ram2e_ufm/wb_rst ), + .F1(\ram2e_ufm/Ready3_0_a3_4 )); + ram2e_ufm_SLICE_58 \ram2e_ufm/SLICE_58 ( .D1(\ram2e_ufm/N_799 ), + .C1(\ram2e_ufm/wb_we_7_iv_0_0_3_0_0 ), .B1(\ram2e_ufm/N_885 ), + .A1(\FS[12] ), .D0(\ram2e_ufm/N_799 ), + .C0(\ram2e_ufm/wb_we_7_iv_0_0_3_0_1 ), .B0(\ram2e_ufm/N_208 ), + .A0(\FS[13] ), .DI0(\ram2e_ufm/wb_we_RNO ), .CE(\ram2e_ufm/wb_we_RNO_0 ), + .CLK(C14M_c), .F0(\ram2e_ufm/wb_we_RNO ), .Q0(\ram2e_ufm/wb_we ), + .F1(\ram2e_ufm/wb_we_7_iv_0_0_3_0_1 )); + ram2e_ufm_SUM0_i_m3_0_SLICE_59 \ram2e_ufm/SUM0_i_m3_0/SLICE_59 ( + .D1(\Din_c[5] ), .C1(\CS[1] ), .B1(\Din_c[3] ), .D0(\Din_c[7] ), + .C0(\Din_c[5] ), .B0(\Din_c[3] ), .M0(\Din_c[1] ), + .OFX0(\ram2e_ufm/N_338 )); + ram2e_ufm_un1_CS_0_sqmuxa_0_0_0_SLICE_60 + \ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60 ( + .D1(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_2_2 ), .C1(\ram2e_ufm/N_193 ), + .B1(\CS[0] ), .A1(\Din_c[6] ), .C0(CO0_0), .B0(\CmdTout[2] ), + .A0(\CmdTout[1] ), .M0(RWSel), .OFX0(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_0 )); + ram2e_ufm_CKE_7_SLICE_61 \ram2e_ufm/CKE_7/SLICE_61 ( .D1(\ram2e_ufm/N_821 ), + .C1(\ram2e_ufm/N_817 ), .A1(\RC[1] ), .D0(\ram2e_ufm/N_804 ), .B0(nWE_c), + .A0(\S[1] ), .M0(\ram2e_ufm/CKE_7_sm0 ), .OFX0(\ram2e_ufm/CKE_7 )); + ram2e_ufm_SLICE_62 \ram2e_ufm/SLICE_62 ( .D1(\Din_c[6] ), + .C1(\ram2e_ufm/N_851 ), .B1(\CS[2] ), .A1(\CS[1] ), .D0(\ram2e_ufm/N_234 ), + .C0(\ram2e_ufm/SUM0_i_a3_4_0 ), .B0(\CS[2] ), .A0(\CS[1] ), + .F0(\ram2e_ufm/N_720_tz ), .F1(\ram2e_ufm/SUM0_i_a3_4_0 )); + ram2e_ufm_SLICE_63 \ram2e_ufm/SLICE_63 ( .D1(\CS[2] ), + .C1(\ram2e_ufm/SUM0_i_0 ), .B1(\ram2e_ufm/N_350 ), .A1(\CS[0] ), + .D0(\CS[0] ), .C0(\ram2e_ufm/SUM0_i_3 ), .B0(\ram2e_ufm/SUM0_i_1 ), + .A0(\ram2e_ufm/N_187 ), .F0(\ram2e_ufm/SUM0_i_4 ), + .F1(\ram2e_ufm/SUM0_i_1 )); + ram2e_ufm_SLICE_64 \ram2e_ufm/SLICE_64 ( .D1(\ram2e_ufm/N_793 ), + .C1(\FS[11] ), .A1(\FS[9] ), .D0(\FS[11] ), .C0(\ram2e_ufm/N_856 ), + .B0(\FS[13] ), .A0(\ram2e_ufm/N_755 ), .F0(\ram2e_ufm/wb_adr_7_i_i_5[0] ), + .F1(\ram2e_ufm/N_755 )); + ram2e_ufm_SLICE_65 \ram2e_ufm/SLICE_65 ( .D1(\ram2e_ufm/N_193 ), + .C1(\Din_c[0] ), .B1(\CS[0] ), .A1(\Din_c[6] ), .D0(\ram2e_ufm/N_345 ), + .C0(\ram2e_ufm/N_735 ), .B0(\CS[0] ), .A0(\CS[1] ), + .F0(\ram2e_ufm/SUM0_i_0 ), .F1(\ram2e_ufm/N_735 )); + ram2e_ufm_SLICE_66 \ram2e_ufm/SLICE_66 ( .D1(\FS[14] ), + .C1(\ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_a3_0_0[0] ), + .B1(\ram2e_ufm/N_777 ), .A1(\ram2e_ufm/wb_ack ), + .D0(\ram2e_ufm/CmdExecMXO2 ), .C0(\ram2e_ufm/wb_ack ), + .B0(\ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_0[0] ), + .A0(\ram2e_ufm/N_187 ), + .F0(\ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0[0] ), + .F1(\ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_0[0] )); + ram2e_ufm_SLICE_67 \ram2e_ufm/SLICE_67 ( .D1(\FS[1] ), .B1(\FS[2] ), + .A1(\FS[3] ), .D0(\S[1] ), .C0(\FS[4] ), .B0(\ram2e_ufm/N_250 ), + .A0(\FS[3] ), .F0(\ram2e_ufm/N_256 ), .F1(\ram2e_ufm/N_250 )); + ram2e_ufm_SLICE_68 \ram2e_ufm/SLICE_68 ( .D1(\ram2e_ufm/N_783 ), + .C1(\FS[8] ), .B1(\FS[9] ), .A1(\ram2e_ufm/wb_adr_7_i_i_3_1[0] ), + .D0(\FS[10] ), .C0(\FS[12] ), .B0(\FS[8] ), .A0(\FS[11] ), + .F0(\ram2e_ufm/wb_adr_7_i_i_3_1[0] ), .F1(\ram2e_ufm/wb_adr_7_i_i_3[0] )); + ram2e_ufm_SLICE_69 \ram2e_ufm/SLICE_69 ( .D1(\FS[0] ), .C1(\FS[15] ), + .B1(\ram2e_ufm/wb_rst16_i ), .A1(\ram2e_ufm/N_254 ), .D0(\S[2] ), + .C0(\S[3] ), .B0(\S[0] ), .A0(\S[1] ), .F0(\ram2e_ufm/wb_rst16_i ), + .F1(\ram2e_ufm/N_641 )); + ram2e_ufm_SLICE_70 \ram2e_ufm/SLICE_70 ( .C1(\FS[14] ), .B1(\FS[8] ), + .A1(\ram2e_ufm/N_777 ), .D0(\ram2e_ufm/N_876 ), .C0(\ram2e_ufm/N_807 ), + .B0(\FS[13] ), .A0(\FS[12] ), .F0(\ram2e_ufm/N_604 ), + .F1(\ram2e_ufm/N_807 )); + ram2e_ufm_SLICE_71 \ram2e_ufm/SLICE_71 ( .C1(\ram2e_ufm/N_784 ), + .B1(\FS[4] ), .A1(\FS[3] ), .D0(\S[2] ), .B0(\S[3] ), .A0(\S[0] ), + .F0(\ram2e_ufm/N_784 ), .F1(\ram2e_ufm/N_801 )); + ram2e_ufm_SLICE_72 \ram2e_ufm/SLICE_72 ( .D1(\S[0] ), .C1(\ram2e_ufm/N_560 ), + .B1(\RWBank[5] ), .A1(\FS[4] ), .D0(\S[2] ), .B0(\S[3] ), .A0(\S[1] ), + .F0(\ram2e_ufm/N_560 ), .F1(\BA_4[0] )); + ram2e_ufm_SLICE_73 \ram2e_ufm/SLICE_73 ( .D1(\ram2e_ufm/N_184 ), + .C1(\ram2e_ufm/N_611 ), .B1(\ram2e_ufm/N_873 ), .A1(\ram2e_ufm/N_781 ), + .D0(\FS[10] ), .C0(\FS[9] ), .B0(\FS[8] ), .A0(\FS[11] ), + .F0(\ram2e_ufm/N_873 ), .F1(\ram2e_ufm/wb_dati_7_0_0_o3_0[2] )); + ram2e_ufm_SLICE_74 \ram2e_ufm/SLICE_74 ( .C1(\ram2e_ufm/N_845 ), + .B1(\ram2e_ufm/N_625 ), .A1(\RWBank[3] ), .D0(\S[2] ), .C0(\S[0] ), + .B0(\S[3] ), .A0(\S[1] ), .F0(\ram2e_ufm/N_845 ), + .F1(\ram2e_ufm/RA_35_2_0_0[10] )); + ram2e_ufm_SLICE_75 \ram2e_ufm/SLICE_75 ( .D1(\FS[10] ), .B1(\FS[9] ), + .A1(\FS[11] ), .D0(\FS[13] ), .C0(\ram2e_ufm/wb_ack ), + .B0(\ram2e_ufm/N_876 ), .A0(\FS[12] ), + .F0(\ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0_a3_0_1[0] ), + .F1(\ram2e_ufm/N_876 )); + ram2e_ufm_SLICE_76 \ram2e_ufm/SLICE_76 ( .D1(\FS[10] ), .C1(\FS[11] ), + .D0(\FS[12] ), .C0(\ram2e_ufm/N_811 ), .B0(\FS[13] ), + .A0(\ram2e_ufm/N_206 ), .F0(\ram2e_ufm/wb_dati_7_0_0_a3_8_0[7] ), + .F1(\ram2e_ufm/N_811 )); + ram2e_ufm_SLICE_77 \ram2e_ufm/SLICE_77 ( .C1(\ram2e_ufm/N_185 ), .B1(RWSel), + .A1(\CS[0] ), .D0(\S[3] ), .C0(\S[1] ), .B0(\S[2] ), .A0(\S[0] ), + .F0(\ram2e_ufm/N_185 ), .F1(\ram2e_ufm/N_215 )); + ram2e_ufm_SLICE_78 \ram2e_ufm/SLICE_78 ( .D1(\S[0] ), .B1(\S[1] ), + .D0(\ram2e_ufm/S_r_i_0_o2[1] ), .C0(\RWBank[1] ), .B0(\S[3] ), .A0(\S[2] ), + .F0(\ram2e_ufm/N_699 ), .F1(\ram2e_ufm/S_r_i_0_o2[1] )); + ram2e_ufm_SLICE_79 \ram2e_ufm/SLICE_79 ( .D1(CmdLEDSet), + .C1(\ram2e_ufm/N_187 ), .B1(\ram2e_ufm/N_807 ), + .A1(\ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0_a3_0_1[0] ), .D0(\S[2] ), + .C0(RWSel), .B0(\ram2e_ufm/S_r_i_0_o2[1] ), .A0(\S[3] ), + .F0(\ram2e_ufm/N_187 ), .F1(\ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0_0[0] )); + ram2e_ufm_SLICE_80 \ram2e_ufm/SLICE_80 ( .D1(\S[1] ), .C1(\S[0] ), + .B1(\FS[15] ), .A1(N_551), .D0(\ram2e_ufm/CmdBitbangMXO2 ), + .C0(\ram2e_ufm/N_777 ), .B0(RWSel), .A0(\ram2e_ufm/N_185 ), + .F0(\ram2e_ufm/CmdBitbangMXO2_RNINSM62 ), .F1(\ram2e_ufm/N_777 )); + ram2e_ufm_SLICE_81 \ram2e_ufm/SLICE_81 ( .D1(\FS[14] ), .C1(\FS[9] ), + .B1(\FS[8] ), .A1(\ram2e_ufm/N_777 ), .D0(\ram2e_ufm/N_811 ), + .C0(\FS[12] ), .B0(\ram2e_ufm/N_856 ), .A0(\FS[13] ), + .F0(\ram2e_ufm/N_757 ), .F1(\ram2e_ufm/N_856 )); + ram2e_ufm_SLICE_82 \ram2e_ufm/SLICE_82 ( .D1(\Din_c[6] ), + .C1(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_o2 ), .B1(\CS[0] ), + .A1(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_0_1 ), + .D0(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_0 ), + .C0(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_1_0_RNIS35S ), + .B0(\ram2e_ufm/N_185 ), .A0(\ram2e_ufm/N_637 ), .F0(un1_CS_0_sqmuxa_i), + .F1(\ram2e_ufm/N_637 )); + ram2e_ufm_SLICE_83 \ram2e_ufm/SLICE_83 ( .D1(\Din_c[6] ), .B1(\CS[2] ), + .A1(\ram2e_ufm/N_851 ), .D0(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_1_0 ), + .C0(\ram2e_ufm/N_592 ), .B0(RWSel), .A0(\CS[0] ), + .F0(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_1_0_RNIS35S ), + .F1(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_1_0 )); + ram2e_ufm_SLICE_84 \ram2e_ufm/SLICE_84 ( .D1(\CS[2] ), + .C1(\ram2e_ufm/N_212 ), .B1(\ram2e_ufm/N_850 ), .A1(\Din_c[4] ), + .D0(\ram2e_ufm/N_720_tz ), .C0(\ram2e_ufm/N_187 ), .B0(\ram2e_ufm/N_886 ), + .A0(\CS[1] ), .F0(\ram2e_ufm/SUM0_i_3 ), .F1(\ram2e_ufm/N_886 )); + ram2e_ufm_SLICE_85 \ram2e_ufm/SLICE_85 ( .D1(\FS[13] ), .C1(\FS[12] ), + .B1(\FS[14] ), .A1(\ram2e_ufm/N_777 ), .D0(\ram2e_ufm/N_187 ), + .C0(\ram2e_ufm/N_793 ), + .B0(\ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0_a3_0_0[0] ), .A0(CmdRWMaskSet), + .F0(\ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0_0[0] ), .F1(\ram2e_ufm/N_793 )); + ram2e_ufm_SLICE_86 \ram2e_ufm/SLICE_86 ( .D1(\Din_c[0] ), .A1(\S[2] ), + .D0(\ram2e_ufm/N_634 ), .C0(\ram2e_ufm/N_753 ), + .B0(\ram2e_ufm/wb_adr_7_i_i_1[0] ), .A0(\ram2e_ufm/wb_adr_7_i_i_3[0] ), + .F0(\ram2e_ufm/wb_adr_7_i_i_4[0] ), .F1(\ram2e_ufm/N_634 )); + ram2e_ufm_SLICE_87 \ram2e_ufm/SLICE_87 ( + .D1(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_o3 ), .C1(\Din_c[1] ), + .B1(\ram2e_ufm/N_190 ), .A1(\ram2e_ufm/N_212 ), .D0(\ram2e_ufm/N_886 ), + .C0(\ram2e_ufm/N_234 ), .B0(\CS[1] ), .F0(\ram2e_ufm/N_592 ), + .F1(\ram2e_ufm/N_234 )); + ram2e_ufm_SLICE_88 \ram2e_ufm/SLICE_88 ( .D1(\FS[10] ), .B1(\FS[11] ), + .D0(\ram2e_ufm/N_793 ), .C0(\ram2e_ufm/wb_dati_7_0_0_a3_6_1[3] ), + .B0(\ram2e_ufm/N_206 ), .A0(\ram2e_ufm/N_876 ), + .F0(\ram2e_ufm/wb_dati_7_0_0_RNO_0[7] ), + .F1(\ram2e_ufm/wb_dati_7_0_0_a3_6_1[3] )); + ram2e_ufm_SLICE_89 \ram2e_ufm/SLICE_89 ( .D1(\FS[13] ), .C1(\FS[14] ), + .A1(\ram2e_ufm/N_777 ), .D0(\ram2e_ufm/wb_dati_7_0_0_0_a3_0[3] ), + .C0(\ram2e_ufm/N_783 ), .B0(\S[2] ), .A0(\ram2e_ufm/wb_adr[3] ), + .F0(\ram2e_ufm/wb_dati_7_0_0_0_0[3] ), .F1(\ram2e_ufm/N_783 )); + ram2e_ufm_SLICE_90 \ram2e_ufm/SLICE_90 ( .D1(\ram2e_ufm/N_206 ), + .C1(\FS[10] ), .B1(\FS[11] ), .A1(\FS[12] ), .D0(\ram2e_ufm/wb_adr[6] ), + .C0(\ram2e_ufm/wb_dati_7_0_0_a3_1[6] ), .B0(\S[2] ), + .A0(\ram2e_ufm/N_783 ), .F0(\ram2e_ufm/wb_dati_7_0_0_0[6] ), + .F1(\ram2e_ufm/wb_dati_7_0_0_a3_1[6] )); + ram2e_ufm_SLICE_91 \ram2e_ufm/SLICE_91 ( .D1(\RC[2] ), .C1(\RC[1] ), + .B1(CO0_1), .A1(\ram2e_ufm/N_817 ), .D0(\ram2e_ufm/N_784 ), + .C0(\ram2e_ufm/N_890 ), .B0(\ram2e_ufm/N_285_i ), .A0(\ram2e_ufm/N_256 ), + .F0(\ram2e_ufm/nRAS_s_i_0_0 ), .F1(\ram2e_ufm/N_890 )); + ram2e_ufm_SLICE_92 \ram2e_ufm/SLICE_92 ( .D1(nWE_c), .C1(\ram2e_ufm/N_804 ), + .B1(\S[1] ), .A1(N_551), .D0(\S[0] ), .C0(\ram2e_ufm/N_890 ), + .B0(\ram2e_ufm/N_220 ), .A0(\ram2e_ufm/N_285_i ), .F0(\ram2e_ufm/N_640 ), + .F1(\ram2e_ufm/N_220 )); + ram2e_ufm_SLICE_93 \ram2e_ufm/SLICE_93 ( .D1(\FS[8] ), .C1(\FS[9] ), + .B1(\FS[10] ), .A1(\FS[11] ), .D0(\ram2e_ufm/N_196 ), + .B0(\ram2e_ufm/N_783 ), .A0(\FS[12] ), .F0(\ram2e_ufm/N_760 ), + .F1(\ram2e_ufm/N_196 )); + ram2e_ufm_SLICE_94 \ram2e_ufm/SLICE_94 ( .C1(\Din_c[4] ), .B1(\Din_c[7] ), + .D0(\ram2e_ufm/N_243 ), .C0(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_o3 ), + .B0(\Din_c[0] ), .A0(\CS[2] ), .F0(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_2_2 ), + .F1(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_o3 )); + ram2e_ufm_SLICE_95 \ram2e_ufm/SLICE_95 ( .D1(\S[1] ), .C1(\S[3] ), + .B1(\S[0] ), .A1(\S[2] ), .D0(\RA[4] ), .C0(\ram2e_ufm/N_182 ), + .B0(\Ain_c[4] ), .A0(\ram2e_ufm/N_186 ), .F0(\ram2e_ufm/RA_35_0_0_0[4] ), + .F1(\ram2e_ufm/N_182 )); + ram2e_ufm_SLICE_96 \ram2e_ufm/SLICE_96 ( .D1(\S[1] ), .C1(\S[2] ), + .B1(\S[0] ), .A1(\S[3] ), .D0(\ram2e_ufm/N_182 ), .C0(\ram2e_ufm/N_186 ), + .B0(\Ain_c[6] ), .A0(\RA[6] ), .F0(\ram2e_ufm/RA_35_0_0_0_0[6] ), + .F1(\ram2e_ufm/N_186 )); + ram2e_ufm_SLICE_97 \ram2e_ufm/SLICE_97 ( .D1(\ram2e_ufm/N_873 ), + .C1(\FS[13] ), .B1(\FS[12] ), .D0(\ram2e_ufm/wb_adr[1] ), + .C0(\ram2e_ufm/wb_dati_7_0_0_a3_0_0[1] ), .B0(\ram2e_ufm/N_781 ), + .A0(\S[2] ), .F0(\ram2e_ufm/wb_dati_7_0_0_0[1] ), + .F1(\ram2e_ufm/wb_dati_7_0_0_a3_0_0[1] )); + ram2e_ufm_SLICE_98 \ram2e_ufm/SLICE_98 ( .D1(\FS[14] ), + .C1(\ram2e_ufm/N_777 ), .D0(\ram2e_ufm/wb_dati_7_0_0_a3_8_0[7] ), + .C0(\ram2e_ufm/N_781 ), .B0(\ram2e_ufm/wb_adr[7] ), .A0(\S[2] ), + .F0(\ram2e_ufm/wb_dati_7_0_0_0_0[7] ), .F1(\ram2e_ufm/N_781 )); + ram2e_ufm_SLICE_99 \ram2e_ufm/SLICE_99 ( .D1(\FS[11] ), .C1(\FS[8] ), + .A1(\FS[10] ), .D0(\ram2e_ufm/N_565 ), + .C0(\ram2e_ufm/wb_adr_7_i_i_a3_2_0[0] ), .B0(\FS[12] ), + .A0(\ram2e_ufm/N_781 ), .F0(\ram2e_ufm/wb_adr_7_i_i_1[0] ), + .F1(\ram2e_ufm/wb_adr_7_i_i_a3_2_0[0] )); + ram2e_ufm_SLICE_100 \ram2e_ufm/SLICE_100 ( .D1(\Din_c[1] ), .C1(\Din_c[5] ), + .B1(\Din_c[3] ), .A1(\Din_c[2] ), .D0(\Din_c[7] ), .C0(\CS[2] ), + .B0(\ram2e_ufm/N_243 ), .A0(\Din_c[4] ), .F0(\ram2e_ufm/N_345 ), + .F1(\ram2e_ufm/N_243 )); + ram2e_ufm_SLICE_101 \ram2e_ufm/SLICE_101 ( .C1(\Din_c[5] ), .B1(\Din_c[3] ), + .D0(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_5_1 ), .C0(\CS[1] ), + .B0(\ram2e_ufm/N_850 ), .A0(\ram2e_ufm/N_190 ), + .F0(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_o2 ), .F1(\ram2e_ufm/N_190 )); + ram2e_ufm_SLICE_102 \ram2e_ufm/SLICE_102 ( .C1(\S[1] ), .B1(\S[3] ), + .A1(\S[2] ), .D0(\ram2e_ufm/N_817 ), .C0(\ram2e_ufm/CKE_7s2_0_0_0 ), + .B0(\ram2e_ufm/N_220 ), .F0(\ram2e_ufm/CKE_7_sm0 ), .F1(\ram2e_ufm/N_817 )); + ram2e_ufm_SLICE_103 \ram2e_ufm/SLICE_103 ( .D1(\FS[13] ), .C1(\FS[12] ), + .D0(\ram2e_ufm/wb_adr_7_5_41_a3_3_0 ), .C0(\ram2e_ufm/N_184 ), + .B0(\ram2e_ufm/N_204 ), .A0(\ram2e_ufm/N_799 ), + .F0(\ram2e_ufm/wb_adr_7_5_41_0_1 ), .F1(\ram2e_ufm/N_184 )); + ram2e_ufm_SLICE_104 \ram2e_ufm/SLICE_104 ( .D1(\FS[8] ), .C1(\FS[10] ), + .B1(\FS[9] ), .A1(\FS[11] ), .C0(\ram2e_ufm/N_595 ), .A0(\FS[12] ), + .F0(\ram2e_ufm/wb_dati_7_0_0_0_a3_0[3] ), .F1(\ram2e_ufm/N_595 )); + ram2e_ufm_SLICE_105 \ram2e_ufm/SLICE_105 ( .D1(\ram2e_ufm/nRWE_s_i_0_63_1 ), + .C1(\ram2e_ufm/N_285_i ), .B1(\ram2e_ufm/S_r_i_0_o2[1] ), .A1(\S[3] ), + .D0(\FS[0] ), .C0(\ram2e_ufm/wb_rst16_i ), .A0(\FS[15] ), + .F0(\ram2e_ufm/N_285_i ), .F1(\ram2e_ufm/S_r_i_0_o2_RNI62C53[1] )); + ram2e_ufm_SLICE_106 \ram2e_ufm/SLICE_106 ( .C1(\S[0] ), .B1(\S[1] ), + .D0(\RA[10] ), .C0(\S[2] ), .B0(\ram2e_ufm/N_194 ), + .A0(\ram2e_ufm/S_r_i_0_o2[1] ), .F0(\ram2e_ufm/N_624 ), + .F1(\ram2e_ufm/N_194 )); + ram2e_ufm_SLICE_107 \ram2e_ufm/SLICE_107 ( .D1(\S[3] ), .C1(\S[2] ), + .B1(\S[0] ), .A1(\FS[4] ), .D0(\FS[5] ), .C0(\FS[3] ), .B0(\FS[8] ), + .A0(\ram2e_ufm/N_792 ), .F0(\ram2e_ufm/N_659 ), .F1(\ram2e_ufm/N_792 )); + ram2e_ufm_SLICE_108 \ram2e_ufm/SLICE_108 ( .D1(\FS[7] ), + .C1(\ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_o3_3[0] ), .B1(\FS[4] ), + .A1(\FS[5] ), .D0(\ram2e_ufm/wb_req ), .C0(\ram2e_ufm/N_336 ), + .B0(\FS[0] ), .F0(\ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_a3_0_0[0] ), + .F1(\ram2e_ufm/N_336 )); + ram2e_ufm_SLICE_109 \ram2e_ufm/SLICE_109 ( .C1(\FS[14] ), .B1(\S[2] ), + .D0(\ram2e_ufm/N_799 ), .C0(\FS[11] ), .B0(\ram2e_ufm/N_184 ), + .A0(\ram2e_ufm/N_634 ), .F0(\ram2e_ufm/wb_we_7_iv_0_0_3_0_0 ), + .F1(\ram2e_ufm/N_799 )); + ram2e_ufm_SLICE_110 \ram2e_ufm/SLICE_110 ( .D1(\FS[9] ), .C1(\FS[8] ), + .B1(\FS[10] ), .A1(\FS[11] ), .C0(\ram2e_ufm/wb_ack ), + .B0(\ram2e_ufm/N_885 ), + .F0(\ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0_a3_0_0[0] ), + .F1(\ram2e_ufm/N_885 )); + ram2e_ufm_SLICE_111 \ram2e_ufm/SLICE_111 ( .D1(\FS[12] ), + .C1(\ram2e_ufm/N_807 ), .A1(\ram2e_ufm/N_553 ), .D0(\FS[13] ), + .C0(\ram2e_ufm/N_811 ), .B0(\ram2e_ufm/wb_dati_7_0_0_a3_6_1[3] ), + .A0(\FS[9] ), .F0(\ram2e_ufm/N_553 ), .F1(\ram2e_ufm/N_611 )); + ram2e_ufm_SLICE_112 \ram2e_ufm/SLICE_112 ( .D1(\S[1] ), + .C1(\ram2e_ufm/N_285_i ), .B1(\S[0] ), .D0(nWE_c), .C0(\ram2e_ufm/N_866 ), + .B0(nEN80_c), .A0(\S[2] ), .F0(\ram2e_ufm/N_616 ), .F1(\ram2e_ufm/N_866 )); + ram2e_ufm_SLICE_113 \ram2e_ufm/SLICE_113 ( .D1(nEN80_c), .C1(\S[2] ), + .B1(\S[3] ), .D0(nWE_c), .C0(\ram2e_ufm/N_804 ), .B0(\S[0] ), .A0(\S[1] ), + .F0(\ram2e_ufm/N_628 ), .F1(\ram2e_ufm/N_804 )); + ram2e_ufm_SLICE_114 \ram2e_ufm/SLICE_114 ( .D1(\FS[10] ), .C1(\FS[8] ), + .B1(\FS[9] ), .D0(\FS[11] ), .C0(\ram2e_ufm/N_799 ), .B0(\FS[12] ), + .A0(\ram2e_ufm/N_241_i ), .F0(\ram2e_ufm/N_768 ), .F1(\ram2e_ufm/N_241_i )); + ram2e_ufm_SLICE_115 \ram2e_ufm/SLICE_115 ( .D1(\S[1] ), .B1(\S[2] ), + .D0(\S[0] ), .C0(\ram2e_ufm/N_221 ), .B0(nEN80_c), .A0(\S[3] ), + .F0(\ram2e_ufm/CKE_7s2_0_0_0 ), .F1(\ram2e_ufm/N_221 )); + ram2e_ufm_SLICE_116 \ram2e_ufm/SLICE_116 ( .D1(\Din_c[3] ), .C1(\Din_c[5] ), + .B1(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_o3 ), .A1(\ram2e_ufm/N_814 ), + .D0(\Din_c[0] ), .B0(\Din_c[1] ), .A0(\Din_c[2] ), .F0(\ram2e_ufm/N_814 ), + .F1(\ram2e_ufm/N_851 )); + ram2e_ufm_SLICE_117 \ram2e_ufm/SLICE_117 ( .D1(\S[1] ), .C1(\S[0] ), + .B1(\S[3] ), .A1(\S[2] ), .D0(\S[1] ), .C0(\S[0] ), .B0(\S[3] ), + .A0(\S[2] ), .F0(N_225_i), .F1(\ram2e_ufm/N_643 )); + ram2e_ufm_SLICE_118 \ram2e_ufm/SLICE_118 ( .D1(\S[2] ), .C1(\S[1] ), + .B1(\S[0] ), .A1(\S[3] ), .D0(\S[2] ), .C0(\S[1] ), .B0(\S[0] ), + .A0(\S[3] ), .F0(N_201_i), .F1(RC12)); + ram2e_ufm_SLICE_119 \ram2e_ufm/SLICE_119 ( .D1(\S[0] ), .C1(\S[1] ), + .B1(\S[3] ), .A1(\S[2] ), .D0(\S[0] ), .C0(\S[1] ), .B0(\S[3] ), + .A0(\S[2] ), .F0(N_126), .F1(N_185_i)); + ram2e_ufm_SLICE_120 \ram2e_ufm/SLICE_120 ( .D1(\S[0] ), .C1(\FS[15] ), + .B1(\S[3] ), .A1(\RWBank[0] ), .D0(\S[0] ), .C0(\FS[15] ), .B0(\S[3] ), + .A0(\RWBank[0] ), .F0(N_507_i), .F1(N_508)); + ram2e_ufm_SLICE_121 \ram2e_ufm/SLICE_121 ( .D1(\S[1] ), .C1(\S[3] ), + .B1(\S[2] ), .A1(\S[0] ), .D0(\S[1] ), .C0(\S[3] ), .B0(\S[2] ), + .A0(\S[0] ), .F0(\ram2e_ufm/N_242 ), .F1(Vout3)); + ram2e_ufm_SLICE_122 \ram2e_ufm/SLICE_122 ( .D1(\FS[1] ), .C1(\FS[4] ), + .B1(\FS[2] ), .A1(\FS[3] ), .D0(\FS[1] ), .C0(\FS[4] ), .B0(\FS[2] ), + .A0(\FS[3] ), .F0(\ram2e_ufm/N_254 ), .F1(\ram2e_ufm/nRWE_s_i_0_63_1 )); + ram2e_ufm_SLICE_123 \ram2e_ufm/SLICE_123 ( .D1(\FS[11] ), .C1(\FS[8] ), + .B1(\FS[9] ), .D0(\FS[11] ), .C0(\FS[8] ), .B0(\FS[9] ), .A0(\FS[10] ), + .F0(\ram2e_ufm/N_849 ), .F1(\ram2e_ufm/N_204 )); + ram2e_ufm_SLICE_124 \ram2e_ufm/SLICE_124 ( .D1(\FS[12] ), + .C1(\ram2e_ufm/N_784 ), .B1(\FS[4] ), .A1(\FS[3] ), .D0(\ram2e_ufm/N_784 ), + .C0(\FS[1] ), .B0(\FS[4] ), .A0(\FS[3] ), .F0(\ram2e_ufm/N_684 ), + .F1(\ram2e_ufm/RA_35_0_0_0[5] )); + ram2e_ufm_SLICE_125 \ram2e_ufm/SLICE_125 ( .D1(\FS[13] ), .C1(\FS[8] ), + .B1(\FS[11] ), .A1(\FS[9] ), .D0(\FS[9] ), .C0(\ram2e_ufm/N_793 ), + .B0(\FS[11] ), .A0(\FS[8] ), .F0(\ram2e_ufm/N_763 ), + .F1(\ram2e_ufm/N_565 )); + ram2e_ufm_SLICE_126 \ram2e_ufm/SLICE_126 ( .D1(\FS[10] ), .C1(\FS[12] ), + .B1(\FS[9] ), .A1(\FS[8] ), .D0(\FS[10] ), .C0(\ram2e_ufm/N_781 ), + .B0(\FS[9] ), .A0(\FS[12] ), .F0(\ram2e_ufm/N_753 ), + .F1(\ram2e_ufm/N_208 )); + ram2e_ufm_SLICE_127 \ram2e_ufm/SLICE_127 ( .D1(\S[0] ), .C1(\S[1] ), + .B1(\S[3] ), .A1(\S[2] ), .D0(\S[0] ), .C0(\RWBank[7] ), .B0(\S[3] ), + .A0(\S[2] ), .F0(\ram2e_ufm/N_698 ), .F1(un9_VOEEN_0_a2_0_a3_0_a3)); + ram2e_ufm_SLICE_128 \ram2e_ufm/SLICE_128 ( .D1(\FS[13] ), .C1(\FS[11] ), + .B1(\FS[12] ), .A1(\FS[10] ), .D0(\FS[13] ), .C0(\FS[12] ), .A0(\FS[10] ), + .F0(\ram2e_ufm/wb_adr_7_5_41_a3_3_0 ), + .F1(\ram2e_ufm/wb_dati_7_0_0_0_a3_0[0] )); + ram2e_ufm_SLICE_129 \ram2e_ufm/SLICE_129 ( .D1(\RWBank[6] ), .C1(\S[0] ), + .B1(\FS[4] ), .A1(\ram2e_ufm/N_560 ), .D0(N_551), .C0(\S[0] ), + .B0(\FS[4] ), .A0(\FS[1] ), .F0(\ram2e_ufm/N_627 ), .F1(\BA_4[1] )); + ram2e_ufm_SLICE_130 \ram2e_ufm/SLICE_130 ( .D1(\ram2e_ufm/N_185 ), + .C1(RWSel), .D0(\ram2e_ufm/N_185 ), .C0(RWSel), .B0(\ram2e_ufm/N_777 ), + .A0(\ram2e_ufm/CmdExecMXO2 ), .F0(\ram2e_ufm/wb_we_RNO_0 ), .F1(N_187_i)); + ram2e_ufm_SLICE_131 \ram2e_ufm/SLICE_131 ( .D1(\FS[13] ), .C1(\FS[12] ), + .B1(\FS[1] ), .A1(\FS[3] ), .D0(\FS[13] ), .C0(\ram2e_ufm/N_856 ), + .B0(\ram2e_ufm/N_811 ), .A0(\FS[12] ), .F0(\ram2e_ufm/N_602 ), + .F1(\ram2e_ufm/Ready3_0_a3_5 )); + ram2e_ufm_SLICE_132 \ram2e_ufm/SLICE_132 ( .D1(\ram2e_ufm/N_182 ), + .C1(\Ain_c[0] ), .B1(\ram2e_ufm/N_186 ), .A1(\RA[0] ), + .D0(\ram2e_ufm/N_182 ), .C0(\Ain_c[7] ), .B0(\ram2e_ufm/N_186 ), + .A0(\RA[7] ), .F0(\ram2e_ufm/RA_35_0_0_0_0[7] ), + .F1(\ram2e_ufm/RA_35_0_0_1[0] )); + ram2e_ufm_SLICE_133 \ram2e_ufm/SLICE_133 ( .D1(\Din_c[0] ), .C1(\Din_c[2] ), + .B1(RWSel), .A1(\Din_c[4] ), .D0(\ram2e_ufm/N_338 ), .C0(\Din_c[2] ), + .B0(\Din_c[4] ), .F0(\ram2e_ufm/N_350 ), + .F1(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_0_1 )); + ram2e_ufm_SLICE_134 \ram2e_ufm/SLICE_134 ( .D1(\FS[3] ), .C1(\FS[6] ), + .B1(\FS[1] ), .A1(\FS[2] ), .D0(\ram2e_ufm/N_792 ), .C0(\FS[9] ), + .B0(\FS[3] ), .A0(\FS[6] ), .F0(\ram2e_ufm/N_679 ), + .F1(\ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_o3_3[0] )); + ram2e_ufm_SLICE_135 \ram2e_ufm/SLICE_135 ( .C1(\S[3] ), .A1(\S[2] ), + .D0(\ram2e_ufm/S_r_i_0_o2[1] ), .C0(\S[3] ), .B0(nEN80_c), .A0(\S[2] ), + .F0(\ram2e_ufm/N_625 ), .F1(\ram2e_ufm/N_271 )); + ram2e_ufm_SLICE_136 \ram2e_ufm/SLICE_136 ( .C1(nWE_c), .B1(\S[3] ), + .A1(nEN80_c), .D0(DOEEN), .B0(nWE_c), .A0(nEN80_c), .F0(nDOE_c), + .F1(\ram2e_ufm/N_226 )); + ram2e_ufm_SLICE_137 \ram2e_ufm/SLICE_137 ( .C1(Ready), .B1(nWE_c), + .A1(nEN80_c), .C0(Ready), .B0(\ram2e_ufm/LEDEN ), .A0(nEN80_c), .F0(LED_c), + .F1(RDOE_i)); + SLICE_138 SLICE_138( .D1(\S[1] ), .B1(\S[3] ), .A1(\S[0] ), .D0(\S[1] ), + .B0(\S[0] ), .A0(\S[2] ), .F0(N_1080_0), .F1(N_1078_0)); + SLICE_139 SLICE_139( .B1(PHI1_c), .A1(VOEEN), .C0(PHI1r), .B0(Ready), + .A0(PHI1_c), .F0(S_1), .F1(nVOE_c)); + ram2e_ufm_SLICE_140 \ram2e_ufm/SLICE_140 ( .B1(\RA[2] ), + .A1(\ram2e_ufm/N_186 ), .C0(\RA[5] ), .A0(\ram2e_ufm/N_186 ), + .F0(\ram2e_ufm/N_621 ), .F1(\ram2e_ufm/N_680 )); + ram2e_ufm_SLICE_141 \ram2e_ufm/SLICE_141 ( .D1(Ready), .B1(\Din_c[0] ), + .D0(Ready), .A0(\Din_c[3] ), .F0(N_263_i), .F1(N_667)); + ram2e_ufm_SLICE_142 \ram2e_ufm/SLICE_142 ( .D1(\Din_c[4] ), .C1(\Din_c[7] ), + .A1(\Din_c[0] ), .D0(\Din_c[4] ), .C0(Ready), .F0(N_648), + .F1(\ram2e_ufm/CmdLEDGet_3_0_a3_1 )); + ram2e_ufm_SLICE_143 \ram2e_ufm/SLICE_143 ( .D1(Ready), .C1(\Din_c[1] ), + .D0(Ready), .B0(\Din_c[7] ), .F0(N_662), .F1(N_666)); + ram2e_ufm_SLICE_144 \ram2e_ufm/SLICE_144 ( .C1(\Din_c[2] ), .A1(Ready), + .B0(\Din_c[6] ), .A0(Ready), .F0(N_663), .F1(N_665)); + ram2e_ufm_SLICE_145 \ram2e_ufm/SLICE_145 ( .D1(\ram2e_ufm/N_783 ), + .C1(\ram2e_ufm/N_873 ), .B1(\ram2e_ufm/wb_adr[4] ), .A1(\S[2] ), + .D0(\FS[8] ), .A0(\FS[9] ), .F0(\ram2e_ufm/N_206 ), + .F1(\ram2e_ufm/wb_dati_7_0_0_0[4] )); + ram2e_ufm_SLICE_146 \ram2e_ufm/SLICE_146 ( .D1(\ram2e_ufm/N_845 ), + .C1(\RWBank[2] ), .B1(\ram2e_ufm/N_784 ), .A1(\FS[4] ), .D0(\FS[7] ), + .C0(\FS[0] ), .B0(\FS[6] ), .A0(\FS[5] ), .F0(\ram2e_ufm/Ready3_0_a3_3 ), + .F1(\ram2e_ufm/RA_35_0_0_0[9] )); + ram2e_ufm_SLICE_147 \ram2e_ufm/SLICE_147 ( .D1(CmdSetRWBankFFLED), + .C1(\ram2e_ufm/LEDEN ), .B1(CmdLEDGet), + .A1(\ram2e_ufm/CmdSetRWBankFFChip ), .C0(Ready), .B0(\Din_c[5] ), + .F0(N_664), .F1(\ram2e_ufm/N_188 )); + RD_0_ \RD[0]_I ( .PADDI(\RD_in[0] ), .PADDT(RDOE_i), .PADDO(N_667), .RD0(RD[0])); LED LED_I( .PADDO(LED_c), .LED(LED)); C14M C14M_I( .PADDI(C14M_c), .C14M(C14M)); - DQMH DQMH_I( .IOLDO(DQMH_c), .DQMH(DQMH)); - DQMH_MGIOL DQMH_MGIOL( .IOLDO(DQMH_c), .OPOS(N_358_i), .CLK(C14M_c)); - DQML DQML_I( .IOLDO(DQML_c), .DQML(DQML)); - DQML_MGIOL DQML_MGIOL( .IOLDO(DQML_c), .OPOS(N_28_i), .CLK(C14M_c)); - RD_7_ \RD[7]_I ( .PADDI(\RD_in[7] ), .PADDT(RDOE_i), .PADDO(\Din_c[7] ), + RD_7_ \RD[7]_I ( .PADDI(\RD_in[7] ), .PADDT(RDOE_i), .PADDO(N_662), .RD7(RD[7])); - RD_6_ \RD[6]_I ( .PADDI(\RD_in[6] ), .PADDT(RDOE_i), .PADDO(\Din_c[6] ), + RD_6_ \RD[6]_I ( .PADDI(\RD_in[6] ), .PADDT(RDOE_i), .PADDO(N_663), .RD6(RD[6])); - RD_5_ \RD[5]_I ( .PADDI(\RD_in[5] ), .PADDT(RDOE_i), .PADDO(\Din_c[5] ), + RD_5_ \RD[5]_I ( .PADDI(\RD_in[5] ), .PADDT(RDOE_i), .PADDO(N_664), .RD5(RD[5])); - RD_4_ \RD[4]_I ( .PADDI(\RD_in[4] ), .PADDT(RDOE_i), .PADDO(\Din_c[4] ), + RD_4_ \RD[4]_I ( .PADDI(\RD_in[4] ), .PADDT(RDOE_i), .PADDO(N_648), .RD4(RD[4])); - RD_3_ \RD[3]_I ( .PADDI(\RD_in[3] ), .PADDT(RDOE_i), .PADDO(\Din_c[3] ), + RD_3_ \RD[3]_I ( .PADDI(\RD_in[3] ), .PADDT(RDOE_i), .PADDO(N_263_i), .RD3(RD[3])); - RD_2_ \RD[2]_I ( .PADDI(\RD_in[2] ), .PADDT(RDOE_i), .PADDO(\Din_c[2] ), + RD_2_ \RD[2]_I ( .PADDI(\RD_in[2] ), .PADDT(RDOE_i), .PADDO(N_665), .RD2(RD[2])); - RD_1_ \RD[1]_I ( .PADDI(\RD_in[1] ), .PADDT(RDOE_i), .PADDO(\Din_c[1] ), + RD_1_ \RD[1]_I ( .PADDI(\RD_in[1] ), .PADDT(RDOE_i), .PADDO(N_666), .RD1(RD[1])); - RA_11_ \RA[11]_I ( .IOLDO(\RA_c[11] ), .RA11(RA[11])); - RA_11__MGIOL \RA[11]_MGIOL ( .IOLDO(\RA_c[11] ), .OPOS(\RA_42[11] ), + DQMH DQMH_I( .IOLDO(DQMH_c), .DQMH(DQMH)); + DQMH_MGIOL DQMH_MGIOL( .IOLDO(DQMH_c), .OPOS(N_508), .CE(N_201_i), .CLK(C14M_c)); - RA_10_ \RA[10]_I ( .IOLDO(\RA_c[10] ), .RA10(RA[10])); - RA_10__MGIOL \RA[10]_MGIOL ( .IOLDO(\RA_c[10] ), .OPOS(\RA_42[10] ), + DQML DQML_I( .IOLDO(DQML_c), .DQML(DQML)); + DQML_MGIOL DQML_MGIOL( .IOLDO(DQML_c), .OPOS(N_507_i), .CE(N_201_i), + .CLK(C14M_c)); + RAout_11_ \RAout[11]_I ( .IOLDO(\RAout_c[11] ), .RAout11(RAout[11])); + RAout_11__MGIOL \RAout[11]_MGIOL ( .IOLDO(\RAout_c[11] ), .OPOS(\RA[11] ), + .CLK(C14M_c)); + RAout_10_ \RAout[10]_I ( .IOLDO(\RAout_c[10] ), .RAout10(RAout[10])); + RAout_10__MGIOL \RAout[10]_MGIOL ( .IOLDO(\RAout_c[10] ), .OPOS(\RA[10] ), + .CLK(C14M_c)); + RAout_9_ \RAout[9]_I ( .IOLDO(\RAout_c[9] ), .RAout9(RAout[9])); + RAout_9__MGIOL \RAout[9]_MGIOL ( .IOLDO(\RAout_c[9] ), .OPOS(\RA[9] ), + .CLK(C14M_c)); + RAout_8_ \RAout[8]_I ( .IOLDO(\RAout_c[8] ), .RAout8(RAout[8])); + RAout_8__MGIOL \RAout[8]_MGIOL ( .IOLDO(\RAout_c[8] ), .OPOS(\RA[8] ), + .CLK(C14M_c)); + RAout_7_ \RAout[7]_I ( .IOLDO(\RAout_c[7] ), .RAout7(RAout[7])); + RAout_7__MGIOL \RAout[7]_MGIOL ( .IOLDO(\RAout_c[7] ), .OPOS(\RA[7] ), + .CLK(C14M_c)); + RAout_6_ \RAout[6]_I ( .IOLDO(\RAout_c[6] ), .RAout6(RAout[6])); + RAout_6__MGIOL \RAout[6]_MGIOL ( .IOLDO(\RAout_c[6] ), .OPOS(\RA[6] ), + .CLK(C14M_c)); + RAout_5_ \RAout[5]_I ( .IOLDO(\RAout_c[5] ), .RAout5(RAout[5])); + RAout_5__MGIOL \RAout[5]_MGIOL ( .IOLDO(\RAout_c[5] ), .OPOS(\RA[5] ), + .CLK(C14M_c)); + RAout_4_ \RAout[4]_I ( .IOLDO(\RAout_c[4] ), .RAout4(RAout[4])); + RAout_4__MGIOL \RAout[4]_MGIOL ( .IOLDO(\RAout_c[4] ), .OPOS(\RA[4] ), + .CLK(C14M_c)); + RAout_3_ \RAout[3]_I ( .IOLDO(\RAout_c[3] ), .RAout3(RAout[3])); + RAout_3__MGIOL \RAout[3]_MGIOL ( .IOLDO(\RAout_c[3] ), .OPOS(\RA[3] ), + .CLK(C14M_c)); + RAout_2_ \RAout[2]_I ( .IOLDO(\RAout_c[2] ), .RAout2(RAout[2])); + RAout_2__MGIOL \RAout[2]_MGIOL ( .IOLDO(\RAout_c[2] ), .OPOS(\RA[2] ), + .CLK(C14M_c)); + RAout_1_ \RAout[1]_I ( .IOLDO(\RAout_c[1] ), .RAout1(RAout[1])); + RAout_1__MGIOL \RAout[1]_MGIOL ( .IOLDO(\RAout_c[1] ), .OPOS(\RA[1] ), + .CLK(C14M_c)); + RAout_0_ \RAout[0]_I ( .IOLDO(\RAout_c[0] ), .RAout0(RAout[0])); + RAout_0__MGIOL \RAout[0]_MGIOL ( .IOLDO(\RAout_c[0] ), .OPOS(\RA[0] ), .CLK(C14M_c)); - RA_9_ \RA[9]_I ( .IOLDO(\RA_c[9] ), .RA9(RA[9])); - RA_9__MGIOL \RA[9]_MGIOL ( .IOLDO(\RA_c[9] ), .OPOS(N_59_i), .CLK(C14M_c)); - RA_8_ \RA[8]_I ( .IOLDO(\RA_c[8] ), .RA8(RA[8])); - RA_8__MGIOL \RA[8]_MGIOL ( .IOLDO(\RA_c[8] ), .OPOS(N_49_i), .CLK(C14M_c)); - RA_7_ \RA[7]_I ( .IOLDO(\RA_c[7] ), .RA7(RA[7])); - RA_7__MGIOL \RA[7]_MGIOL ( .IOLDO(\RA_c[7] ), .OPOS(N_549_i), - .CE(\S_RNII9DO1_1[1] ), .CLK(C14M_c)); - RA_6_ \RA[6]_I ( .IOLDO(\RA_c[6] ), .RA6(RA[6])); - RA_6__MGIOL \RA[6]_MGIOL ( .IOLDO(\RA_c[6] ), .OPOS(N_550_i), - .CE(\S_RNII9DO1_1[1] ), .CLK(C14M_c)); - RA_5_ \RA[5]_I ( .IOLDO(\RA_c[5] ), .RA5(RA[5])); - RA_5__MGIOL \RA[5]_MGIOL ( .IOLDO(\RA_c[5] ), .OPOS(\RA_42_3_0[5] ), - .CE(\S_RNII9DO1_1[1] ), .CLK(C14M_c)); - RA_4_ \RA[4]_I ( .IOLDO(\RA_c[4] ), .RA4(RA[4])); - RA_4__MGIOL \RA[4]_MGIOL ( .IOLDO(\RA_c[4] ), .OPOS(N_551_i), - .CE(\S_RNII9DO1_1[1] ), .CLK(C14M_c)); - RA_3_ \RA[3]_I ( .PADDO(\RA_c[3] ), .RA3(RA[3])); - RA_2_ \RA[2]_I ( .IOLDO(\RA_c[2] ), .RA2(RA[2])); - RA_2__MGIOL \RA[2]_MGIOL ( .IOLDO(\RA_c[2] ), .OPOS(N_553_i), - .CE(\S_RNII9DO1_1[1] ), .CLK(C14M_c)); - RA_1_ \RA[1]_I ( .IOLDO(\RA_c[1] ), .RA1(RA[1])); - RA_1__MGIOL \RA[1]_MGIOL ( .IOLDO(\RA_c[1] ), .OPOS(N_558_i), - .CE(\S_RNII9DO1_1[1] ), .CLK(C14M_c)); - RA_0_ \RA[0]_I ( .PADDO(\RA_c[0] ), .RA0(RA[0])); BA_1_ \BA[1]_I ( .IOLDO(\BA_c[1] ), .BA1(BA[1])); - BA_1__MGIOL \BA[1]_MGIOL ( .IOLDO(\BA_c[1] ), .OPOS(\BA_4[1] ), - .LSR(N_566_i), .CLK(C14M_c)); + BA_1__MGIOL \BA[1]_MGIOL ( .IOLDO(\BA_c[1] ), .OPOS(\BA_4[1] ), .CE(N_225_i), + .LSR(BA_0_sqmuxa), .CLK(C14M_c)); BA_0_ \BA[0]_I ( .IOLDO(\BA_c[0] ), .BA0(BA[0])); - BA_0__MGIOL \BA[0]_MGIOL ( .IOLDO(\BA_c[0] ), .OPOS(\BA_4[0] ), - .LSR(N_566_i), .CLK(C14M_c)); - nRWE nRWE_I( .IOLDO(nRWE_c), .nRWE(nRWE)); - nRWE_MGIOL nRWE_MGIOL( .IOLDO(nRWE_c), .OPOS(nRWE_r_0), .CLK(C14M_c)); - nCAS nCAS_I( .IOLDO(nCAS_c), .nCAS(nCAS)); - nCAS_MGIOL nCAS_MGIOL( .IOLDO(nCAS_c), .OPOS(N_561_i), .CLK(C14M_c)); - nRAS nRAS_I( .IOLDO(nRAS_c), .nRAS(nRAS)); - nRAS_MGIOL nRAS_MGIOL( .IOLDO(nRAS_c), .OPOS(nRAS_2_iv_i), .CLK(C14M_c)); - nCS nCS_I( .IOLDO(nCS_c), .nCS(nCS)); - nCS_MGIOL nCS_MGIOL( .IOLDO(nCS_c), .OPOS(N_559_i), .CLK(C14M_c)); - CKE CKE_I( .IOLDO(CKE_c), .CKE(CKE)); - CKE_MGIOL CKE_MGIOL( .IOLDO(CKE_c), .OPOS(CKE_6_iv_i_0), .CLK(C14M_c)); - nVOE nVOE_I( .PADDO(PHI1_c), .nVOE(nVOE)); + BA_0__MGIOL \BA[0]_MGIOL ( .IOLDO(\BA_c[0] ), .OPOS(\BA_4[0] ), .CE(N_225_i), + .LSR(BA_0_sqmuxa), .CLK(C14M_c)); + nRWEout nRWEout_I( .IOLDO(nRWEout_c), .nRWEout(nRWEout)); + nRWEout_MGIOL nRWEout_MGIOL( .IOLDO(nRWEout_c), .OPOS(nRWE), .CLK(C14M_c)); + nCASout nCASout_I( .IOLDO(nCASout_c), .nCASout(nCASout)); + nCASout_MGIOL nCASout_MGIOL( .IOLDO(nCASout_c), .OPOS(nCAS), .CLK(C14M_c)); + nRASout nRASout_I( .IOLDO(nRASout_c), .nRASout(nRASout)); + nRASout_MGIOL nRASout_MGIOL( .IOLDO(nRASout_c), .OPOS(nRAS), .CLK(C14M_c)); + nCSout nCSout_I( .PADDO(GND), .nCSout(nCSout)); + CKEout CKEout_I( .IOLDO(CKEout_c), .CKEout(CKEout)); + CKEout_MGIOL CKEout_MGIOL( .IOLDO(CKEout_c), .OPOS(CKE), .CLK(C14M_c)); + nVOE nVOE_I( .PADDO(nVOE_c), .nVOE(nVOE)); Vout_7_ \Vout[7]_I ( .IOLDO(\Vout_c[7] ), .Vout7(Vout[7])); Vout_7__MGIOL \Vout[7]_MGIOL ( .IOLDO(\Vout_c[7] ), .OPOS(\RD_in[7] ), .CE(Vout3), .CLK(C14M_c)); @@ -574,30 +889,14 @@ module RAM2E ( C14M, PHI1, LED, nWE, nWE80, nEN80, nC07X, Ain, Din, Dout, nDOE, Vout_0__MGIOL \Vout[0]_MGIOL ( .IOLDO(\Vout_c[0] ), .OPOS(\RD_in[0] ), .CE(Vout3), .CLK(C14M_c)); nDOE nDOE_I( .PADDO(nDOE_c), .nDOE(nDOE)); - Dout_7_ \Dout[7]_I ( .IOLDO(\Dout_c[7] ), .Dout7(Dout[7])); - Dout_7__MGIOL \Dout[7]_MGIOL ( .IOLDO(\Dout_c[7] ), .OPOS(\RD_in[7] ), - .CE(N_576_i), .CLK(C14M_c)); - Dout_6_ \Dout[6]_I ( .IOLDO(\Dout_c[6] ), .Dout6(Dout[6])); - Dout_6__MGIOL \Dout[6]_MGIOL ( .IOLDO(\Dout_c[6] ), .OPOS(\RD_in[6] ), - .CE(N_576_i), .CLK(C14M_c)); - Dout_5_ \Dout[5]_I ( .IOLDO(\Dout_c[5] ), .Dout5(Dout[5])); - Dout_5__MGIOL \Dout[5]_MGIOL ( .IOLDO(\Dout_c[5] ), .OPOS(\RD_in[5] ), - .CE(N_576_i), .CLK(C14M_c)); - Dout_4_ \Dout[4]_I ( .IOLDO(\Dout_c[4] ), .Dout4(Dout[4])); - Dout_4__MGIOL \Dout[4]_MGIOL ( .IOLDO(\Dout_c[4] ), .OPOS(\RD_in[4] ), - .CE(N_576_i), .CLK(C14M_c)); - Dout_3_ \Dout[3]_I ( .IOLDO(\Dout_c[3] ), .Dout3(Dout[3])); - Dout_3__MGIOL \Dout[3]_MGIOL ( .IOLDO(\Dout_c[3] ), .OPOS(\RD_in[3] ), - .CE(N_576_i), .CLK(C14M_c)); - Dout_2_ \Dout[2]_I ( .IOLDO(\Dout_c[2] ), .Dout2(Dout[2])); - Dout_2__MGIOL \Dout[2]_MGIOL ( .IOLDO(\Dout_c[2] ), .OPOS(\RD_in[2] ), - .CE(N_576_i), .CLK(C14M_c)); - Dout_1_ \Dout[1]_I ( .IOLDO(\Dout_c[1] ), .Dout1(Dout[1])); - Dout_1__MGIOL \Dout[1]_MGIOL ( .IOLDO(\Dout_c[1] ), .OPOS(\RD_in[1] ), - .CE(N_576_i), .CLK(C14M_c)); - Dout_0_ \Dout[0]_I ( .IOLDO(\Dout_c[0] ), .Dout0(Dout[0])); - Dout_0__MGIOL \Dout[0]_MGIOL ( .IOLDO(\Dout_c[0] ), .OPOS(\RD_in[0] ), - .CE(N_576_i), .CLK(C14M_c)); + Dout_7_ \Dout[7]_I ( .PADDO(\RD_in[7] ), .Dout7(Dout[7])); + Dout_6_ \Dout[6]_I ( .PADDO(\RD_in[6] ), .Dout6(Dout[6])); + Dout_5_ \Dout[5]_I ( .PADDO(\RD_in[5] ), .Dout5(Dout[5])); + Dout_4_ \Dout[4]_I ( .PADDO(\RD_in[4] ), .Dout4(Dout[4])); + Dout_3_ \Dout[3]_I ( .PADDO(\RD_in[3] ), .Dout3(Dout[3])); + Dout_2_ \Dout[2]_I ( .PADDO(\RD_in[2] ), .Dout2(Dout[2])); + Dout_1_ \Dout[1]_I ( .PADDO(\RD_in[1] ), .Dout1(Dout[1])); + Dout_0_ \Dout[0]_I ( .PADDO(\RD_in[0] ), .Dout0(Dout[0])); Din_7_ \Din[7]_I ( .PADDI(\Din_c[7] ), .Din7(Din[7])); Din_6_ \Din[6]_I ( .PADDI(\Din_c[6] ), .Din6(Din[6])); Din_5_ \Din[5]_I ( .PADDI(\Din_c[5] ), .Din5(Din[5])); @@ -616,21 +915,25 @@ module RAM2E ( C14M, PHI1, LED, nWE, nWE80, nEN80, nC07X, Ain, Din, Dout, nDOE, Ain_0_ \Ain[0]_I ( .PADDI(\Ain_c[0] ), .Ain0(Ain[0])); nC07X nC07X_I( .PADDI(nC07X_c), .nC07X(nC07X)); nEN80 nEN80_I( .PADDI(nEN80_c), .nEN80(nEN80)); - nWE80 nWE80_I( .PADDI(nWE80_c), .nWE80(nWE80)); nWE nWE_I( .PADDI(nWE_c), .nWE(nWE)); PHI1 PHI1_I( .PADDI(PHI1_c), .PHI1(PHI1)); - PHI1_MGIOL PHI1_MGIOL( .DI(PHI1_c), .CLK(C14M_c), .IN(PHI1reg)); - ufmefb_EFBInst_0 \ufmefb/EFBInst_0 ( .WBCLKI(C14M_c), .WBRSTI(wb_rst), - .WBCYCI(wb_cyc_stb), .WBSTBI(wb_cyc_stb), .WBWEI(wb_we), - .WBADRI0(\wb_adr[0] ), .WBADRI1(\wb_adr[1] ), .WBADRI2(\wb_adr[2] ), - .WBADRI3(\wb_adr[3] ), .WBADRI4(\wb_adr[4] ), .WBADRI5(\wb_adr[5] ), - .WBADRI6(\wb_adr[6] ), .WBADRI7(\wb_adr[7] ), .WBDATI0(\wb_dati[0] ), - .WBDATI1(\wb_dati[1] ), .WBDATI2(\wb_dati[2] ), .WBDATI3(\wb_dati[3] ), - .WBDATI4(\wb_dati[4] ), .WBDATI5(\wb_dati[5] ), .WBDATI6(\wb_dati[6] ), - .WBDATI7(\wb_dati[7] ), .WBDATO0(\wb_dato[0] ), .WBDATO1(\wb_dato[1] ), - .WBDATO2(\wb_dato[2] ), .WBDATO3(\wb_dato[3] ), .WBDATO4(\wb_dato[4] ), - .WBDATO5(\wb_dato[5] ), .WBDATO6(\wb_dato[6] ), .WBDATO7(\wb_dato[7] ), - .WBACKO(wb_ack)); + PHI1_MGIOL PHI1_MGIOL( .DI(PHI1_c), .CLK(C14M_c), .IN(PHI1r)); + ram2e_ufm_ufmefb_EFBInst_0 \ram2e_ufm/ufmefb/EFBInst_0 ( .WBCLKI(C14M_c), + .WBRSTI(\ram2e_ufm/wb_rst ), .WBCYCI(\ram2e_ufm/wb_cyc_stb ), + .WBSTBI(\ram2e_ufm/wb_cyc_stb ), .WBWEI(\ram2e_ufm/wb_we ), + .WBADRI0(\ram2e_ufm/wb_adr[0] ), .WBADRI1(\ram2e_ufm/wb_adr[1] ), + .WBADRI2(\ram2e_ufm/wb_adr[2] ), .WBADRI3(\ram2e_ufm/wb_adr[3] ), + .WBADRI4(\ram2e_ufm/wb_adr[4] ), .WBADRI5(\ram2e_ufm/wb_adr[5] ), + .WBADRI6(\ram2e_ufm/wb_adr[6] ), .WBADRI7(\ram2e_ufm/wb_adr[7] ), + .WBDATI0(\ram2e_ufm/wb_dati[0] ), .WBDATI1(\ram2e_ufm/wb_dati[1] ), + .WBDATI2(\ram2e_ufm/wb_dati[2] ), .WBDATI3(\ram2e_ufm/wb_dati[3] ), + .WBDATI4(\ram2e_ufm/wb_dati[4] ), .WBDATI5(\ram2e_ufm/wb_dati[5] ), + .WBDATI6(\ram2e_ufm/wb_dati[6] ), .WBDATI7(\ram2e_ufm/wb_dati[7] ), + .WBDATO0(\ram2e_ufm/wb_dato[0] ), .WBDATO1(\ram2e_ufm/wb_dato[1] ), + .WBDATO2(\ram2e_ufm/wb_dato[2] ), .WBDATO3(\ram2e_ufm/wb_dato[3] ), + .WBDATO4(\ram2e_ufm/wb_dato[4] ), .WBDATO5(\ram2e_ufm/wb_dato[5] ), + .WBDATO6(\ram2e_ufm/wb_dato[6] ), .WBDATO7(\ram2e_ufm/wb_dato[7] ), + .WBACKO(\ram2e_ufm/wb_ack )); VHI VHI_INST( .Z(VCCI)); PUR PUR_INST( .PUR(VCCI)); GSR GSR_INST( .GSR(VCCI)); @@ -941,21 +1244,63 @@ module SLICE_8 ( input A1, A0, DI1, DI0, CLK, FCI, output F0, Q0, F1, Q1, FCO ); endmodule -module SLICE_9 ( input D1, C1, B1, C0, A0, DI0, CE, CLK, output F0, Q0, F1 ); - wire GNDI, VCCI, DI0_dly, CLK_dly, CE_dly; +module SLICE_9 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, Q0, + F1 ); + wire VCCI, GNDI, DI0_dly, CLK_dly; - lut4 S_1( .A(GNDI), .B(B1), .C(C1), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40003 \CmdTout_3_0_a2[0] ( .A(A0), .B(GNDI), .C(C0), .D(GNDI), .Z(F0)); - vmuxregsre \CmdTout[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), + lut4 \ram2e_ufm/wb_req_RNO_0 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40003 \ram2e_ufm/CKE_7_RNIS77M1 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + vmuxregsre0004 CKE( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); + gnd DRIVEGND( .PWR0(GNDI)); specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + endspecify + +endmodule + +module lut4 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFFFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40003 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hCCCD) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module vmuxregsre0004 ( input D0, D1, SD, SP, CK, LSR, output Q ); + + FL1P3BX INST01( .D0(D0), .D1(D1), .SP(SP), .CK(CK), .SD(SD), .PD(LSR), .Q(Q)); + defparam INST01.GSR = "DISABLED"; +endmodule + +module SLICE_10 ( input B0, A0, DI0, CE, CLK, output F0, Q0, F1 ); + wire GNDI, VCCI, DI0_dly, CLK_dly, CE_dly; + + lut40005 GND( .A(GNDI), .B(GNDI), .C(GNDI), .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40006 \ram2e_ufm/CmdTout_3_0_a3_0_a3[0] ( .A(A0), .B(B0), .C(GNDI), + .D(GNDI), .Z(F0)); + vmuxregsre \CmdTout[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), + .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); + vcc DRIVEVCC( .PWR1(VCCI)); + + specify + (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); @@ -966,27 +1311,64 @@ module SLICE_9 ( input D1, C1, B1, C0, A0, DI0, CE, CLK, output F0, Q0, F1 ); endmodule -module lut4 ( input A, B, C, D, output Z ); +module lut40005 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0C00) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40003 ( input A, B, C, D, output Z ); +module lut40006 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0505) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h1111) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_10 ( input D1, C1, B1, A1, C0, B0, A0, DI1, DI0, LSR, CLK, - output F0, Q0, F1, Q1 ); - wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, LSR_dly; +module SLICE_11 ( input D1, A1, D0, B0, A0, DI0, CE, CLK, output F0, Q0, F1 ); + wire GNDI, VCCI, DI0_dly, CLK_dly, CE_dly; - lut40004 \CS_RNO[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40005 \CS_RNO[0] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); + lut40007 \ram2e_ufm/RC_3_0_0_a3_1[1] ( .A(A1), .B(GNDI), .C(GNDI), .D(D1), + .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - vmuxregsre0006 \CS[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(VCCI), + lut40008 \ram2e_ufm/N_360_i ( .A(A0), .B(B0), .C(GNDI), .D(D0), .Z(F0)); + vmuxregsre \RC[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), + .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); + vcc DRIVEVCC( .PWR1(VCCI)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + endspecify + +endmodule + +module lut40007 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0055) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40008 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h1155) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_12 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, LSR, CLK, + output F0, Q0, F1, Q1 ); + wire VCCI, DI1_dly, CLK_dly, DI0_dly, LSR_dly; + + lut40009 \ram2e_ufm/CmdExecMXO2_3_0_a3_0_RNI6S1P8 ( .A(A1), .B(B1), .C(C1), + .D(D1), .Z(F1)); + lut40010 \ram2e_ufm/S_r_i_0_o2_RNIVM0LF[1] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + vmuxregsre0011 \CS[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(LSR_dly), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); - vmuxregsre0006 \CS[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), + vmuxregsre0011 \CS[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(LSR_dly), .Q(Q0)); specify @@ -994,6 +1376,7 @@ module SLICE_10 ( input D1, C1, B1, A1, C0, B0, A0, DI1, DI0, LSR, CLK, (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); @@ -1010,30 +1393,31 @@ module SLICE_10 ( input D1, C1, B1, A1, C0, B0, A0, DI1, DI0, LSR, CLK, endmodule -module lut40004 ( input A, B, C, D, output Z ); +module lut40009 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hAA9A) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFF54) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40005 ( input A, B, C, D, output Z ); +module lut40010 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hA9A9) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0D0F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module vmuxregsre0006 ( input D0, D1, SD, SP, CK, LSR, output Q ); +module vmuxregsre0011 ( input D0, D1, SD, SP, CK, LSR, output Q ); FL1P3IY INST01( .D0(D0), .D1(D1), .SP(SP), .CK(CK), .SD(SD), .CD(LSR), .Q(Q)); defparam INST01.GSR = "DISABLED"; endmodule -module SLICE_11 ( input D1, C1, B1, D0, C0, A0, DI0, LSR, CLK, output F0, Q0, - F1 ); - wire GNDI, VCCI, DI0_dly, CLK_dly, LSR_dly; +module SLICE_13 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, LSR, CLK, output + F0, Q0, F1 ); + wire VCCI, DI0_dly, CLK_dly, LSR_dly; - lut40007 \CS_RNO_0[2] ( .A(GNDI), .B(B1), .C(C1), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40008 \CS_RNO[2] ( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); - vmuxregsre0006 \CS[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), + lut40012 \ram2e_ufm/CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514_0 ( .A(A1), + .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40013 \ram2e_ufm/CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514 ( .A(A0), .B(B0), + .C(C0), .D(D0), .Z(F0)); + vmuxregsre0011 \CS[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(LSR_dly), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -1041,8 +1425,10 @@ module SLICE_11 ( input D1, C1, B1, D0, C0, A0, DI0, LSR, CLK, output F0, Q0, (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); @@ -1055,108 +1441,32 @@ module SLICE_11 ( input D1, C1, B1, D0, C0, A0, DI0, LSR, CLK, output F0, Q0, endmodule -module lut40007 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0030) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40008 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h5AAA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_12 ( input D1, C1, B1, D0, C0, B0, A0, DI0, CE, CLK, output F0, - Q0, F1 ); - wire GNDI, VCCI, DI0_dly, CLK_dly, CE_dly; - - lut40009 CmdBitbangMXO2_4_u_0_0_a2_0_1( .A(GNDI), .B(B1), .C(C1), .D(D1), - .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40010 CmdBitbangMXO2_4_u_0_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - vmuxregsre CmdBitbangMXO2( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); - vcc DRIVEVCC( .PWR1(VCCI)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - (CLK => Q0) = (0:0:0,0:0:0); - $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); - $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - endspecify - -endmodule - -module lut40009 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h00C0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40010 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hF222) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_13 ( input D1, C1, B1, D0, C0, B0, A0, DI0, CE, CLK, output F0, - Q0, F1 ); - wire GNDI, VCCI, DI0_dly, CLK_dly, CE_dly; - - lut40011 un1_CS_0_sqmuxa_0_0_a2_7( .A(GNDI), .B(B1), .C(C1), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40012 CmdExecMXO2_4_u_0_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - vmuxregsre CmdExecMXO2( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); - vcc DRIVEVCC( .PWR1(VCCI)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - (CLK => Q0) = (0:0:0,0:0:0); - $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); - $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - endspecify - -endmodule - -module lut40011 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hC000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - module lut40012 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hC0EA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hA0E0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_14 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output - F0, Q0, F1 ); - wire VCCI, GNDI, DI0_dly, CLK_dly, CE_dly; +module lut40013 ( input A, B, C, D, output Z ); - lut40013 CmdLEDGet_4_u_0_0_a2_0_2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40014 CmdLEDGet_4_u_0_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + ROM16X1A #(16'hAA1A) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_14 ( input D1, C1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, + Q0, F1 ); + wire GNDI, VCCI, DI0_dly, CLK_dly, CE_dly; + + lut40014 \ram2e_ufm/CmdLEDGet_3_0_a3_0 ( .A(A1), .B(GNDI), .C(C1), .D(D1), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40015 \ram2e_ufm/CmdLEDGet_3_0_a3 ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); vmuxregsre CmdLEDGet( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); - gnd DRIVEGND( .PWR0(GNDI)); specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); @@ -1171,66 +1481,29 @@ module SLICE_14 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output endmodule -module lut40013 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0080) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - module lut40014 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hCE0A) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h00A0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_15 ( input D1, C1, B1, A1, D0, B0, A0, DI0, CE, CLK, output F0, +module lut40015 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h4000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_15 ( input D1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, Q0, F1 ); wire GNDI, VCCI, DI0_dly, CLK_dly, CE_dly; - lut40015 CmdLEDSet_4_u_0_0_a2_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40016 CmdLEDSet_4_u_0_0_0( .A(A0), .B(B0), .C(GNDI), .D(D0), .Z(F0)); + lut40016 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_5_1 ( .A(A1), .B(B1), .C(GNDI), + .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); + lut40017 \ram2e_ufm/CmdLEDSet_3_0_a8_0_a3 ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); vmuxregsre CmdLEDSet( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - (CLK => Q0) = (0:0:0,0:0:0); - $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); - $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - endspecify - -endmodule - -module lut40015 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h2000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40016 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hCCEE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_16 ( input D1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, - Q0, F1 ); - wire GNDI, VCCI, DI0_dly, CLK_dly, CE_dly; - - lut40017 CmdBitbangMXO2_4_u_0_0_a2_1( .A(A1), .B(B1), .C(GNDI), .D(D1), - .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40018 CmdRWMaskSet_4_u_0_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - vmuxregsre CmdRWMaskSet( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); - vcc DRIVEVCC( .PWR1(VCCI)); - specify (D1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); @@ -1248,69 +1521,70 @@ module SLICE_16 ( input D1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, endmodule +module lut40016 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h2200) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + module lut40017 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h1100) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0800) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_16 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output + F0, Q0, F1 ); + wire VCCI, GNDI, DI0_dly, CLK_dly, CE_dly; + + lut40018 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_9 ( .A(A1), .B(B1), .C(C1), + .D(D1), .Z(F1)); + lut40019 \ram2e_ufm/CmdRWMaskSet_3_0_a3 ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + vmuxregsre CmdRWMaskSet( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), + .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); + vcc DRIVEVCC( .PWR1(VCCI)); + gnd DRIVEGND( .PWR0(GNDI)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + endspecify + endmodule module lut40018 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hF222) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0001) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_17 ( input D1, C1, B1, A1, C0, B0, A0, DI0, CE, CLK, output F0, +module lut40019 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0400) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_17 ( input D1, C1, B1, D0, C0, B0, A0, DI0, CE, CLK, output F0, Q0, F1 ); wire GNDI, VCCI, DI0_dly, CLK_dly, CE_dly; - lut40019 CmdSetRWBankFFLED_4_u_0_0_a2_0( .A(A1), .B(B1), .C(C1), .D(D1), + lut40020 \ram2e_ufm/CmdRWMaskSet_3_0_a3_0 ( .A(GNDI), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40020 CmdSetRWBankFFLED_4_u_0_0_0( .A(A0), .B(B0), .C(C0), .D(GNDI), - .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); + lut40021 \ram2e_ufm/CmdSetRWBankFFLED_4_0_a8_0_a3 ( .A(A0), .B(B0), .C(C0), + .D(D0), .Z(F0)); vmuxregsre CmdSetRWBankFFLED( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - (CLK => Q0) = (0:0:0,0:0:0); - $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); - $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - endspecify - -endmodule - -module lut40019 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0800) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40020 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hF2F2) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_18 ( input D1, C1, B1, D0, C0, B0, A0, DI0, CE, CLK, output F0, - Q0, F1 ); - wire GNDI, VCCI, DI0_dly, CLK_dly, CE_dly; - - lut40021 CmdSetRWBankFFLED_4_u_0_0_a2_1( .A(GNDI), .B(B1), .C(C1), .D(D1), - .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40014 CmdSetRWBankFFMXO2_4_u_0_0_0( .A(A0), .B(B0), .C(C0), .D(D0), - .Z(F0)); - vmuxregsre CmdSetRWBankFFMXO2( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), - .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); - vcc DRIVEVCC( .PWR1(VCCI)); - specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); @@ -1328,17 +1602,22 @@ module SLICE_18 ( input D1, C1, B1, D0, C0, B0, A0, DI0, CE, CLK, output F0, endmodule -module lut40021 ( input A, B, C, D, output Z ); +module lut40020 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hC000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h000C) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_19 ( input D1, C1, B1, A1, D0, C0, A0, DI1, DI0, CE, CLK, output +module lut40021 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0080) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_18 ( input D1, C1, B1, A1, D0, B0, A0, DI1, DI0, CE, CLK, output F0, Q0, F1, Q1 ); wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40022 \CmdTout_RNO[2] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40023 \CmdTout_RNO[1] ( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); + lut40022 \ram2e_ufm/N_369_i ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40023 \ram2e_ufm/N_368_i ( .A(A0), .B(B0), .C(GNDI), .D(D0), .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); vmuxregsre \CmdTout[2] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); @@ -1352,7 +1631,7 @@ module SLICE_19 ( input D1, C1, B1, A1, D0, C0, A0, DI1, DI0, CE, CLK, output (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); (CLK => Q1) = (0:0:0,0:0:0); @@ -1367,24 +1646,63 @@ endmodule module lut40022 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h060C) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h006A) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40023 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h050A) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0066) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_20 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, - Q0, F1 ); - wire VCCI, GNDI, DI0_dly, CLK_dly; +module SLICE_19 ( input C1, B1, B0, A0, M0, LSR, CLK, output F0, Q0, F1 ); + wire GNDI, VCCI, M0_dly, CLK_dly, LSR_dly; - lut40024 \S_RNII9DO1_2[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40025 DOEEN_RNO( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - vmuxregsre DOEEN( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), - .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); + lut40024 \ram2e_ufm/SUM0_i_o2 ( .A(GNDI), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40025 \ram2e_ufm/RA_35_i_i_0_a3_0[1] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), + .Z(F0)); + vmuxregsre0011 DOEEN( .D0(M0_dly), .D1(VCCI), .SD(GNDI), .SP(VCCI), + .CK(CLK_dly), .LSR(LSR_dly), .Q(Q0)); + vcc DRIVEVCC( .PWR1(VCCI)); + + specify + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + $setuphold (posedge CLK, M0, 0:0:0, 0:0:0,,,, CLK_dly, M0_dly); + $setuphold (posedge CLK, LSR, 0:0:0, 0:0:0,,,, CLK_dly, LSR_dly); + $width (posedge LSR, 0:0:0); + $width (negedge LSR, 0:0:0); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + endspecify + +endmodule + +module lut40024 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h3F3F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40025 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h8888) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_20 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, + output F0, Q0, F1, Q1 ); + wire VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly; + + lut40026 \ram2e_ufm/RA_35_i_i_0[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40027 \ram2e_ufm/RA_35_0_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + vmuxregsre \RA[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), + .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); gnd DRIVEGND( .PWR0(GNDI)); + vmuxregsre \RA[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), + .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -1396,42 +1714,8 @@ module SLICE_20 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); - $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - endspecify - -endmodule - -module lut40024 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0200) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40025 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hAA80) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_21 ( input D1, C1, A1, D0, C0, A0, DI0, CE, CLK, output F0, Q0, - F1 ); - wire GNDI, VCCI, DI0_dly, CLK_dly, CE_dly; - - lut40026 \RA_0io_RNO[1] ( .A(A1), .B(GNDI), .C(C1), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40027 LEDEN_RNO( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); - vmuxregsre LEDEN( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); - vcc DRIVEVCC( .PWR1(VCCI)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - (CLK => Q0) = (0:0:0,0:0:0); + (CLK => Q1) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); @@ -1442,31 +1726,32 @@ endmodule module lut40026 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hF0A0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFEEE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40027 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hAAF0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hEAFF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_22 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, - Q0, F1, Q1 ); +module SLICE_21 ( input D1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, output + F0, Q0, F1, Q1 ); wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40028 \RA_RNO[3] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + lut40028 \ram2e_ufm/RA_35_0_0[3] ( .A(A1), .B(B1), .C(GNDI), .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40028 \RA_RNO[0] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); + lut40029 \ram2e_ufm/RA_35_0_0[2] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre \RA[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); - vmuxregsre \RA[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), + vmuxregsre \RA[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify - (C1 => F1) = (0:0:0,0:0:0); + (D1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); @@ -1483,28 +1768,34 @@ endmodule module lut40028 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hC8C8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFF88) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_23 ( input C1, B1, A1, D0, B0, A0, DI1, DI0, CE, CLK, output F0, - Q0, F1, Q1 ); +module lut40029 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFFF8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_22 ( input D1, C1, B1, A1, D0, C0, A0, DI1, DI0, CE, CLK, output + F0, Q0, F1, Q1 ); wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40029 \RWBank_5_0[1] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + lut40026 \ram2e_ufm/RA_35_0_0[5] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40030 \ram2e_ufm/RA_35_0_0[4] ( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); - lut40030 \RWBank_5_0[0] ( .A(A0), .B(B0), .C(GNDI), .D(D0), .Z(F0)); - vmuxregsre \RWBank[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), + vmuxregsre \RA[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); - vmuxregsre \RWBank[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), + vmuxregsre \RA[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify + (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); (CLK => Q1) = (0:0:0,0:0:0); @@ -1517,32 +1808,29 @@ module SLICE_23 ( input C1, B1, A1, D0, B0, A0, DI1, DI0, CE, CLK, output F0, endmodule -module lut40029 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hBABA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - module lut40030 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hAAEE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFFA0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_24 ( input D1, C1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, +module SLICE_23 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, Q0, F1, Q1 ); wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40031 \RWBank_5_0[3] ( .A(A1), .B(GNDI), .C(C1), .D(D1), .Z(F1)); + lut40031 \ram2e_ufm/RA_35_0_0_0[7] ( .A(A1), .B(B1), .C(C1), .D(GNDI), + .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40032 \RWBank_5_0[2] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); - vmuxregsre \RWBank[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), + lut40031 \ram2e_ufm/RA_35_0_0_0[6] ( .A(A0), .B(B0), .C(C0), .D(GNDI), + .Z(F0)); + vmuxregsre \RA[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); - vmuxregsre \RWBank[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), + vmuxregsre \RA[6] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify - (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); @@ -1560,32 +1848,29 @@ endmodule module lut40031 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hAAFA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hF8F8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40032 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hDCDC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_25 ( input C1, B1, A1, D0, B0, A0, DI1, DI0, CE, CLK, output F0, - Q0, F1, Q1 ); +module SLICE_24 ( input D1, C1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, output + F0, Q0, F1, Q1 ); wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40032 \RWBank_5_0[5] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + lut40032 \ram2e_ufm/RA_35_0_0[9] ( .A(A1), .B(GNDI), .C(C1), .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40033 \RWBank_5_0[4] ( .A(A0), .B(B0), .C(GNDI), .D(D0), .Z(F0)); - vmuxregsre \RWBank[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), + lut40033 \ram2e_ufm/un2_S_2_i_0_0_o3_RNIHFHN3 ( .A(A0), .B(B0), .C(C0), + .D(D0), .Z(F0)); + vmuxregsre \RA[9] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); - vmuxregsre \RWBank[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), + vmuxregsre \RA[8] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify + (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); @@ -1599,31 +1884,38 @@ module SLICE_25 ( input C1, B1, A1, D0, B0, A0, DI1, DI0, CE, CLK, output F0, endmodule -module lut40033 ( input A, B, C, D, output Z ); +module lut40032 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hDDCC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFAF0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_26 ( input D1, C1, A1, D0, C0, B0, DI1, DI0, CE, CLK, output F0, - Q0, F1, Q1 ); - wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; +module lut40033 ( input A, B, C, D, output Z ); - lut40034 \RWBank_5_0_0[7] ( .A(A1), .B(GNDI), .C(C1), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40035 \RWBank_5_0[6] ( .A(GNDI), .B(B0), .C(C0), .D(D0), .Z(F0)); - vmuxregsre \RWBank[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), + ROM16X1A #(16'hFFEC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_25 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, + output F0, Q0, F1, Q1 ); + wire VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly; + + lut40034 \ram2e_ufm/RA_35_0_0[11] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40035 \ram2e_ufm/RA_35_2_0[10] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + vmuxregsre \RA[11] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); - vmuxregsre \RWBank[6] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), + gnd DRIVEGND( .PWR0(GNDI)); + vmuxregsre \RA[10] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); (CLK => Q1) = (0:0:0,0:0:0); $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); @@ -1637,32 +1929,32 @@ endmodule module lut40034 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFFA0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hECA0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40035 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFF30) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFFFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_27 ( input D1, C1, B1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, +module SLICE_26 ( input D1, B1, A1, D0, B0, A0, DI1, DI0, CE, CLK, output F0, Q0, F1, Q1 ); wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40036 \RWMask_RNO[1] ( .A(GNDI), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40036 \ram2e_ufm/RC_3_0_0[2] ( .A(A1), .B(B1), .C(GNDI), .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40037 \RWMask_RNO[0] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); - vmuxregsre \RWMask[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), + lut40037 \ram2e_ufm/RC_3_0_0[1] ( .A(A0), .B(B0), .C(GNDI), .D(D0), .Z(F0)); + vmuxregsre \RC[2] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); - vmuxregsre \RWMask[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), + vmuxregsre \RC[1] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); @@ -1678,31 +1970,32 @@ endmodule module lut40036 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h30FC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h6622) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40037 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h7474) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h2266) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_28 ( input D1, B1, A1, D0, C0, B0, DI1, DI0, CE, CLK, output F0, +module SLICE_27 ( input D1, C1, B1, D0, C0, B0, DI1, DI0, CE, CLK, output F0, Q0, F1, Q1 ); wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40038 \RWMask_RNO[3] ( .A(A1), .B(B1), .C(GNDI), .D(D1), .Z(F1)); + lut40038 \ram2e_ufm/RWBank_3_0[1] ( .A(GNDI), .B(B1), .C(C1), .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40039 \RWMask_RNO[2] ( .A(GNDI), .B(B0), .C(C0), .D(D0), .Z(F0)); - vmuxregsre \RWMask[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), + lut40038 \ram2e_ufm/RWBank_3_0_0[0] ( .A(GNDI), .B(B0), .C(C0), .D(D0), + .Z(F0)); + vmuxregsre \RWBank[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); - vmuxregsre \RWMask[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), + vmuxregsre \RWBank[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); @@ -1719,75 +2012,71 @@ endmodule module lut40038 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h55CC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFF30) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_28 ( input C1, B1, A1, D0, B0, A0, DI1, DI0, CE, CLK, output F0, + Q0, F1, Q1 ); + wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; + + lut40039 \ram2e_ufm/RWBank_3_0[3] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40040 \ram2e_ufm/RWBank_3_0[2] ( .A(A0), .B(B0), .C(GNDI), .D(D0), .Z(F0)); + vmuxregsre \RWBank[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), + .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); + vcc DRIVEVCC( .PWR1(VCCI)); + vmuxregsre \RWBank[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), + .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); + + specify + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + (CLK => Q1) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + endspecify + endmodule module lut40039 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0FCC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_29 ( input D1, C1, B1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, - Q0, F1, Q1 ); - wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - - lut40040 \RWMask_RNO[5] ( .A(GNDI), .B(B1), .C(C1), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40041 \RWMask_RNO[4] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); - vmuxregsre \RWMask[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); - vcc DRIVEVCC( .PWR1(VCCI)); - vmuxregsre \RWMask[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - (CLK => Q0) = (0:0:0,0:0:0); - (CLK => Q1) = (0:0:0,0:0:0); - $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); - $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); - $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - endspecify - + ROM16X1A #(16'hAEAE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40040 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h3F0C) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hAAEE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40041 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h2E2E) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_30 ( input D1, C1, A1, D0, C0, B0, DI1, DI0, CE, CLK, output F0, +module SLICE_29 ( input D1, B1, A1, D0, C0, A0, DI1, DI0, CE, CLK, output F0, Q0, F1, Q1 ); wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40042 \RWMask_RNO[7] ( .A(A1), .B(GNDI), .C(C1), .D(D1), .Z(F1)); + lut40041 \ram2e_ufm/RWBank_3_0[5] ( .A(A1), .B(B1), .C(GNDI), .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40039 \RWMask_RNO[6] ( .A(GNDI), .B(B0), .C(C0), .D(D0), .Z(F0)); - vmuxregsre \RWMask[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), + lut40042 \ram2e_ufm/RWBank_3_0_0[4] ( .A(A0), .B(GNDI), .C(C0), .D(D0), + .Z(F0)); + vmuxregsre \RWBank[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); - vmuxregsre \RWMask[6] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), + vmuxregsre \RWBank[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); (CLK => Q1) = (0:0:0,0:0:0); $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); @@ -1799,31 +2088,39 @@ module SLICE_30 ( input D1, C1, A1, D0, C0, B0, DI1, DI0, CE, CLK, output F0, endmodule -module lut40042 ( input A, B, C, D, output Z ); +module lut40041 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hF0AA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFF44) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_31 ( input C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, - Q0, F1 ); - wire GNDI, VCCI, DI0_dly, CLK_dly, CE_dly; +module lut40042 ( input A, B, C, D, output Z ); - lut40043 nDOE_pad_RNO( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + ROM16X1A #(16'hFF50) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_30 ( input D1, B1, A1, D0, C0, A0, DI1, DI0, CE, CLK, output F0, + Q0, F1, Q1 ); + wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; + + lut40043 \ram2e_ufm/RWBank_3_0[7] ( .A(A1), .B(B1), .C(GNDI), .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40044 RWSel_2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - vmuxregsre RWSel( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); + lut40044 \ram2e_ufm/RWBank_3_0[6] ( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); + vmuxregsre \RWBank[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), + .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); + vmuxregsre \RWBank[6] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), + .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify - (C1 => F1) = (0:0:0,0:0:0); + (D1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); + (CLK => Q1) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); @@ -1834,19 +2131,59 @@ endmodule module lut40043 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hF7F7) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFF88) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40044 ( input A, B, C, D, output Z ); + ROM16X1A #(16'hFF0A) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_31 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output + F0, Q0, F1 ); + wire VCCI, GNDI, DI0_dly, CLK_dly, CE_dly; + + lut40045 \ram2e_ufm/RA_35_0_0_0[3] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40046 \ram2e_ufm/RWSel_2_0_a3_0_a3 ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + vmuxregsre RWSel( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), + .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); + vcc DRIVEVCC( .PWR1(VCCI)); + gnd DRIVEGND( .PWR0(GNDI)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + endspecify + +endmodule + +module lut40045 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hECA0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40046 ( input A, B, C, D, output Z ); + ROM16X1A #(16'h0100) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module SLICE_32 ( input D1, C1, B1, A1, C0, A0, DI0, CLK, output F0, Q0, F1 ); wire GNDI, VCCI, DI0_dly, CLK_dly; - lut40045 Ready_0_sqmuxa_0_a2_6_a2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40046 Ready_RNO( .A(A0), .B(GNDI), .C(C0), .D(GNDI), .Z(F0)); + lut40047 \ram2e_ufm/Ready3_0_a3 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40048 Ready_RNO( .A(A0), .B(GNDI), .C(C0), .D(GNDI), .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); vmuxregsre Ready( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); @@ -1867,12 +2204,12 @@ module SLICE_32 ( input D1, C1, B1, A1, C0, A0, DI0, CLK, output F0, Q0, F1 ); endmodule -module lut40045 ( input A, B, C, D, output Z ); +module lut40047 ( input A, B, C, D, output Z ); ROM16X1A #(16'h8000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40046 ( input A, B, C, D, output Z ); +module lut40048 ( input A, B, C, D, output Z ); ROM16X1A #(16'hFAFA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule @@ -1881,8 +2218,9 @@ module SLICE_33 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CLK, output F0, Q0, F1, Q1 ); wire VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly; - lut40047 \S_RNO[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40048 \S_s_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40049 \ram2e_ufm/S_r_i_0_o2_0_RNI36E21[1] ( .A(A1), .B(B1), .C(C1), + .D(D1), .Z(F1)); + lut40050 \ram2e_ufm/S_s_0_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre \S[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -1909,22 +2247,24 @@ module SLICE_33 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CLK, output endmodule -module lut40047 ( input A, B, C, D, output Z ); +module lut40049 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h008C) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h00A2) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40048 ( input A, B, C, D, output Z ); +module lut40050 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFDFC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFFAE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module SLICE_34 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CLK, output F0, Q0, F1, Q1 ); wire VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly; - lut40049 \S_RNO[3] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40050 \S_RNO[2] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40051 \ram2e_ufm/S_r_i_0_o2_RNIFNP81_0[2] ( .A(A1), .B(B1), .C(C1), + .D(D1), .Z(F1)); + lut40052 \ram2e_ufm/S_r_i_0_o2_RNIFNP81[2] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); vmuxregsre \S[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -1951,82 +2291,41 @@ module SLICE_34 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CLK, output endmodule -module lut40049 ( input A, B, C, D, output Z ); +module lut40051 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0C0E) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h00AE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40050 ( input A, B, C, D, output Z ); +module lut40052 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0A0D) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h2321) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_35 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, - output F0, Q0, F1, Q1 ); - wire VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly; +module SLICE_35 ( input D1, C1, B1, A1, B0, A0, DI0, LSR, CLK, output F0, Q0, + F1 ); + wire GNDI, VCCI, DI0_dly, CLK_dly, LSR_dly; - lut40051 \wb_adr_RNO[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40052 \wb_adr_7_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - vmuxregsre \wb_adr[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); - vcc DRIVEVCC( .PWR1(VCCI)); + lut40053 \ram2e_ufm/CKE_7_m1_0_0_o2_RNICM8E1 ( .A(A1), .B(B1), .C(C1), + .D(D1), .Z(F1)); + lut40054 \ram2e_ufm/CKE_7_m1_0_0_o2 ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), + .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); - vmuxregsre \wb_adr[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); + vmuxregsre0011 VOEEN( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), + .CK(CLK_dly), .LSR(LSR_dly), .Q(Q0)); + vcc DRIVEVCC( .PWR1(VCCI)); specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); - (CLK => Q1) = (0:0:0,0:0:0); - $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); - $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - endspecify - -endmodule - -module lut40051 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hFFF8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40052 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hFEEE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_36 ( input D1, C1, D0, A0, DI1, DI0, CE, CLK, output F0, Q0, F1, - Q1 ); - wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - - lut40053 \wb_adr_RNO[3] ( .A(GNDI), .B(GNDI), .C(C1), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40054 \wb_adr_RNO[2] ( .A(A0), .B(GNDI), .C(GNDI), .D(D0), .Z(F0)); - vmuxregsre \wb_adr[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); - vcc DRIVEVCC( .PWR1(VCCI)); - vmuxregsre \wb_adr[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - (CLK => Q0) = (0:0:0,0:0:0); - (CLK => Q1) = (0:0:0,0:0:0); - $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); - $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); - $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $setuphold (posedge CLK, LSR, 0:0:0, 0:0:0,,,, CLK_dly, LSR_dly); + $width (posedge LSR, 0:0:0); + $width (negedge LSR, 0:0:0); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); endspecify @@ -2035,39 +2334,38 @@ endmodule module lut40053 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hF000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0001) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40054 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hAA00) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hEEEE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_37 ( input D1, B1, A1, D0, C0, B0, DI1, DI0, CE, CLK, output F0, - Q0, F1, Q1 ); - wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; +module SLICE_36 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, + Q0, F1 ); + wire VCCI, GNDI, DI0_dly, CLK_dly; - lut40055 \wb_adr_RNO[5] ( .A(A1), .B(B1), .C(GNDI), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40056 \wb_adr_RNO[4] ( .A(GNDI), .B(B0), .C(C0), .D(D0), .Z(F0)); - vmuxregsre \wb_adr[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); - vcc DRIVEVCC( .PWR1(VCCI)); - vmuxregsre \wb_adr[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), + lut40055 \ram2e_ufm/nRAS_s_i_0_a3_5_RNIH7J73 ( .A(A1), .B(B1), .C(C1), + .D(D1), .Z(F1)); + lut40056 \ram2e_ufm/nCAS_s_i_0_a3_RNIO1UQ3 ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + vmuxregsre0004 nCAS( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); + vcc DRIVEVCC( .PWR1(VCCI)); + gnd DRIVEGND( .PWR0(GNDI)); specify (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); - (CLK => Q1) = (0:0:0,0:0:0); - $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); - $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); endspecify @@ -2076,38 +2374,38 @@ endmodule module lut40055 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hAA33) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h1000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40056 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hF033) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h1101) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_38 ( input D1, B1, D0, C0, B0, DI1, DI0, CE, CLK, output F0, Q0, - F1, Q1 ); - wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; +module SLICE_37 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, + Q0, F1 ); + wire VCCI, GNDI, DI0_dly, CLK_dly; - lut40057 \wb_adr_RNO[7] ( .A(GNDI), .B(B1), .C(GNDI), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40058 \wb_adr_RNO[6] ( .A(GNDI), .B(B0), .C(C0), .D(D0), .Z(F0)); - vmuxregsre \wb_adr[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); - vcc DRIVEVCC( .PWR1(VCCI)); - vmuxregsre \wb_adr[6] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), + lut40057 \ram2e_ufm/nRAS_s_i_0_a3_5_RNIH7J73_0 ( .A(A1), .B(B1), .C(C1), + .D(D1), .Z(F1)); + lut40058 \ram2e_ufm/nRAS_s_i_0_0_RNI0PC64 ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + vmuxregsre0004 nRAS( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); + vcc DRIVEVCC( .PWR1(VCCI)); + gnd DRIVEGND( .PWR0(GNDI)); specify (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); - (CLK => Q1) = (0:0:0,0:0:0); - $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); - $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); endspecify @@ -2116,64 +2414,25 @@ endmodule module lut40057 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hCC00) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0002) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40058 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hCC0F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0001) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_39 ( input D1, C1, B1, A1, C0, B0, A0, DI0, CE, CLK, output F0, +module SLICE_38 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, Q0, F1 ); - wire GNDI, VCCI, DI0_dly, CLK_dly, CE_dly; + wire VCCI, GNDI, DI0_dly, CLK_dly; - lut40059 wb_cyc_stb_RNO_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40060 wb_cyc_stb_RNO( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); - gnd DRIVEGND( .PWR0(GNDI)); - vmuxregsre wb_cyc_stb( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), + lut40059 \ram2e_ufm/nRAS_s_i_0_a3_0 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40060 \ram2e_ufm/nRAS_s_i_0_a3_0_RNIIR094 ( .A(A0), .B(B0), .C(C0), + .D(D0), .Z(F0)); + vmuxregsre0004 nRWE( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - (CLK => Q0) = (0:0:0,0:0:0); - $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); - $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - endspecify - -endmodule - -module lut40059 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0302) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40060 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hECEC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_40 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, - output F0, Q0, F1, Q1 ); - wire VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - - lut40061 \wb_dati_7_0[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40062 \wb_dati_7_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - vmuxregsre \wb_dati[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); - vcc DRIVEVCC( .PWR1(VCCI)); gnd DRIVEGND( .PWR0(GNDI)); - vmuxregsre \wb_dati[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -2185,8 +2444,46 @@ module SLICE_40 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); - (CLK => Q1) = (0:0:0,0:0:0); - $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + endspecify + +endmodule + +module lut40059 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0200) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40060 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0103) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_39 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, + output F0, Q0, F1 ); + wire VCCI, GNDI, DI0_dly, CLK_dly, CE_dly; + + lut40061 \ram2e_ufm/CmdBitbangMXO2_3_0_a3_1 ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40062 \ram2e_ufm/CmdBitbangMXO2_3_0_a3 ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + vmuxregsre \ram2e_ufm/CmdBitbangMXO2 ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); + vcc DRIVEVCC( .PWR1(VCCI)); + gnd DRIVEGND( .PWR0(GNDI)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); @@ -2197,38 +2494,35 @@ endmodule module lut40061 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFFEC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40062 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hECA0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h4000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_41 ( input D1, C1, B1, D0, C0, B0, A0, DI1, DI0, CE, CLK, output - F0, Q0, F1, Q1 ); - wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; +module ram2e_ufm_SLICE_40 ( input D1, C1, B1, A1, C0, B0, DI0, CE, CLK, + output F0, Q0, F1 ); + wire GNDI, VCCI, DI0_dly, CLK_dly, CE_dly; - lut40063 \wb_dati_7_0[3] ( .A(GNDI), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40063 \ram2e_ufm/CmdBitbangMXO2_3_0_a3_0 ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40064 \ram2e_ufm/CmdExecMXO2_3_0_a3 ( .A(GNDI), .B(B0), .C(C0), .D(GNDI), + .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); - lut40064 \wb_dati_7_0[2] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - vmuxregsre \wb_dati[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); + vmuxregsre \ram2e_ufm/CmdExecMXO2 ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); - vmuxregsre \wb_dati[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); - (CLK => Q1) = (0:0:0,0:0:0); - $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); @@ -2239,26 +2533,26 @@ endmodule module lut40063 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFFFC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h4000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40064 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFFEA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hC0C0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_42 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, - output F0, Q0, F1, Q1 ); - wire VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly; +module ram2e_ufm_SLICE_41 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, + output F0, Q0, F1 ); + wire VCCI, GNDI, DI0_dly, CLK_dly, CE_dly; - lut40065 \wb_dati_7_0[5] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40066 \wb_dati_7_0[4] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - vmuxregsre \wb_dati[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); + lut40065 \ram2e_ufm/CmdSetRWBankFFChip_3_0_a8_0_a3_0_0 ( .A(A1), .B(B1), + .C(C1), .D(D1), .Z(F1)); + lut40066 \ram2e_ufm/CmdSetRWBankFFChip_3_0_a8_0_a3 ( .A(A0), .B(B0), .C(C0), + .D(D0), .Z(F0)); + vmuxregsre \ram2e_ufm/CmdSetRWBankFFChip ( .D0(VCCI), .D1(DI0_dly), + .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); gnd DRIVEGND( .PWR0(GNDI)); - vmuxregsre \wb_dati[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -2270,8 +2564,6 @@ module SLICE_42 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); - (CLK => Q1) = (0:0:0,0:0:0); - $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); @@ -2282,32 +2574,68 @@ endmodule module lut40065 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFFF8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0080) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40066 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFFFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h2000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_43 ( input D1, C1, B1, A1, D0, B0, A0, DI1, DI0, CE, CLK, output - F0, Q0, F1, Q1 ); +module ram2e_ufm_SLICE_42 ( input D1, C1, A1, D0, C0, A0, DI0, CE, CLK, + output F0, Q0, F1 ); + wire GNDI, VCCI, DI0_dly, CLK_dly, CE_dly; + + lut40067 \ram2e_ufm/SUM1_0_o3_0 ( .A(A1), .B(GNDI), .C(C1), .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40068 \ram2e_ufm/LEDEN_RNO ( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); + vmuxregsre \ram2e_ufm/LEDEN ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); + vcc DRIVEVCC( .PWR1(VCCI)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + endspecify + +endmodule + +module lut40067 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFFFA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40068 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hAAF0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_43 ( input D1, C1, B1, D0, B0, A0, DI1, DI0, CE, CLK, + output F0, Q0, F1, Q1 ); wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40067 \wb_dati_7_0[7] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40068 \wb_dati_7_0[6] ( .A(A0), .B(B0), .C(GNDI), .D(D0), .Z(F0)); + lut40069 \ram2e_ufm/RWMask_RNO[1] ( .A(GNDI), .B(B1), .C(C1), .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - vmuxregsre \wb_dati[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); + lut40070 \ram2e_ufm/RWMask_RNO[0] ( .A(A0), .B(B0), .C(GNDI), .D(D0), .Z(F0)); + vmuxregsre \ram2e_ufm/RWMask[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); - vmuxregsre \wb_dati[6] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); + vmuxregsre \ram2e_ufm/RWMask[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); @@ -2322,26 +2650,28 @@ module SLICE_43 ( input D1, C1, B1, A1, D0, B0, A0, DI1, DI0, CE, CLK, output endmodule -module lut40067 ( input A, B, C, D, output Z ); +module lut40069 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFFFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0FCC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40068 ( input A, B, C, D, output Z ); +module lut40070 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hEECC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h55CC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_44 ( input D1, B1, A1, D0, C0, B0, A0, DI0, CE, LSR, CLK, output - F0, Q0, F1 ); - wire GNDI, VCCI, DI0_dly, CLK_dly, CE_dly, LSR_dly; +module ram2e_ufm_SLICE_44 ( input D1, B1, A1, D0, C0, A0, DI1, DI0, CE, CLK, + output F0, Q0, F1, Q1 ); + wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40069 wb_req_RNO_1( .A(A1), .B(B1), .C(GNDI), .D(D1), .Z(F1)); + lut40070 \ram2e_ufm/RWMask_RNO[3] ( .A(A1), .B(B1), .C(GNDI), .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40070 wb_req_RNO( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - vmuxregsre0006 wb_req( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(LSR_dly), .Q(Q0)); + lut40071 \ram2e_ufm/RWMask_RNO[2] ( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); + vmuxregsre \ram2e_ufm/RWMask[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); + vmuxregsre \ram2e_ufm/RWMask[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -2349,50 +2679,12 @@ module SLICE_44 ( input D1, B1, A1, D0, C0, B0, A0, DI0, CE, LSR, CLK, output (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); + (CLK => Q1) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); - $setuphold (posedge CLK, LSR, 0:0:0, 0:0:0,,,, CLK_dly, LSR_dly); - $width (posedge LSR, 0:0:0); - $width (negedge LSR, 0:0:0); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - endspecify - -endmodule - -module lut40069 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h8800) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40070 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0001) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_45 ( input B1, A1, D0, A0, DI0, LSR, CLK, output F0, Q0, F1 ); - wire GNDI, VCCI, DI0_dly, CLK_dly, LSR_dly; - - lut40071 \un1_LEDEN13_2_i_o2[0] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40072 wb_rst8_0_a2( .A(A0), .B(GNDI), .C(GNDI), .D(D0), .Z(F0)); - vmuxregsre0006 wb_rst( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), - .CK(CLK_dly), .LSR(LSR_dly), .Q(Q0)); - vcc DRIVEVCC( .PWR1(VCCI)); - - specify - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - (CLK => Q0) = (0:0:0,0:0:0); - $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); - $setuphold (posedge CLK, LSR, 0:0:0, 0:0:0,,,, CLK_dly, LSR_dly); - $width (posedge LSR, 0:0:0); - $width (negedge LSR, 0:0:0); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); endspecify @@ -2401,24 +2693,88 @@ endmodule module lut40071 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hEEEE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h55F0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_45 ( input D1, C1, B1, D0, C0, A0, DI1, DI0, CE, CLK, + output F0, Q0, F1, Q1 ); + wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; + + lut40072 \ram2e_ufm/RWMask_RNO[5] ( .A(GNDI), .B(B1), .C(C1), .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40071 \ram2e_ufm/RWMask_RNO[4] ( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); + vmuxregsre \ram2e_ufm/RWMask[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); + vcc DRIVEVCC( .PWR1(VCCI)); + vmuxregsre \ram2e_ufm/RWMask[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + (CLK => Q1) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + endspecify + endmodule module lut40072 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0055) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h33F0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_46 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output - F0, Q0, F1 ); - wire VCCI, GNDI, DI0_dly, CLK_dly, CE_dly; +module ram2e_ufm_SLICE_46 ( input D1, C1, A1, D0, C0, A0, DI1, DI0, CE, CLK, + output F0, Q0, F1, Q1 ); + wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40073 wb_we_RNO_1( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40074 wb_we_RNO( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - vmuxregsre wb_we( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); + lut40068 \ram2e_ufm/RWMask_RNO[7] ( .A(A1), .B(GNDI), .C(C1), .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40071 \ram2e_ufm/RWMask_RNO[6] ( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); + vmuxregsre \ram2e_ufm/RWMask[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); + vcc DRIVEVCC( .PWR1(VCCI)); + vmuxregsre \ram2e_ufm/RWMask[6] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + (CLK => Q1) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + endspecify + +endmodule + +module ram2e_ufm_SLICE_47 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, + CLK, output F0, Q0, F1, Q1 ); + wire VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly; + + lut40073 \ram2e_ufm/wb_adr_RNO[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40074 \ram2e_ufm/wb_adr_7_i_i[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + vmuxregsre \ram2e_ufm/wb_adr[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); gnd DRIVEGND( .PWR0(GNDI)); + vmuxregsre \ram2e_ufm/wb_adr[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -2430,6 +2786,8 @@ module SLICE_46 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); + (CLK => Q1) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); @@ -2440,74 +2798,139 @@ endmodule module lut40073 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h70F7) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFFEC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40074 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFF40) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFFF8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_47 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_48 ( input D1, B1, D0, A0, DI1, DI0, CE, CLK, output F0, + Q0, F1, Q1 ); + wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40075 DQMH_0io_RNO( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40076 \S_RNII9DO1[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40075 \ram2e_ufm/wb_adr_RNO[3] ( .A(GNDI), .B(B1), .C(GNDI), .D(D1), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40076 \ram2e_ufm/wb_adr_RNO[2] ( .A(A0), .B(GNDI), .C(GNDI), .D(D0), + .Z(F0)); + vmuxregsre \ram2e_ufm/wb_adr[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); + vcc DRIVEVCC( .PWR1(VCCI)); + vmuxregsre \ram2e_ufm/wb_adr[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + (CLK => Q1) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); endspecify endmodule module lut40075 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hBFFF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hCC00) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40076 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFFFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hAA00) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_48 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_49 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, + output F0, Q0, F1, Q1 ); + wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40077 Vout3_0_a2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40078 nCAS_0io_RNO_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40077 \ram2e_ufm/wb_adr_RNO[5] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40077 \ram2e_ufm/wb_adr_RNO[4] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); + vmuxregsre \ram2e_ufm/wb_adr[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); + vcc DRIVEVCC( .PWR1(VCCI)); + vmuxregsre \ram2e_ufm/wb_adr[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify - (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + (CLK => Q1) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); endspecify endmodule module lut40077 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0200) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h8D8D) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_50 ( input B1, A1, D0, C0, B0, DI1, DI0, CE, CLK, + output F0, Q0, F1, Q1 ); + wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; + + lut40025 \ram2e_ufm/wb_adr_RNO[7] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40078 \ram2e_ufm/wb_adr_RNO[6] ( .A(GNDI), .B(B0), .C(C0), .D(D0), .Z(F0)); + vmuxregsre \ram2e_ufm/wb_adr[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); + vcc DRIVEVCC( .PWR1(VCCI)); + vmuxregsre \ram2e_ufm/wb_adr[6] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); + + specify + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + (CLK => Q1) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + endspecify + endmodule module lut40078 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hCE0A) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hC0F3) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_49 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_51 ( input D1, C1, B1, A1, D0, B0, A0, DI0, CE, CLK, + output F0, Q0, F1 ); + wire GNDI, VCCI, DI0_dly, CLK_dly, CE_dly; - lut40079 un1_CS_0_sqmuxa_0_0_a2_1( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40080 un1_CS_0_sqmuxa_0_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40079 \ram2e_ufm/wb_cyc_stb_RNO_0 ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40080 \ram2e_ufm/wb_cyc_stb_RNO ( .A(A0), .B(B0), .C(GNDI), .D(D0), + .Z(F0)); + gnd DRIVEGND( .PWR0(GNDI)); + vmuxregsre \ram2e_ufm/wb_cyc_stb ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); + vcc DRIVEVCC( .PWR1(VCCI)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -2515,27 +2938,41 @@ module SLICE_49 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); endspecify endmodule module lut40079 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h4000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h000E) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40080 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hF8F0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hEECC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_50 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_52 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, + CLK, output F0, Q0, F1, Q1 ); + wire VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40081 \wb_dati_7_0_a2_0_2[7] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40082 \wb_dati_7_0_RNO[7] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40081 \ram2e_ufm/wb_dati_7_0_0[1] ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40082 \ram2e_ufm/wb_dati_7_0_0_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + vmuxregsre \ram2e_ufm/wb_dati[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); + vcc DRIVEVCC( .PWR1(VCCI)); + gnd DRIVEGND( .PWR0(GNDI)); + vmuxregsre \ram2e_ufm/wb_dati[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -2546,24 +2983,41 @@ module SLICE_50 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + (CLK => Q1) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); endspecify endmodule module lut40081 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h00B0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFFF8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40082 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hF010) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hF888) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_51 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_53 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, + CLK, output F0, Q0, F1, Q1 ); + wire VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40083 CKE_6_iv_i_0_1( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40084 CKE_6_iv_i_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40083 \ram2e_ufm/wb_dati_7_0_0_0[3] ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40084 \ram2e_ufm/wb_dati_7_0_0[2] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + vmuxregsre \ram2e_ufm/wb_dati[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); + vcc DRIVEVCC( .PWR1(VCCI)); + gnd DRIVEGND( .PWR0(GNDI)); + vmuxregsre \ram2e_ufm/wb_dati[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -2574,24 +3028,41 @@ module SLICE_51 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + (CLK => Q1) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); endspecify endmodule module lut40083 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h30EE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFEFA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40084 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hF2F0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFEEE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_52 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_54 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, + CLK, output F0, Q0, F1, Q1 ); + wire VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40085 \un1_LEDEN13_2_i_a2_0[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40086 \un1_LEDEN13_2_i_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40085 \ram2e_ufm/wb_dati_7_0_0[5] ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40035 \ram2e_ufm/wb_dati_7_0_0[4] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + vmuxregsre \ram2e_ufm/wb_dati[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); + vcc DRIVEVCC( .PWR1(VCCI)); + gnd DRIVEGND( .PWR0(GNDI)); + vmuxregsre \ram2e_ufm/wb_dati[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -2602,25 +3073,36 @@ module SLICE_52 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + (CLK => Q1) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); endspecify endmodule module lut40085 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h00D0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFFF8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40086 ( input A, B, C, D, output Z ); +module ram2e_ufm_SLICE_55 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, + CLK, output F0, Q0, F1, Q1 ); + wire VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - ROM16X1A #(16'hFFEC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_53 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40087 \un1_wb_adr_0_sqmuxa_2_0_1[0] ( .A(A1), .B(B1), .C(C1), .D(D1), + lut40035 \ram2e_ufm/wb_dati_7_0_0_0[7] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40088 wb_we_RNO_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40086 \ram2e_ufm/wb_dati_7_0_0[6] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + vmuxregsre \ram2e_ufm/wb_dati[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); + vcc DRIVEVCC( .PWR1(VCCI)); + gnd DRIVEGND( .PWR0(GNDI)); + vmuxregsre \ram2e_ufm/wb_dati[6] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -2631,52 +3113,113 @@ module SLICE_53 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + (CLK => Q1) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + endspecify + +endmodule + +module lut40086 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFFF8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_56 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, LSR, + CLK, output F0, Q0, F1 ); + wire VCCI, DI0_dly, CLK_dly, CE_dly, LSR_dly; + + lut40087 \ram2e_ufm/wb_reqc_1_0 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40088 \ram2e_ufm/wb_req_RNO ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + vmuxregsre0011 \ram2e_ufm/wb_req ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(LSR_dly), .Q(Q0)); + vcc DRIVEVCC( .PWR1(VCCI)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $setuphold (posedge CLK, LSR, 0:0:0, 0:0:0,,,, CLK_dly, LSR_dly); + $width (posedge LSR, 0:0:0); + $width (negedge LSR, 0:0:0); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); endspecify endmodule module lut40087 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h3FDD) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFFFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40088 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0203) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h1333) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_54 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_57 ( input D1, C1, B1, A1, C0, A0, DI0, LSR, CLK, + output F0, Q0, F1 ); + wire GNDI, VCCI, DI0_dly, CLK_dly, LSR_dly; - lut40089 un1_CS_0_sqmuxa_0_0_a2_15( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40090 un1_CS_0_sqmuxa_0_0_o2_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40089 \ram2e_ufm/Ready3_0_a3_4 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40090 \ram2e_ufm/wb_rst8_0_a3_0_a3 ( .A(A0), .B(GNDI), .C(C0), .D(GNDI), + .Z(F0)); + gnd DRIVEGND( .PWR0(GNDI)); + vmuxregsre0011 \ram2e_ufm/wb_rst ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), + .SP(VCCI), .CK(CLK_dly), .LSR(LSR_dly), .Q(Q0)); + vcc DRIVEVCC( .PWR1(VCCI)); specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, LSR, 0:0:0, 0:0:0,,,, CLK_dly, LSR_dly); + $width (posedge LSR, 0:0:0); + $width (negedge LSR, 0:0:0); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); endspecify endmodule module lut40089 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0020) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h8000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40090 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hDCCC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0505) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_55 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_58 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, + output F0, Q0, F1 ); + wire VCCI, GNDI, DI0_dly, CLK_dly, CE_dly; - lut40091 nCS_6_u_i_o2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40092 un1_nCS61_1_0_a2_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40091 \ram2e_ufm/wb_we_RNO_2 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40092 \ram2e_ufm/wb_we_RNO ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + vmuxregsre \ram2e_ufm/wb_we ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); + vcc DRIVEVCC( .PWR1(VCCI)); + gnd DRIVEGND( .PWR0(GNDI)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -2687,108 +3230,159 @@ module SLICE_55 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); endspecify endmodule module lut40091 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hEEEF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hF4F0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40092 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0200) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hF4F0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_56 ( input D1, C1, A1, D0, C0, A0, output F0, F1 ); - wire GNDI; +module ram2e_ufm_SUM0_i_m3_0_SLICE_59 ( input D1, C1, B1, D0, C0, B0, M0, + output OFX0 ); + wire GNDI, + \ram2e_ufm/SUM0_i_m3_0/SLICE_59/ram2e_ufm/SUM0_i_m3_0/SLICE_59_K1_H1 , + \ram2e_ufm/SUM0_i_m3_0/SLICE_59/ram2e_ufm/SUM0_i_m3_0/GATE_H0 ; - lut40093 \wb_dati_7_0_a2_5[1] ( .A(A1), .B(GNDI), .C(C1), .D(D1), .Z(F1)); + lut40093 \ram2e_ufm/SUM0_i_m3_0/SLICE_59_K1 ( .A(GNDI), .B(B1), .C(C1), + .D(D1), + .Z(\ram2e_ufm/SUM0_i_m3_0/SLICE_59/ram2e_ufm/SUM0_i_m3_0/SLICE_59_K1_H1 )); gnd DRIVEGND( .PWR0(GNDI)); - lut40094 \wb_dati_7_0_a2_6[1] ( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); + lut40094 \ram2e_ufm/SUM0_i_m3_0/GATE ( .A(GNDI), .B(B0), .C(C0), .D(D0), + .Z(\ram2e_ufm/SUM0_i_m3_0/SLICE_59/ram2e_ufm/SUM0_i_m3_0/GATE_H0 )); + selmux2 \ram2e_ufm/SUM0_i_m3_0/SLICE_59_K0K1MUX ( + .D0(\ram2e_ufm/SUM0_i_m3_0/SLICE_59/ram2e_ufm/SUM0_i_m3_0/GATE_H0 ), + .D1(\ram2e_ufm/SUM0_i_m3_0/SLICE_59/ram2e_ufm/SUM0_i_m3_0/SLICE_59_K1_H1 ), + .SD(M0), .Z(OFX0)); specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); + (D1 => OFX0) = (0:0:0,0:0:0); + (C1 => OFX0) = (0:0:0,0:0:0); + (B1 => OFX0) = (0:0:0,0:0:0); + (D0 => OFX0) = (0:0:0,0:0:0); + (C0 => OFX0) = (0:0:0,0:0:0); + (B0 => OFX0) = (0:0:0,0:0:0); + (M0 => OFX0) = (0:0:0,0:0:0); endspecify endmodule module lut40093 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0500) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hF3FF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40094 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0A00) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFFFC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_57 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module selmux2 ( input D0, D1, SD, output Z ); - lut40095 \un1_LEDEN13_2_i_a2[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40096 \S_RNII9DO1_0[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + MUX21 INST1( .D0(D0), .D1(D1), .SD(SD), .Z(Z)); +endmodule + +module ram2e_ufm_un1_CS_0_sqmuxa_0_0_0_SLICE_60 ( input D1, C1, B1, A1, C0, B0, + A0, M0, output OFX0 ); + wire + \ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60/ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60_K1_H1 + , GNDI, + \ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60/ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/GATE_H0 + ; + + lut40095 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60_K1 ( .A(A1), .B(B1), + .C(C1), .D(D1), + .Z(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60/ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60_K1_H1 ) + ); + lut40096 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/GATE ( .A(A0), .B(B0), .C(C0), + .D(GNDI), + .Z(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60/ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/GATE_H0 ) + ); + gnd DRIVEGND( .PWR0(GNDI)); + selmux2 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60_K0K1MUX ( + .D0(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60/ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/GATE_H0 ) + , + .D1(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60/ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60_K1_H1 ) + , .SD(M0), .Z(OFX0)); specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); + (D1 => OFX0) = (0:0:0,0:0:0); + (C1 => OFX0) = (0:0:0,0:0:0); + (B1 => OFX0) = (0:0:0,0:0:0); + (A1 => OFX0) = (0:0:0,0:0:0); + (C0 => OFX0) = (0:0:0,0:0:0); + (B0 => OFX0) = (0:0:0,0:0:0); + (A0 => OFX0) = (0:0:0,0:0:0); + (M0 => OFX0) = (0:0:0,0:0:0); endspecify endmodule module lut40095 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h3200) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h2F0F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40096 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFDFF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h7F7F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_58 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_CKE_7_SLICE_61 ( input D1, C1, A1, D0, B0, A0, M0, output + OFX0 ); + wire GNDI, \ram2e_ufm/CKE_7/SLICE_61/ram2e_ufm/CKE_7/SLICE_61_K1_H1 , + \ram2e_ufm/CKE_7/SLICE_61/ram2e_ufm/CKE_7/GATE_H0 ; - lut40097 \wb_dati_7_0_2[3] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40098 \wb_dati_7_0_2_RNO[3] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40097 \ram2e_ufm/CKE_7/SLICE_61_K1 ( .A(A1), .B(GNDI), .C(C1), .D(D1), + .Z(\ram2e_ufm/CKE_7/SLICE_61/ram2e_ufm/CKE_7/SLICE_61_K1_H1 )); + gnd DRIVEGND( .PWR0(GNDI)); + lut40098 \ram2e_ufm/CKE_7/GATE ( .A(A0), .B(B0), .C(GNDI), .D(D0), + .Z(\ram2e_ufm/CKE_7/SLICE_61/ram2e_ufm/CKE_7/GATE_H0 )); + selmux2 \ram2e_ufm/CKE_7/SLICE_61_K0K1MUX ( + .D0(\ram2e_ufm/CKE_7/SLICE_61/ram2e_ufm/CKE_7/GATE_H0 ), + .D1(\ram2e_ufm/CKE_7/SLICE_61/ram2e_ufm/CKE_7/SLICE_61_K1_H1 ), .SD(M0), + .Z(OFX0)); specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); + (D1 => OFX0) = (0:0:0,0:0:0); + (C1 => OFX0) = (0:0:0,0:0:0); + (A1 => OFX0) = (0:0:0,0:0:0); + (D0 => OFX0) = (0:0:0,0:0:0); + (B0 => OFX0) = (0:0:0,0:0:0); + (A0 => OFX0) = (0:0:0,0:0:0); + (M0 => OFX0) = (0:0:0,0:0:0); endspecify endmodule module lut40097 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h5400) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h5F0F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40098 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0010) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h2200) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_59 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_62 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); - lut40099 DQML_0io_RNO( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40100 DQML_0io_RNO_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40099 \ram2e_ufm/CmdExecMXO2_3_0_a3_0_RNIAJ811 ( .A(A1), .B(B1), .C(C1), + .D(D1), .Z(F1)); + lut40100 \ram2e_ufm/CmdExecMXO2_3_0_a3_0_RNIPG3P2 ( .A(A0), .B(B0), .C(C0), + .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -2805,26 +3399,28 @@ endmodule module lut40099 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0FBF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40100 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hF0F2) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_60 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 ); - wire GNDI; +module ram2e_ufm_SLICE_63 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); - lut40101 \wb_adr_RNO_1[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40102 \wb_adr_RNO_3[1] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); - gnd DRIVEGND( .PWR0(GNDI)); + lut40101 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_o3_0_RNIA0N95 ( .A(A1), .B(B1), + .C(C1), .D(D1), .Z(F1)); + lut40102 \ram2e_ufm/S_r_i_0_o2_RNI3VQTC[1] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); @@ -2834,25 +3430,27 @@ endmodule module lut40101 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h20A8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hF0F4) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40102 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h4040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFCFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_61 ( input D1, C1, B1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_64 ( input D1, C1, A1, D0, C0, B0, A0, output F0, F1 ); wire GNDI; - lut40103 \wb_dati_7_0_a2_2_0[1] ( .A(GNDI), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40103 \ram2e_ufm/wb_adr_7_i_i_a3_6[0] ( .A(A1), .B(GNDI), .C(C1), .D(D1), + .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40104 \FS_RNIOD6E_1[8] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40104 \ram2e_ufm/wb_adr_7_i_i_5[0] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); @@ -2863,20 +3461,20 @@ endmodule module lut40103 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hC000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0A00) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40104 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0100) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hAAEA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_62 ( input D1, C1, B1, A1, D0, C0, B0, output F0, F1 ); - wire GNDI; +module ram2e_ufm_SLICE_65 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); - lut40105 \wb_adr_RNO_0[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40106 \wb_adr_RNO_2[1] ( .A(GNDI), .B(B0), .C(C0), .D(D0), .Z(F0)); - gnd DRIVEGND( .PWR0(GNDI)); + lut40105 \ram2e_ufm/SUM0_i_a3_1 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40106 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_o3_0_RNIN3AF2 ( .A(A0), .B(B0), + .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -2886,32 +3484,35 @@ module SLICE_62 ( input D1, C1, B1, A1, D0, C0, B0, output F0, F1 ); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); endspecify endmodule module lut40105 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h1000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h1300) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40106 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hC03F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hF1F0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_63 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 ); - wire GNDI; +module ram2e_ufm_SLICE_66 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); - lut40107 \un1_LEDEN13_2_i_o2_2[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40108 \FS_RNI9FGA[1] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); - gnd DRIVEGND( .PWR0(GNDI)); + lut40107 \ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_0[0] ( .A(A1), .B(B1), + .C(C1), .D(D1), .Z(F1)); + lut40108 \ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0[0] ( .A(A0), .B(B0), + .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); @@ -2921,24 +3522,23 @@ endmodule module lut40107 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFFFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hCCC8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40108 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFEFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hDDDC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_64 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_67 ( input D1, B1, A1, D0, C0, B0, A0, output F0, F1 ); wire GNDI; - lut40109 \FS_RNI6JJA[8] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + lut40109 \ram2e_ufm/nRAS_s_i_0_m3 ( .A(A1), .B(B1), .C(GNDI), .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40110 \un1_RWMask_0_sqmuxa_1_i_0_RNO[0] ( .A(A0), .B(B0), .C(C0), .D(D0), - .Z(F0)); + lut40110 \ram2e_ufm/nRAS_s_i_0_o2_0 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify - (C1 => F1) = (0:0:0,0:0:0); + (D1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); @@ -2951,23 +3551,25 @@ endmodule module lut40109 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h7F7F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hDD11) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40110 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0800) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFFDE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_65 ( input D1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; +module ram2e_ufm_SLICE_68 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); - lut40111 \wb_dati_7_0_a2_0_0[6] ( .A(A1), .B(B1), .C(GNDI), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40112 \wb_dati_7_0_0_RNO[7] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40111 \ram2e_ufm/wb_adr_7_i_i_3[0] ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40112 \ram2e_ufm/wb_adr_7_i_i_3_1[0] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); @@ -2980,23 +3582,25 @@ endmodule module lut40111 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h1100) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h4100) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40112 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h8000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0819) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_66 ( input C1, A1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; +module ram2e_ufm_SLICE_69 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); - lut40003 \FS_RNIJ9MH[14] ( .A(A1), .B(GNDI), .C(C1), .D(GNDI), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40113 wb_we_RNO_3( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40113 \ram2e_ufm/nCAS_s_i_0_a3_0 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40114 \ram2e_ufm/wb_rst16_i_i_i_o3 ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); specify + (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); @@ -3008,184 +3612,236 @@ endmodule module lut40113 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h3100) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_67 ( input C1, A1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40114 wb_reqc_1( .A(A1), .B(GNDI), .C(C1), .D(GNDI), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40115 wb_reqc_1_RNIRU4M1( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (C1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - + ROM16X1A #(16'h0020) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40114 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFAFA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFFFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_70 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40115 \ram2e_ufm/wb_dati_7_0_0_a3_12[7] ( .A(A1), .B(B1), .C(C1), + .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40116 \ram2e_ufm/wb_dati_7_0_0_0_RNO[7] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + + specify + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + endmodule module lut40115 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0800) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_68 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40116 \wb_dati_7_0_0[3] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40117 \FS_RNIOD6E_0[8] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - + ROM16X1A #(16'h0202) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40116 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hF888) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hB000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_71 ( input C1, B1, A1, D0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40117 \ram2e_ufm/RA_35_0_0_a3_4[7] ( .A(A1), .B(B1), .C(C1), .D(GNDI), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40118 \ram2e_ufm/nRAS_s_i_0_a3_4 ( .A(A0), .B(B0), .C(GNDI), .D(D0), + .Z(F0)); + + specify + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + endmodule module lut40117 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h2000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_69 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40118 \RA_42_0[10] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40119 \RA_42_0_RNO[10] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - + ROM16X1A #(16'h4040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40118 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFFF2) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0011) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_72 ( input D1, C1, B1, A1, D0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40119 \ram2e_ufm/BA_4[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40120 \ram2e_ufm/un1_RC12_i_0_o3 ( .A(A0), .B(B0), .C(GNDI), .D(D0), + .Z(F0)); + gnd DRIVEGND( .PWR0(GNDI)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + endmodule module lut40119 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0208) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_70 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40120 \wb_dati_7_0_a2[4] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40121 \FS_RNIOD6E[8] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - + ROM16X1A #(16'hC0C4) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40120 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0E00) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFFEE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_73 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40121 \ram2e_ufm/wb_dati_7_0_0_o3_0[2] ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40122 \ram2e_ufm/wb_dati_7_0_0_a3_3[4] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + endmodule module lut40121 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h7800) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_71 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40122 nRWE_r_0_RNO( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40123 \S_RNII9DO1_3[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - + ROM16X1A #(16'hF8F0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40122 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h2333) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0004) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_74 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40123 \ram2e_ufm/RA_35_2_0_0[10] ( .A(A1), .B(B1), .C(C1), .D(GNDI), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40124 \ram2e_ufm/RA_35_2_0_a3_5[10] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + + specify + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + endmodule module lut40123 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_72 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40124 Ready_0_sqmuxa_0_a2_6_a2_4( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40125 \FS_RNI5OOF1[15] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - + ROM16X1A #(16'hECEC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40124 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h8000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0004) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_75 ( input D1, B1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40125 \ram2e_ufm/wb_dati_7_0_0_a3_15[7] ( .A(A1), .B(B1), .C(GNDI), + .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40126 \ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0_0_RNO[0] ( .A(A0), .B(B0), + .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + endmodule module lut40125 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0100) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0011) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_73 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module lut40126 ( input A, B, C, D, output Z ); - lut40126 \wb_adr_7_0_a2_1[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40127 \FS_RNIK5632[15] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + ROM16X1A #(16'h4000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_76 ( input D1, C1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40127 \ram2e_ufm/wb_dati_7_0_0_a3_13[7] ( .A(GNDI), .B(GNDI), .C(C1), + .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40128 \ram2e_ufm/wb_dati_7_0_0_0_0_RNO[7] ( .A(A0), .B(B0), .C(C0), + .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40127 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0F00) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40128 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h4000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_77 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40129 \ram2e_ufm/SUM2_0_o2 ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40130 \ram2e_ufm/N_314_i_i_o3 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); @@ -3197,80 +3853,28 @@ module SLICE_73 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); endmodule -module lut40126 ( input A, B, C, D, output Z ); +module lut40129 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h00C4) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hF7F7) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40127 ( input A, B, C, D, output Z ); +module lut40130 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0100) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFBFF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_74 ( input D1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_78 ( input D1, B1, D0, C0, B0, A0, output F0, F1 ); wire GNDI; - lut40128 \wb_dati_7_0_a2_5[4] ( .A(A1), .B(B1), .C(GNDI), .D(D1), .Z(F1)); + lut40131 \ram2e_ufm/S_r_i_0_o2[1] ( .A(GNDI), .B(B1), .C(GNDI), .D(D1), + .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40129 \wb_dati_7_0_a2_5_RNIC22J[4] ( .A(A0), .B(B0), .C(C0), .D(D0), + lut40132 \ram2e_ufm/S_r_i_0_o2_RNIP4KI1[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40128 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h2200) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40129 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h8000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_75 ( input D1, C1, B1, A1, D0, C0, A0, output F0, F1 ); - wire GNDI; - - lut40079 nCS_6_u_i_a2_4( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40130 nCS_6_u_i_a2_4_RNI3A062( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); - gnd DRIVEGND( .PWR0(GNDI)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40130 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h5000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_76 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40071 nCS_6_u_i_o2_0( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40131 nCS_6_u_i_a2_4_RNICJKD2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); @@ -3281,75 +3885,61 @@ endmodule module lut40131 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0008) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_77 ( input D1, C1, A1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40132 un1_CS_0_sqmuxa_0_0_a2_10( .A(A1), .B(GNDI), .C(C1), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40133 un1_CS_0_sqmuxa_0_0_2_RNIQS7F( .A(A0), .B(B0), .C(C0), .D(D0), - .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - + ROM16X1A #(16'hFFCC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40132 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0A00) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40133 ( input A, B, C, D, output Z ); +module ram2e_ufm_SLICE_79 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); - ROM16X1A #(16'h0015) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_78 ( input C1, A1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40114 nCAS_s_i_o2( .A(A1), .B(GNDI), .C(C1), .D(GNDI), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40134 nCAS_0io_RNO( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (C1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40134 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hFF13) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_79 ( input D1, C1, B1, A1, C0, A0, output F0, F1 ); - wire GNDI; - - lut40135 nCS_6_u_i_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40003 nCS_0io_RNO( .A(A0), .B(GNDI), .C(C0), .D(GNDI), .Z(F0)); - gnd DRIVEGND( .PWR0(GNDI)); + lut40133 \ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0_0[0] ( .A(A1), .B(B1), .C(C1), + .D(D1), .Z(F1)); + lut40134 \ram2e_ufm/S_r_i_0_o2_RNIOGTF1[1] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40133 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h8F88) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40134 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hDFFF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_80 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40135 \ram2e_ufm/un1_wb_cyc_stb_0_sqmuxa_1_i_0_0_a2[0] ( .A(A1), .B(B1), + .C(C1), .D(D1), .Z(F1)); + lut40136 \ram2e_ufm/CmdBitbangMXO2_RNINSM62 ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); endspecify @@ -3357,41 +3947,21 @@ endmodule module lut40135 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h001A) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_80 ( input D1, C1, B1, D0, C0, B0, output F0, F1 ); - wire GNDI; - - lut40136 nRAS_2_iv_0_a2_0( .A(GNDI), .B(B1), .C(C1), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40137 nRAS_2_iv_i( .A(GNDI), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - endspecify - + ROM16X1A #(16'h0004) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40136 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h030C) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hF4F0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40137 ( input A, B, C, D, output Z ); +module ram2e_ufm_SLICE_81 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); - ROM16X1A #(16'h00CF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_81 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40138 un1_CS_0_sqmuxa_0_0_a2_1_6( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40080 un1_CS_0_sqmuxa_0_0_2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40137 \ram2e_ufm/wb_dati_7_0_0_a3_14[7] ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40138 \ram2e_ufm/wb_dati_7_0_0_a3_13_RNI81UL[7] ( .A(A0), .B(B0), .C(C0), + .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -3406,15 +3976,23 @@ module SLICE_81 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); endmodule -module lut40138 ( input A, B, C, D, output Z ); +module lut40137 ( input A, B, C, D, output Z ); ROM16X1A #(16'h0020) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_82 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module lut40138 ( input A, B, C, D, output Z ); - lut40139 un1_CS_0_sqmuxa_0_0_a2_5( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40066 un1_CS_0_sqmuxa_0_0_3( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + ROM16X1A #(16'h8000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_82 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40139 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_0 ( .A(A1), .B(B1), .C(C1), + .D(D1), .Z(F1)); + lut40140 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_0_RNIVQNQ2 ( .A(A0), .B(B0), .C(C0), + .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -3431,69 +4009,52 @@ endmodule module lut40139 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h070F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_83 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40140 nCS_6_u_i_a2_1_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40141 nCS_6_u_i_1( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - + ROM16X1A #(16'h2000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40140 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0004) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0001) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_83 ( input D1, B1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40141 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_1_0 ( .A(A1), .B(B1), .C(GNDI), + .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40142 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_1_0_RNIS35S ( .A(A0), .B(B0), + .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + endmodule module lut40141 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFF80) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_84 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40142 \wb_dati_7_0_a2[6] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40143 \wb_dati_7_0_1[6] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - + ROM16X1A #(16'h0088) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40142 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h8008) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h8808) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40143 ( input A, B, C, D, output Z ); +module ram2e_ufm_SLICE_84 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); - ROM16X1A #(16'hFEFA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_85 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40144 \wb_adr_7_0_1[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40145 \wb_adr_7_0_4[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40143 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_9_RNIJT9D1 ( .A(A1), .B(B1), + .C(C1), .D(D1), .Z(F1)); + lut40144 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_9_RNI3N8T5 ( .A(A0), .B(B0), + .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -3508,20 +4069,175 @@ module SLICE_85 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); endmodule +module lut40143 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0004) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + module lut40144 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h8A88) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0F04) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_85 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40145 \ram2e_ufm/wb_dati_7_0_0_a3_10[7] ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40146 \ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0_0[0] ( .A(A0), .B(B0), .C(C0), + .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + endmodule module lut40145 ( input A, B, C, D, output Z ); + ROM16X1A #(16'h0020) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40146 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hC0EA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_86 ( input D1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40147 \ram2e_ufm/wb_we_7_iv_0_0_0_a3_1 ( .A(A1), .B(GNDI), .C(GNDI), + .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40148 \ram2e_ufm/wb_adr_7_i_i_4[0] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40147 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hAA00) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40148 ( input A, B, C, D, output Z ); + ROM16X1A #(16'hFFFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_86 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_87 ( input D1, C1, B1, A1, D0, C0, B0, output F0, F1 ); + wire GNDI; - lut40146 \wb_dati_7_0_a2_2[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40147 \un1_LEDEN_0_sqmuxa_1_i_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), + lut40149 \ram2e_ufm/CmdSetRWBankFFChip_3_0_a8_0_o3_RNIGCV91 ( .A(A1), .B(B1), + .C(C1), .D(D1), .Z(F1)); + lut40150 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_m3 ( .A(GNDI), .B(B0), .C(C0), + .D(D0), .Z(F0)); + gnd DRIVEGND( .PWR0(GNDI)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40149 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFFEF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40150 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hC0F3) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_88 ( input D1, B1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40151 \ram2e_ufm/wb_dati_7_0_0_a3_4_1_0[7] ( .A(GNDI), .B(B1), .C(GNDI), + .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40152 \ram2e_ufm/wb_dati_7_0_0_RNO_0[7] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40151 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h00CC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40152 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hEA00) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_89 ( input D1, C1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40153 \ram2e_ufm/wb_dati_7_0_0_a3_7[3] ( .A(A1), .B(GNDI), .C(C1), .D(D1), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40154 \ram2e_ufm/wb_dati_7_0_0_0_0[3] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40153 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h000A) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40154 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hF888) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_90 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40155 \ram2e_ufm/wb_dati_7_0_0_a3_1_0[6] ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40156 \ram2e_ufm/wb_dati_7_0_0_0[6] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify @@ -3537,158 +4253,21 @@ module SLICE_86 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); endmodule -module lut40146 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0100) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40147 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hEAC0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_87 ( input D1, C1, B1, A1, D0, C0, A0, output F0, F1 ); - wire GNDI; - - lut40148 un1_CS_0_sqmuxa_0_0_a2_4_4( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40149 un1_CS_0_sqmuxa_0_0_a2_4( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); - gnd DRIVEGND( .PWR0(GNDI)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40148 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0800) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40149 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0050) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_88 ( input D1, B1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40150 \FS_RNI9Q57[13] ( .A(GNDI), .B(B1), .C(GNDI), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40151 \wb_dati_7_0_o2_0[2] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40150 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hCCFF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40151 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hEAAA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_89 ( input D1, B1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40152 \wb_adr_7_0_o2[0] ( .A(GNDI), .B(B1), .C(GNDI), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40153 \wb_adr_7_0_a2_2[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40152 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hFFCC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40153 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0020) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_90 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40154 \wb_dati_7_0_o2[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40155 \wb_dati_7_0_a2[1] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); - gnd DRIVEGND( .PWR0(GNDI)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40154 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0810) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - module lut40155 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h8080) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_91 ( input D1, C1, B1, A1, D0, C0, B0, output F0, F1 ); - wire GNDI; - - lut40156 un1_CS_0_sqmuxa_0_0_a2_2_4( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40103 un1_CS_0_sqmuxa_0_0_a2_2( .A(GNDI), .B(B0), .C(C0), .D(D0), .Z(F0)); - gnd DRIVEGND( .PWR0(GNDI)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - endspecify - + ROM16X1A #(16'h0021) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40156 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h2000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hECA0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_92 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_91 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); - lut40157 \wb_adr_7_0_a2_0_0[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40158 \wb_adr_7_0_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40157 \ram2e_ufm/nRAS_s_i_0_a3_8 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40158 \ram2e_ufm/nRAS_s_i_0_0 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -3705,18 +4284,19 @@ endmodule module lut40157 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0C0A) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0002) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40158 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hF888) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h3230) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_93 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_92 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); - lut40159 un1_CS_0_sqmuxa_0_0_a2_1_2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40160 un1_CS_0_sqmuxa_0_0_a2_1_4( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40159 \ram2e_ufm/CKE_7s2_0_0_o3 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40160 \ram2e_ufm/nCAS_s_i_0_a3 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -3733,18 +4313,22 @@ endmodule module lut40159 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0200) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h7444) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40160 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0400) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h5400) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_94 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_93 ( input D1, C1, B1, A1, D0, B0, A0, output F0, F1 ); + wire GNDI; - lut40161 un1_CS_0_sqmuxa_0_0_a2_3_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40162 un1_CS_0_sqmuxa_0_0_a2_3_2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40161 \ram2e_ufm/wb_dati_7_0_0_o2[4] ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40162 \ram2e_ufm/wb_dati_7_0_0_a3[4] ( .A(A0), .B(B0), .C(GNDI), .D(D0), + .Z(F0)); + gnd DRIVEGND( .PWR0(GNDI)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -3752,7 +4336,6 @@ module SLICE_94 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); endspecify @@ -3761,24 +4344,26 @@ endmodule module lut40161 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h8000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h6888) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40162 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h4400) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_95 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_94 ( input C1, B1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; - lut40163 wb_we_RNO_4( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40164 wb_we_RNO_2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40163 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_o3 ( .A(GNDI), .B(B1), .C(C1), + .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40164 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_2_2 ( .A(A0), .B(B0), .C(C0), + .D(D0), .Z(F0)); specify - (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); @@ -3789,22 +4374,22 @@ endmodule module lut40163 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0200) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hF3F3) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40164 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFFEA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0008) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_96 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; +module ram2e_ufm_SLICE_95 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); - lut40165 \RA_42_i_o2[8] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40166 \RA_0io_RNO[8] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40165 \ram2e_ufm/RA_35_0_0_o2[5] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40166 \ram2e_ufm/RA_35_0_0_0[4] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify + (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); @@ -3818,124 +4403,22 @@ endmodule module lut40165 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFDFD) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hEEC8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40166 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h3022) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hEAC0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_97 ( input D1, C1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; +module ram2e_ufm_SLICE_96 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); - lut40053 \wb_dati_7_0_a2_1[0] ( .A(GNDI), .B(GNDI), .C(C1), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40167 CKE_6_iv_i_a2_3( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40167 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0080) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_98 ( input D1, C1, B1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40168 un1_CS_0_sqmuxa_0_0_a2_16( .A(GNDI), .B(B1), .C(C1), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40169 un1_CS_0_sqmuxa_0_0_a2_4_2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40168 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0003) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40169 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h1000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_99 ( input C1, B1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40170 un1_CS_0_sqmuxa_0_0_a2_12( .A(GNDI), .B(B1), .C(C1), .D(GNDI), + lut40167 \ram2e_ufm/RA_35_0_0_o2_0[5] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40171 un1_CS_0_sqmuxa_0_0_a2_2_2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40170 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0303) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40171 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h2000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_100 ( input D1, C1, B1, D0, C0, A0, output F0, F1 ); - wire GNDI; - - lut40011 un1_CS_0_sqmuxa_0_0_a2_17( .A(GNDI), .B(B1), .C(C1), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40172 CmdSetRWBankFFMXO2_4_u_0_0_a2_0_0( .A(A0), .B(GNDI), .C(C0), .D(D0), + lut40168 \ram2e_ufm/RA_35_0_0_0_0[6] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40172 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h00A0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_101 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40173 wb_reqc_1_RNIEO5C1( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40174 \S_RNII9DO1_1[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); @@ -3949,20 +4432,115 @@ module SLICE_101 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); endmodule +module lut40167 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0236) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40168 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hECA0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_97 ( input D1, C1, B1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40169 \ram2e_ufm/wb_dati_7_0_0_a3_0_0[1] ( .A(GNDI), .B(B1), .C(C1), + .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40170 \ram2e_ufm/wb_dati_7_0_0_0[1] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40169 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hC000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40170 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hEAC0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_98 ( input D1, C1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40171 \ram2e_ufm/wb_dati_7_0_0_a3_9[7] ( .A(GNDI), .B(GNDI), .C(C1), + .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40172 \ram2e_ufm/wb_dati_7_0_0_0_0[7] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40171 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h00F0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40172 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hF888) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_99 ( input D1, C1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40173 \ram2e_ufm/wb_adr_7_i_i_a3_2_0[0] ( .A(A1), .B(GNDI), .C(C1), + .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40174 \ram2e_ufm/wb_adr_7_i_i_1[0] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + module lut40173 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0001) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0050) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40174 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hE209) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hA2A0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_102 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_100 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); - lut40175 \S_s_0_1[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40176 \BA_0io_RNO[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40175 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_o3_0 ( .A(A1), .B(B1), .C(C1), + .D(D1), .Z(F1)); + lut40176 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_o3_0_RNI9H8R ( .A(A0), .B(B0), + .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -3979,24 +4557,26 @@ endmodule module lut40175 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h8E0E) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFFFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40176 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hE4FF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_103 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_101 ( input C1, B1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; - lut40177 \RA_0io_RNO[11] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40076 wb_req_RNO_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40177 \ram2e_ufm/CmdSetRWBankFFChip_3_0_a8_0_o3 ( .A(GNDI), .B(B1), + .C(C1), .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40178 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_o2 ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); specify - (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); @@ -4007,67 +4587,50 @@ endmodule module lut40177 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0200) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_104 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40178 \wb_dati_7_0_a2_3[4] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40179 \wb_adr_7_0_a2_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - + ROM16X1A #(16'h3F3F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40178 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0200) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hC5C0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40179 ( input A, B, C, D, output Z ); +module ram2e_ufm_SLICE_102 ( input C1, B1, A1, D0, C0, B0, output F0, F1 ); + wire GNDI; - ROM16X1A #(16'hF400) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_105 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40180 \un1_LEDEN_0_sqmuxa_1_i_a2_0_1[0] ( .A(A1), .B(B1), .C(C1), .D(D1), + lut40179 \ram2e_ufm/CKE_7s2_0_0_a2_1 ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); - lut40077 \wb_dati_7_0_a2_1[7] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40180 \ram2e_ufm/CKE_7s2_0_0 ( .A(GNDI), .B(B0), .C(C0), .D(D0), .Z(F0)); specify - (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); endspecify endmodule -module lut40180 ( input A, B, C, D, output Z ); +module lut40179 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0100) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0202) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_106 ( input D1, C1, D0, C0, B0, output F0, F1 ); +module lut40180 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFFFC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_103 ( input D1, C1, D0, C0, B0, A0, output F0, F1 ); wire GNDI; - lut40181 \S_RNINI6S[1] ( .A(GNDI), .B(GNDI), .C(C1), .D(D1), .Z(F1)); + lut40181 \ram2e_ufm/wb_dati_7_0_0_0_o2[7] ( .A(GNDI), .B(GNDI), .C(C1), + .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40182 CKE_6_iv_i_0_1_RNO( .A(GNDI), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40182 \ram2e_ufm/wb_adr_RNO_1[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -4075,33 +4638,36 @@ module SLICE_106 ( input D1, C1, D0, C0, B0, output F0, F1 ); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); endspecify endmodule module lut40181 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0FFF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hF0FF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40182 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0333) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h2A08) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_107 ( input C1, B1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_104 ( input D1, C1, B1, A1, C0, A0, output F0, F1 ); wire GNDI; - lut40183 \S_r_i_o2[1] ( .A(GNDI), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + lut40183 \ram2e_ufm/wb_dati_7_0_0_o2_0[3] ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40184 \ram2e_ufm/wb_dati_7_0_0_0_a3_0[3] ( .A(A0), .B(GNDI), .C(C0), + .D(GNDI), .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); - lut40184 \BA_0io_RNO[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify + (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); endspecify @@ -4109,28 +4675,29 @@ endmodule module lut40183 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h3F3F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h60A4) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40184 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0400) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h5050) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_108 ( input D1, C1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_105 ( input D1, C1, B1, A1, D0, C0, A0, output F0, F1 ); wire GNDI; - lut40185 \wb_adr_7_0_a2_5_0[0] ( .A(A1), .B(GNDI), .C(C1), .D(D1), .Z(F1)); + lut40185 \ram2e_ufm/S_r_i_0_o2_RNI62C53[1] ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40186 \ram2e_ufm/N_285_i ( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); - lut40186 \wb_dati_7_0_a2[7] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); endspecify @@ -4138,24 +4705,26 @@ endmodule module lut40185 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h000A) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0001) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40186 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h8200) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0F05) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_109 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_106 ( input C1, B1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; - lut40187 \wb_dati_7_0_0[7] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40188 \wb_dati_7_0_0[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40024 \ram2e_ufm/S_r_i_0_o2[2] ( .A(GNDI), .B(B1), .C(C1), .D(GNDI), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40187 \ram2e_ufm/RA_35_2_0_a3[10] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); specify - (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); @@ -4166,116 +4735,15 @@ endmodule module lut40187 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hECA0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hB300) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40188 ( input A, B, C, D, output Z ); +module ram2e_ufm_SLICE_107 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); - ROM16X1A #(16'hF888) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_110 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40189 \RA_0io_RNO[9] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40190 CmdBitbangMXO2_RNI8CSO1( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40189 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0031) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40190 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h1011) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_111 ( input D1, B1, A1, D0, C0, A0, output F0, F1 ); - wire GNDI; - - lut40191 \RA_42_3_0[5] ( .A(A1), .B(B1), .C(GNDI), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40026 \RA_0io_RNO[4] ( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40191 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hCCDD) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_112 ( input D1, C1, B1, A1, D0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40192 nCS_6_u_i_a2_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40193 \RA_0io_RNO[6] ( .A(A0), .B(B0), .C(GNDI), .D(D0), .Z(F0)); - gnd DRIVEGND( .PWR0(GNDI)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40192 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0004) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40193 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hCC88) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_113 ( input D1, C1, A1, D0, C0, A0, output F0, F1 ); - wire GNDI; - - lut40026 \RA_0io_RNO[2] ( .A(A1), .B(GNDI), .C(C1), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40026 \RA_0io_RNO[7] ( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module SLICE_114 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40194 \wb_dati_7_0_0[4] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40195 \un1_RWMask_0_sqmuxa_1_i_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), + lut40188 \ram2e_ufm/CKE_7_m1_0_0_o2_RNIGC501 ( .A(A1), .B(B1), .C(C1), + .D(D1), .Z(F1)); + lut40189 \ram2e_ufm/RA_35_i_i_0_a3[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify @@ -4291,26 +4759,119 @@ module SLICE_114 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); endmodule -module lut40194 ( input A, B, C, D, output Z ); +module lut40188 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hF888) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0002) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40195 ( input A, B, C, D, output Z ); +module lut40189 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hEAC0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hA808) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_115 ( input D1, C1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_108 ( input D1, C1, B1, A1, D0, C0, B0, output F0, F1 ); wire GNDI; - lut40196 nWE80_pad_RNI3ICD( .A(GNDI), .B(GNDI), .C(C1), .D(D1), .Z(F1)); + lut40190 \ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_o3[0] ( .A(A1), .B(B1), + .C(C1), .D(D1), .Z(F1)); + lut40191 \ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_a3_0_0[0] ( .A(GNDI), + .B(B0), .C(C0), .D(D0), .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); - lut40197 nRWE_r_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40190 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFFFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40191 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0F03) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_109 ( input C1, B1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40192 \ram2e_ufm/wb_we_7_iv_0_0_0_a3_6 ( .A(GNDI), .B(B1), .C(C1), + .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40193 \ram2e_ufm/wb_we_RNO_3 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40192 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0303) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40193 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hAEAA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_110 ( input D1, C1, B1, A1, C0, B0, output F0, F1 ); + wire GNDI; + + lut40194 \ram2e_ufm/wb_we_7_iv_0_0_0_a3_7 ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40195 \ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0_a3_0_0[0] ( .A(GNDI), .B(B0), + .C(C0), .D(GNDI), .Z(F0)); + gnd DRIVEGND( .PWR0(GNDI)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40194 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h8000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40195 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hC0C0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_111 ( input D1, C1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40196 \ram2e_ufm/wb_dati_7_0_0_a3_2[3] ( .A(A1), .B(GNDI), .C(C1), .D(D1), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40197 \ram2e_ufm/wb_dati_7_0_0_0_o2[3] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); @@ -4321,24 +4882,26 @@ endmodule module lut40196 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFFF0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hA000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40197 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h5444) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hA044) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_116 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_112 ( input D1, C1, B1, D0, C0, B0, A0, output F0, F1 ); wire GNDI; - lut40198 \wb_adr_7_0_o2_2[0] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); + lut40198 \ram2e_ufm/nRAS_s_i_0_a3_6 ( .A(GNDI), .B(B1), .C(C1), .D(D1), + .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40199 \wb_dati_7_0_a2_1_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40199 \ram2e_ufm/nRAS_s_i_0_a3_1 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); @@ -4349,19 +4912,106 @@ endmodule module lut40198 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h7777) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0300) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40199 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h2800) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h1000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_117 ( input D1, C1, B1, A1, D0, B0, output F0, F1 ); +module ram2e_ufm_SLICE_113 ( input D1, C1, B1, D0, C0, B0, A0, output F0, F1 ); wire GNDI; - lut40200 \RWBank_5_0_o2[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40150 LED_pad_RNO( .A(GNDI), .B(B0), .C(GNDI), .D(D0), .Z(F0)); + lut40200 \ram2e_ufm/nRAS_s_i_0_a3_5 ( .A(GNDI), .B(B1), .C(C1), .D(D1), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40201 \ram2e_ufm/RA_35_2_0_a3_3[10] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40200 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h000C) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40201 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h2000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_114 ( input D1, C1, B1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40202 \ram2e_ufm/wb_adr_RNO_2[1] ( .A(GNDI), .B(B1), .C(C1), .D(D1), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40203 \ram2e_ufm/wb_adr_RNO_0[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40202 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hC03F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40203 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h1000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_115 ( input D1, B1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40131 \ram2e_ufm/un2_S_2_i_0_0_o3 ( .A(GNDI), .B(B1), .C(GNDI), .D(D1), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40204 \ram2e_ufm/CKE_7s2_0_0_0 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40204 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h5700) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_116 ( input D1, C1, B1, A1, D0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40066 \ram2e_ufm/CmdExecMXO2_3_0_a3_0 ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40205 \ram2e_ufm/CmdSetRWBankFFChip_3_0_a8_0_a3_0 ( .A(A0), .B(B0), + .C(GNDI), .D(D0), .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); specify @@ -4371,49 +5021,27 @@ module SLICE_117 ( input D1, C1, B1, A1, D0, B0, output F0, F1 ); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); endspecify endmodule -module lut40200 ( input A, B, C, D, output Z ); +module lut40205 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFFEC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h2200) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_118 ( input C1, B1, D0, C0, output F0, F1 ); - wire GNDI; +module ram2e_ufm_SLICE_117 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); - lut40201 un1_CS_0_sqmuxa_0_0_a2_11( .A(GNDI), .B(B1), .C(C1), .D(GNDI), - .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40202 un1_CS_0_sqmuxa_0_0_a2_13( .A(GNDI), .B(GNDI), .C(C0), .D(D0), - .Z(F0)); + lut40206 \ram2e_ufm/S_s_0_0_RNO[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40207 \ram2e_ufm/N_225_i ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify + (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40201 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0303) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40202 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hF000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_119 ( input D0, C0, B0, A0, output F0 ); - - lut40203 Ready_0_sqmuxa_0_a2_6_a2_2_0( .A(A0), .B(B0), .C(C0), .D(D0), - .Z(F0)); - - specify + (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); @@ -4422,11 +5050,847 @@ module SLICE_119 ( input D0, C0, B0, A0, output F0 ); endmodule -module lut40203 ( input A, B, C, D, output Z ); +module lut40206 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0F0E) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40207 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0015) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_118 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40208 \ram2e_ufm/CKE_7_m1_0_0_o2_RNI7FOA1 ( .A(A1), .B(B1), .C(C1), + .D(D1), .Z(F1)); + lut40209 \ram2e_ufm/N_201_i ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40208 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0004) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40209 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h000D) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_119 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40210 \ram2e_ufm/S_r_i_0_o2_RNIBAU51[1] ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40211 \ram2e_ufm/un1_CKE75_0_i_0 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40210 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0008) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40211 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hB5CF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_120 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40212 \ram2e_ufm/DQMH_4_iv_0_0_i_i_a3_0_a3 ( .A(A1), .B(B1), .C(C1), + .D(D1), .Z(F1)); + lut40213 \ram2e_ufm/N_507_i ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40212 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h7707) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40213 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h888F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_121 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40214 \ram2e_ufm/Vout3_0_a3_0_a3_0_a3 ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40215 \ram2e_ufm/RA_35_0_0_o2[11] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40214 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0400) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40215 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFECC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_122 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40216 \ram2e_ufm/nRWE_s_i_0_63_1 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40217 \ram2e_ufm/nCAS_s_i_0_m2 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40216 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h5EDE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40217 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h3F7A) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_123 ( input D1, C1, B1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40218 \ram2e_ufm/wb_adr_RNO_3[1] ( .A(GNDI), .B(B1), .C(C1), .D(D1), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40062 \ram2e_ufm/wb_dati_7_0_0_a3_8[3] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40218 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h3FFF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_124 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40219 \ram2e_ufm/RA_35_0_0_0[5] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40220 \ram2e_ufm/RA_35_0_0_RNO[0] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40219 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h7030) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40220 ( input A, B, C, D, output Z ); ROM16X1A #(16'h8000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule +module ram2e_ufm_SLICE_125 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40221 \ram2e_ufm/wb_adr_7_i_i_o2_1[0] ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40061 \ram2e_ufm/wb_dati_7_0_0_a3_2[4] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40221 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h7F4C) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_126 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40222 \ram2e_ufm/wb_we_RNO_1 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40223 \ram2e_ufm/wb_adr_7_i_i_a3_4[0] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40222 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h70F7) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40223 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_127 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40224 \ram2e_ufm/un9_VOEEN_0_a2_0_a3_0_a3 ( .A(A1), .B(B1), .C(C1), + .D(D1), .Z(F1)); + lut40225 \ram2e_ufm/RA_35_2_30_a3_2 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40224 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0400) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40225 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h4000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_128 ( input D1, C1, B1, A1, D0, C0, A0, output F0, F1 ); + wire GNDI; + + lut40226 \ram2e_ufm/wb_dati_7_0_0_0_a3_0[0] ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40227 \ram2e_ufm/wb_adr_RNO_4[1] ( .A(A0), .B(GNDI), .C(C0), .D(D0), + .Z(F0)); + gnd DRIVEGND( .PWR0(GNDI)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40226 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0880) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40227 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h00A0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_129 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40228 \ram2e_ufm/BA_4[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40140 \ram2e_ufm/RA_35_2_0_RNO[10] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40228 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hAB00) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_130 ( input D1, C1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40229 \ram2e_ufm/N_187_i ( .A(GNDI), .B(GNDI), .C(C1), .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40230 \ram2e_ufm/wb_we_RNO_0 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40229 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h00F0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40230 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hCCEC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_131 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40231 \ram2e_ufm/Ready3_0_a3_5 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40232 \ram2e_ufm/wb_dati_7_0_0_0_a3[7] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40231 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h8000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40232 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h8040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_132 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40233 \ram2e_ufm/RA_35_0_0_1[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40234 \ram2e_ufm/RA_35_0_0_0_0[7] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40233 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0777) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40234 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hF888) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_133 ( input D1, C1, B1, A1, D0, C0, B0, output F0, F1 ); + wire GNDI; + + lut40235 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_0_1 ( .A(A1), .B(B1), .C(C1), + .D(D1), .Z(F1)); + lut40236 \ram2e_ufm/SUM0_i_o2_2 ( .A(GNDI), .B(B0), .C(C0), .D(D0), .Z(F0)); + gnd DRIVEGND( .PWR0(GNDI)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40235 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h8000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40236 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFF3F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_134 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40190 \ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_o3_3[0] ( .A(A1), + .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40237 \ram2e_ufm/RA_35_0_0_a3[2] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40237 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hB800) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_135 ( input C1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40238 \ram2e_ufm/S_r_i_0_o2_0[1] ( .A(A1), .B(GNDI), .C(C1), .D(GNDI), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40239 \ram2e_ufm/RA_35_2_0_a3_0[10] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + + specify + (C1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40238 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h5F5F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40239 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h002A) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_136 ( input C1, B1, A1, D0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40240 \ram2e_ufm/nRAS_s_i_0_o2 ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40241 \ram2e_ufm/un1_nDOE_i ( .A(A0), .B(B0), .C(GNDI), .D(D0), .Z(F0)); + + specify + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40240 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h3737) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40241 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hBBFF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_137 ( input C1, B1, A1, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40242 \ram2e_ufm/RDOE_i ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40243 \ram2e_ufm/LEDEN_RNI6G6M ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); + + specify + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40242 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hE0E0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40243 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hBFBF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_138 ( input D1, B1, A1, D0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40244 VOEEN_RNO( .A(A1), .B(B1), .C(GNDI), .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40245 DOEEN_RNO( .A(A0), .B(B0), .C(GNDI), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40244 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h1133) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40245 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h1155) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_139 ( input B1, A1, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40246 nVOE_pad_RNO( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40247 S_1( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); + + specify + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40246 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hDDDD) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40247 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0808) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_140 ( input B1, A1, C0, A0, output F0, F1 ); + wire GNDI; + + lut40025 \ram2e_ufm/RA_35_0_0_a3_0[2] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40248 \ram2e_ufm/RA_35_0_0_a3[5] ( .A(A0), .B(GNDI), .C(C0), .D(GNDI), + .Z(F0)); + + specify + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40248 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hA0A0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_141 ( input D1, B1, D0, A0, output F0, F1 ); + wire GNDI; + + lut40075 \ram2e_ufm/RDout_i_0_i_a3[0] ( .A(GNDI), .B(B1), .C(GNDI), .D(D1), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40076 \ram2e_ufm/N_263_i ( .A(A0), .B(GNDI), .C(GNDI), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module ram2e_ufm_SLICE_142 ( input D1, C1, A1, D0, C0, output F0, F1 ); + wire GNDI; + + lut40227 \ram2e_ufm/CmdLEDGet_3_0_a3_1 ( .A(A1), .B(GNDI), .C(C1), .D(D1), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40249 \ram2e_ufm/RDout_i_i_a3[4] ( .A(GNDI), .B(GNDI), .C(C0), .D(D0), + .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40249 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hF000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_143 ( input D1, C1, D0, B0, output F0, F1 ); + wire GNDI; + + lut40250 \ram2e_ufm/RDout_i_0_i_a3[1] ( .A(GNDI), .B(GNDI), .C(C1), .D(D1), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40075 \ram2e_ufm/RDout_i_0_i_a3[7] ( .A(GNDI), .B(B0), .C(GNDI), .D(D0), + .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40250 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hF000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_144 ( input C1, A1, B0, A0, output F0, F1 ); + wire GNDI; + + lut40251 \ram2e_ufm/RDout_i_0_i_a3[2] ( .A(A1), .B(GNDI), .C(C1), .D(GNDI), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40252 \ram2e_ufm/RDout_i_0_i_a3[6] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), + .Z(F0)); + + specify + (C1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40251 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hA0A0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40252 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h8888) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_145 ( input D1, C1, B1, A1, D0, A0, output F0, F1 ); + wire GNDI; + + lut40253 \ram2e_ufm/wb_dati_7_0_0_0[4] ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40254 \ram2e_ufm/wb_dati_7_0_0_o2_0[7] ( .A(A0), .B(GNDI), .C(GNDI), + .D(D0), .Z(F0)); + gnd DRIVEGND( .PWR0(GNDI)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40253 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hF888) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40254 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hAAFF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_146 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40255 \ram2e_ufm/RA_35_0_0_0[9] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40256 \ram2e_ufm/Ready3_0_a3_3 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40255 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hF444) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40256 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h8000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_147 ( input D1, C1, B1, A1, C0, B0, output F0, F1 ); + wire GNDI; + + lut40257 \ram2e_ufm/RWBank_3_0_0_o3[0] ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40195 \ram2e_ufm/RDout_i_0_i_a3[5] ( .A(GNDI), .B(B0), .C(C0), .D(GNDI), + .Z(F0)); + gnd DRIVEGND( .PWR0(GNDI)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40257 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFFEA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + module RD_0_ ( output PADDI, input PADDT, PADDO, inout RD0 ); xo2iobuf \RD_pad[0] ( .I(PADDO), .T(PADDT), .Z(PADDI), .PAD(RD0), .PADI(RD0)); @@ -4449,7 +5913,7 @@ endmodule module LED ( input PADDO, output LED ); - xo2iobuf0204 LED_pad( .I(PADDO), .PAD(LED)); + xo2iobuf0258 LED_pad( .I(PADDO), .PAD(LED)); specify (PADDO => LED) = (0:0:0,0:0:0); @@ -4457,14 +5921,14 @@ module LED ( input PADDO, output LED ); endmodule -module xo2iobuf0204 ( input I, output PAD ); +module xo2iobuf0258 ( input I, output PAD ); OB INST5( .I(I), .O(PAD)); endmodule module C14M ( output PADDI, input C14M ); - xo2iobuf0205 C14M_pad( .Z(PADDI), .PAD(C14M)); + xo2iobuf0259 C14M_pad( .Z(PADDI), .PAD(C14M)); specify (C14M => PADDI) = (0:0:0,0:0:0); @@ -4474,71 +5938,11 @@ module C14M ( output PADDI, input C14M ); endmodule -module xo2iobuf0205 ( output Z, input PAD ); +module xo2iobuf0259 ( output Z, input PAD ); IB INST1( .I(PAD), .O(Z)); endmodule -module DQMH ( input IOLDO, output DQMH ); - - xo2iobuf0204 DQMH_pad( .I(IOLDO), .PAD(DQMH)); - - specify - (IOLDO => DQMH) = (0:0:0,0:0:0); - endspecify - -endmodule - -module DQMH_MGIOL ( output IOLDO, input OPOS, CLK ); - wire VCCI, GNDI, OPOS_dly, CLK_dly; - - mfflsre DQMH_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), - .Q(IOLDO)); - vcc DRIVEVCC( .PWR1(VCCI)); - gnd DRIVEGND( .PWR0(GNDI)); - - specify - (CLK => IOLDO) = (0:0:0,0:0:0); - $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - endspecify - -endmodule - -module mfflsre ( input D0, SP, CK, LSR, output Q ); - - FD1P3BX INST01( .D(D0), .SP(SP), .CK(CK), .PD(LSR), .Q(Q)); - defparam INST01.GSR = "DISABLED"; -endmodule - -module DQML ( input IOLDO, output DQML ); - - xo2iobuf0204 DQML_pad( .I(IOLDO), .PAD(DQML)); - - specify - (IOLDO => DQML) = (0:0:0,0:0:0); - endspecify - -endmodule - -module DQML_MGIOL ( output IOLDO, input OPOS, CLK ); - wire VCCI, GNDI, OPOS_dly, CLK_dly; - - mfflsre DQML_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), - .Q(IOLDO)); - vcc DRIVEVCC( .PWR1(VCCI)); - gnd DRIVEGND( .PWR0(GNDI)); - - specify - (CLK => IOLDO) = (0:0:0,0:0:0); - $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - endspecify - -endmodule - module RD_7_ ( output PADDI, input PADDT, PADDO, inout RD7 ); xo2iobuf \RD_pad[7] ( .I(PADDO), .T(PADDT), .Z(PADDI), .PAD(RD7), .PADI(RD7)); @@ -4637,305 +6041,416 @@ module RD_1_ ( output PADDI, input PADDT, PADDO, inout RD1 ); endmodule -module RA_11_ ( input IOLDO, output RA11 ); +module DQMH ( input IOLDO, output DQMH ); - xo2iobuf0204 \RA_pad[11] ( .I(IOLDO), .PAD(RA11)); + xo2iobuf0258 DQMH_pad( .I(IOLDO), .PAD(DQMH)); specify - (IOLDO => RA11) = (0:0:0,0:0:0); + (IOLDO => DQMH) = (0:0:0,0:0:0); endspecify endmodule -module RA_11__MGIOL ( output IOLDO, input OPOS, CLK ); - wire VCCI, GNDI, OPOS_dly, CLK_dly; +module DQMH_MGIOL ( output IOLDO, input OPOS, CE, CLK ); + wire GNDI, OPOS_dly, CLK_dly, CE_dly; - mfflsre0206 \RA_0io[11] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), + mfflsre DQMH_0io( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(IOLDO)); - vcc DRIVEVCC( .PWR1(VCCI)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); endspecify endmodule -module mfflsre0206 ( input D0, SP, CK, LSR, output Q ); +module mfflsre ( input D0, SP, CK, LSR, output Q ); + + FD1P3BX INST01( .D(D0), .SP(SP), .CK(CK), .PD(LSR), .Q(Q)); + defparam INST01.GSR = "DISABLED"; +endmodule + +module DQML ( input IOLDO, output DQML ); + + xo2iobuf0258 DQML_pad( .I(IOLDO), .PAD(DQML)); + + specify + (IOLDO => DQML) = (0:0:0,0:0:0); + endspecify + +endmodule + +module DQML_MGIOL ( output IOLDO, input OPOS, CE, CLK ); + wire GNDI, OPOS_dly, CLK_dly, CE_dly; + + mfflsre DQML_0io( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), + .Q(IOLDO)); + gnd DRIVEGND( .PWR0(GNDI)); + + specify + (CLK => IOLDO) = (0:0:0,0:0:0); + $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + endspecify + +endmodule + +module RAout_11_ ( input IOLDO, output RAout11 ); + + xo2iobuf0258 \RAout_pad[11] ( .I(IOLDO), .PAD(RAout11)); + + specify + (IOLDO => RAout11) = (0:0:0,0:0:0); + endspecify + +endmodule + +module RAout_11__MGIOL ( output IOLDO, input OPOS, CLK ); + wire VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly; + + mfflsre0260 \RAout_0io[11] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), + .LSR(GNDI), .Q(IOLDO)); + vcc DRIVEVCC( .PWR1(VCCI)); + inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); + gnd DRIVEGND( .PWR0(GNDI)); + + specify + (CLK => IOLDO) = (0:0:0,0:0:0); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); + endspecify + +endmodule + +module mfflsre0260 ( input D0, SP, CK, LSR, output Q ); FD1P3DX INST01( .D(D0), .SP(SP), .CK(CK), .CD(LSR), .Q(Q)); defparam INST01.GSR = "DISABLED"; endmodule -module RA_10_ ( input IOLDO, output RA10 ); +module inverter ( input I, output Z ); - xo2iobuf0204 \RA_pad[10] ( .I(IOLDO), .PAD(RA10)); + INV INST1( .A(I), .Z(Z)); +endmodule + +module RAout_10_ ( input IOLDO, output RAout10 ); + + xo2iobuf0258 \RAout_pad[10] ( .I(IOLDO), .PAD(RAout10)); specify - (IOLDO => RA10) = (0:0:0,0:0:0); + (IOLDO => RAout10) = (0:0:0,0:0:0); endspecify endmodule -module RA_10__MGIOL ( output IOLDO, input OPOS, CLK ); - wire VCCI, GNDI, OPOS_dly, CLK_dly; +module RAout_10__MGIOL ( output IOLDO, input OPOS, CLK ); + wire VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly; - mfflsre0206 \RA_0io[10] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), - .Q(IOLDO)); + mfflsre0260 \RAout_0io[10] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), + .LSR(GNDI), .Q(IOLDO)); vcc DRIVEVCC( .PWR1(VCCI)); + inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); - $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); + $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); endspecify endmodule -module RA_9_ ( input IOLDO, output RA9 ); +module RAout_9_ ( input IOLDO, output RAout9 ); - xo2iobuf0204 \RA_pad[9] ( .I(IOLDO), .PAD(RA9)); + xo2iobuf0258 \RAout_pad[9] ( .I(IOLDO), .PAD(RAout9)); specify - (IOLDO => RA9) = (0:0:0,0:0:0); + (IOLDO => RAout9) = (0:0:0,0:0:0); endspecify endmodule -module RA_9__MGIOL ( output IOLDO, input OPOS, CLK ); - wire VCCI, GNDI, OPOS_dly, CLK_dly; +module RAout_9__MGIOL ( output IOLDO, input OPOS, CLK ); + wire VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly; - mfflsre0206 \RA_0io[9] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), - .Q(IOLDO)); + mfflsre0260 \RAout_0io[9] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), + .LSR(GNDI), .Q(IOLDO)); vcc DRIVEVCC( .PWR1(VCCI)); + inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); - $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); + $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); endspecify endmodule -module RA_8_ ( input IOLDO, output RA8 ); +module RAout_8_ ( input IOLDO, output RAout8 ); - xo2iobuf0204 \RA_pad[8] ( .I(IOLDO), .PAD(RA8)); + xo2iobuf0258 \RAout_pad[8] ( .I(IOLDO), .PAD(RAout8)); specify - (IOLDO => RA8) = (0:0:0,0:0:0); + (IOLDO => RAout8) = (0:0:0,0:0:0); endspecify endmodule -module RA_8__MGIOL ( output IOLDO, input OPOS, CLK ); - wire VCCI, GNDI, OPOS_dly, CLK_dly; +module RAout_8__MGIOL ( output IOLDO, input OPOS, CLK ); + wire VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly; - mfflsre0206 \RA_0io[8] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), - .Q(IOLDO)); + mfflsre0260 \RAout_0io[8] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), + .LSR(GNDI), .Q(IOLDO)); vcc DRIVEVCC( .PWR1(VCCI)); + inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); - $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); + $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); endspecify endmodule -module RA_7_ ( input IOLDO, output RA7 ); +module RAout_7_ ( input IOLDO, output RAout7 ); - xo2iobuf0204 \RA_pad[7] ( .I(IOLDO), .PAD(RA7)); + xo2iobuf0258 \RAout_pad[7] ( .I(IOLDO), .PAD(RAout7)); specify - (IOLDO => RA7) = (0:0:0,0:0:0); + (IOLDO => RAout7) = (0:0:0,0:0:0); endspecify endmodule -module RA_7__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire GNDI, OPOS_dly, CLK_dly, CE_dly; +module RAout_7__MGIOL ( output IOLDO, input OPOS, CLK ); + wire VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly; - mfflsre0206 \RA_0io[7] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), + mfflsre0260 \RAout_0io[7] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(IOLDO)); + vcc DRIVEVCC( .PWR1(VCCI)); + inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); - $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); + $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); endspecify endmodule -module RA_6_ ( input IOLDO, output RA6 ); +module RAout_6_ ( input IOLDO, output RAout6 ); - xo2iobuf0204 \RA_pad[6] ( .I(IOLDO), .PAD(RA6)); + xo2iobuf0258 \RAout_pad[6] ( .I(IOLDO), .PAD(RAout6)); specify - (IOLDO => RA6) = (0:0:0,0:0:0); + (IOLDO => RAout6) = (0:0:0,0:0:0); endspecify endmodule -module RA_6__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire GNDI, OPOS_dly, CLK_dly, CE_dly; +module RAout_6__MGIOL ( output IOLDO, input OPOS, CLK ); + wire VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly; - mfflsre0206 \RA_0io[6] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), + mfflsre0260 \RAout_0io[6] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(IOLDO)); + vcc DRIVEVCC( .PWR1(VCCI)); + inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); - $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); + $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); endspecify endmodule -module RA_5_ ( input IOLDO, output RA5 ); +module RAout_5_ ( input IOLDO, output RAout5 ); - xo2iobuf0204 \RA_pad[5] ( .I(IOLDO), .PAD(RA5)); + xo2iobuf0258 \RAout_pad[5] ( .I(IOLDO), .PAD(RAout5)); specify - (IOLDO => RA5) = (0:0:0,0:0:0); + (IOLDO => RAout5) = (0:0:0,0:0:0); endspecify endmodule -module RA_5__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire GNDI, OPOS_dly, CLK_dly, CE_dly; +module RAout_5__MGIOL ( output IOLDO, input OPOS, CLK ); + wire VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly; - mfflsre0206 \RA_0io[5] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), + mfflsre0260 \RAout_0io[5] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(IOLDO)); + vcc DRIVEVCC( .PWR1(VCCI)); + inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); - $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); + $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); endspecify endmodule -module RA_4_ ( input IOLDO, output RA4 ); +module RAout_4_ ( input IOLDO, output RAout4 ); - xo2iobuf0204 \RA_pad[4] ( .I(IOLDO), .PAD(RA4)); + xo2iobuf0258 \RAout_pad[4] ( .I(IOLDO), .PAD(RAout4)); specify - (IOLDO => RA4) = (0:0:0,0:0:0); + (IOLDO => RAout4) = (0:0:0,0:0:0); endspecify endmodule -module RA_4__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire GNDI, OPOS_dly, CLK_dly, CE_dly; +module RAout_4__MGIOL ( output IOLDO, input OPOS, CLK ); + wire VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly; - mfflsre0206 \RA_0io[4] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), + mfflsre0260 \RAout_0io[4] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(IOLDO)); + vcc DRIVEVCC( .PWR1(VCCI)); + inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); - $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); + $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); endspecify endmodule -module RA_3_ ( input PADDO, output RA3 ); +module RAout_3_ ( input IOLDO, output RAout3 ); - xo2iobuf0204 \RA_pad[3] ( .I(PADDO), .PAD(RA3)); + xo2iobuf0258 \RAout_pad[3] ( .I(IOLDO), .PAD(RAout3)); specify - (PADDO => RA3) = (0:0:0,0:0:0); + (IOLDO => RAout3) = (0:0:0,0:0:0); endspecify endmodule -module RA_2_ ( input IOLDO, output RA2 ); +module RAout_3__MGIOL ( output IOLDO, input OPOS, CLK ); + wire VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly; - xo2iobuf0204 \RA_pad[2] ( .I(IOLDO), .PAD(RA2)); - - specify - (IOLDO => RA2) = (0:0:0,0:0:0); - endspecify - -endmodule - -module RA_2__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire GNDI, OPOS_dly, CLK_dly, CE_dly; - - mfflsre0206 \RA_0io[2] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), + mfflsre0260 \RAout_0io[3] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(IOLDO)); + vcc DRIVEVCC( .PWR1(VCCI)); + inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); - $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); + $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); endspecify endmodule -module RA_1_ ( input IOLDO, output RA1 ); +module RAout_2_ ( input IOLDO, output RAout2 ); - xo2iobuf0204 \RA_pad[1] ( .I(IOLDO), .PAD(RA1)); + xo2iobuf0258 \RAout_pad[2] ( .I(IOLDO), .PAD(RAout2)); specify - (IOLDO => RA1) = (0:0:0,0:0:0); + (IOLDO => RAout2) = (0:0:0,0:0:0); endspecify endmodule -module RA_1__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire GNDI, OPOS_dly, CLK_dly, CE_dly; +module RAout_2__MGIOL ( output IOLDO, input OPOS, CLK ); + wire VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly; - mfflsre0206 \RA_0io[1] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), + mfflsre0260 \RAout_0io[2] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(IOLDO)); + vcc DRIVEVCC( .PWR1(VCCI)); + inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); - $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); + $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); endspecify endmodule -module RA_0_ ( input PADDO, output RA0 ); +module RAout_1_ ( input IOLDO, output RAout1 ); - xo2iobuf0204 \RA_pad[0] ( .I(PADDO), .PAD(RA0)); + xo2iobuf0258 \RAout_pad[1] ( .I(IOLDO), .PAD(RAout1)); specify - (PADDO => RA0) = (0:0:0,0:0:0); + (IOLDO => RAout1) = (0:0:0,0:0:0); + endspecify + +endmodule + +module RAout_1__MGIOL ( output IOLDO, input OPOS, CLK ); + wire VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly; + + mfflsre0260 \RAout_0io[1] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), + .LSR(GNDI), .Q(IOLDO)); + vcc DRIVEVCC( .PWR1(VCCI)); + inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); + gnd DRIVEGND( .PWR0(GNDI)); + + specify + (CLK => IOLDO) = (0:0:0,0:0:0); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); + endspecify + +endmodule + +module RAout_0_ ( input IOLDO, output RAout0 ); + + xo2iobuf0258 \RAout_pad[0] ( .I(IOLDO), .PAD(RAout0)); + + specify + (IOLDO => RAout0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module RAout_0__MGIOL ( output IOLDO, input OPOS, CLK ); + wire VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly; + + mfflsre0260 \RAout_0io[0] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), + .LSR(GNDI), .Q(IOLDO)); + vcc DRIVEVCC( .PWR1(VCCI)); + inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); + gnd DRIVEGND( .PWR0(GNDI)); + + specify + (CLK => IOLDO) = (0:0:0,0:0:0); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); endspecify endmodule module BA_1_ ( input IOLDO, output BA1 ); - xo2iobuf0204 \BA_pad[1] ( .I(IOLDO), .PAD(BA1)); + xo2iobuf0258 \BA_pad[1] ( .I(IOLDO), .PAD(BA1)); specify (IOLDO => BA1) = (0:0:0,0:0:0); @@ -4943,16 +6458,16 @@ module BA_1_ ( input IOLDO, output BA1 ); endmodule -module BA_1__MGIOL ( output IOLDO, input OPOS, LSR, CLK ); - wire VCCI, OPOS_dly, CLK_dly, LSR_dly; +module BA_1__MGIOL ( output IOLDO, input OPOS, CE, LSR, CLK ); + wire OPOS_dly, CLK_dly, CE_dly, LSR_dly; - mfflsre0207 \BA_0io[1] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), + mfflsre0261 \BA_0io[1] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), .LSR(LSR_dly), .Q(IOLDO)); - vcc DRIVEVCC( .PWR1(VCCI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $setuphold (posedge CLK, LSR, 0:0:0, 0:0:0,,,, CLK_dly, LSR_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); @@ -4960,7 +6475,7 @@ module BA_1__MGIOL ( output IOLDO, input OPOS, LSR, CLK ); endmodule -module mfflsre0207 ( input D0, SP, CK, LSR, output Q ); +module mfflsre0261 ( input D0, SP, CK, LSR, output Q ); FD1P3IX INST01( .D(D0), .SP(SP), .CK(CK), .CD(LSR), .Q(Q)); defparam INST01.GSR = "DISABLED"; @@ -4968,7 +6483,7 @@ endmodule module BA_0_ ( input IOLDO, output BA0 ); - xo2iobuf0204 \BA_pad[0] ( .I(IOLDO), .PAD(BA0)); + xo2iobuf0258 \BA_pad[0] ( .I(IOLDO), .PAD(BA0)); specify (IOLDO => BA0) = (0:0:0,0:0:0); @@ -4976,16 +6491,16 @@ module BA_0_ ( input IOLDO, output BA0 ); endmodule -module BA_0__MGIOL ( output IOLDO, input OPOS, LSR, CLK ); - wire VCCI, OPOS_dly, CLK_dly, LSR_dly; +module BA_0__MGIOL ( output IOLDO, input OPOS, CE, LSR, CLK ); + wire OPOS_dly, CLK_dly, CE_dly, LSR_dly; - mfflsre0207 \BA_0io[0] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), + mfflsre0261 \BA_0io[0] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), .LSR(LSR_dly), .Q(IOLDO)); - vcc DRIVEVCC( .PWR1(VCCI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $setuphold (posedge CLK, LSR, 0:0:0, 0:0:0,,,, CLK_dly, LSR_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); @@ -4993,144 +6508,131 @@ module BA_0__MGIOL ( output IOLDO, input OPOS, LSR, CLK ); endmodule -module nRWE ( input IOLDO, output nRWE ); +module nRWEout ( input IOLDO, output nRWEout ); - xo2iobuf0204 nRWE_pad( .I(IOLDO), .PAD(nRWE)); + xo2iobuf0258 nRWEout_pad( .I(IOLDO), .PAD(nRWEout)); specify - (IOLDO => nRWE) = (0:0:0,0:0:0); + (IOLDO => nRWEout) = (0:0:0,0:0:0); endspecify endmodule -module nRWE_MGIOL ( output IOLDO, input OPOS, CLK ); - wire VCCI, GNDI, OPOS_dly, CLK_dly; +module nRWEout_MGIOL ( output IOLDO, input OPOS, CLK ); + wire VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly; - mfflsre nRWE_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), + mfflsre nRWEout_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(IOLDO)); vcc DRIVEVCC( .PWR1(VCCI)); + inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); - $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); + $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); endspecify endmodule -module nCAS ( input IOLDO, output nCAS ); +module nCASout ( input IOLDO, output nCASout ); - xo2iobuf0204 nCAS_pad( .I(IOLDO), .PAD(nCAS)); + xo2iobuf0258 nCASout_pad( .I(IOLDO), .PAD(nCASout)); specify - (IOLDO => nCAS) = (0:0:0,0:0:0); + (IOLDO => nCASout) = (0:0:0,0:0:0); endspecify endmodule -module nCAS_MGIOL ( output IOLDO, input OPOS, CLK ); - wire VCCI, GNDI, OPOS_dly, CLK_dly; +module nCASout_MGIOL ( output IOLDO, input OPOS, CLK ); + wire VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly; - mfflsre nCAS_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), + mfflsre nCASout_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(IOLDO)); vcc DRIVEVCC( .PWR1(VCCI)); + inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); - $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); + $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); endspecify endmodule -module nRAS ( input IOLDO, output nRAS ); +module nRASout ( input IOLDO, output nRASout ); - xo2iobuf0204 nRAS_pad( .I(IOLDO), .PAD(nRAS)); + xo2iobuf0258 nRASout_pad( .I(IOLDO), .PAD(nRASout)); specify - (IOLDO => nRAS) = (0:0:0,0:0:0); + (IOLDO => nRASout) = (0:0:0,0:0:0); endspecify endmodule -module nRAS_MGIOL ( output IOLDO, input OPOS, CLK ); - wire VCCI, GNDI, OPOS_dly, CLK_dly; +module nRASout_MGIOL ( output IOLDO, input OPOS, CLK ); + wire VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly; - mfflsre nRAS_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), + mfflsre nRASout_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(IOLDO)); vcc DRIVEVCC( .PWR1(VCCI)); + inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); - $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); + $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); endspecify endmodule -module nCS ( input IOLDO, output nCS ); +module nCSout ( input PADDO, output nCSout ); - xo2iobuf0204 nCS_pad( .I(IOLDO), .PAD(nCS)); + xo2iobuf0258 nCSout_pad( .I(PADDO), .PAD(nCSout)); specify - (IOLDO => nCS) = (0:0:0,0:0:0); + (PADDO => nCSout) = (0:0:0,0:0:0); endspecify endmodule -module nCS_MGIOL ( output IOLDO, input OPOS, CLK ); - wire VCCI, GNDI, OPOS_dly, CLK_dly; +module CKEout ( input IOLDO, output CKEout ); - mfflsre nCS_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), + xo2iobuf0258 CKEout_pad( .I(IOLDO), .PAD(CKEout)); + + specify + (IOLDO => CKEout) = (0:0:0,0:0:0); + endspecify + +endmodule + +module CKEout_MGIOL ( output IOLDO, input OPOS, CLK ); + wire VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly; + + mfflsre0260 CKEout_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(IOLDO)); vcc DRIVEVCC( .PWR1(VCCI)); + inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); - $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - endspecify - -endmodule - -module CKE ( input IOLDO, output CKE ); - - xo2iobuf0204 CKE_pad( .I(IOLDO), .PAD(CKE)); - - specify - (IOLDO => CKE) = (0:0:0,0:0:0); - endspecify - -endmodule - -module CKE_MGIOL ( output IOLDO, input OPOS, CLK ); - wire VCCI, GNDI, OPOS_dly, CLK_dly; - - mfflsre0206 CKE_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), - .Q(IOLDO)); - vcc DRIVEVCC( .PWR1(VCCI)); - gnd DRIVEGND( .PWR0(GNDI)); - - specify - (CLK => IOLDO) = (0:0:0,0:0:0); - $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); + $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); endspecify endmodule module nVOE ( input PADDO, output nVOE ); - xo2iobuf0204 nVOE_pad( .I(PADDO), .PAD(nVOE)); + xo2iobuf0258 nVOE_pad( .I(PADDO), .PAD(nVOE)); specify (PADDO => nVOE) = (0:0:0,0:0:0); @@ -5140,7 +6642,7 @@ endmodule module Vout_7_ ( input IOLDO, output Vout7 ); - xo2iobuf0204 \Vout_pad[7] ( .I(IOLDO), .PAD(Vout7)); + xo2iobuf0258 \Vout_pad[7] ( .I(IOLDO), .PAD(Vout7)); specify (IOLDO => Vout7) = (0:0:0,0:0:0); @@ -5149,31 +6651,25 @@ module Vout_7_ ( input IOLDO, output Vout7 ); endmodule module Vout_7__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly; + wire GNDI, OPOS_dly, CLK_dly, CE_dly; - mfflsre0206 \Vout_0io[7] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), + mfflsre0260 \Vout_0io[7] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(IOLDO)); - inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); + $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); - $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); endspecify endmodule -module inverter ( input I, output Z ); - - INV INST1( .A(I), .Z(Z)); -endmodule - module Vout_6_ ( input IOLDO, output Vout6 ); - xo2iobuf0204 \Vout_pad[6] ( .I(IOLDO), .PAD(Vout6)); + xo2iobuf0258 \Vout_pad[6] ( .I(IOLDO), .PAD(Vout6)); specify (IOLDO => Vout6) = (0:0:0,0:0:0); @@ -5182,26 +6678,25 @@ module Vout_6_ ( input IOLDO, output Vout6 ); endmodule module Vout_6__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly; + wire GNDI, OPOS_dly, CLK_dly, CE_dly; - mfflsre0206 \Vout_0io[6] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), + mfflsre0260 \Vout_0io[6] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(IOLDO)); - inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); + $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); - $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); endspecify endmodule module Vout_5_ ( input IOLDO, output Vout5 ); - xo2iobuf0204 \Vout_pad[5] ( .I(IOLDO), .PAD(Vout5)); + xo2iobuf0258 \Vout_pad[5] ( .I(IOLDO), .PAD(Vout5)); specify (IOLDO => Vout5) = (0:0:0,0:0:0); @@ -5210,26 +6705,25 @@ module Vout_5_ ( input IOLDO, output Vout5 ); endmodule module Vout_5__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly; + wire GNDI, OPOS_dly, CLK_dly, CE_dly; - mfflsre0206 \Vout_0io[5] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), + mfflsre0260 \Vout_0io[5] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(IOLDO)); - inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); + $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); - $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); endspecify endmodule module Vout_4_ ( input IOLDO, output Vout4 ); - xo2iobuf0204 \Vout_pad[4] ( .I(IOLDO), .PAD(Vout4)); + xo2iobuf0258 \Vout_pad[4] ( .I(IOLDO), .PAD(Vout4)); specify (IOLDO => Vout4) = (0:0:0,0:0:0); @@ -5238,26 +6732,25 @@ module Vout_4_ ( input IOLDO, output Vout4 ); endmodule module Vout_4__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly; + wire GNDI, OPOS_dly, CLK_dly, CE_dly; - mfflsre0206 \Vout_0io[4] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), + mfflsre0260 \Vout_0io[4] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(IOLDO)); - inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); + $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); - $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); endspecify endmodule module Vout_3_ ( input IOLDO, output Vout3 ); - xo2iobuf0204 \Vout_pad[3] ( .I(IOLDO), .PAD(Vout3)); + xo2iobuf0258 \Vout_pad[3] ( .I(IOLDO), .PAD(Vout3)); specify (IOLDO => Vout3) = (0:0:0,0:0:0); @@ -5266,26 +6759,25 @@ module Vout_3_ ( input IOLDO, output Vout3 ); endmodule module Vout_3__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly; + wire GNDI, OPOS_dly, CLK_dly, CE_dly; - mfflsre0206 \Vout_0io[3] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), + mfflsre0260 \Vout_0io[3] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(IOLDO)); - inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); + $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); - $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); endspecify endmodule module Vout_2_ ( input IOLDO, output Vout2 ); - xo2iobuf0204 \Vout_pad[2] ( .I(IOLDO), .PAD(Vout2)); + xo2iobuf0258 \Vout_pad[2] ( .I(IOLDO), .PAD(Vout2)); specify (IOLDO => Vout2) = (0:0:0,0:0:0); @@ -5294,26 +6786,25 @@ module Vout_2_ ( input IOLDO, output Vout2 ); endmodule module Vout_2__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly; + wire GNDI, OPOS_dly, CLK_dly, CE_dly; - mfflsre0206 \Vout_0io[2] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), + mfflsre0260 \Vout_0io[2] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(IOLDO)); - inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); + $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); - $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); endspecify endmodule module Vout_1_ ( input IOLDO, output Vout1 ); - xo2iobuf0204 \Vout_pad[1] ( .I(IOLDO), .PAD(Vout1)); + xo2iobuf0258 \Vout_pad[1] ( .I(IOLDO), .PAD(Vout1)); specify (IOLDO => Vout1) = (0:0:0,0:0:0); @@ -5322,26 +6813,25 @@ module Vout_1_ ( input IOLDO, output Vout1 ); endmodule module Vout_1__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly; + wire GNDI, OPOS_dly, CLK_dly, CE_dly; - mfflsre0206 \Vout_0io[1] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), + mfflsre0260 \Vout_0io[1] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(IOLDO)); - inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); + $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); - $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); endspecify endmodule module Vout_0_ ( input IOLDO, output Vout0 ); - xo2iobuf0204 \Vout_pad[0] ( .I(IOLDO), .PAD(Vout0)); + xo2iobuf0258 \Vout_pad[0] ( .I(IOLDO), .PAD(Vout0)); specify (IOLDO => Vout0) = (0:0:0,0:0:0); @@ -5350,26 +6840,25 @@ module Vout_0_ ( input IOLDO, output Vout0 ); endmodule module Vout_0__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly; + wire GNDI, OPOS_dly, CLK_dly, CE_dly; - mfflsre0206 \Vout_0io[0] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), + mfflsre0260 \Vout_0io[0] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(IOLDO)); - inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); + $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); - $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); endspecify endmodule module nDOE ( input PADDO, output nDOE ); - xo2iobuf0204 nDOE_pad( .I(PADDO), .PAD(nDOE)); + xo2iobuf0258 nDOE_pad( .I(PADDO), .PAD(nDOE)); specify (PADDO => nDOE) = (0:0:0,0:0:0); @@ -5377,238 +6866,89 @@ module nDOE ( input PADDO, output nDOE ); endmodule -module Dout_7_ ( input IOLDO, output Dout7 ); +module Dout_7_ ( input PADDO, output Dout7 ); - xo2iobuf0208 \Dout_pad[7] ( .I(IOLDO), .PAD(Dout7)); + xo2iobuf0258 \Dout_pad[7] ( .I(PADDO), .PAD(Dout7)); specify - (IOLDO => Dout7) = (0:0:0,0:0:0); + (PADDO => Dout7) = (0:0:0,0:0:0); endspecify endmodule -module xo2iobuf0208 ( input I, output PAD ); +module Dout_6_ ( input PADDO, output Dout6 ); - OB INST5( .I(I), .O(PAD)); -endmodule - -module Dout_7__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly; - - mfflsre0206 \Dout_0io[7] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), - .LSR(GNDI), .Q(IOLDO)); - inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); - gnd DRIVEGND( .PWR0(GNDI)); + xo2iobuf0258 \Dout_pad[6] ( .I(PADDO), .PAD(Dout6)); specify - (CLK => IOLDO) = (0:0:0,0:0:0); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + (PADDO => Dout6) = (0:0:0,0:0:0); endspecify endmodule -module Dout_6_ ( input IOLDO, output Dout6 ); +module Dout_5_ ( input PADDO, output Dout5 ); - xo2iobuf0208 \Dout_pad[6] ( .I(IOLDO), .PAD(Dout6)); + xo2iobuf0258 \Dout_pad[5] ( .I(PADDO), .PAD(Dout5)); specify - (IOLDO => Dout6) = (0:0:0,0:0:0); + (PADDO => Dout5) = (0:0:0,0:0:0); endspecify endmodule -module Dout_6__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly; +module Dout_4_ ( input PADDO, output Dout4 ); - mfflsre0206 \Dout_0io[6] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), - .LSR(GNDI), .Q(IOLDO)); - inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); - gnd DRIVEGND( .PWR0(GNDI)); + xo2iobuf0258 \Dout_pad[4] ( .I(PADDO), .PAD(Dout4)); specify - (CLK => IOLDO) = (0:0:0,0:0:0); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + (PADDO => Dout4) = (0:0:0,0:0:0); endspecify endmodule -module Dout_5_ ( input IOLDO, output Dout5 ); +module Dout_3_ ( input PADDO, output Dout3 ); - xo2iobuf0208 \Dout_pad[5] ( .I(IOLDO), .PAD(Dout5)); + xo2iobuf0258 \Dout_pad[3] ( .I(PADDO), .PAD(Dout3)); specify - (IOLDO => Dout5) = (0:0:0,0:0:0); + (PADDO => Dout3) = (0:0:0,0:0:0); endspecify endmodule -module Dout_5__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly; +module Dout_2_ ( input PADDO, output Dout2 ); - mfflsre0206 \Dout_0io[5] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), - .LSR(GNDI), .Q(IOLDO)); - inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); - gnd DRIVEGND( .PWR0(GNDI)); + xo2iobuf0258 \Dout_pad[2] ( .I(PADDO), .PAD(Dout2)); specify - (CLK => IOLDO) = (0:0:0,0:0:0); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + (PADDO => Dout2) = (0:0:0,0:0:0); endspecify endmodule -module Dout_4_ ( input IOLDO, output Dout4 ); +module Dout_1_ ( input PADDO, output Dout1 ); - xo2iobuf0208 \Dout_pad[4] ( .I(IOLDO), .PAD(Dout4)); + xo2iobuf0258 \Dout_pad[1] ( .I(PADDO), .PAD(Dout1)); specify - (IOLDO => Dout4) = (0:0:0,0:0:0); + (PADDO => Dout1) = (0:0:0,0:0:0); endspecify endmodule -module Dout_4__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly; +module Dout_0_ ( input PADDO, output Dout0 ); - mfflsre0206 \Dout_0io[4] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), - .LSR(GNDI), .Q(IOLDO)); - inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); - gnd DRIVEGND( .PWR0(GNDI)); + xo2iobuf0258 \Dout_pad[0] ( .I(PADDO), .PAD(Dout0)); specify - (CLK => IOLDO) = (0:0:0,0:0:0); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); - endspecify - -endmodule - -module Dout_3_ ( input IOLDO, output Dout3 ); - - xo2iobuf0208 \Dout_pad[3] ( .I(IOLDO), .PAD(Dout3)); - - specify - (IOLDO => Dout3) = (0:0:0,0:0:0); - endspecify - -endmodule - -module Dout_3__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly; - - mfflsre0206 \Dout_0io[3] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), - .LSR(GNDI), .Q(IOLDO)); - inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); - gnd DRIVEGND( .PWR0(GNDI)); - - specify - (CLK => IOLDO) = (0:0:0,0:0:0); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); - endspecify - -endmodule - -module Dout_2_ ( input IOLDO, output Dout2 ); - - xo2iobuf0208 \Dout_pad[2] ( .I(IOLDO), .PAD(Dout2)); - - specify - (IOLDO => Dout2) = (0:0:0,0:0:0); - endspecify - -endmodule - -module Dout_2__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly; - - mfflsre0206 \Dout_0io[2] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), - .LSR(GNDI), .Q(IOLDO)); - inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); - gnd DRIVEGND( .PWR0(GNDI)); - - specify - (CLK => IOLDO) = (0:0:0,0:0:0); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); - endspecify - -endmodule - -module Dout_1_ ( input IOLDO, output Dout1 ); - - xo2iobuf0208 \Dout_pad[1] ( .I(IOLDO), .PAD(Dout1)); - - specify - (IOLDO => Dout1) = (0:0:0,0:0:0); - endspecify - -endmodule - -module Dout_1__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly; - - mfflsre0206 \Dout_0io[1] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), - .LSR(GNDI), .Q(IOLDO)); - inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); - gnd DRIVEGND( .PWR0(GNDI)); - - specify - (CLK => IOLDO) = (0:0:0,0:0:0); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); - endspecify - -endmodule - -module Dout_0_ ( input IOLDO, output Dout0 ); - - xo2iobuf0208 \Dout_pad[0] ( .I(IOLDO), .PAD(Dout0)); - - specify - (IOLDO => Dout0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module Dout_0__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly; - - mfflsre0206 \Dout_0io[0] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), - .LSR(GNDI), .Q(IOLDO)); - inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); - gnd DRIVEGND( .PWR0(GNDI)); - - specify - (CLK => IOLDO) = (0:0:0,0:0:0); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + (PADDO => Dout0) = (0:0:0,0:0:0); endspecify endmodule module Din_7_ ( output PADDI, input Din7 ); - xo2iobuf0205 \Din_pad[7] ( .Z(PADDI), .PAD(Din7)); + xo2iobuf0259 \Din_pad[7] ( .Z(PADDI), .PAD(Din7)); specify (Din7 => PADDI) = (0:0:0,0:0:0); @@ -5620,7 +6960,7 @@ endmodule module Din_6_ ( output PADDI, input Din6 ); - xo2iobuf0205 \Din_pad[6] ( .Z(PADDI), .PAD(Din6)); + xo2iobuf0259 \Din_pad[6] ( .Z(PADDI), .PAD(Din6)); specify (Din6 => PADDI) = (0:0:0,0:0:0); @@ -5632,7 +6972,7 @@ endmodule module Din_5_ ( output PADDI, input Din5 ); - xo2iobuf0205 \Din_pad[5] ( .Z(PADDI), .PAD(Din5)); + xo2iobuf0259 \Din_pad[5] ( .Z(PADDI), .PAD(Din5)); specify (Din5 => PADDI) = (0:0:0,0:0:0); @@ -5644,7 +6984,7 @@ endmodule module Din_4_ ( output PADDI, input Din4 ); - xo2iobuf0205 \Din_pad[4] ( .Z(PADDI), .PAD(Din4)); + xo2iobuf0259 \Din_pad[4] ( .Z(PADDI), .PAD(Din4)); specify (Din4 => PADDI) = (0:0:0,0:0:0); @@ -5656,7 +6996,7 @@ endmodule module Din_3_ ( output PADDI, input Din3 ); - xo2iobuf0205 \Din_pad[3] ( .Z(PADDI), .PAD(Din3)); + xo2iobuf0259 \Din_pad[3] ( .Z(PADDI), .PAD(Din3)); specify (Din3 => PADDI) = (0:0:0,0:0:0); @@ -5668,7 +7008,7 @@ endmodule module Din_2_ ( output PADDI, input Din2 ); - xo2iobuf0205 \Din_pad[2] ( .Z(PADDI), .PAD(Din2)); + xo2iobuf0259 \Din_pad[2] ( .Z(PADDI), .PAD(Din2)); specify (Din2 => PADDI) = (0:0:0,0:0:0); @@ -5680,7 +7020,7 @@ endmodule module Din_1_ ( output PADDI, input Din1 ); - xo2iobuf0205 \Din_pad[1] ( .Z(PADDI), .PAD(Din1)); + xo2iobuf0259 \Din_pad[1] ( .Z(PADDI), .PAD(Din1)); specify (Din1 => PADDI) = (0:0:0,0:0:0); @@ -5692,7 +7032,7 @@ endmodule module Din_0_ ( output PADDI, input Din0 ); - xo2iobuf0205 \Din_pad[0] ( .Z(PADDI), .PAD(Din0)); + xo2iobuf0259 \Din_pad[0] ( .Z(PADDI), .PAD(Din0)); specify (Din0 => PADDI) = (0:0:0,0:0:0); @@ -5704,7 +7044,7 @@ endmodule module Ain_7_ ( output PADDI, input Ain7 ); - xo2iobuf0205 \Ain_pad[7] ( .Z(PADDI), .PAD(Ain7)); + xo2iobuf0259 \Ain_pad[7] ( .Z(PADDI), .PAD(Ain7)); specify (Ain7 => PADDI) = (0:0:0,0:0:0); @@ -5716,7 +7056,7 @@ endmodule module Ain_6_ ( output PADDI, input Ain6 ); - xo2iobuf0205 \Ain_pad[6] ( .Z(PADDI), .PAD(Ain6)); + xo2iobuf0259 \Ain_pad[6] ( .Z(PADDI), .PAD(Ain6)); specify (Ain6 => PADDI) = (0:0:0,0:0:0); @@ -5728,7 +7068,7 @@ endmodule module Ain_5_ ( output PADDI, input Ain5 ); - xo2iobuf0205 \Ain_pad[5] ( .Z(PADDI), .PAD(Ain5)); + xo2iobuf0259 \Ain_pad[5] ( .Z(PADDI), .PAD(Ain5)); specify (Ain5 => PADDI) = (0:0:0,0:0:0); @@ -5740,7 +7080,7 @@ endmodule module Ain_4_ ( output PADDI, input Ain4 ); - xo2iobuf0205 \Ain_pad[4] ( .Z(PADDI), .PAD(Ain4)); + xo2iobuf0259 \Ain_pad[4] ( .Z(PADDI), .PAD(Ain4)); specify (Ain4 => PADDI) = (0:0:0,0:0:0); @@ -5752,7 +7092,7 @@ endmodule module Ain_3_ ( output PADDI, input Ain3 ); - xo2iobuf0205 \Ain_pad[3] ( .Z(PADDI), .PAD(Ain3)); + xo2iobuf0259 \Ain_pad[3] ( .Z(PADDI), .PAD(Ain3)); specify (Ain3 => PADDI) = (0:0:0,0:0:0); @@ -5764,7 +7104,7 @@ endmodule module Ain_2_ ( output PADDI, input Ain2 ); - xo2iobuf0205 \Ain_pad[2] ( .Z(PADDI), .PAD(Ain2)); + xo2iobuf0259 \Ain_pad[2] ( .Z(PADDI), .PAD(Ain2)); specify (Ain2 => PADDI) = (0:0:0,0:0:0); @@ -5776,7 +7116,7 @@ endmodule module Ain_1_ ( output PADDI, input Ain1 ); - xo2iobuf0205 \Ain_pad[1] ( .Z(PADDI), .PAD(Ain1)); + xo2iobuf0259 \Ain_pad[1] ( .Z(PADDI), .PAD(Ain1)); specify (Ain1 => PADDI) = (0:0:0,0:0:0); @@ -5788,7 +7128,7 @@ endmodule module Ain_0_ ( output PADDI, input Ain0 ); - xo2iobuf0205 \Ain_pad[0] ( .Z(PADDI), .PAD(Ain0)); + xo2iobuf0259 \Ain_pad[0] ( .Z(PADDI), .PAD(Ain0)); specify (Ain0 => PADDI) = (0:0:0,0:0:0); @@ -5800,7 +7140,7 @@ endmodule module nC07X ( output PADDI, input nC07X ); - xo2iobuf0205 nC07X_pad( .Z(PADDI), .PAD(nC07X)); + xo2iobuf0259 nC07X_pad( .Z(PADDI), .PAD(nC07X)); specify (nC07X => PADDI) = (0:0:0,0:0:0); @@ -5812,7 +7152,7 @@ endmodule module nEN80 ( output PADDI, input nEN80 ); - xo2iobuf0205 nEN80_pad( .Z(PADDI), .PAD(nEN80)); + xo2iobuf0259 nEN80_pad( .Z(PADDI), .PAD(nEN80)); specify (nEN80 => PADDI) = (0:0:0,0:0:0); @@ -5822,21 +7162,9 @@ module nEN80 ( output PADDI, input nEN80 ); endmodule -module nWE80 ( output PADDI, input nWE80 ); - - xo2iobuf0205 nWE80_pad( .Z(PADDI), .PAD(nWE80)); - - specify - (nWE80 => PADDI) = (0:0:0,0:0:0); - $width (posedge nWE80, 0:0:0); - $width (negedge nWE80, 0:0:0); - endspecify - -endmodule - module nWE ( output PADDI, input nWE ); - xo2iobuf0205 nWE_pad( .Z(PADDI), .PAD(nWE)); + xo2iobuf0259 nWE_pad( .Z(PADDI), .PAD(nWE)); specify (nWE => PADDI) = (0:0:0,0:0:0); @@ -5848,7 +7176,7 @@ endmodule module PHI1 ( output PADDI, input PHI1 ); - xo2iobuf0205 PHI1_pad( .Z(PADDI), .PAD(PHI1)); + xo2iobuf0259 PHI1_pad( .Z(PADDI), .PAD(PHI1)); specify (PHI1 => PADDI) = (0:0:0,0:0:0); @@ -5861,7 +7189,7 @@ endmodule module PHI1_MGIOL ( input DI, CLK, output IN ); wire VCCI, GNDI, DI_dly, CLK_dly; - smuxlregsre PHI1reg_0io( .D0(DI_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), + smuxlregsre PHI1r_0io( .D0(DI_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(IN)); vcc DRIVEVCC( .PWR1(VCCI)); gnd DRIVEGND( .PWR0(GNDI)); @@ -5881,14 +7209,14 @@ module smuxlregsre ( input D0, SP, CK, LSR, output Q ); defparam INST01.GSR = "DISABLED"; endmodule -module ufmefb_EFBInst_0 ( input WBCLKI, WBRSTI, WBCYCI, WBSTBI, WBWEI, WBADRI0, - WBADRI1, WBADRI2, WBADRI3, WBADRI4, WBADRI5, WBADRI6, WBADRI7, WBDATI0, - WBDATI1, WBDATI2, WBDATI3, WBDATI4, WBDATI5, WBDATI6, WBDATI7, output - WBDATO0, WBDATO1, WBDATO2, WBDATO3, WBDATO4, WBDATO5, WBDATO6, WBDATO7, - WBACKO ); +module ram2e_ufm_ufmefb_EFBInst_0 ( input WBCLKI, WBRSTI, WBCYCI, WBSTBI, + WBWEI, WBADRI0, WBADRI1, WBADRI2, WBADRI3, WBADRI4, WBADRI5, WBADRI6, + WBADRI7, WBDATI0, WBDATI1, WBDATI2, WBDATI3, WBDATI4, WBDATI5, WBDATI6, + WBDATI7, output WBDATO0, WBDATO1, WBDATO2, WBDATO3, WBDATO4, WBDATO5, + WBDATO6, WBDATO7, WBACKO ); wire VCCI, GNDI; - EFB_B \ufmefb/EFBInst_0_EFB ( .WBCLKI(WBCLKI), .WBRSTI(WBRSTI), + EFB_B \ram2e_ufm/ufmefb/EFBInst_0_EFB ( .WBCLKI(WBCLKI), .WBRSTI(WBRSTI), .WBCYCI(WBCYCI), .WBSTBI(WBSTBI), .WBWEI(WBWEI), .WBADRI0(WBADRI0), .WBADRI1(WBADRI1), .WBADRI2(WBADRI2), .WBADRI3(WBADRI3), .WBADRI4(WBADRI4), .WBADRI5(WBADRI5), .WBADRI6(WBADRI6), .WBADRI7(WBADRI7), .WBDATI0(WBDATI0), diff --git a/CPLD/LCMXO2-1200HC/impl1/hdla_gen_hierarchy.html b/CPLD/LCMXO2-1200HC/impl1/hdla_gen_hierarchy.html index 63b2b29..7c84143 100644 --- a/CPLD/LCMXO2-1200HC/impl1/hdla_gen_hierarchy.html +++ b/CPLD/LCMXO2-1200HC/impl1/hdla_gen_hierarchy.html @@ -1,10 +1,12 @@
    Setting log file to '//Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC/impl1/hdla_gen_hierarchy.html'.
     Starting: parse design source files
     (VERI-1482) Analyzing Verilog file 'C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v'
    -(VERI-1482) Analyzing Verilog file '//Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-LCMXO2.v'
    +(VERI-1482) Analyzing Verilog file '//Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v'
    +(VERI-1482) Analyzing Verilog file '//Mac/iCloud/Repos/RAM2E/CPLD/UFM-LCMXO2.v'
     (VERI-1482) Analyzing Verilog file '//Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC/REFB.v'
    -INFO - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-LCMXO2.v(1,8-1,13) (VERI-1018) compiling module 'RAM2E'
    -INFO - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-LCMXO2.v(1,1-831,10) (VERI-9000) elaborating module 'RAM2E'
    +INFO - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(1,8-1,13) (VERI-1018) compiling module 'RAM2E'
    +INFO - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.v(1,1-463,10) (VERI-9000) elaborating module 'RAM2E'
    +INFO - //Mac/iCloud/Repos/RAM2E/CPLD/UFM-LCMXO2.v(1,1-333,10) (VERI-9000) elaborating module 'RAM2E_UFM_uniq_1'
     INFO - //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC/REFB.v(8,1-113,10) (VERI-9000) elaborating module 'REFB_uniq_1'
     INFO - C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1120,1-1122,10) (VERI-9000) elaborating module 'VHI_uniq_1'
     INFO - C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1124,1-1126,10) (VERI-9000) elaborating module 'VLO_uniq_1'
    diff --git a/CPLD/LCMXO2-1200HC/impl1/ram2e_lcmxo2_1200hc_impl1.ior b/CPLD/LCMXO2-1200HC/impl1/ram2e_lcmxo2_1200hc_impl1.ior
    index 14f4acc..be29cb8 100644
    --- a/CPLD/LCMXO2-1200HC/impl1/ram2e_lcmxo2_1200hc_impl1.ior
    +++ b/CPLD/LCMXO2-1200HC/impl1/ram2e_lcmxo2_1200hc_impl1.ior
    @@ -29,7 +29,7 @@ Performance Hardware Data Status:   Final          Version 34.4.
     // Package: TQFP100
     // ncd File: ram2e_lcmxo2_1200hc_impl1.ncd
     // Version: Diamond (64-bit) 3.12.1.454
    -// Written on Thu Sep 21 05:35:11 2023
    +// Written on Thu Dec 28 23:10:20 2023
     // M: Minimum Performance Grade
     // iotiming RAM2E_LCMXO2_1200HC_impl1.ncd RAM2E_LCMXO2_1200HC_impl1.prf -gui -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-1200HC/promote.xml
     
    @@ -41,80 +41,78 @@ Worst Case Results across Performance Grades (M, 6, 5, 4):
     
     Port   Clock Edge  Setup Performance_Grade  Hold Performance_Grade
     ----------------------------------------------------------------------
    -Ain[0] C14M  R    -0.231      M       2.350     4
    -Ain[1] C14M  R     1.429      4       0.543     4
    -Ain[2] C14M  R     1.518      4       0.412     4
    -Ain[3] C14M  R    -0.231      M       2.350     4
    -Ain[4] C14M  R     0.212      4       1.560     4
    -Ain[5] C14M  R     0.742      4       1.110     4
    -Ain[6] C14M  R     0.469      4       1.329     4
    -Ain[7] C14M  R     1.416      4       0.531     4
    -Din[0] C14M  R     8.327      4       1.958     4
    -Din[1] C14M  R     5.826      4       2.521     4
    -Din[2] C14M  R     6.539      4       2.135     4
    -Din[3] C14M  R     5.849      4       2.648     4
    -Din[4] C14M  R     7.061      4       2.095     4
    -Din[5] C14M  R     6.295      4       2.894     4
    -Din[6] C14M  R     4.991      4       2.892     4
    -Din[7] C14M  R     6.416      4       2.971     4
    -PHI1   C14M  R     1.151      4       4.842     4
    -RD[0]  C14M  F    -0.266      M       3.107     4
    -RD[1]  C14M  F    -0.248      M       3.183     4
    -RD[2]  C14M  F    -0.105      M       2.610     4
    -RD[3]  C14M  F    -0.243      M       3.107     4
    -RD[4]  C14M  F     0.103      4       2.201     4
    -RD[5]  C14M  F    -0.092      M       2.192     4
    -RD[6]  C14M  F    -0.084      M       1.756     4
    -RD[7]  C14M  F    -0.092      M       2.661     4
    -nC07X  C14M  R    -0.316      M       2.625     4
    -nEN80  C14M  R     5.220      4       0.032     M
    -nWE    C14M  R    -0.093      M       2.033     4
    -nWE80  C14M  R     1.630      4       0.329     6
    +Ain[0] C14M  R     0.163      4       1.694     4
    +Ain[1] C14M  R    -0.150      M       2.217     4
    +Ain[2] C14M  R    -0.080      M       2.156     4
    +Ain[3] C14M  R     0.466      4       1.338     4
    +Ain[4] C14M  R     0.215      4       1.597     4
    +Ain[5] C14M  R    -0.331      M       2.651     4
    +Ain[6] C14M  R     0.065      M       1.882     4
    +Ain[7] C14M  R     0.284      4       1.683     4
    +Din[0] C14M  R     6.887      4       2.257     4
    +Din[1] C14M  R     8.753      4       2.907     4
    +Din[2] C14M  R     6.379      4       2.740     4
    +Din[3] C14M  R     7.129      4       1.402     4
    +Din[4] C14M  R     6.869      4       2.791     4
    +Din[5] C14M  R     6.514      4       2.334     4
    +Din[6] C14M  R     6.735      4       1.914     4
    +Din[7] C14M  R     8.094      4       1.968     4
    +PHI1   C14M  R     1.557      4       4.842     4
    +RD[0]  C14M  R    -0.266      M       2.342     4
    +RD[1]  C14M  R    -0.248      M       2.283     4
    +RD[2]  C14M  R    -0.407      M       2.610     4
    +RD[3]  C14M  R    -0.243      M       2.193     4
    +RD[4]  C14M  R    -0.246      M       2.201     4
    +RD[5]  C14M  R    -0.243      M       2.193     4
    +RD[6]  C14M  R    -0.087      M       1.756     4
    +RD[7]  C14M  R    -0.092      M       1.769     4
    +nC07X  C14M  R    -0.320      M       2.703     4
    +nEN80  C14M  R     4.607      4       1.925     4
    +nWE    C14M  R     4.609      4       2.010     4
     
     
     // Clock to Output Delay
     
    -Port    Clock Edge  Max_Delay Performance_Grade  Min_Delay Performance_Grade
    +Port      Clock Edge  Max_Delay Performance_Grade  Min_Delay Performance_Grade
     ------------------------------------------------------------------------
    -BA[0]   C14M  R    10.424         4        3.355          M
    -BA[1]   C14M  R    10.424         4        3.355          M
    -CKE     C14M  R    10.424         4        3.355          M
    -DQMH    C14M  R    10.404         4        3.362          M
    -DQML    C14M  R    10.404         4        3.362          M
    -Dout[0] C14M  F    10.750         4        3.634          M
    -Dout[1] C14M  F    10.750         4        3.634          M
    -Dout[2] C14M  F    10.739         4        3.628          M
    -Dout[3] C14M  F    10.750         4        3.634          M
    -Dout[4] C14M  F    10.739         4        3.628          M
    -Dout[5] C14M  F    10.739         4        3.628          M
    -Dout[6] C14M  F    10.750         4        3.634          M
    -Dout[7] C14M  F    10.750         4        3.634          M
    -LED     C14M  R    21.299         4        8.576          M
    -RA[0]   C14M  R    12.236         4        3.758          M
    -RA[10]  C14M  R    10.490         4        3.360          M
    -RA[11]  C14M  R    10.424         4        3.355          M
    -RA[1]   C14M  R    10.490         4        3.360          M
    -RA[2]   C14M  R    10.490         4        3.360          M
    -RA[3]   C14M  R    11.808         4        3.656          M
    -RA[4]   C14M  R    10.490         4        3.360          M
    -RA[5]   C14M  R    10.490         4        3.360          M
    -RA[6]   C14M  R    10.490         4        3.360          M
    -RA[7]   C14M  R    10.490         4        3.360          M
    -RA[8]   C14M  R    10.490         4        3.360          M
    -RA[9]   C14M  R    10.490         4        3.360          M
    -Vout[0] C14M  F    11.348         4        3.872          M
    -Vout[1] C14M  F    11.434         4        3.871          M
    -Vout[2] C14M  F    11.348         4        3.872          M
    -Vout[3] C14M  F    11.434         4        3.871          M
    -Vout[4] C14M  F    11.348         4        3.872          M
    -Vout[5] C14M  F    11.348         4        3.872          M
    -Vout[6] C14M  F    11.434         4        3.871          M
    -Vout[7] C14M  F    11.434         4        3.871          M
    -nCAS    C14M  R    10.424         4        3.355          M
    -nCS     C14M  R    10.424         4        3.355          M
    -nDOE    C14M  R    14.217         4        4.353          M
    -nRAS    C14M  R    10.424         4        3.355          M
    -nRWE    C14M  R    10.424         4        3.355          M
    +BA[0]     C14M  R    10.424         4        3.355          M
    +BA[1]     C14M  R    10.424         4        3.355          M
    +CKEout    C14M  F    10.424         4        3.355          M
    +DQMH      C14M  R    10.404         4        3.362          M
    +DQML      C14M  R    10.404         4        3.362          M
    +LED       C14M  R    22.936         4        8.890          M
    +RAout[0]  C14M  F    10.490         4        3.360          M
    +RAout[10] C14M  F    10.490         4        3.360          M
    +RAout[11] C14M  F    10.424         4        3.355          M
    +RAout[1]  C14M  F    10.490         4        3.360          M
    +RAout[2]  C14M  F    10.490         4        3.360          M
    +RAout[3]  C14M  F    10.490         4        3.360          M
    +RAout[4]  C14M  F    10.490         4        3.360          M
    +RAout[5]  C14M  F    10.490         4        3.360          M
    +RAout[6]  C14M  F    10.490         4        3.360          M
    +RAout[7]  C14M  F    10.490         4        3.360          M
    +RAout[8]  C14M  F    10.490         4        3.360          M
    +RAout[9]  C14M  F    10.490         4        3.360          M
    +RD[0]     C14M  R    13.733         4        3.707          M
    +RD[1]     C14M  R    13.745         4        3.707          M
    +RD[2]     C14M  R    14.285         4        3.790          M
    +RD[3]     C14M  R    13.348         4        3.790          M
    +RD[4]     C14M  R    14.450         4        3.952          M
    +RD[5]     C14M  R    14.480         4        3.952          M
    +RD[6]     C14M  R    14.784         4        3.952          M
    +RD[7]     C14M  R    14.247         4        3.952          M
    +Vout[0]   C14M  R    11.348         4        3.872          M
    +Vout[1]   C14M  R    11.434         4        3.871          M
    +Vout[2]   C14M  R    11.348         4        3.872          M
    +Vout[3]   C14M  R    11.434         4        3.871          M
    +Vout[4]   C14M  R    11.348         4        3.872          M
    +Vout[5]   C14M  R    11.348         4        3.872          M
    +Vout[6]   C14M  R    11.434         4        3.871          M
    +Vout[7]   C14M  R    11.434         4        3.871          M
    +nCASout   C14M  F    10.424         4        3.355          M
    +nDOE      C14M  R    13.929         4        4.309          M
    +nRASout   C14M  F    10.424         4        3.355          M
    +nRWEout   C14M  F    10.424         4        3.355          M
    +nVOE      C14M  R    13.926         4        4.281          M
     WARNING: you must also run trce with hold speed: 4
    -WARNING: you must also run trce with hold speed: 6
     WARNING: you must also run trce with setup speed: M
    diff --git a/CPLD/LCMXO2-1200HC/promote.xml b/CPLD/LCMXO2-1200HC/promote.xml
    index fc0beb9..b1d2d7e 100644
    --- a/CPLD/LCMXO2-1200HC/promote.xml
    +++ b/CPLD/LCMXO2-1200HC/promote.xml
    @@ -1,3 +1,3 @@
     
    -
    +
     
    diff --git a/CPLD/LCMXO2-1200HC/reportview.xml b/CPLD/LCMXO2-1200HC/reportview.xml
    index 145f9dd..7c96405 100644
    --- a/CPLD/LCMXO2-1200HC/reportview.xml
    +++ b/CPLD/LCMXO2-1200HC/reportview.xml
    @@ -3,7 +3,7 @@
     
         
             
    -        
    +        
             
             
         
    diff --git a/CPLD/LCMXO2-640HC/RAM2E_LCMXO2_640HC.ldf b/CPLD/LCMXO2-640HC/RAM2E_LCMXO2_640HC.ldf
    index 7165bc8..584a95c 100644
    --- a/CPLD/LCMXO2-640HC/RAM2E_LCMXO2_640HC.ldf
    +++ b/CPLD/LCMXO2-640HC/RAM2E_LCMXO2_640HC.ldf
    @@ -3,9 +3,12 @@
         
         
             
    -        
    +        
                 
             
    +        
    +            
    +        
             
                 
             
    diff --git a/CPLD/LCMXO2-640HC/RAM2E_LCMXO2_640HC_tcl.html b/CPLD/LCMXO2-640HC/RAM2E_LCMXO2_640HC_tcl.html
    index decf099..fed6f25 100644
    --- a/CPLD/LCMXO2-640HC/RAM2E_LCMXO2_640HC_tcl.html
    +++ b/CPLD/LCMXO2-640HC/RAM2E_LCMXO2_640HC_tcl.html
    @@ -6,12 +6,39 @@
     -->
     
     
    -
    pn230921045933
    -#Start recording tcl command: 9/21/2023 04:58:25
    -#Project Location: //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC; Project name: RAM2E_LCMXO2_640HC
    -prj_project open "//Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/RAM2E_LCMXO2_640HC.ldf"
    +
    pn231205230924
    +#Start recording tcl command: 12/5/2023 14:55:22
    +#Project Location: //Mac/iCloud/Repos/ram2e/CPLD/LCMXO2-640HC; Project name: RAM2E_LCMXO2_640HC
    +prj_project open "//Mac/iCloud/Repos/ram2e/CPLD/LCMXO2-640HC/RAM2E_LCMXO2_640HC.ldf"
    +prj_run Export -impl impl1
    +prj_run Export -impl impl1
    +prj_project save
    +prj_project close
    +#Stop recording: 12/5/2023 23:09:24
    +
    +
    +
    +pn231226232445
    +#Start recording tcl command: 12/26/2023 18:23:53
    +#Project Location: //Mac/iCloud/Repos/ram2e/CPLD/LCMXO2-640HC; Project name: RAM2E_LCMXO2_640HC
    +prj_project open "//Mac/iCloud/Repos/ram2e/CPLD/LCMXO2-640HC/RAM2E_LCMXO2_640HC.ldf"
    +prj_run Export -impl impl1
    +prj_run Export -impl impl1
    +prj_run Export -impl impl1
    +prj_run Export -impl impl1
    +prj_run Export -impl impl1 -task IBIS
    +prj_run Export -impl impl1
    +prj_run Export -impl impl1
    +prj_run Export -impl impl1
     prj_run Export -impl impl1 -forceAll
    -#Stop recording: 9/21/2023 04:59:33
    +prj_run Export -impl impl1 -forceAll
    +prj_run Export -impl impl1 -forceAll
    +prj_run Export -impl impl1 -forceAll
    +prj_run Export -impl impl1
    +prj_run Export -impl impl1 -forceAll
    +prj_run Export -impl impl1 -forceAll
    +prj_src remove "//Mac/iCloud/Repos/ram2e/CPLD/RAM2E-LCMXO2.v"
    +#Stop recording: 12/26/2023 23:24:45
     
     
     
    diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.alt b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.alt
    index feef941..c423c9a 100644
    --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.alt
    +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.alt
    @@ -1,14 +1,12 @@
     NOTE Copyright (C), 1992-2010, Lattice Semiconductor Corporation *
     NOTE All Rights Reserved *
    -NOTE DATE CREATED: Thu Sep 21 05:35:24 2023 *
    +NOTE DATE CREATED: Thu Dec 28 23:10:32 2023 *
     NOTE DESIGN NAME: RAM2E *
     NOTE DEVICE NAME: LCMXO2-640HC-4TQFP100 *
     NOTE PIN ASSIGNMENTS *
     NOTE PINS RD[0] : 36 : inout *
     NOTE PINS LED : 35 : out *
     NOTE PINS C14M : 62 : in *
    -NOTE PINS DQMH : 49 : out *
    -NOTE PINS DQML : 48 : out *
     NOTE PINS RD[7] : 43 : inout *
     NOTE PINS RD[6] : 42 : inout *
     NOTE PINS RD[5] : 41 : inout *
    @@ -16,25 +14,27 @@ NOTE PINS RD[4] : 40 : inout *
     NOTE PINS RD[3] : 39 : inout *
     NOTE PINS RD[2] : 38 : inout *
     NOTE PINS RD[1] : 37 : inout *
    -NOTE PINS RA[11] : 59 : out *
    -NOTE PINS RA[10] : 64 : out *
    -NOTE PINS RA[9] : 63 : out *
    -NOTE PINS RA[8] : 65 : out *
    -NOTE PINS RA[7] : 67 : out *
    -NOTE PINS RA[6] : 69 : out *
    -NOTE PINS RA[5] : 71 : out *
    -NOTE PINS RA[4] : 75 : out *
    -NOTE PINS RA[3] : 74 : out *
    -NOTE PINS RA[2] : 70 : out *
    -NOTE PINS RA[1] : 68 : out *
    -NOTE PINS RA[0] : 66 : out *
    +NOTE PINS DQMH : 49 : out *
    +NOTE PINS DQML : 48 : out *
    +NOTE PINS RAout[11] : 59 : out *
    +NOTE PINS RAout[10] : 64 : out *
    +NOTE PINS RAout[9] : 63 : out *
    +NOTE PINS RAout[8] : 65 : out *
    +NOTE PINS RAout[7] : 67 : out *
    +NOTE PINS RAout[6] : 69 : out *
    +NOTE PINS RAout[5] : 71 : out *
    +NOTE PINS RAout[4] : 75 : out *
    +NOTE PINS RAout[3] : 74 : out *
    +NOTE PINS RAout[2] : 70 : out *
    +NOTE PINS RAout[1] : 68 : out *
    +NOTE PINS RAout[0] : 66 : out *
     NOTE PINS BA[1] : 60 : out *
     NOTE PINS BA[0] : 58 : out *
    -NOTE PINS nRWE : 51 : out *
    -NOTE PINS nCAS : 52 : out *
    -NOTE PINS nRAS : 54 : out *
    -NOTE PINS nCS : 57 : out *
    -NOTE PINS CKE : 53 : out *
    +NOTE PINS nRWEout : 51 : out *
    +NOTE PINS nCASout : 52 : out *
    +NOTE PINS nRASout : 54 : out *
    +NOTE PINS nCSout : 57 : out *
    +NOTE PINS CKEout : 53 : out *
     NOTE PINS nVOE : 10 : out *
     NOTE PINS Vout[7] : 12 : out *
     NOTE PINS Vout[6] : 14 : out *
    @@ -71,7 +71,6 @@ NOTE PINS Ain[1] : 2 : in *
     NOTE PINS Ain[0] : 3 : in *
     NOTE PINS nC07X : 34 : in *
     NOTE PINS nEN80 : 82 : in *
    -NOTE PINS nWE80 : 83 : in *
     NOTE PINS nWE : 29 : in *
     NOTE PINS PHI1 : 85 : in *
     NOTE CONFIGURATION MODE: NONE *
    diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.areasrr b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.areasrr
    index 9749d2a..545fb4f 100644
    --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.areasrr
    +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.areasrr
    @@ -1,41 +1,61 @@
     ----------------------------------------------------------------------
     Report for cell RAM2E.verilog
     
    -Register bits: 111 of 640 (17%)
    +Register bits: 122 of 640 (19%)
     PIC Latch:       0
    -I/O cells:       70
    +I/O cells:       69
                                               Cell usage:
                                    cell       count    Res Usage(%)
                                      BB        8       100.0
                                   CCU2D        9       100.0
                                     EFB        1       100.0
    -                            FD1P3AX       48       100.0
    +                            FD1P3AX       61       100.0
                                 FD1P3IX        1       100.0
    -                            FD1S3AX       22       100.0
    -                            FD1S3IX        4       100.0
    +                            FD1S3AX       21       100.0
    +                            FD1S3AY        4       100.0
    +                            FD1S3IX        6       100.0
                                     GSR        1       100.0
    -                                 IB       22       100.0
    +                                 IB       21       100.0
                                IFS1P3DX        1       100.0
                                     INV        1       100.0
                                      OB       40       100.0
    -                           OFS1P3BX        6       100.0
    -                           OFS1P3DX       27       100.0
    +                           OFS1P3BX        5       100.0
    +                           OFS1P3DX       21       100.0
                                OFS1P3IX        2       100.0
    -                           ORCALUT4      221       100.0
    +                           ORCALUT4      277       100.0
    +                              PFUMX        3       100.0
                                     PUR        1       100.0
    -                                VHI        2       100.0
    -                                VLO        2       100.0
    +                                VHI        3       100.0
    +                                VLO        3       100.0
     SUB MODULES 
    +                          RAM2E_UFM        1       100.0
                                    REFB        1       100.0
                                 
    -                         TOTAL           420           
    +                         TOTAL           492           
     ----------------------------------------------------------------------
    -Report for cell REFB.netlist
    -     Instance path:  ufmefb
    +Report for cell RAM2E_UFM.netlist
    +     Instance path:  ram2e_ufm
                                               Cell usage:
                                    cell       count    Res Usage(%)
                                     EFB        1       100.0
    -                                VHI        1        50.0
    -                                VLO        1        50.0
    +                            FD1P3AX       30        49.2
    +                            FD1P3IX        1       100.0
    +                            FD1S3IX        1        16.7
    +                           ORCALUT4      272        98.2
    +                              PFUMX        3       100.0
    +                                VHI        2        66.7
    +                                VLO        2        66.7
    +SUB MODULES 
    +                               REFB        1       100.0
    +                            
    +                         TOTAL           313           
    +----------------------------------------------------------------------
    +Report for cell REFB.netlist
    +     Instance path:  ram2e_ufm.ufmefb
    +                                          Cell usage:
    +                               cell       count    Res Usage(%)
    +                                EFB        1       100.0
    +                                VHI        1        33.3
    +                                VLO        1        33.3
                                 
                              TOTAL             3           
    diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.bgn b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.bgn
    index 0abdd18..d9a4ab2 100644
    --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.bgn
    +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.bgn
    @@ -4,10 +4,10 @@ Copyright (c) 1995 AT&T Corp.   All rights reserved.
     Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
     Copyright (c) 2001 Agere Systems   All rights reserved.
     Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    -Thu Sep 21 05:35:20 2023
    +Thu Dec 28 23:10:28 2023
     
     
    -Command: bitgen -g RamCfg:Reset -path //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC -w -jedec -gui -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/promote.xml RAM2E_LCMXO2_640HC_impl1.ncd RAM2E_LCMXO2_640HC_impl1.prf 
    +Command: bitgen -g RamCfg:Reset -path //Mac/iCloud/Repos/ram2e/CPLD/LCMXO2-640HC -w -jedec -gui -msgset //Mac/iCloud/Repos/ram2e/CPLD/LCMXO2-640HC/promote.xml RAM2E_LCMXO2_640HC_impl1.ncd RAM2E_LCMXO2_640HC_impl1.prf 
     
     Loading design for application Bitgen from file RAM2E_LCMXO2_640HC_impl1.ncd.
     Design name: RAM2E
    diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.bit b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.bit
    index ae6963e60624f98a32c606a765eab4ef16b528c7..bdb8c2844bf33a48f141e35ff0400dced8e8d91c 100644
    GIT binary patch
    literal 6942
    zcmaJ`3tUr2)}MR1Kmrl-00cz633&h&OhQyZ)IdTA5Z;uc^^x$V^#K@L=~}tO@DynX
    z4}+qDBBJ$c@$tFV+8PisR9ZpXUESIml&am@QrB*^^^tFG2yXY=-}fDUnRDix|D3sZ
    zX3m*AgAJ%OT5WM|KAw@kzBqT|hP=(W+KpwnY-3r;#xjkzc;f~aDt0M
    zBNhUA{-)x>4fqDl`us>dJvvDoyH+Jj%1#llT_h1IWowJqm#hWLLl3?4oczS;r2(4#6=Op2(b{P=WFtEG`Vk1y=_WC0m#VD-CS0z
    zE&rG1U+*YOmqp^jEfMksPaPXIm
    zf#Lw66S9{ID4)jCEPUo>r?nlTm{bpe-51v}%fDf2j6LQFQ{fC%~cd0tn3v
    zI)LKeh8xZesDh5hPo{kIEx9sOlbFDs?O7g0Y8c54#iR|FIu@$3Q*~y
    zso}}y3;0rgZLFB>8#LDq(-)Zm^&k*P?F|V%{OhpDCxYZuWtRX4fT_aNq>0jv!cI|v
    z5bFe>5PBymehUMbEI)kP64
    zX6WB!#z`FZP>F)L`GzMkR3^SUpfWGKnUyqOl~4kQys()dA)Y;Y1W*F}Up^nS$|;6sp3J^%
    zQQTPKmT?t$ea`VYe4kPpBV|GVWG8^PdsR;vj%vlmi&X;lQ2gN%A@h1o3ouldfGFqz
    z(vV<0+;=QIvq?T){A!mlU3tR4ZAHn7GqHh>JlqWd{dpY#XsmW9Qs>SJ&{CUfzjg|;
    zoZw#d%VaI1)nC7D>E5N*Da~*e3n_*3A=Jqbw@lsSS9FtBeB_mn4fxqm9d*chQQa8S
    z?HjDN`+@`Hrj2KgC|eXU_4AdxLtlx<7++}83E(n!nG-jG1ksN+BALnFE=QQ<-!-|l
    zm#PxEjVns$*RQxbyokrO2z%jz2Lh^@#4--4cIK#~7xh!UW#NsP!pjYLdVw#wUU?kS
    z4ic-AT=AX4oo>b1g?Qe=TYXAPnSZ>$%1hEDYnAQvf)J6e5GSEjB`WXr*QM$MSO+$-
    zDCmkpsGJ(mg=;F~o^Pyp@sHPS&$}PnCVA&=UyePQr~js6e8%jvjYr}>ecbm%{pk9<
    ze|osSAV_DBDDUqVjy!s>_}rC0&3Lrz!k-6X3V
    zg>9DG+qt-M-^PELcyI7;(`DMttoH_S0UmeT$G?&8a1OIN2Q~8;1myw12-Gl@7mzL$
    zc91_NrBtrHBTPy`ikJc3I;25Lk(!{+kr;^49=Pw+7sM{6hpqQ!%)sl*b#TNQ3Y({L
    zuY{+gnv;#oC=!n3BpTQcU(jVPOb+!W&<7?Rk~UCd=pUf@$CIgd$e!%Efuaf;l=QL>
    zA+&4we*Hd4yuvpqj5f?S4dap6e_vdTqtodD0W_Lwk7o~e^wMl6S@-RPwC~fI6N_&-
    z^;z=c#+ui?j^&km`vVXFGX?5<8Frxmb8Xv-QKcb2eJHU&#tc8Rz-_gS(m_G7ZhXEmG1haP}=_(qE<%2Bw#Oma{jibZE3>
    z^>*%rAD2;T91dzo#)~-X6Pk6FQ$c1JFfif)ND7R@t|kc>p+Rd7aR+?)>a(=l+%WTP
    zhOzHxcMnClhJYY}frPH(I`y8{)t6_t48HkfW$RVNK;N+SFHNx?7y1fZ=?U3wCEmc1
    zZZf<*y*hm|fAq*K`NhkR7Uq^aW;wpzWm|PtD`nAc7-vFqjsWJxHf-J1zWvo)Bvd#A(eSn$ZJpjlx
    z0|}G096P$DuvP1qwJ=$fxsuEJOp`2)rCl2;^ZcCiY|*P}mwD1sDxmob^1=T0`@fvlmd>s}f=l!KDd!ZUl799Fm?^rZZW2JI0keX9T5N+Uxtrd}kcz
    zv&c7D-QbHu5U59Ed^^L}&N9%~c`2ept?l&|S`)2KGB>&|!(%(#9Vqr>G-KX$tJ
    z?b%7&*;(xiJ)?ZFnBjsF{3v>_zpY?5T|p@%Ai)R9b2)=M1`1
    zvXa$h;WCzSNkg*oCzyi-Q)vO>_%3el^g1(!ke%3xj)f@@I=YEzqAK9RI0coI0$5;p
    ziclG9iZOMVOv&6as%0#Bssa3RH1NHT39PyG%;R`%E|)`(4TmNahmQ?oz{Ra8%2{8g
    zONC(%wd526_85nfOV{}}xl5@`eHr26LfV)FK$iCVP;;n*oh^K96R9JKg;FLw2$%}>
    z?#`uJ@)Hs6ECehl4-UfpS875g
    z9$L%t8fXo1{3`53JS}xyQ(u(H`dQi`;kP8xPHp~T#$wcvRK@Er^VCH+pG>N(EwuD-
    z+SD*p*a>k93~m_8{gF1)Z+k7Cz~AlLJdyQ7#>l{fJ7?W-`M9$$Z*)B!`j#4SAmiHDLrW^dTum%wQsWhHmF<-Gb7M3W;<62g7TSBq7_4^g@C
    zVjiQr*=_eJ+M7I4>`9l96PVhLfyIvc6FI{fMd`!MvXy~drndO5-dxd_@m0z=uM%f`
    z8kOjwUNF&Zlsx0_#CmUVUA+1NVhCRUJF?39;XwkT?6cq$(@M=76D4ow^^}iBzY}?g
    z*||EhJR|6nE*VZ@;18gY_mWgz|6pDD3*P9rM}Cerl*BjZmuK;U^ZdM`XqGee4c?BW
    z4s>eH=@3G?qjI!V$UBs?36d2)v6m`sG
    zV;1&^z(`>q%J7Ue9NZqXue>(A<+7jWU70Ixw7mJ3UCYrfg^;>C@<9(Omf
    z%3A{W#)U2P41DCd@$buB+ixxV%+mv(2FEhf&R=TMoJf;z{5ECcktkx`4^QH6eLe5_
    zb&y(dh({9~;@>b!2MbAHtx-4&6rPT~?
    zsjg6H&Oa2L`=`scWj{~#f;@kB@~J6rsne6a3e~}|-MXEhuKS{Q)3Snf3w}ymvi#}z
    z!S+3mzxnprgPW<2$8vIN8lbZbEJL%wpS>aiT~0sNK{#xH&){JLQaU_H=wSd8z;RrO
    zJBBQYF@3bPzbT1A(Lqm6ZrvcI&z=%p8N%Jlf>=rEK~!3`7>Cgc*P{O*i*OuR{wrM(
    zT+}oI{AO5TFD@}GU|);~;2U`EcZa2J8BMTztP^fru%X`^7kDf@2!x}r2g3TmDRvFv
    z_$E5Z+@OQDHzKOhBukPuKrbA)ig-46hxnm(9H08Jkp128iH$=lN#S6HG~
    z4xpL(oa=mz9vYpcpwP#R!?OhiB>9!kaFz4-`|Pc>G(Nu?7JsMky)#J%SSvh5QZi$$
    zB|GoxK%px{(k*((&{sFp&Z;I(@5*Ie3Jprwngd~AMieYy{B3FT5-V}tTkQBxWp%lDE|yEJjJg_96{*_&%l#u`J-m20q^S1J%&7xQ)7I=<
    zud=8%?M>|l-<<%tsUW!e#fM9IklrO=bSU)5Q2{1=tC>%-I&48b0G
    z-l%%B=R^iU0+ykfX2@LGQ6~&vaDrQPU%lp3=Qy;M7eZjZIwsu?wAOc`dE!~^|>^$=Y)`e
    z2}(+>Geby@veQ%)m7?B#L)d-ouFo##0iU3Vr7vc(qbw5uUKz;u{R~k6iMuj|s-xFSV~0{Af{(Obpy_tnL2obl`K_
    zPbn3&_ntrP-bM@lXn7wAB?);k?@GbJ$7xa8x6=dPbBceOm-M1;$yuLmb)kiOhi*^p
    zKdVUO%%`ix?p2Krvj;q
    zo0r*ZzzaHaV!IJAyvge#?1=8~%JtQ10Yeos^-(f)`+xxFvzf;Yt^tBNzIRb~K~g^|
    zSDVe48Ku=OAbW*w0J7DmdyrF}-}uT7u`=I}AIKZ+J_GeY0%yAxuGBXQJG}A|t-g9+
    zdcN?mhtg+`6pq0~dmuY=Gb*c7iZD~~pgEw~IZ1?tp%G-`3+6C_l88!X|e@AeO74xq$NEYB{we^Q
    z4dB)-wL%6EY;wxH_BJdZ2|IdF
    zc((fekB8$P&2^QxR5Xpr_y27n39u7l+eWjUM?*gfq5SjNyY0657bbVje35gYuH2@5
    z`rR}N#_$H(p5?Civ}JB};&j
    zH;s0p86ZP&SU|F$_~G3r5{S?`VV!h9#y~TaP2{IHxn)AqSmAaGn;8kT7`PKqLxH~-
    z_yefK%utwG04jwQ#|&HpKNL~gjTr3Fe{*5JD{;n1r7iT2Hcz>ad5yRIrIe6Kr&z=wC{-cG#w$B(!!af
    zw-fdR8AUi$xSiJ~3Aqjh$q>_Y#V2rDn&tvuf)tY#%V0_Z41!9~17Q74K)D7-9th$Z
    zA_!P_sl6)z*XIyGff(Vw0f9USLxd;}udyNZ%L%}J3toT8tpJPx>g^JO(hT4hWJNoH
    zr-87qVG{WN5gU3W$DAB9c;f+k&4cMqY}ZcRF4>LK1)fp_5-G@h9Nx@LF=$~t0H_)f
    z!bL&mSUY+BoC;TpJ$R-R0DpOcn^HKxLo+WJ!e49yv+mF<$gb*Dg#$y`3=8rKlesHWXIw-6m@IIgCJ`<@#C+
    ur>&4H{viK{sDm%_N2(_4a0*JL8*9k_zmPOQOd)~~bD$uFzcuhjTK)$tv%2d5
    
    literal 6447
    zcmaJl30PBSw&z~1aAQ$Jf;J%9n~)H&V3QCrP}GEkCCCn1?bvC;B4AM?VryUf5LJzb-EybftMk#bpI~
    zWt&Qob@O^vo8omWWX2WF}-Nk!CQLA!AO_CS00@Q7IY4f|$q!G0G@L
    zrBFpe*7{d)N-E_7(62ASmPVs-PdSEBMk}IK3dkxj=jWR9Uh=Fqef4U{F38(dT3q((
    zW6a|<>a+AQjAFB1r;h@c%XRXo%k?Y(T*Hrex=~MJouTk^gic9vNwBTO57}G^SRcCr
    zUt;yY!4FZj0F-u|B*3~6`z+QIKszQ{|Fb4d0QALLmcu{c;7YI(v>(5szgo?aO`3!W$nmujAI}f9F8_f8`deP_6ZzU*HUY=
    zkNXCi1*W1#{HAUa$7izu+G?4;Nz8m8G;(;7$4g=-aXbf5*|imueRpGuvcGLN!!-%~
    zXe|~ps)u5+=CNFt!4|R2x=~)Aggu}gbRi-%05gFYNJh*!2!QVd0XxwJ2;dVGkQu2;
    zdIBf5lNNyB`icH{T^N9?_JEXs9Mb$)em4ke{3qB#rVC70aU!+At9zZDzyihCp;{3_
    zS#IS#M$#sL3Q;hmhvHN{Xu2C`)zY#vTJ|(mto1T>O=zew)tGwUZ&@9$ikl-Mm=P|RO+a&NdmGs
    zyM5l^mjC7H`NOR2EDvup;
    z)3>8LU(V(B~u`dwQK&_)*bI$JtC
    zutSw}%=-Bk-dWfDBCa$!4;+{$-j`tD%p7=HVG33=6Qs$~rMz%D>ARwod2(Pf*dI?T>ay$OSuXw9dr$pbQ+{0khWW?PtzNhJ
    zuZ>7jWY@Kv-sHe3pyENK&cXZ@ylVEAm
    zw5cYP2M{Gu@7AqjHe@R+=O}|ZH#DUNvA}~U>Y|%G)zK9n9bDU%(o(;>IkdN58v>+B
    zp5vwgwcsr>$V%OC@O|@>K{^pq#5#run+HjaWHc!;pGpOfom&Y5axXfwE^k
    zD71+`>!^38vFXofPj0guE#ZIRTiQ6VZ-W99qw{e@v`%tmchZ&n@lnE}Hvg?Hm!x4W
    z={=fl(Oxy@W!0T#mD>ZBlZ;-Y*Fa@%bY78ou|g7Oeu0eZvS|W0)M~Z`f817MnTqq{
    zV9I=PxN2FA@9KcsgDFd^15O{HURO4W_xtW!9#)M(IhMr~0dE2FU&@U4E2@{aAE+#v
    z@<6)kUD>j;%cRl|;s>%+c7xV?I_l!0sMxTpz!@alY|)k7-XJ(UsCK?)((XkA#8@Ck
    z-(vt$rFYNviEI3-R*IZ9`mg*CbrnwW)whmFi@H&P8-$5gd(ed&JIt|*C|j?pWS)9}
    zvBkwZ@e8Mp%5F*rEmd4E6L#^mKLA~^CqB!=wc>fEZRR)#}2Il;|
    z_Pr#BAIP5uA*;nGL=aC$*>|;%7}IF$8{)dS{9_rVdAhA#^%$lOf%~?Ya@T41m5cb!
    z;cXWCfwpDSu5TZdR^BoJtdvBe9SW6Nv=m28(T^8CBw}M(T|mX3r}w@hUi3Tb-+h>-
    zPIERJ;#TB!0$ue~`LlQZ6=S{k#(rG#@>BOCehWFj_{PSVr^-LMId<{#)+b!EPknv)
    z2ULqdwG9Ad>J00Dj$3l#ob8pdo^z2yyT949xazQN^ta%*9@c1`grT@|QbM-!*DbLtnbCn(6+r+vB
    za8}cvdwtOt?=Sf%piS{8s4w!4_~FNcAOCzf=xog?{k=yk${)^n+-g{g)LMF?q0r?EE)tLOO^ze?={3L|j!pi95)vspY*N#`@VNwBXo46GP5`WL*ivik72ABe=CGcK1eG2Rm0Z
    ziR*$>RhRDDoBS?^XJ1wPU5gxTlnF~h{kHU@)MR5cZ%dLf<3O{<)bIz##iDit(mX&M
    z2u`N|BS=;~zdAg-DWtjV2!jNI@1`n?2Y+}cZiKFC%zlP{NpjY-mnyZW4&?uhO9jSx
    z_>G-=)GOw!^j$>h<8ISG9xf`(H##^SZWS23qUF3Y+h9Zl9jEdyEv54>?z8dgCQk;;
    zit5*=Pb*RB{qHNO;n9l;tu1FX1CyQvWY?&&_yKQtFr;^JXid=%pLY$)Q&U>AmIo}~
    z^mzMdLx{51@7*c
    z9J?$2Ng1Zt<9k6+Zx`A>|7fl-UUk~PtttG*p$`@(h2+<`&&-qkq$IQqXjd8b6&y`J
    z7x$)U@U6hK_#SS4Zt#wbj^x#;Gm4s=(%ma1yWdSN9@@$qVdfonuYu#?O=rc|nBhZO
    zQMN(O3*P2?Tk6T1I3juOOyVc!pIvxL?=|P^#Wx=;95ZPC)u-jR8=3bPmXB~8ZlHFr
    z))a0!{7t82!}&+Xit7IIS6&PWc$D}>ru^RiPk)xab=>2d95dPQ$-I**i$;=V_ng<3
    z+?jsDcPMY{*R5mv>0{q)_Nc?s>vD(pC+DMEX8&68Yu|&hi{+QgH05`qT#rKUf|TjZd(KpqE~<)3j_{8G^H|v(*p-_jJPhm
    zDTE%8ur3g!Y7EUes3OE(X~EQ-%-~4kX2o5K|B8SUWWZ6RWV
    z>rp?5R+)hAuSIM}iej|TX2bo}wjjAgm^C$(&$}Av>j2ne?#5dhvD`)zKseaO--)=C
    zVjaZMZabBLRa2|jTLix?3f$byGCn;Cr?A6$ibi_2rH&~`;^`JiFdv>
    zOMF$vbXUHbf1Z_qw#9U5bD@ppu3I2AQg301I!!1{+Oz7N%#?(Wam;R(lgGU&{dS;p
    znPyr=d#@(`l2F&xy-JJLSIE{Pk!&OwegawQd${OV`dC}wzCLjS4^ty~8eYAgKt*UI
    z&I10K*^T+N*S8n#S*6mOt_EXZtC=ptwfExYJ7|dlZO@9|0c0V1w*jt~{h4pq#PB=fgAXy&9kQksL={Sf%lG^NOWqfL*4T}F&-gL6a*hJerK=p
    zk^)H5tK4Mn+WNk6%QV*vMf;s4n>Q_fv?eq&uFk*Q#4XmtLe&L3E@U>G_WA1Jpy7<`
    zp6&9T?;ig1!IF<~78BrgrsH1Q4xiOetm_=OabL9W(U9dIM{YWhJic>tx76PJ=PN(l
    z+<#1WPyhZ8cec2yBF}xb|C{NB+6f&1Y@4t#P)tOVOZbR%{FH)+&Oxxyi0LOL4IoW}
    zbXC9sHx_Rms(sgN32q(QaE@akX>#MqrkOTj8uwQOW)A5z@}e--gAeQH5vpA7v@4Yg
    znbpo={a9ZUmC?*%lhkq#U3ZA%v6KVi0{jQJi3xlH=Jd^QyA(3vl})!%)=HBAh*f>*
    z`e$|A4T3nVobtiWFY~cpGbw2P>`DeE1}_?8U$^
    zRa&Jb0n_e67I3-lqS2_{)_4&WQ6O*R)B#oHLmtl<`s8j=Vfvis=P2DLzZeyAK$)jDueeTY
    zwly;7;nB_&Fs@B2NsAk5bFTbjicGY<7BhAJrCK074h&``U#HM3cB*@Et0^wEeUb$Z
    z+MY2=jsFyTE7kSQuWC6j3U?1jfuD^wR_1GWN}^eeL928oxvYefAo;<}rQks6Ny-}e
    znMhGJbN8#XN@~IgWDAku`=s@x4~R;&{bFb8mP_S2xOl=C?SAgJtm$gfy*
    zdscILy+vvpu`jy!(i9j5s=03Q&f9Uhq
    zI!;`-e7E@524&(e9GQDAx*^eA99=PRmG--YUDM0p?aQGRMR;=@%s{8X4i7IHbvrh^
    zDnWop8qjjaJ0qZFa5Z?xDOs82yLtk
    z_m;F^0zub{Calq|U_5soZ$GZYI0IY+t;HXJ_rK^+;@EPfy_?Qr2(uP%UEy>
    z1XAkY$HzL{ZJ6?ruR~FiJL88dL{%QYdxzlGzV
    zt^kfjDL;+*ak~xzF1&$pH9P`G24iSNLC{
    ghU??`Y|t)W!Tpfuz{z?z=5osV0_x=5q5uE@
    
    diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.edi b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.edi
    index a5617d9..f5e583a 100644
    --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.edi
    +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.edi
    @@ -4,7 +4,7 @@
       (keywordMap (keywordLevel 0))
       (status
         (written
    -      (timeStamp 2023 9 21 5 34 43)
    +      (timeStamp 2023 12 28 23 9 53)
           (author "Synopsys, Inc.")
           (program "Synplify Pro" (version "R-2021.03L-SP1, mapper map202103lat, Build 070R"))
          )
    @@ -70,6 +70,15 @@
              )
            )
         )
    +    (cell FD1S3AY (cellType GENERIC)
    +       (view PRIM (viewType NETLIST)
    +         (interface
    +           (port D (direction INPUT))
    +           (port CK (direction INPUT))
    +           (port Q (direction OUTPUT))
    +         )
    +       )
    +    )
         (cell FD1S3AX (cellType GENERIC)
            (view PRIM (viewType NETLIST)
              (interface
    @@ -155,6 +164,16 @@
              )
            )
         )
    +    (cell PFUMX (cellType GENERIC)
    +       (view PRIM (viewType NETLIST)
    +         (interface
    +           (port ALUT (direction INPUT))
    +           (port BLUT (direction INPUT))
    +           (port C0 (direction INPUT))
    +           (port Z (direction OUTPUT))
    +         )
    +       )
    +    )
         (cell GSR (cellType GENERIC)
            (view PRIM (viewType NETLIST)
              (interface
    @@ -726,248 +745,184 @@
             (property orig_inst_of (string "REFB"))
            )
         )
    -    (cell RAM2E (cellType GENERIC)
    -       (view verilog (viewType NETLIST)
    +    (cell RAM2E_UFM (cellType GENERIC)
    +       (view netlist (viewType NETLIST)
              (interface
    -           (port C14M (direction INPUT))
    -           (port PHI1 (direction INPUT))
    -           (port LED (direction OUTPUT))
    -           (port nWE (direction INPUT))
    -           (port nWE80 (direction INPUT))
    -           (port nEN80 (direction INPUT))
    -           (port nC07X (direction INPUT))
    -           (port (array (rename ain "Ain[7:0]") 8) (direction INPUT))
    -           (port (array (rename din "Din[7:0]") 8) (direction INPUT))
    -           (port (array (rename dout "Dout[7:0]") 8) (direction OUTPUT))
    -           (port nDOE (direction OUTPUT))
    -           (port (array (rename vout "Vout[7:0]") 8) (direction OUTPUT))
    -           (port nVOE (direction OUTPUT))
    -           (port CKE (direction OUTPUT))
    -           (port nCS (direction OUTPUT))
    -           (port nRAS (direction OUTPUT))
    -           (port nCAS (direction OUTPUT))
    -           (port nRWE (direction OUTPUT))
    -           (port (array (rename ba "BA[1:0]") 2) (direction OUTPUT))
    -           (port (array (rename ra "RA[11:0]") 12) (direction OUTPUT))
    -           (port (array (rename rd "RD[7:0]") 8) (direction INOUT))
    -           (port DQML (direction OUTPUT))
    -           (port DQMH (direction OUTPUT))
    +           (port (array (rename ra_35 "RA_35[11:0]") 12) (direction OUTPUT))
    +           (port (array (rename ain_c "Ain_c[7:0]") 8) (direction INPUT))
    +           (port CmdTout_3_0 (direction OUTPUT))
    +           (port (array (rename rwbank "RWBank[7:0]") 8) (direction INPUT))
    +           (port (array (rename fs "FS[15:0]") 16) (direction INPUT))
    +           (port (array (rename ra "RA[11:0]") 12) (direction INPUT))
    +           (port (array (rename rc_3 "RC_3[2:1]") 2) (direction OUTPUT))
    +           (port (array (rename rwbank_3 "RWBank_3[7:0]") 8) (direction OUTPUT))
    +           (port (array (rename din_c "Din_c[7:0]") 8) (direction INPUT))
    +           (port S_s_0_0_0 (direction OUTPUT))
    +           (port (array (rename ba_4 "BA_4[1:0]") 2) (direction OUTPUT))
    +           (port (array (rename cs "CS[2:0]") 3) (direction INPUT))
    +           (port (array (rename cmdtout "CmdTout[2:1]") 2) (direction INPUT))
    +           (port (array (rename rc "RC[2:1]") 2) (direction INPUT))
    +           (port (array (rename s "S[3:0]") 4) (direction INPUT))
    +           (port N_359_i (direction OUTPUT))
    +           (port CmdRWMaskSet_3 (direction OUTPUT))
    +           (port CmdLEDSet_3 (direction OUTPUT))
    +           (port N_667 (direction OUTPUT))
    +           (port N_666 (direction OUTPUT))
    +           (port N_665 (direction OUTPUT))
    +           (port N_664 (direction OUTPUT))
    +           (port N_663 (direction OUTPUT))
    +           (port N_662 (direction OUTPUT))
    +           (port N_648 (direction OUTPUT))
    +           (port CmdSetRWBankFFLED (direction INPUT))
    +           (port CmdLEDGet (direction INPUT))
    +           (port Vout3 (direction OUTPUT))
    +           (port un9_VOEEN_0_a2_0_a3_0_a3_1z (direction OUTPUT))
    +           (port N_263_i_1z (direction OUTPUT))
    +           (port N_508 (direction OUTPUT))
    +           (port RWSel_2 (direction OUTPUT))
    +           (port nC07X_c (direction INPUT))
    +           (port RDOE_i_1z (direction OUTPUT))
    +           (port LED_c (direction OUTPUT))
    +           (port Ready (direction INPUT))
    +           (port nDOE_c (direction OUTPUT))
    +           (port DOEEN (direction INPUT))
    +           (port nEN80_c (direction INPUT))
    +           (port N_360_i_1z (direction OUTPUT))
    +           (port N_368_i_1z (direction OUTPUT))
    +           (port N_507_i_1z (direction OUTPUT))
    +           (port un2_S_2_i_0_0_o3_RNIHFHN3_1z (direction OUTPUT))
    +           (port CmdLEDGet_3 (direction OUTPUT))
    +           (port N_126 (direction OUTPUT))
    +           (port N_362_i (direction OUTPUT))
    +           (port N_369_i_1z (direction OUTPUT))
    +           (port Ready3 (direction OUTPUT))
    +           (port CmdSetRWBankFFLED_4 (direction OUTPUT))
    +           (port N_361_i (direction OUTPUT))
    +           (port N_223 (direction OUTPUT))
    +           (port CmdLEDSet (direction INPUT))
    +           (port CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514_1z (direction OUTPUT))
    +           (port CmdRWMaskSet (direction INPUT))
    +           (port CmdExecMXO2_3_0_a3_0_RNI6S1P8_1z (direction OUTPUT))
    +           (port N_370_i (direction OUTPUT))
    +           (port nWE_c (direction INPUT))
    +           (port N_358_i (direction OUTPUT))
    +           (port un1_CS_0_sqmuxa_i (direction OUTPUT))
    +           (port N_547_i (direction OUTPUT))
    +           (port C14M_c (direction INPUT))
    +           (port CO0_0 (direction INPUT))
    +           (port N_187_i_1z (direction OUTPUT))
    +           (port N_185_i (direction OUTPUT))
    +           (port CKE_7_RNIS77M1_1z (direction OUTPUT))
    +           (port N_372_i (direction OUTPUT))
    +           (port S_1 (direction INPUT))
    +           (port RWSel (direction INPUT))
    +           (port N_201_i_1z (direction OUTPUT))
    +           (port N_225_i_1z (direction OUTPUT))
    +           (port BA_0_sqmuxa (direction OUTPUT))
    +           (port CO0_1 (direction INPUT))
    +           (port RC12 (direction OUTPUT))
    +           (port N_551 (direction OUTPUT))
              )
              (contents
    -          (instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT)))          )
    -          (instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT)))          )
    -          (instance GSR_INST (viewRef PRIM (cellRef GSR (libraryRef LUCENT)))
    -          )
    -          (instance (rename S_RNII9DO1_2_1 "S_RNII9DO1_2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (!C (B A)))"))
    -          )
    -          (instance (rename S_RNII9DO1_0_1 "S_RNII9DO1_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D+(C+(!B+!A)))"))
    -          )
    -          (instance (rename wb_dati_7_0_2_RNO_3 "wb_dati_7_0_2_RNO[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (!C (!B A)))"))
    -          )
    -          (instance DQML_0io_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D (C (!B !A)))"))
    -          )
    -          (instance (rename wb_adr_RNO_3_1 "wb_adr_RNO_3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(C (B !A))"))
    -          )
    -          (instance CKE_6_iv_i_0_1_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C !A+C (!B !A))"))
    -          )
    -          (instance (rename FS_RNIOD6E_1_8 "FS_RNIOD6E_1[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (!C (B !A)))"))
    -          )
    -          (instance (rename wb_adr_RNO_2_1 "wb_adr_RNO_2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C !A+C (!B !A+B A))"))
    -          )
    -          (instance (rename FS_RNI9FGA_1 "FS_RNI9FGA[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(C+(B+A))"))
    -          )
    -          (instance (rename S_RNII9DO1_1 "S_RNII9DO1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +          (instance wb_rst16_i_i_i_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
                (property lut_function (string "(D+(C+(B+A)))"))
               )
    -          (instance (rename un1_RWMask_0_sqmuxa_1_i_0_RNO_0 "un1_RWMask_0_sqmuxa_1_i_0_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D (C (!B A)))"))
    +          (instance (rename wb_dati_7_0_0_0_RNO_7 "wb_dati_7_0_0_0_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!C (B A))+D (B A))"))
               )
    -          (instance (rename wb_dati_7_0_0_RNO_7 "wb_dati_7_0_0_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D (C (B A)))"))
    +          (instance nRAS_s_i_0_a3_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (!B !A))"))
               )
    -          (instance wb_we_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (!C (!B A))+D (!B A))"))
    +          (instance un1_RC12_i_0_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(C+(B+A))"))
               )
    -          (instance wb_reqc_1_RNIRU4M1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (C (B A)))"))
    +          (instance (rename wb_dati_7_0_0_a3_3_4 "wb_dati_7_0_0_a3_3[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D (!C (!B !A)))"))
               )
    -          (instance (rename FS_RNIOD6E_0_8 "FS_RNIOD6E_0[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D (C (B !A)))"))
    -          )
    -          (instance (rename RA_42_0_RNO_10 "RA_42_0_RNO[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (!C (B A))+D (!C (!B A)))"))
    -          )
    -          (instance (rename FS_RNIOD6E_8 "FS_RNIOD6E[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (B A)+D (!C (B A)+C (B !A)))"))
    -          )
    -          (instance (rename S_RNII9DO1_3_1 "S_RNII9DO1_3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (!C (B A)))"))
    -          )
    -          (instance (rename FS_RNI5OOF1_15 "FS_RNI5OOF1[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +          (instance (rename RA_35_2_0_a3_5_10 "RA_35_2_0_a3_5[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
                (property lut_function (string "(!D (!C (!B A)))"))
               )
    -          (instance (rename BA_0io_RNO_0 "BA_0io_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +          (instance (rename un1_LEDEN_0_sqmuxa_1_i_0_0_RNO_0 "un1_LEDEN_0_sqmuxa_1_i_0_0_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (C (B A)))"))
    +          )
    +          (instance (rename wb_dati_7_0_0_0_0_RNO_7 "wb_dati_7_0_0_0_0_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D (C (B !A)))"))
    +          )
    +          (instance (rename S_s_0_0_RNO_0 "S_s_0_0_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (B !A)+C !A)"))
    +          )
    +          (instance CKE_7_m1_0_0_o2_RNI7FOA1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (!B A))"))
    +          )
    +          (instance CKE_7_m1_0_0_o2_RNIGC501 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (!B A))"))
    +          )
    +          (instance (rename RC_3_0_0_1 "RC_3_0_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (!B A+B !A)+C (B !A))"))
    +          )
    +          (instance N_314_i_i_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D+(C+(!B+!A)))"))
    +          )
    +          (instance nRAS_s_i_0_a3_5_RNIH7J73 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
                (property lut_function (string "(!D (!C (B A)))"))
               )
    -          (instance (rename BA_0io_RNO_1 "BA_0io_RNO[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +          (instance (rename wb_dati_7_0_0_a3_8_3 "wb_dati_7_0_0_a3_8[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D (C (B !A)))"))
    +          )
    +          (instance CKE_7_m1_0_0_o2_RNICM8E1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!C (!B !A)))"))
    +          )
    +          (instance (rename S_r_i_0_o2_RNIP4KI1_1 "S_r_i_0_o2_RNIP4KI1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
                (property lut_function (string "(!D (!C (B A)))"))
               )
    -          (instance nCAS_0io_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (!B A)+D (C+(!B A)))"))
    +          (instance nRAS_s_i_0_a3_5_RNIH7J73_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!C (!B A)))"))
               )
    -          (instance wb_we_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (!B+A)+D (!C (!B+A)+C (!B A)))"))
    +          (instance N_225_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!C (!B+!A)))"))
               )
    -          (instance DOEEN_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (B A)+D (!C (B A)+C A))"))
    +          (instance N_201_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!C (B+!A)))"))
    +          )
    +          (instance (rename S_r_i_0_o2_RNIOGTF1_1 "S_r_i_0_o2_RNIOGTF1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D+(!C+(!B+!A)))"))
    +          )
    +          (instance (rename RA_35_0_0_RNO_0 "RA_35_0_0_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D (C (B A)))"))
    +          )
    +          (instance (rename RA_35_2_0_RNO_10 "RA_35_2_0_RNO[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!C (!B !A)))"))
    +          )
    +          (instance (rename S_r_i_0_o2_RNIFNP81_0_2 "S_r_i_0_o2_RNIFNP81_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!C A)+D (!C (!B+A)))"))
               )
               (instance wb_req_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
                (property lut_function (string "(D+(C+(B+A)))"))
               )
    -          (instance (rename FS_RNIK5632_15 "FS_RNIK5632[15]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (!C (B !A)))"))
    +          (instance CmdBitbangMXO2_RNINSM62 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!C B+C (B+A))+D B)"))
               )
    -          (instance DQMH_0io_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D+(!C+(!B+!A)))"))
    +          (instance wb_we_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!C B+C (B+A))+D B)"))
               )
    -          (instance (rename CmdTout_RNO_2 "CmdTout_RNO[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (!C A+C (!B A+B !A)))"))
    -          )
    -          (instance wb_cyc_stb_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (!C (B+A)))"))
    -          )
    -          (instance (rename wb_dati_7_0_a2_5_RNIC22J_4 "wb_dati_7_0_a2_5_RNIC22J[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +          (instance (rename wb_dati_7_0_0_a3_13_RNI81UL_7 "wb_dati_7_0_0_a3_13_RNI81UL[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
                (property lut_function (string "(D (C (B A)))"))
               )
    -          (instance nCS_6_u_i_a2_4_RNI3A062 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(C (!B A))"))
    +          (instance CKE_7_RNIS77M1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!C (!B+A)+C A)+D A)"))
               )
    -          (instance nCS_6_u_i_a2_4_RNICJKD2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D (C (!B !A)))"))
    +          (instance (rename S_r_i_0_o2_RNIBAU51_1 "S_r_i_0_o2_RNIBAU51[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (B A))"))
               )
    -          (instance wb_reqc_1_RNIEO5C1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C (!B !A))"))
    +          (instance N_187_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!B A)"))
               )
    -          (instance Ready_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(B+A)"))
    +          (instance un1_CS_0_sqmuxa_0_0_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
    +          (instance un1_CS_0_sqmuxa_0_0_0_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!C !A+C (B+!A))+D !A)"))
               )
    -          (instance (rename Vout_0__CN "Vout_0_.CN") (viewRef PRIM (cellRef INV (libraryRef LUCENT)))          )
    -          (instance PHI1reg_0io (viewRef PRIM (cellRef IFS1P3DX (libraryRef LUCENT)))
    -           (property IOB (string "FALSE"))
    -          )
    -          (instance nRWE_0io (viewRef PRIM (cellRef OFS1P3BX (libraryRef LUCENT)))
    -           (property IOB (string "FALSE"))
    -          )
    -          (instance nRAS_0io (viewRef PRIM (cellRef OFS1P3BX (libraryRef LUCENT)))
    -           (property IOB (string "FALSE"))
    -          )
    -          (instance nCS_0io (viewRef PRIM (cellRef OFS1P3BX (libraryRef LUCENT)))
    -           (property IOB (string "FALSE"))
    -          )
    -          (instance nCAS_0io (viewRef PRIM (cellRef OFS1P3BX (libraryRef LUCENT)))
    -           (property IOB (string "FALSE"))
    -          )
    -          (instance (rename Vout_0io_0 "Vout_0io[0]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    -           (property IOB (string "FALSE"))
    -          )
    -          (instance (rename Vout_0io_1 "Vout_0io[1]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    -           (property IOB (string "FALSE"))
    -          )
    -          (instance (rename Vout_0io_2 "Vout_0io[2]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    -           (property IOB (string "FALSE"))
    -          )
    -          (instance (rename Vout_0io_3 "Vout_0io[3]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    -           (property IOB (string "FALSE"))
    -          )
    -          (instance (rename Vout_0io_4 "Vout_0io[4]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    -           (property IOB (string "FALSE"))
    -          )
    -          (instance (rename Vout_0io_5 "Vout_0io[5]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    -           (property IOB (string "FALSE"))
    -          )
    -          (instance (rename Vout_0io_6 "Vout_0io[6]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    -           (property IOB (string "FALSE"))
    -          )
    -          (instance (rename Vout_0io_7 "Vout_0io[7]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    -           (property IOB (string "FALSE"))
    -          )
    -          (instance (rename RA_0io_1 "RA_0io[1]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    -           (property IOB (string "FALSE"))
    -          )
    -          (instance (rename RA_0io_2 "RA_0io[2]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    -           (property IOB (string "FALSE"))
    -          )
    -          (instance (rename RA_0io_4 "RA_0io[4]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    -           (property IOB (string "FALSE"))
    -          )
    -          (instance (rename RA_0io_5 "RA_0io[5]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    -           (property IOB (string "FALSE"))
    -          )
    -          (instance (rename RA_0io_6 "RA_0io[6]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    -           (property IOB (string "FALSE"))
    -          )
    -          (instance (rename RA_0io_7 "RA_0io[7]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    -           (property IOB (string "FALSE"))
    -          )
    -          (instance (rename RA_0io_8 "RA_0io[8]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    -           (property IOB (string "FALSE"))
    -          )
    -          (instance (rename RA_0io_9 "RA_0io[9]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    -           (property IOB (string "FALSE"))
    -          )
    -          (instance (rename RA_0io_10 "RA_0io[10]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    -           (property IOB (string "FALSE"))
    -          )
    -          (instance (rename RA_0io_11 "RA_0io[11]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    -           (property IOB (string "FALSE"))
    -          )
    -          (instance (rename Dout_0io_0 "Dout_0io[0]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    -           (property IOB (string "FALSE"))
    -          )
    -          (instance (rename Dout_0io_1 "Dout_0io[1]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    -           (property IOB (string "FALSE"))
    -          )
    -          (instance (rename Dout_0io_2 "Dout_0io[2]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    -           (property IOB (string "FALSE"))
    -          )
    -          (instance (rename Dout_0io_3 "Dout_0io[3]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    -           (property IOB (string "FALSE"))
    -          )
    -          (instance (rename Dout_0io_4 "Dout_0io[4]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    -           (property IOB (string "FALSE"))
    -          )
    -          (instance (rename Dout_0io_5 "Dout_0io[5]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    -           (property IOB (string "FALSE"))
    -          )
    -          (instance (rename Dout_0io_6 "Dout_0io[6]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    -           (property IOB (string "FALSE"))
    -          )
    -          (instance (rename Dout_0io_7 "Dout_0io[7]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    -           (property IOB (string "FALSE"))
    -          )
    -          (instance DQML_0io (viewRef PRIM (cellRef OFS1P3BX (libraryRef LUCENT)))
    -           (property IOB (string "FALSE"))
    -          )
    -          (instance DQMH_0io (viewRef PRIM (cellRef OFS1P3BX (libraryRef LUCENT)))
    -           (property IOB (string "FALSE"))
    -          )
    -          (instance CKE_0io (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    -           (property IOB (string "FALSE"))
    -          )
    -          (instance (rename BA_0io_0 "BA_0io[0]") (viewRef PRIM (cellRef OFS1P3IX (libraryRef LUCENT)))
    -           (property IOB (string "FALSE"))
    -          )
    -          (instance (rename BA_0io_1 "BA_0io[1]") (viewRef PRIM (cellRef OFS1P3IX (libraryRef LUCENT)))
    -           (property IOB (string "FALSE"))
    +          (instance un1_CS_0_sqmuxa_0_0_0_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C+(!B+!A))"))
               )
               (instance wb_we (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
               )
    @@ -1009,18 +964,6 @@
               )
               (instance (rename wb_adr_7 "wb_adr[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
               )
    -          (instance (rename S_0 "S[0]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
    -          )
    -          (instance (rename S_1 "S[1]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
    -          )
    -          (instance (rename S_2 "S[2]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
    -          )
    -          (instance (rename S_3 "S[3]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
    -          )
    -          (instance Ready (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
    -          )
    -          (instance RWSel (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
    -          )
               (instance (rename RWMask_0 "RWMask[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
               )
               (instance (rename RWMask_1 "RWMask[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
    @@ -1037,6 +980,3203 @@
               )
               (instance (rename RWMask_7 "RWMask[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
               )
    +          (instance LEDEN (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
    +          )
    +          (instance CmdSetRWBankFFChip (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
    +          )
    +          (instance CmdExecMXO2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
    +          )
    +          (instance CmdBitbangMXO2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
    +          )
    +          (instance (rename S_r_i_0_o2_RNIVM0LF_1 "S_r_i_0_o2_RNIVM0LF[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (B+A))"))
    +          )
    +          (instance un1_CS_0_sqmuxa_0_0_0_RNIVQNQ2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!C (!B !A)))"))
    +          )
    +          (instance (rename S_r_i_0_o2_RNI3VQTC_1 "S_r_i_0_o2_RNI3VQTC[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D+(C+(B !A)))"))
    +          )
    +          (instance (rename wb_adr_7_i_i_0 "wb_adr_7_i_i[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D+(C+(B A)))"))
    +          )
    +          (instance un1_CS_0_sqmuxa_0_0_a3_1_0_RNIS35S (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (C (!B A))+D (C A))"))
    +          )
    +          (instance nRAS_s_i_0_0_RNI0PC64 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!C (!B !A)))"))
    +          )
    +          (instance nCAS_s_i_0_a3_RNIO1UQ3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!C (!B !A))+D (!B !A))"))
    +          )
    +          (instance (rename wb_dati_7_0_0_5 "wb_dati_7_0_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D+(!C A+C (B+A)))"))
    +          )
    +          (instance (rename wb_dati_7_0_0_2 "wb_dati_7_0_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D+(!C A+C (B+A)))"))
    +          )
    +          (instance (rename wb_dati_7_0_0_0_7 "wb_dati_7_0_0_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D+(C+(B+A)))"))
    +          )
    +          (instance CmdExecMXO2_3_0_a3_0_RNI6S1P8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D+(!C (B !A)+C !A))"))
    +          )
    +          (instance (rename wb_dati_7_0_0_6 "wb_dati_7_0_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D+(!C A+C (B+A)))"))
    +          )
    +          (instance (rename wb_dati_7_0_0_4 "wb_dati_7_0_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D+(C+(B+A)))"))
    +          )
    +          (instance un1_CS_0_sqmuxa_0_0_a3_9_RNI3N8T5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (C !B)+D (!C (!B !A)+C !B))"))
    +          )
    +          (instance un1_CS_0_sqmuxa_0_0_o3_0_RNIA0N95 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D+(C (!B !A)))"))
    +          )
    +          (instance (rename un1_RWMask_0_sqmuxa_1_i_0_0_0 "un1_RWMask_0_sqmuxa_1_i_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!B A)+D (C+(!B A)))"))
    +          )
    +          (instance (rename wb_dati_7_0_0_0_0 "wb_dati_7_0_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))"))
    +          )
    +          (instance (rename wb_dati_7_0_0_1 "wb_dati_7_0_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D+(!C A+C (B+A)))"))
    +          )
    +          (instance CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!C (!B A+B !A)+C B)+D (!C (B !A)+C B))"))
    +          )
    +          (instance (rename wb_dati_7_0_0_0_3 "wb_dati_7_0_0_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D+(!C A+C (B+A)))"))
    +          )
    +          (instance (rename wb_adr_7_i_i_4_0 "wb_adr_7_i_i_4[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D+(C+(B+A)))"))
    +          )
    +          (instance (rename wb_adr_7_i_i_5_0 "wb_adr_7_i_i_5[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D C+D (C+(B !A)))"))
    +          )
    +          (instance un1_CS_0_sqmuxa_0_0_m3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (B+!A)+C (B A))"))
    +          )
    +          (instance un1_CS_0_sqmuxa_0_0_o3_0_RNIN3AF2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D+(C (!B !A)))"))
    +          )
    +          (instance (rename un1_LEDEN_0_sqmuxa_1_i_0_0_0 "un1_LEDEN_0_sqmuxa_1_i_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!B A)+D (C+(!B A)))"))
    +          )
    +          (instance (rename un1_CmdSetRWBankFFChip13_1_i_0_0_0 "un1_CmdSetRWBankFFChip13_1_i_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (C+(B !A))+D (C+!A))"))
    +          )
    +          (instance (rename wb_dati_7_0_0_RNO_0_7 "wb_dati_7_0_0_RNO_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (C B)+D (!C (B A)+C B))"))
    +          )
    +          (instance (rename wb_dati_7_0_0_0_a3_7 "wb_dati_7_0_0_0_a3[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D (C (!B !A+B A)))"))
    +          )
    +          (instance (rename wb_adr_7_i_i_a3_6_0 "wb_adr_7_i_i_a3_6[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(C (!B A))"))
    +          )
    +          (instance (rename RA_35_0_0_0_7 "RA_35_0_0_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(C+(B A))"))
    +          )
    +          (instance (rename RA_35_0_0_0_6 "RA_35_0_0_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(C+(B A))"))
    +          )
    +          (instance (rename RA_35_0_0_4 "RA_35_0_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(C+(B A))"))
    +          )
    +          (instance (rename RA_35_0_0_3 "RA_35_0_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(C+(B A))"))
    +          )
    +          (instance (rename wb_dati_7_0_0_a3_2_4 "wb_dati_7_0_0_a3_2[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D (C (!B !A)))"))
    +          )
    +          (instance CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (C A)+D (!C (!B A)+C A))"))
    +          )
    +          (instance (rename wb_dati_7_0_0_0_0_3 "wb_dati_7_0_0_0_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))"))
    +          )
    +          (instance (rename wb_dati_7_0_0_0_6 "wb_dati_7_0_0_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))"))
    +          )
    +          (instance (rename wb_dati_7_0_0_0_4 "wb_dati_7_0_0_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (B A)+D (C+(B A)))"))
    +          )
    +          (instance CKE_7_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (B A))"))
    +          )
    +          (instance CKE_7 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
    +          (instance wb_cyc_stb_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C A+C (B+A))"))
    +          )
    +          (instance CmdExecMXO2_3_0_a3_0_RNIPG3P2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D+(!C (!B A)))"))
    +          )
    +          (instance (rename un1_CmdSetRWBankFFChip13_1_i_0_0_0_0 "un1_CmdSetRWBankFFChip13_1_i_0_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!C (B A)+C B)+D B)"))
    +          )
    +          (instance nRAS_s_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (C (B !A))+D !A)"))
    +          )
    +          (instance nCAS_s_i_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D (!C (B !A)+C !A))"))
    +          )
    +          (instance (rename wb_dati_7_0_0_a3_4 "wb_dati_7_0_0_a3[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(C (B !A))"))
    +          )
    +          (instance (rename RA_35_i_i_0_1 "RA_35_i_i_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D+(C+(B A)))"))
    +          )
    +          (instance (rename RA_35_0_0_2 "RA_35_0_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D+(C+(B A)))"))
    +          )
    +          (instance un1_CS_0_sqmuxa_0_0_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D (C (B !A)))"))
    +          )
    +          (instance (rename RA_35_0_0_5 "RA_35_0_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D+(C+(B A)))"))
    +          )
    +          (instance (rename RA_35_2_0_10 "RA_35_2_0[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D+(C+(B+A)))"))
    +          )
    +          (instance wb_we_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D+(C (B !A)))"))
    +          )
    +          (instance (rename wb_adr_RNO_1 "wb_adr_RNO[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D+(!C B+C (B+A)))"))
    +          )
    +          (instance un1_CS_0_sqmuxa_0_0_a3_9_RNIJT9D1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D (!C (!B !A)))"))
    +          )
    +          (instance un1_CS_0_sqmuxa_0_0_a3_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!C (B A)))"))
    +          )
    +          (instance (rename RA_35_0_0_0_4 "RA_35_0_0_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (B A)+D (C+(B A)))"))
    +          )
    +          (instance (rename RA_35_0_0_0_0_6 "RA_35_0_0_0_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (B A)+D (C+(B A)))"))
    +          )
    +          (instance (rename RA_35_0_0_0_0_7 "RA_35_0_0_0_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (B A)+D (C+(B A)))"))
    +          )
    +          (instance (rename RA_35_0_0_0_3 "RA_35_0_0_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (B A)+D (C+(B A)))"))
    +          )
    +          (instance (rename wb_dati_7_0_0_0_1 "wb_dati_7_0_0_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))"))
    +          )
    +          (instance (rename wb_dati_7_0_0_0_0_7 "wb_dati_7_0_0_0_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))"))
    +          )
    +          (instance (rename wb_adr_7_i_i_1_0 "wb_adr_7_i_i_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (C (B !A))+D C)"))
    +          )
    +          (instance un1_CS_0_sqmuxa_0_0_o3_0_RNI9H8R (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!C+(B A))+D (!C+(!B+A)))"))
    +          )
    +          (instance (rename S_r_i_0_o2_RNIFNP81_2 "S_r_i_0_o2_RNIFNP81[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!C (!B !A)+C (B !A))+D (!C (!B !A)+C !A))"))
    +          )
    +          (instance (rename RA_35_0_0_a3_5 "RA_35_0_0_a3[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(B A)"))
    +          )
    +          (instance (rename RA_35_i_i_0_a3_0_1 "RA_35_i_i_0_a3_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(B A)"))
    +          )
    +          (instance (rename RA_35_0_0_a3_0_2 "RA_35_0_0_a3_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(B A)"))
    +          )
    +          (instance wb_cyc_stb_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!C (!B A)+C !B))"))
    +          )
    +          (instance (rename RA_35_0_0_11 "RA_35_0_0[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))"))
    +          )
    +          (instance un1_CS_0_sqmuxa_0_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (C A)+D (!C (!B !A)+C (!B+A)))"))
    +          )
    +          (instance un1_CS_0_sqmuxa_0_0_a3_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(C (!B A))"))
    +          )
    +          (instance CmdExecMXO2_3_0_a3_0_RNIAJ811 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D (!C (B !A)))"))
    +          )
    +          (instance CKE_7s2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(C+(B+A))"))
    +          )
    +          (instance (rename wb_adr_7_i_i_a3_4_0 "wb_adr_7_i_i_a3_4[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D (!C (!B A)))"))
    +          )
    +          (instance (rename RA_35_0_0_9 "RA_35_0_0[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(C+(B A))"))
    +          )
    +          (instance CmdBitbangMXO2_3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D (C (B !A)))"))
    +          )
    +          (instance CmdSetRWBankFFChip_3_0_a8_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D (C (!B A)))"))
    +          )
    +          (instance CmdSetRWBankFFLED_4_0_a8_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D (C (B !A)))"))
    +          )
    +          (instance Ready3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D (C (B A)))"))
    +          )
    +          (instance (rename wb_adr_RNO_1_1 "wb_adr_RNO_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (C (B !A))+D (C (!B+!A)))"))
    +          )
    +          (instance wb_we_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D+(C (B !A)))"))
    +          )
    +          (instance (rename wb_dati_7_0_0_0_a3_0_3 "wb_dati_7_0_0_0_a3_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(B !A)"))
    +          )
    +          (instance nCAS_s_i_0_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (B !A))"))
    +          )
    +          (instance SUM0_i_o2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(C+(!B+!A))"))
    +          )
    +          (instance N_285_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (!B+A))"))
    +          )
    +          (instance N_369_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!C (B A)+C (!B+!A)))"))
    +          )
    +          (instance (rename S_r_i_0_o2_0_RNI36E21_1 "S_r_i_0_o2_0_RNI36E21[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D (!C !A+C (B !A)))"))
    +          )
    +          (instance (rename RA_35_2_0_a3_10 "RA_35_2_0_a3[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (C !A)+D (C (B+!A)))"))
    +          )
    +          (instance (rename RA_35_i_i_0_a3_1 "RA_35_i_i_0_a3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D (!C (B A)+C (B+!A)))"))
    +          )
    +          (instance (rename RA_35_0_0_a3_2 "RA_35_0_0_a3[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D (!C (B A)+C (B+!A)))"))
    +          )
    +          (instance CmdExecMXO2_3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(B A)"))
    +          )
    +          (instance (rename wb_dati_7_0_0_a3_9_7 "wb_dati_7_0_0_a3_9[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(B !A)"))
    +          )
    +          (instance CmdRWMaskSet_3_0_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(C (!B !A))"))
    +          )
    +          (instance (rename wb_dati_7_0_0_o2_4 "wb_dati_7_0_0_o2[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (C (B A))+D (!C (B A)+C (!B+!A)))"))
    +          )
    +          (instance (rename wb_dati_7_0_0_o2_0_3 "wb_dati_7_0_0_o2_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!C (B !A)+C (B A))+D (C (!B+!A)))"))
    +          )
    +          (instance CKE_7s2_0_0_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (C !A)+D (!C B+C !A))"))
    +          )
    +          (instance (rename BA_4_1 "BA_4[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (C (B+!A))+D (C B))"))
    +          )
    +          (instance (rename BA_4_0 "BA_4[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (C (B+!A))+D (C B))"))
    +          )
    +          (instance wb_we_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!C (!B+!A))+D (!C+(!B+!A)))"))
    +          )
    +          (instance (rename un1_CmdSetRWBankFFChip13_1_i_0_0_a3_0_0_0 "un1_CmdSetRWBankFFChip13_1_i_0_0_a3_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (!B !A)+C !B)"))
    +          )
    +          (instance wb_req_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!C+(!B+!A)))"))
    +          )
    +          (instance un1_CKE75_0_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!C (!B+A)+C (!B !A+B A))+D (!C (!B+!A)+C (B+!A)))"))
    +          )
    +          (instance nRAS_s_i_0_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D (!C (B !A)))"))
    +          )
    +          (instance (rename S_s_0_0_0 "S_s_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (C+A)+D (C+(!B+A)))"))
    +          )
    +          (instance CmdLEDGet_3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D (C (!B A)))"))
    +          )
    +          (instance (rename RA_35_0_0_o2_0_5 "RA_35_0_0_o2_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!C (!B A)+C (!B !A))+D (!C !A+C (!B !A)))"))
    +          )
    +          (instance un2_S_2_i_0_0_o3_RNIHFHN3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (C+B)+D (C+(B+A)))"))
    +          )
    +          (instance (rename RA_35_0_0_0_9 "RA_35_0_0_0[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (B !A)+D (C+(B !A)))"))
    +          )
    +          (instance wb_we_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D C+D (C+(B !A)))"))
    +          )
    +          (instance (rename RA_35_2_0_0_10 "RA_35_2_0_0[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C A+C (B+A))"))
    +          )
    +          (instance (rename RA_35_0_0_0_5 "RA_35_0_0_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D (!C !B+C (!B+!A)))"))
    +          )
    +          (instance (rename un1_RWMask_0_sqmuxa_1_i_0_a3_0_0_0 "un1_RWMask_0_sqmuxa_1_i_0_a3_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(B A)"))
    +          )
    +          (instance SUM0_i_m3_0_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(C+(B+A))"))
    +          )
    +          (instance SUM0_i_m3_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT)))          )
    +          (instance N_507_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!C !A)+D (!C (B+!A)+C B))"))
    +          )
    +          (instance N_368_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (!B A+B !A))"))
    +          )
    +          (instance N_360_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C !A+C (!B !A))"))
    +          )
    +          (instance (rename RA_35_2_0_a3_0_10 "RA_35_2_0_a3_0[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (B !A)+D (!C (B !A)))"))
    +          )
    +          (instance un1_CS_0_sqmuxa_0_0_a3_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!C (!B !A)))"))
    +          )
    +          (instance (rename RWBank_3_0_0_0 "RWBank_3_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (B+A)+C B)"))
    +          )
    +          (instance (rename RWBank_3_0_0_4 "RWBank_3_0_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (B+A)+C B)"))
    +          )
    +          (instance (rename RWBank_3_0_1 "RWBank_3_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (B+A)+C B)"))
    +          )
    +          (instance (rename RWBank_3_0_2 "RWBank_3_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (B+A)+C B)"))
    +          )
    +          (instance (rename RWBank_3_0_3 "RWBank_3_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (B+A)+C B)"))
    +          )
    +          (instance (rename RWBank_3_0_5 "RWBank_3_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (B+A)+C B)"))
    +          )
    +          (instance (rename RWBank_3_0_6 "RWBank_3_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (B+A)+C B)"))
    +          )
    +          (instance (rename RWBank_3_0_7 "RWBank_3_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C B+C (B+A))"))
    +          )
    +          (instance (rename RA_35_0_0_o2_5 "RA_35_0_0_o2[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!C (B A)+C (B+A))+D (!C A+C (B+A)))"))
    +          )
    +          (instance (rename RA_35_0_0_o2_11 "RA_35_0_0_o2[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (C+(B A))+D (C+B))"))
    +          )
    +          (instance un1_CS_0_sqmuxa_0_0_o3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D+(C+(B+A)))"))
    +          )
    +          (instance (rename wb_adr_7_i_i_o2_1_0 "wb_adr_7_i_i_o2_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (C (!B+!A))+D (!C+(!B+!A)))"))
    +          )
    +          (instance (rename wb_dati_7_0_0_0_o2_3 "wb_dati_7_0_0_0_o2[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (C (B A))+D (!C (!B !A)+C (!B !A+B A)))"))
    +          )
    +          (instance (rename RC_3_0_0_2 "RC_3_0_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (B A)+C !B)"))
    +          )
    +          (instance un1_nDOE_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C+(B+!A))"))
    +          )
    +          (instance LEDEN_RNI6G6M (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(C+(!B+!A))"))
    +          )
    +          (instance RDOE_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (B A)+C A)"))
    +          )
    +          (instance nRAS_s_i_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D (!C (!B A)))"))
    +          )
    +          (instance (rename RA_35_2_0_a3_3_10 "RA_35_2_0_a3_3[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D (C (!B A)))"))
    +          )
    +          (instance SUM0_i_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D (!C !A+C (!B !A)))"))
    +          )
    +          (instance (rename wb_adr_RNO_0_1 "wb_adr_RNO_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D (!C (!B A)))"))
    +          )
    +          (instance RWSel_2_0_a3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!C (!B A)))"))
    +          )
    +          (instance (rename un1_CmdSetRWBankFFChip13_1_i_0_0_o3_0 "un1_CmdSetRWBankFFChip13_1_i_0_0_o3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D+(C+(B+A)))"))
    +          )
    +          (instance nRAS_s_i_0_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D+(C+(!B A+B !A)))"))
    +          )
    +          (instance CmdLEDGet_3_0_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(C (B !A))"))
    +          )
    +          (instance un1_CS_0_sqmuxa_0_0_a3_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D (C (B A)))"))
    +          )
    +          (instance CKE_7s2_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!C B+C (B !A))+D (!C B))"))
    +          )
    +          (instance (rename wb_dati_7_0_0_a3_0_0_1 "wb_dati_7_0_0_a3_0_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(C (B A))"))
    +          )
    +          (instance (rename wb_dati_7_0_0_a3_1_0_6 "wb_dati_7_0_0_a3_1_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!C (!B !A)+C (!B A)))"))
    +          )
    +          (instance (rename RWMask_RNO_0 "RWMask_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (B !A)+C (!B+!A))"))
    +          )
    +          (instance (rename RWMask_RNO_1 "RWMask_RNO[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (B !A)+C (!B+!A))"))
    +          )
    +          (instance (rename RWMask_RNO_2 "RWMask_RNO[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (B !A)+C (!B+!A))"))
    +          )
    +          (instance (rename RWMask_RNO_3 "RWMask_RNO[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (B !A)+C (!B+!A))"))
    +          )
    +          (instance (rename RWMask_RNO_4 "RWMask_RNO[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (B !A)+C (!B+!A))"))
    +          )
    +          (instance (rename RWMask_RNO_5 "RWMask_RNO[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (B !A)+C (!B+!A))"))
    +          )
    +          (instance (rename RWMask_RNO_6 "RWMask_RNO[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (B !A)+C (!B+!A))"))
    +          )
    +          (instance nCAS_s_i_0_m2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (C+A)+D (!C (!B+!A)+C !B))"))
    +          )
    +          (instance (rename wb_adr_RNO_2 "wb_adr_RNO[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(B A)"))
    +          )
    +          (instance (rename wb_adr_RNO_3 "wb_adr_RNO[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(B A)"))
    +          )
    +          (instance (rename wb_adr_RNO_7 "wb_adr_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(B A)"))
    +          )
    +          (instance DQMH_4_iv_0_0_i_i_a3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (C+!A)+D (!C (!B !A)+C !B))"))
    +          )
    +          (instance nRAS_s_i_0_a3_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (B !A))"))
    +          )
    +          (instance CmdSetRWBankFFChip_3_0_a8_0_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(C (!B A))"))
    +          )
    +          (instance nRAS_s_i_0_a3_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(C (!B !A))"))
    +          )
    +          (instance (rename wb_adr_RNO_3_1 "wb_adr_RNO_3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C+(!B+!A))"))
    +          )
    +          (instance SUM1_0_o3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(C+(B+A))"))
    +          )
    +          (instance (rename wb_adr_RNO_2_1 "wb_adr_RNO_2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (!B+!A)+C (B A))"))
    +          )
    +          (instance (rename wb_dati_7_0_0_a3_15_7 "wb_dati_7_0_0_a3_15[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (!B !A))"))
    +          )
    +          (instance nRAS_s_i_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (!B+!A)+C !A)"))
    +          )
    +          (instance CKE_7s2_0_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (B !A))"))
    +          )
    +          (instance N_263_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(B A)"))
    +          )
    +          (instance RA_35_2_30_a3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D (!C (B A)))"))
    +          )
    +          (instance un9_VOEEN_0_a2_0_a3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D (!C (!B A)))"))
    +          )
    +          (instance Vout3_0_a3_0_a3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (C (B !A)))"))
    +          )
    +          (instance (rename RWBank_3_0_0_o3_0 "RWBank_3_0_0_o3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (C+B)+D (C+(B+A)))"))
    +          )
    +          (instance un1_CS_0_sqmuxa_0_0_a3_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(C (B !A))"))
    +          )
    +          (instance wb_reqc_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D+(C+(B+A)))"))
    +          )
    +          (instance (rename un1_CmdSetRWBankFFChip13_1_i_0_0_o3_3_0 "un1_CmdSetRWBankFFChip13_1_i_0_0_o3_3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D+(C+(B+A)))"))
    +          )
    +          (instance (rename wb_adr_RNO_4_1 "wb_adr_RNO_4[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (B A))"))
    +          )
    +          (instance Ready3_0_a3_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D (C (B A)))"))
    +          )
    +          (instance Ready3_0_a3_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D (C (B A)))"))
    +          )
    +          (instance Ready3_0_a3_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D (C (B A)))"))
    +          )
    +          (instance (rename wb_adr_7_i_i_a3_2_0_0 "wb_adr_7_i_i_a3_2_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (!B A))"))
    +          )
    +          (instance (rename wb_dati_7_0_0_0_a3_0_0 "wb_dati_7_0_0_0_a3_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (C (B A))+D (C (!B A)))"))
    +          )
    +          (instance (rename wb_adr_RNO_6 "wb_adr_RNO[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C !B+C A)"))
    +          )
    +          (instance (rename wb_adr_RNO_5 "wb_adr_RNO[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C !B+C A)"))
    +          )
    +          (instance (rename wb_adr_RNO_4 "wb_adr_RNO[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C !B+C A)"))
    +          )
    +          (instance LEDEN_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (B A)+C (!B+A))"))
    +          )
    +          (instance (rename RWMask_RNO_7 "RWMask_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (B A)+C (!B+A))"))
    +          )
    +          (instance nRAS_s_i_0_m3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (!B+A)+C (B A))"))
    +          )
    +          (instance wb_we_7_iv_0_0_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(B A)"))
    +          )
    +          (instance (rename RDout_i_i_a3_4 "RDout_i_i_a3[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(B A)"))
    +          )
    +          (instance (rename RDout_i_0_i_a3_7 "RDout_i_0_i_a3[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(B A)"))
    +          )
    +          (instance (rename RDout_i_0_i_a3_6 "RDout_i_0_i_a3[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(B A)"))
    +          )
    +          (instance (rename RDout_i_0_i_a3_5 "RDout_i_0_i_a3[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(B A)"))
    +          )
    +          (instance (rename RDout_i_0_i_a3_2 "RDout_i_0_i_a3[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(B A)"))
    +          )
    +          (instance (rename RDout_i_0_i_a3_1 "RDout_i_0_i_a3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(B A)"))
    +          )
    +          (instance (rename RDout_i_0_i_a3_0 "RDout_i_0_i_a3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(B A)"))
    +          )
    +          (instance (rename CmdTout_3_0_a3_0_a3_0 "CmdTout_3_0_a3_0_a3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!B !A)"))
    +          )
    +          (instance wb_rst8_0_a3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!B !A)"))
    +          )
    +          (instance wb_we_7_iv_0_0_0_a3_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!B !A)"))
    +          )
    +          (instance (rename S_r_i_0_o2_1 "S_r_i_0_o2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(B+A)"))
    +          )
    +          (instance CmdSetRWBankFFChip_3_0_a8_0_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!B+!A)"))
    +          )
    +          (instance SUM0_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!B+!A)"))
    +          )
    +          (instance (rename S_r_i_0_o2_2 "S_r_i_0_o2[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!B+!A)"))
    +          )
    +          (instance un1_CS_0_sqmuxa_0_0_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!B+A)"))
    +          )
    +          (instance (rename S_r_i_0_o2_0_1 "S_r_i_0_o2_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!B+!A)"))
    +          )
    +          (instance (rename wb_dati_7_0_0_o2_0_7 "wb_dati_7_0_0_o2_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(B+!A)"))
    +          )
    +          (instance (rename wb_dati_7_0_0_0_o2_7 "wb_dati_7_0_0_0_o2[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!B+A)"))
    +          )
    +          (instance (rename wb_dati_7_0_0_a3_13_7 "wb_dati_7_0_0_a3_13[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!B A)"))
    +          )
    +          (instance un2_S_2_i_0_0_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(B+A)"))
    +          )
    +          (instance CKE_7_m1_0_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(B+A)"))
    +          )
    +          (instance (rename RC_3_0_0_a3_1_1 "RC_3_0_0_a3_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!B !A)"))
    +          )
    +          (instance (rename wb_dati_7_0_0_a3_4_1_0_7 "wb_dati_7_0_0_a3_4_1_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(B !A)"))
    +          )
    +          (instance CmdSetRWBankFFChip_3_0_a8_0_o3_RNIGCV91 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D+(C+(B+!A)))"))
    +          )
    +          (instance (rename wb_dati_7_0_0_a3_2_3 "wb_dati_7_0_0_a3_2[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(C (B A))"))
    +          )
    +          (instance (rename wb_dati_7_0_0_o3_0_2 "wb_dati_7_0_0_o3_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D B+D (!C B+C (B+A)))"))
    +          )
    +          (instance CKE_7_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (B+!A)+C !A)"))
    +          )
    +          (instance nRWE_s_i_0_63_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (C+B)+D (!C+(B !A)))"))
    +          )
    +          (instance (rename S_r_i_0_o2_RNI62C53_1 "S_r_i_0_o2_RNI62C53[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!C (!B !A)))"))
    +          )
    +          (instance (rename wb_adr_7_i_i_3_1_0 "wb_adr_7_i_i_3_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!C (!B !A)+C A)+D (!C (!B !A)))"))
    +          )
    +          (instance (rename wb_adr_7_i_i_3_0 "wb_adr_7_i_i_3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (C (!B !A+B A)))"))
    +          )
    +          (instance (rename RA_35_0_0_1_0 "RA_35_0_0_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)))"))
    +          )
    +          (instance (rename RA_35_0_0_0 "RA_35_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D+(!C B+C (B+A)))"))
    +          )
    +          (instance CmdSetRWBankFFChip_3_0_a8_0_a3_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D (!C (B A)))"))
    +          )
    +          (instance wb_we_7_iv_0_0_0_a3_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D (C (B A)))"))
    +          )
    +          (instance CmdExecMXO2_3_0_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D (C (!B A)))"))
    +          )
    +          (instance CmdBitbangMXO2_3_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D (C (!B !A)))"))
    +          )
    +          (instance SUM0_i_m3_0_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C+(!B+A))"))
    +          )
    +          (instance CmdBitbangMXO2_3_0_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(D (C (!B A)))"))
    +          )
    +          (instance CmdLEDSet_3_0_a8_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (C (B A)))"))
    +          )
    +          (instance CmdRWMaskSet_3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (C (!B A)))"))
    +          )
    +          (instance CmdLEDGet_3_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (B A))"))
    +          )
    +          (instance nRAS_s_i_0_a3_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!C (B !A)))"))
    +          )
    +          (instance nRAS_s_i_0_a3_0_RNIIR094 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!B !A)+D (!C (!B !A)))"))
    +          )
    +          (instance (rename un1_wb_cyc_stb_0_sqmuxa_1_i_0_0_a2_0 "un1_wb_cyc_stb_0_sqmuxa_1_i_0_0_a2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (!C (!B A)))"))
    +          )
    +          (instance SUM2_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(C+(!B+!A))"))
    +          )
    +          (instance (rename RA_35_0_0_a3_4_7 "RA_35_0_0_a3_4[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(C (B !A))"))
    +          )
    +          (instance (rename wb_dati_7_0_0_a3_7_3 "wb_dati_7_0_0_a3_7[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (B !A))"))
    +          )
    +          (instance (rename wb_dati_7_0_0_a3_12_7 "wb_dati_7_0_0_a3_12[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C (B !A))"))
    +          )
    +          (instance (rename wb_dati_7_0_0_a3_14_7 "wb_dati_7_0_0_a3_14[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (C (!B A)))"))
    +          )
    +          (instance (rename wb_dati_7_0_0_a3_10_7 "wb_dati_7_0_0_a3_10[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!D (C (!B A)))"))
    +          )
    +          (instance ufmefb (viewRef netlist (cellRef REFB))
    +          )
    +          (instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT)))          )
    +          (instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT)))          )
    +          (net (rename S_0 "S[0]") (joined
    +           (portRef (member s 3))
    +           (portRef B (instanceRef un1_wb_cyc_stb_0_sqmuxa_1_i_0_0_a2_0))
    +           (portRef A (instanceRef S_r_i_0_o2_2))
    +           (portRef A (instanceRef S_r_i_0_o2_1))
    +           (portRef B (instanceRef wb_reqc_1_0))
    +           (portRef A (instanceRef Vout3_0_a3_0_a3_0_a3))
    +           (portRef A (instanceRef un9_VOEEN_0_a2_0_a3_0_a3))
    +           (portRef B (instanceRef RA_35_2_30_a3_2))
    +           (portRef B (instanceRef nRAS_s_i_0_a3_6))
    +           (portRef C (instanceRef DQMH_4_iv_0_0_i_i_a3_0_a3))
    +           (portRef B (instanceRef CKE_7s2_0_0_0))
    +           (portRef B (instanceRef RA_35_2_0_a3_3_10))
    +           (portRef A (instanceRef RA_35_0_0_o2_11))
    +           (portRef A (instanceRef RA_35_0_0_o2_5))
    +           (portRef C (instanceRef N_507_i))
    +           (portRef A (instanceRef RA_35_0_0_o2_0_5))
    +           (portRef A (instanceRef un1_CKE75_0_i_0))
    +           (portRef D (instanceRef BA_4_0))
    +           (portRef D (instanceRef BA_4_1))
    +           (portRef D (instanceRef nCAS_s_i_0_a3))
    +           (portRef B (instanceRef CKE_7_RNIS77M1))
    +           (portRef B (instanceRef wb_req_RNO_0))
    +           (portRef C (instanceRef RA_35_2_0_RNO_10))
    +           (portRef B (instanceRef N_201_i))
    +           (portRef B (instanceRef N_225_i))
    +           (portRef D (instanceRef nRAS_s_i_0_a3_5_RNIH7J73_0))
    +           (portRef B (instanceRef CKE_7_m1_0_0_o2_RNICM8E1))
    +           (portRef C (instanceRef nRAS_s_i_0_a3_5_RNIH7J73))
    +           (portRef D (instanceRef N_314_i_i_o3))
    +           (portRef B (instanceRef CKE_7_m1_0_0_o2_RNIGC501))
    +           (portRef A (instanceRef CKE_7_m1_0_0_o2_RNI7FOA1))
    +           (portRef A (instanceRef S_s_0_0_RNO_0))
    +           (portRef D (instanceRef RA_35_2_0_a3_5_10))
    +           (portRef A (instanceRef nRAS_s_i_0_a3_4))
    +           (portRef A (instanceRef wb_rst16_i_i_i_o3))
    +          ))
    +          (net (rename SZ0Z_1 "S[1]") (joined
    +           (portRef (member s 2))
    +           (portRef C (instanceRef un1_wb_cyc_stb_0_sqmuxa_1_i_0_0_a2_0))
    +           (portRef A (instanceRef un2_S_2_i_0_0_o3))
    +           (portRef B (instanceRef S_r_i_0_o2_2))
    +           (portRef B (instanceRef S_r_i_0_o2_1))
    +           (portRef C (instanceRef wb_reqc_1_0))
    +           (portRef B (instanceRef Vout3_0_a3_0_a3_0_a3))
    +           (portRef B (instanceRef un9_VOEEN_0_a2_0_a3_0_a3))
    +           (portRef A (instanceRef CKE_7s2_0_0_a2_1))
    +           (portRef C (instanceRef nRAS_s_i_0_a3_6))
    +           (portRef D (instanceRef nRAS_s_i_0_o2_0))
    +           (portRef C (instanceRef RA_35_2_0_a3_3_10))
    +           (portRef B (instanceRef RA_35_0_0_o2_11))
    +           (portRef B (instanceRef RA_35_0_0_o2_5))
    +           (portRef B (instanceRef RA_35_0_0_o2_0_5))
    +           (portRef D (instanceRef S_s_0_0_0))
    +           (portRef B (instanceRef un1_CKE75_0_i_0))
    +           (portRef C (instanceRef CKE_7s2_0_0_o3))
    +           (portRef B (instanceRef CKE_7_am))
    +           (portRef C (instanceRef CKE_7_RNIS77M1))
    +           (portRef C (instanceRef wb_req_RNO_0))
    +           (portRef D (instanceRef N_201_i))
    +           (portRef D (instanceRef N_225_i))
    +           (portRef C (instanceRef nRAS_s_i_0_a3_5_RNIH7J73_0))
    +           (portRef C (instanceRef CKE_7_m1_0_0_o2_RNICM8E1))
    +           (portRef B (instanceRef nRAS_s_i_0_a3_5_RNIH7J73))
    +           (portRef C (instanceRef N_314_i_i_o3))
    +           (portRef B (instanceRef CKE_7_m1_0_0_o2_RNI7FOA1))
    +           (portRef B (instanceRef S_s_0_0_RNO_0))
    +           (portRef C (instanceRef RA_35_2_0_a3_5_10))
    +           (portRef A (instanceRef un1_RC12_i_0_o3))
    +           (portRef B (instanceRef wb_rst16_i_i_i_o3))
    +          ))
    +          (net (rename S_3 "S[3]") (joined
    +           (portRef (member s 0))
    +           (portRef C (instanceRef S_r_i_0_o2_RNI62C53_1))
    +           (portRef B (instanceRef CKE_7_m1_0_0_o2))
    +           (portRef B (instanceRef S_r_i_0_o2_0_1))
    +           (portRef B (instanceRef RWMask_RNO_7))
    +           (portRef B (instanceRef LEDEN_RNO))
    +           (portRef D (instanceRef wb_reqc_1_0))
    +           (portRef D (instanceRef Vout3_0_a3_0_a3_0_a3))
    +           (portRef D (instanceRef un9_VOEEN_0_a2_0_a3_0_a3))
    +           (portRef D (instanceRef RA_35_2_30_a3_2))
    +           (portRef C (instanceRef CKE_7s2_0_0_a2_1))
    +           (portRef A (instanceRef nRAS_s_i_0_o2))
    +           (portRef B (instanceRef nRAS_s_i_0_a3_5))
    +           (portRef D (instanceRef DQMH_4_iv_0_0_i_i_a3_0_a3))
    +           (portRef B (instanceRef RWMask_RNO_6))
    +           (portRef B (instanceRef RWMask_RNO_5))
    +           (portRef B (instanceRef RWMask_RNO_4))
    +           (portRef B (instanceRef RWMask_RNO_3))
    +           (portRef B (instanceRef RWMask_RNO_2))
    +           (portRef B (instanceRef RWMask_RNO_1))
    +           (portRef B (instanceRef RWMask_RNO_0))
    +           (portRef C (instanceRef CKE_7s2_0_0_0))
    +           (portRef D (instanceRef RA_35_0_0_o2_11))
    +           (portRef D (instanceRef RA_35_0_0_o2_5))
    +           (portRef C (instanceRef RA_35_2_0_a3_0_10))
    +           (portRef D (instanceRef N_507_i))
    +           (portRef D (instanceRef RA_35_0_0_o2_0_5))
    +           (portRef D (instanceRef un1_CKE75_0_i_0))
    +           (portRef D (instanceRef S_r_i_0_o2_RNIFNP81_2))
    +           (portRef B (instanceRef wb_cyc_stb_RNO))
    +           (portRef A (instanceRef S_r_i_0_o2_RNIBAU51_1))
    +           (portRef A (instanceRef S_r_i_0_o2_RNIFNP81_0_2))
    +           (portRef B (instanceRef S_r_i_0_o2_RNIOGTF1_1))
    +           (portRef A (instanceRef N_201_i))
    +           (portRef A (instanceRef N_225_i))
    +           (portRef B (instanceRef S_r_i_0_o2_RNIP4KI1_1))
    +           (portRef A (instanceRef N_314_i_i_o3))
    +           (portRef A (instanceRef RA_35_2_0_a3_5_10))
    +           (portRef B (instanceRef un1_RC12_i_0_o3))
    +           (portRef B (instanceRef nRAS_s_i_0_a3_4))
    +           (portRef C (instanceRef wb_rst16_i_i_i_o3))
    +          ))
    +          (net (rename S_2 "S[2]") (joined
    +           (portRef (member s 1))
    +           (portRef A (instanceRef CKE_7_m1_0_0_o2))
    +           (portRef B (instanceRef un2_S_2_i_0_0_o3))
    +           (portRef A (instanceRef S_r_i_0_o2_0_1))
    +           (portRef B (instanceRef wb_we_7_iv_0_0_0_a3_6))
    +           (portRef B (instanceRef wb_we_7_iv_0_0_0_a3_1))
    +           (portRef C (instanceRef wb_adr_RNO_4))
    +           (portRef C (instanceRef wb_adr_RNO_5))
    +           (portRef C (instanceRef wb_adr_RNO_6))
    +           (portRef C (instanceRef Vout3_0_a3_0_a3_0_a3))
    +           (portRef C (instanceRef un9_VOEEN_0_a2_0_a3_0_a3))
    +           (portRef C (instanceRef RA_35_2_30_a3_2))
    +           (portRef B (instanceRef CKE_7s2_0_0_a2_1))
    +           (portRef A (instanceRef nRAS_s_i_0_a3_5))
    +           (portRef B (instanceRef wb_adr_RNO_7))
    +           (portRef B (instanceRef wb_adr_RNO_3))
    +           (portRef B (instanceRef wb_adr_RNO_2))
    +           (portRef B (instanceRef nRAS_s_i_0_a3_1))
    +           (portRef C (instanceRef RA_35_0_0_o2_11))
    +           (portRef C (instanceRef RA_35_0_0_o2_5))
    +           (portRef B (instanceRef RA_35_2_0_a3_0_10))
    +           (portRef C (instanceRef RA_35_0_0_o2_0_5))
    +           (portRef D (instanceRef nRAS_s_i_0_a3_0))
    +           (portRef C (instanceRef un1_CKE75_0_i_0))
    +           (portRef D (instanceRef RA_35_2_0_a3_10))
    +           (portRef C (instanceRef S_r_i_0_o2_RNIFNP81_2))
    +           (portRef B (instanceRef wb_dati_7_0_0_0_0_7))
    +           (portRef B (instanceRef wb_dati_7_0_0_0_1))
    +           (portRef C (instanceRef wb_adr_RNO_1))
    +           (portRef C (instanceRef wb_dati_7_0_0_0_4))
    +           (portRef B (instanceRef wb_dati_7_0_0_0_6))
    +           (portRef B (instanceRef wb_dati_7_0_0_0_0_3))
    +           (portRef B (instanceRef wb_dati_7_0_0_0_0))
    +           (portRef B (instanceRef wb_dati_7_0_0_2))
    +           (portRef B (instanceRef wb_dati_7_0_0_5))
    +           (portRef CD (instanceRef wb_req))
    +           (portRef B (instanceRef S_r_i_0_o2_RNIBAU51_1))
    +           (portRef D (instanceRef S_r_i_0_o2_RNIFNP81_0_2))
    +           (portRef C (instanceRef S_r_i_0_o2_RNIOGTF1_1))
    +           (portRef C (instanceRef N_201_i))
    +           (portRef C (instanceRef N_225_i))
    +           (portRef C (instanceRef S_r_i_0_o2_RNIP4KI1_1))
    +           (portRef B (instanceRef N_314_i_i_o3))
    +           (portRef B (instanceRef RA_35_2_0_a3_5_10))
    +           (portRef C (instanceRef un1_RC12_i_0_o3))
    +           (portRef C (instanceRef nRAS_s_i_0_a3_4))
    +           (portRef D (instanceRef wb_rst16_i_i_i_o3))
    +          ))
    +          (net wb_rst16_i (joined
    +           (portRef Z (instanceRef wb_rst16_i_i_i_o3))
    +           (portRef C (instanceRef N_285_i))
    +           (portRef C (instanceRef nCAS_s_i_0_a3_0))
    +           (portRef CD (instanceRef wb_rst))
    +          ))
    +          (net N_876 (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_a3_15_7))
    +           (portRef C (instanceRef wb_dati_7_0_0_RNO_0_7))
    +           (portRef B (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0_RNO_0))
    +           (portRef A (instanceRef wb_dati_7_0_0_0_RNO_7))
    +          ))
    +          (net N_807 (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_a3_12_7))
    +           (portRef C (instanceRef wb_dati_7_0_0_a3_2_3))
    +           (portRef C (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0_0))
    +           (portRef B (instanceRef wb_dati_7_0_0_0_RNO_7))
    +          ))
    +          (net (rename FS_13 "FS[13]") (joined
    +           (portRef (member fs 2))
    +           (portRef D (instanceRef wb_dati_7_0_0_a3_10_7))
    +           (portRef A (instanceRef wb_dati_7_0_0_a3_7_3))
    +           (portRef B (instanceRef wb_dati_7_0_0_0_o2_7))
    +           (portRef D (instanceRef wb_dati_7_0_0_0_a3_0_0))
    +           (portRef D (instanceRef Ready3_0_a3_5))
    +           (portRef C (instanceRef wb_adr_RNO_4_1))
    +           (portRef B (instanceRef wb_dati_7_0_0_a3_0_0_1))
    +           (portRef B (instanceRef wb_dati_7_0_0_0_o2_3))
    +           (portRef D (instanceRef wb_adr_7_i_i_o2_1_0))
    +           (portRef C (instanceRef wb_req_RNO))
    +           (portRef A (instanceRef wb_we_RNO))
    +           (portRef A (instanceRef RA_35_0_0_0_6))
    +           (portRef B (instanceRef wb_dati_7_0_0_0_a3_7))
    +           (portRef B (instanceRef wb_adr_7_i_i_5_0))
    +           (portRef C (instanceRef wb_dati_7_0_0_a3_13_RNI81UL_7))
    +           (portRef C (instanceRef wb_dati_7_0_0_0_0_RNO_7))
    +           (portRef C (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0_RNO_0))
    +           (portRef C (instanceRef wb_dati_7_0_0_0_RNO_7))
    +          ))
    +          (net (rename FS_12 "FS[12]") (joined
    +           (portRef (member fs 3))
    +           (portRef A (instanceRef wb_dati_7_0_0_a3_10_7))
    +           (portRef D (instanceRef wb_adr_7_i_i_3_1_0))
    +           (portRef A (instanceRef wb_dati_7_0_0_a3_2_3))
    +           (portRef A (instanceRef wb_dati_7_0_0_0_o2_7))
    +           (portRef C (instanceRef wb_dati_7_0_0_0_a3_0_0))
    +           (portRef C (instanceRef Ready3_0_a3_5))
    +           (portRef B (instanceRef wb_adr_RNO_4_1))
    +           (portRef C (instanceRef wb_dati_7_0_0_a3_1_0_6))
    +           (portRef A (instanceRef wb_dati_7_0_0_a3_0_0_1))
    +           (portRef B (instanceRef wb_adr_RNO_0_1))
    +           (portRef C (instanceRef RA_35_0_0_0_5))
    +           (portRef B (instanceRef wb_req_RNO))
    +           (portRef D (instanceRef wb_we_RNO_1))
    +           (portRef A (instanceRef wb_dati_7_0_0_0_a3_0_3))
    +           (portRef A (instanceRef wb_we_RNO_2))
    +           (portRef C (instanceRef wb_adr_7_i_i_a3_4_0))
    +           (portRef A (instanceRef wb_adr_7_i_i_1_0))
    +           (portRef A (instanceRef wb_dati_7_0_0_a3_4))
    +           (portRef A (instanceRef wb_dati_7_0_0_0_a3_7))
    +           (portRef D (instanceRef wb_dati_7_0_0_a3_13_RNI81UL_7))
    +           (portRef D (instanceRef wb_dati_7_0_0_0_0_RNO_7))
    +           (portRef D (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0_RNO_0))
    +           (portRef D (instanceRef wb_dati_7_0_0_0_RNO_7))
    +          ))
    +          (net N_604 (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_0_RNO_7))
    +           (portRef B (instanceRef wb_dati_7_0_0_0_7))
    +          ))
    +          (net N_784 (joined
    +           (portRef Z (instanceRef nRAS_s_i_0_a3_4))
    +           (portRef B (instanceRef RA_35_0_0_a3_4_7))
    +           (portRef D (instanceRef RA_35_0_0_0_5))
    +           (portRef B (instanceRef RA_35_0_0_0_9))
    +           (portRef C (instanceRef nRAS_s_i_0_0))
    +           (portRef C (instanceRef RA_35_0_0_RNO_0))
    +          ))
    +          (net N_560 (joined
    +           (portRef Z (instanceRef un1_RC12_i_0_o3))
    +           (portRef B (instanceRef BA_4_0))
    +           (portRef B (instanceRef BA_4_1))
    +          ))
    +          (net (rename FS_11 "FS[11]") (joined
    +           (portRef (member fs 4))
    +           (portRef B (instanceRef wb_we_7_iv_0_0_0_a3_7))
    +           (portRef C (instanceRef wb_adr_7_i_i_3_1_0))
    +           (portRef B (instanceRef wb_dati_7_0_0_a3_4_1_0_7))
    +           (portRef B (instanceRef wb_dati_7_0_0_a3_13_7))
    +           (portRef B (instanceRef wb_dati_7_0_0_0_a3_0_0))
    +           (portRef C (instanceRef wb_adr_7_i_i_a3_2_0_0))
    +           (portRef C (instanceRef wb_dati_7_0_0_a3_15_7))
    +           (portRef C (instanceRef wb_adr_RNO_3_1))
    +           (portRef B (instanceRef wb_dati_7_0_0_a3_1_0_6))
    +           (portRef A (instanceRef wb_adr_RNO_0_1))
    +           (portRef C (instanceRef wb_adr_7_i_i_o2_1_0))
    +           (portRef A (instanceRef wb_we_RNO_3))
    +           (portRef A (instanceRef wb_req_RNO))
    +           (portRef D (instanceRef wb_dati_7_0_0_o2_0_3))
    +           (portRef D (instanceRef wb_dati_7_0_0_o2_4))
    +           (portRef C (instanceRef wb_dati_7_0_0_a3_2_4))
    +           (portRef A (instanceRef RA_35_0_0_4))
    +           (portRef B (instanceRef wb_adr_7_i_i_a3_6_0))
    +           (portRef A (instanceRef wb_adr_7_i_i_5_0))
    +           (portRef B (instanceRef wb_dati_7_0_0_a3_8_3))
    +           (portRef A (instanceRef wb_dati_7_0_0_a3_3_4))
    +          ))
    +          (net (rename FS_10 "FS[10]") (joined
    +           (portRef (member fs 5))
    +           (portRef A (instanceRef wb_we_7_iv_0_0_0_a3_7))
    +           (portRef B (instanceRef wb_adr_7_i_i_3_1_0))
    +           (portRef A (instanceRef wb_dati_7_0_0_a3_4_1_0_7))
    +           (portRef A (instanceRef wb_dati_7_0_0_a3_13_7))
    +           (portRef A (instanceRef wb_dati_7_0_0_0_a3_0_0))
    +           (portRef B (instanceRef wb_adr_7_i_i_a3_2_0_0))
    +           (portRef A (instanceRef wb_adr_RNO_4_1))
    +           (portRef B (instanceRef wb_dati_7_0_0_a3_15_7))
    +           (portRef C (instanceRef wb_adr_RNO_2_1))
    +           (portRef A (instanceRef wb_dati_7_0_0_a3_1_0_6))
    +           (portRef C (instanceRef wb_we_RNO_1))
    +           (portRef C (instanceRef wb_dati_7_0_0_o2_0_3))
    +           (portRef C (instanceRef wb_dati_7_0_0_o2_4))
    +           (portRef B (instanceRef wb_adr_7_i_i_a3_4_0))
    +           (portRef A (instanceRef RA_35_0_0_3))
    +           (portRef A (instanceRef wb_adr_7_i_i_0))
    +           (portRef A (instanceRef wb_dati_7_0_0_a3_8_3))
    +           (portRef B (instanceRef wb_dati_7_0_0_a3_3_4))
    +          ))
    +          (net (rename FS_9 "FS[9]") (joined
    +           (portRef (member fs 6))
    +           (portRef A (instanceRef wb_dati_7_0_0_a3_14_7))
    +           (portRef C (instanceRef wb_we_7_iv_0_0_0_a3_7))
    +           (portRef B (instanceRef wb_adr_7_i_i_3_0))
    +           (portRef B (instanceRef wb_dati_7_0_0_o2_0_7))
    +           (portRef A (instanceRef wb_dati_7_0_0_a3_15_7))
    +           (portRef B (instanceRef wb_adr_RNO_2_1))
    +           (portRef B (instanceRef wb_adr_RNO_3_1))
    +           (portRef A (instanceRef wb_dati_7_0_0_0_o2_3))
    +           (portRef B (instanceRef wb_adr_7_i_i_o2_1_0))
    +           (portRef B (instanceRef wb_we_RNO_1))
    +           (portRef B (instanceRef wb_dati_7_0_0_o2_0_3))
    +           (portRef B (instanceRef wb_dati_7_0_0_o2_4))
    +           (portRef C (instanceRef RA_35_0_0_a3_2))
    +           (portRef A (instanceRef wb_adr_7_i_i_a3_4_0))
    +           (portRef B (instanceRef wb_dati_7_0_0_a3_2_4))
    +           (portRef A (instanceRef wb_adr_7_i_i_a3_6_0))
    +           (portRef C (instanceRef wb_dati_7_0_0_a3_8_3))
    +           (portRef C (instanceRef wb_dati_7_0_0_a3_3_4))
    +          ))
    +          (net (rename FS_8 "FS[8]") (joined
    +           (portRef (member fs 7))
    +           (portRef D (instanceRef wb_dati_7_0_0_a3_14_7))
    +           (portRef A (instanceRef wb_dati_7_0_0_a3_12_7))
    +           (portRef D (instanceRef wb_we_7_iv_0_0_0_a3_7))
    +           (portRef A (instanceRef wb_adr_7_i_i_3_0))
    +           (portRef A (instanceRef wb_adr_7_i_i_3_1_0))
    +           (portRef A (instanceRef wb_dati_7_0_0_o2_0_7))
    +           (portRef A (instanceRef wb_adr_7_i_i_a3_2_0_0))
    +           (portRef A (instanceRef wb_adr_RNO_2_1))
    +           (portRef A (instanceRef wb_adr_RNO_3_1))
    +           (portRef A (instanceRef wb_adr_7_i_i_o2_1_0))
    +           (portRef A (instanceRef wb_we_RNO_1))
    +           (portRef A (instanceRef wb_dati_7_0_0_o2_0_3))
    +           (portRef A (instanceRef wb_dati_7_0_0_o2_4))
    +           (portRef C (instanceRef RA_35_i_i_0_a3_1))
    +           (portRef A (instanceRef wb_dati_7_0_0_a3_2_4))
    +           (portRef D (instanceRef wb_dati_7_0_0_a3_8_3))
    +           (portRef D (instanceRef wb_dati_7_0_0_a3_3_4))
    +          ))
    +          (net N_873 (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_a3_3_4))
    +           (portRef D (instanceRef wb_dati_7_0_0_o3_0_2))
    +           (portRef C (instanceRef wb_dati_7_0_0_a3_0_0_1))
    +           (portRef B (instanceRef wb_dati_7_0_0_0_4))
    +          ))
    +          (net N_845 (joined
    +           (portRef Z (instanceRef RA_35_2_0_a3_5_10))
    +           (portRef B (instanceRef RA_35_2_0_0_10))
    +           (portRef C (instanceRef RA_35_0_0_0_9))
    +           (portRef B (instanceRef RA_35_0_0_11))
    +          ))
    +          (net wb_ack (joined
    +           (portRef wb_ack (instanceRef ufmefb))
    +           (portRef B (instanceRef un1_RWMask_0_sqmuxa_1_i_0_a3_0_0_0))
    +           (portRef D (instanceRef wb_cyc_stb_RNO_0))
    +           (portRef D (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_0_0))
    +           (portRef D (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_0))
    +           (portRef A (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0_RNO_0))
    +          ))
    +          (net (rename un1_LEDEN_0_sqmuxa_1_i_0_a3_0_1_0 "un1_LEDEN_0_sqmuxa_1_i_0_a3_0_1[0]") (joined
    +           (portRef Z (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0_RNO_0))
    +           (portRef D (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0_0))
    +          ))
    +          (net N_206 (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_o2_0_7))
    +           (portRef D (instanceRef wb_dati_7_0_0_a3_1_0_6))
    +           (portRef A (instanceRef wb_dati_7_0_0_RNO_0_7))
    +           (portRef A (instanceRef wb_dati_7_0_0_0_0_RNO_7))
    +          ))
    +          (net N_811 (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_a3_13_7))
    +           (portRef C (instanceRef wb_dati_7_0_0_0_o2_3))
    +           (portRef C (instanceRef wb_dati_7_0_0_0_a3_7))
    +           (portRef B (instanceRef wb_dati_7_0_0_a3_13_RNI81UL_7))
    +           (portRef B (instanceRef wb_dati_7_0_0_0_0_RNO_7))
    +          ))
    +          (net (rename wb_dati_7_0_0_a3_8_0_7 "wb_dati_7_0_0_a3_8_0[7]") (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_0_0_RNO_7))
    +           (portRef D (instanceRef wb_dati_7_0_0_0_0_7))
    +          ))
    +          (net N_551 (joined
    +           (portRef Z (instanceRef CKE_7_m1_0_0_o2))
    +           (portRef D (instanceRef un1_wb_cyc_stb_0_sqmuxa_1_i_0_0_a2_0))
    +           (portRef A (instanceRef CKE_7s2_0_0_o3))
    +           (portRef D (instanceRef CKE_7_RNIS77M1))
    +           (portRef D (instanceRef wb_req_RNO_0))
    +           (portRef D (instanceRef RA_35_2_0_RNO_10))
    +           (portRef D (instanceRef CKE_7_m1_0_0_o2_RNICM8E1))
    +           (portRef C (instanceRef CKE_7_m1_0_0_o2_RNIGC501))
    +           (portRef C (instanceRef CKE_7_m1_0_0_o2_RNI7FOA1))
    +           (portRef C (instanceRef S_s_0_0_RNO_0))
    +           (portRef N_551)
    +          ))
    +          (net N_643 (joined
    +           (portRef Z (instanceRef S_s_0_0_RNO_0))
    +           (portRef C (instanceRef S_s_0_0_0))
    +          ))
    +          (net RC12 (joined
    +           (portRef Z (instanceRef CKE_7_m1_0_0_o2_RNI7FOA1))
    +           (portRef RC12)
    +          ))
    +          (net (rename FS_4 "FS[4]") (joined
    +           (portRef (member fs 11))
    +           (portRef C (instanceRef RA_35_0_0_a3_4_7))
    +           (portRef D (instanceRef nRWE_s_i_0_63_1))
    +           (portRef B (instanceRef Ready3_0_a3_4))
    +           (portRef D (instanceRef nCAS_s_i_0_m2))
    +           (portRef B (instanceRef nRAS_s_i_0_o2_0))
    +           (portRef A (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_o3_0))
    +           (portRef B (instanceRef RA_35_0_0_0_5))
    +           (portRef A (instanceRef RA_35_0_0_0_9))
    +           (portRef A (instanceRef BA_4_0))
    +           (portRef A (instanceRef BA_4_1))
    +           (portRef A (instanceRef RA_35_2_0_RNO_10))
    +           (portRef D (instanceRef RA_35_0_0_RNO_0))
    +           (portRef A (instanceRef CKE_7_m1_0_0_o2_RNICM8E1))
    +           (portRef A (instanceRef CKE_7_m1_0_0_o2_RNIGC501))
    +          ))
    +          (net N_792 (joined
    +           (portRef Z (instanceRef CKE_7_m1_0_0_o2_RNIGC501))
    +           (portRef D (instanceRef RA_35_0_0_a3_2))
    +           (portRef D (instanceRef RA_35_i_i_0_a3_1))
    +          ))
    +          (net (rename RC_1 "RC[1]") (joined
    +           (portRef (member rc 1))
    +           (portRef A (instanceRef nRAS_s_i_0_a3_8))
    +           (portRef C (instanceRef CKE_7_bm))
    +           (portRef B (instanceRef RC_3_0_0_2))
    +           (portRef B (instanceRef N_360_i))
    +           (portRef A (instanceRef RC_3_0_0_1))
    +          ))
    +          (net CO0_1 (joined
    +           (portRef CO0_1)
    +           (portRef D (instanceRef nRAS_s_i_0_a3_8))
    +           (portRef A (instanceRef RC_3_0_0_a3_1_1))
    +           (portRef A (instanceRef RC_3_0_0_2))
    +           (portRef A (instanceRef N_360_i))
    +           (portRef B (instanceRef RC_3_0_0_1))
    +          ))
    +          (net (rename RC_2 "RC[2]") (joined
    +           (portRef (member rc 0))
    +           (portRef C (instanceRef nRAS_s_i_0_a3_8))
    +           (portRef B (instanceRef RC_3_0_0_a3_1_1))
    +           (portRef C (instanceRef RC_3_0_0_2))
    +           (portRef C (instanceRef N_360_i))
    +           (portRef C (instanceRef RC_3_0_0_1))
    +          ))
    +          (net (rename RC_3_1 "RC_3[1]") (joined
    +           (portRef Z (instanceRef RC_3_0_0_1))
    +           (portRef (member rc_3 1))
    +          ))
    +          (net N_185 (joined
    +           (portRef Z (instanceRef N_314_i_i_o3))
    +           (portRef C (instanceRef SUM2_0_o2))
    +           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_0_RNIVQNQ2))
    +           (portRef B (instanceRef N_187_i))
    +           (portRef D (instanceRef wb_we_RNO_0))
    +           (portRef D (instanceRef CmdBitbangMXO2_RNINSM62))
    +          ))
    +          (net N_804 (joined
    +           (portRef Z (instanceRef nRAS_s_i_0_a3_5))
    +           (portRef D (instanceRef nRAS_s_i_0_a3_0_RNIIR094))
    +           (portRef A (instanceRef RA_35_2_0_a3_3_10))
    +           (portRef B (instanceRef CKE_7s2_0_0_o3))
    +           (portRef A (instanceRef CKE_7_am))
    +           (portRef A (instanceRef nRAS_s_i_0_a3_5_RNIH7J73_0))
    +           (portRef A (instanceRef nRAS_s_i_0_a3_5_RNIH7J73))
    +          ))
    +          (net N_285_i (joined
    +           (portRef Z (instanceRef N_285_i))
    +           (portRef A (instanceRef S_r_i_0_o2_RNI62C53_1))
    +           (portRef A (instanceRef nRAS_s_i_0_a3_6))
    +           (portRef A (instanceRef nRAS_s_i_0_a3_0))
    +           (portRef A (instanceRef nCAS_s_i_0_a3_0))
    +           (portRef A (instanceRef nCAS_s_i_0_a3))
    +           (portRef A (instanceRef nRAS_s_i_0_0))
    +           (portRef B (instanceRef nRAS_s_i_0_a3_5_RNIH7J73_0))
    +           (portRef D (instanceRef nRAS_s_i_0_a3_5_RNIH7J73))
    +          ))
    +          (net N_872 (joined
    +           (portRef Z (instanceRef nRAS_s_i_0_a3_5_RNIH7J73))
    +           (portRef C (instanceRef nCAS_s_i_0_a3_RNIO1UQ3))
    +          ))
    +          (net N_849 (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_a3_8_3))
    +           (portRef C (instanceRef wb_dati_7_0_0_0_3))
    +           (portRef C (instanceRef wb_dati_7_0_0_1))
    +           (portRef C (instanceRef wb_dati_7_0_0_6))
    +          ))
    +          (net BA_0_sqmuxa (joined
    +           (portRef Z (instanceRef CKE_7_m1_0_0_o2_RNICM8E1))
    +           (portRef BA_0_sqmuxa)
    +          ))
    +          (net (rename RWBank_1 "RWBank[1]") (joined
    +           (portRef (member rwbank 6))
    +           (portRef A (instanceRef S_r_i_0_o2_RNIP4KI1_1))
    +          ))
    +          (net (rename S_r_i_0_o2_1 "S_r_i_0_o2[1]") (joined
    +           (portRef Z (instanceRef S_r_i_0_o2_1))
    +           (portRef B (instanceRef S_r_i_0_o2_RNI62C53_1))
    +           (portRef A (instanceRef RA_35_2_0_a3_0_10))
    +           (portRef C (instanceRef nRAS_s_i_0_a3_0))
    +           (portRef B (instanceRef RA_35_2_0_a3_10))
    +           (portRef D (instanceRef S_r_i_0_o2_0_RNI36E21_1))
    +           (portRef C (instanceRef S_r_i_0_o2_RNIBAU51_1))
    +           (portRef D (instanceRef S_r_i_0_o2_RNIOGTF1_1))
    +           (portRef D (instanceRef S_r_i_0_o2_RNIP4KI1_1))
    +          ))
    +          (net N_699 (joined
    +           (portRef Z (instanceRef S_r_i_0_o2_RNIP4KI1_1))
    +           (portRef C (instanceRef un2_S_2_i_0_0_o3_RNIHFHN3))
    +          ))
    +          (net N_617 (joined
    +           (portRef Z (instanceRef nRAS_s_i_0_a3_5_RNIH7J73_0))
    +           (portRef C (instanceRef nRAS_s_i_0_0_RNI0PC64))
    +          ))
    +          (net (rename N_225_i_1z "N_225_i") (joined
    +           (portRef Z (instanceRef N_225_i))
    +           (portRef N_225_i_1z)
    +          ))
    +          (net (rename N_201_i_1z "N_201_i") (joined
    +           (portRef Z (instanceRef N_201_i))
    +           (portRef N_201_i_1z)
    +          ))
    +          (net RWSel (joined
    +           (portRef RWSel)
    +           (portRef B (instanceRef SUM2_0_o2))
    +           (portRef B (instanceRef CmdTout_3_0_a3_0_a3_0))
    +           (portRef D (instanceRef un1_CS_0_sqmuxa_0_0_a3_0_1))
    +           (portRef C (instanceRef N_368_i))
    +           (portRef D (instanceRef N_369_i))
    +           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a3_1_0_RNIS35S))
    +           (portRef C0 (instanceRef un1_CS_0_sqmuxa_0_0_0))
    +           (portRef A (instanceRef N_187_i))
    +           (portRef C (instanceRef wb_we_RNO_0))
    +           (portRef C (instanceRef CmdBitbangMXO2_RNINSM62))
    +           (portRef A (instanceRef S_r_i_0_o2_RNIOGTF1_1))
    +          ))
    +          (net N_187 (joined
    +           (portRef Z (instanceRef S_r_i_0_o2_RNIOGTF1_1))
    +           (portRef A (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_0))
    +           (portRef B (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0_0))
    +           (portRef B (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0_0))
    +           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a3_9_RNI3N8T5))
    +           (portRef B (instanceRef S_r_i_0_o2_RNI3VQTC_1))
    +          ))
    +          (net (rename FS_3 "FS[3]") (joined
    +           (portRef (member fs 12))
    +           (portRef A (instanceRef RA_35_0_0_a3_4_7))
    +           (portRef C (instanceRef nRWE_s_i_0_63_1))
    +           (portRef C (instanceRef nRAS_s_i_0_m3))
    +           (portRef B (instanceRef Ready3_0_a3_5))
    +           (portRef C (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_o3_3_0))
    +           (portRef C (instanceRef nCAS_s_i_0_m2))
    +           (portRef A (instanceRef nRAS_s_i_0_o2_0))
    +           (portRef A (instanceRef RA_35_0_0_0_5))
    +           (portRef A (instanceRef RA_35_0_0_a3_2))
    +           (portRef A (instanceRef RA_35_i_i_0_a3_1))
    +           (portRef A (instanceRef RA_35_0_0_RNO_0))
    +          ))
    +          (net (rename FS_1 "FS[1]") (joined
    +           (portRef (member fs 14))
    +           (portRef A (instanceRef nRWE_s_i_0_63_1))
    +           (portRef A (instanceRef nRAS_s_i_0_m3))
    +           (portRef A (instanceRef Ready3_0_a3_5))
    +           (portRef A (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_o3_3_0))
    +           (portRef A (instanceRef nCAS_s_i_0_m2))
    +           (portRef B (instanceRef RA_35_2_0_RNO_10))
    +           (portRef B (instanceRef RA_35_0_0_RNO_0))
    +          ))
    +          (net N_684 (joined
    +           (portRef Z (instanceRef RA_35_0_0_RNO_0))
    +           (portRef B (instanceRef RA_35_0_0_0))
    +          ))
    +          (net N_627 (joined
    +           (portRef Z (instanceRef RA_35_2_0_RNO_10))
    +           (portRef B (instanceRef RA_35_2_0_10))
    +          ))
    +          (net N_194 (joined
    +           (portRef Z (instanceRef S_r_i_0_o2_2))
    +           (portRef A (instanceRef RA_35_2_0_a3_10))
    +           (portRef B (instanceRef S_r_i_0_o2_0_RNI36E21_1))
    +           (portRef B (instanceRef S_r_i_0_o2_RNIFNP81_2))
    +           (portRef B (instanceRef S_r_i_0_o2_RNIFNP81_0_2))
    +          ))
    +          (net S_1 (joined
    +           (portRef S_1)
    +           (portRef A (instanceRef S_s_0_0_0))
    +           (portRef A (instanceRef S_r_i_0_o2_0_RNI36E21_1))
    +           (portRef A (instanceRef S_r_i_0_o2_RNIFNP81_2))
    +           (portRef C (instanceRef S_r_i_0_o2_RNIFNP81_0_2))
    +          ))
    +          (net N_372_i (joined
    +           (portRef Z (instanceRef S_r_i_0_o2_RNIFNP81_0_2))
    +           (portRef N_372_i)
    +          ))
    +          (net (rename FS_15 "FS[15]") (joined
    +           (portRef (member fs 0))
    +           (portRef A (instanceRef un1_wb_cyc_stb_0_sqmuxa_1_i_0_0_a2_0))
    +           (portRef B (instanceRef wb_rst8_0_a3_0_a3))
    +           (portRef D (instanceRef Ready3_0_a3_4))
    +           (portRef A (instanceRef DQMH_4_iv_0_0_i_i_a3_0_a3))
    +           (portRef A (instanceRef N_507_i))
    +           (portRef B (instanceRef N_285_i))
    +           (portRef A (instanceRef wb_req_RNO_0))
    +          ))
    +          (net wb_adr_0_sqmuxa_1_i (joined
    +           (portRef Z (instanceRef wb_req_RNO_0))
    +           (portRef SP (instanceRef wb_req))
    +          ))
    +          (net CmdBitbangMXO2 (joined
    +           (portRef Q (instanceRef CmdBitbangMXO2))
    +           (portRef A (instanceRef CmdBitbangMXO2_RNINSM62))
    +          ))
    +          (net N_777 (joined
    +           (portRef Z (instanceRef un1_wb_cyc_stb_0_sqmuxa_1_i_0_0_a2_0))
    +           (portRef C (instanceRef wb_dati_7_0_0_a3_10_7))
    +           (portRef C (instanceRef wb_dati_7_0_0_a3_14_7))
    +           (portRef B (instanceRef wb_dati_7_0_0_a3_12_7))
    +           (portRef B (instanceRef wb_dati_7_0_0_a3_7_3))
    +           (portRef B (instanceRef wb_dati_7_0_0_a3_9_7))
    +           (portRef B (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_0_0))
    +           (portRef B (instanceRef wb_we_RNO_0))
    +           (portRef B (instanceRef CmdBitbangMXO2_RNINSM62))
    +          ))
    +          (net CmdBitbangMXO2_RNINSM62 (joined
    +           (portRef Z (instanceRef CmdBitbangMXO2_RNINSM62))
    +           (portRef SP (instanceRef wb_adr_7))
    +           (portRef SP (instanceRef wb_adr_6))
    +           (portRef SP (instanceRef wb_adr_5))
    +           (portRef SP (instanceRef wb_adr_4))
    +           (portRef SP (instanceRef wb_adr_3))
    +           (portRef SP (instanceRef wb_adr_2))
    +           (portRef SP (instanceRef wb_adr_1))
    +           (portRef SP (instanceRef wb_adr_0))
    +           (portRef SP (instanceRef wb_dati_7))
    +           (portRef SP (instanceRef wb_dati_6))
    +           (portRef SP (instanceRef wb_dati_5))
    +           (portRef SP (instanceRef wb_dati_4))
    +           (portRef SP (instanceRef wb_dati_3))
    +           (portRef SP (instanceRef wb_dati_2))
    +           (portRef SP (instanceRef wb_dati_1))
    +           (portRef SP (instanceRef wb_dati_0))
    +          ))
    +          (net CmdExecMXO2 (joined
    +           (portRef Q (instanceRef CmdExecMXO2))
    +           (portRef C (instanceRef wb_cyc_stb_RNO))
    +           (portRef B (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_0))
    +           (portRef A (instanceRef wb_we_RNO_0))
    +          ))
    +          (net wb_we_RNO_0 (joined
    +           (portRef Z (instanceRef wb_we_RNO_0))
    +           (portRef SP (instanceRef wb_we))
    +          ))
    +          (net N_856 (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_a3_14_7))
    +           (portRef D (instanceRef wb_dati_7_0_0_0_a3_7))
    +           (portRef D (instanceRef wb_adr_7_i_i_5_0))
    +           (portRef A (instanceRef wb_dati_7_0_0_0_0))
    +           (portRef A (instanceRef wb_dati_7_0_0_a3_13_RNI81UL_7))
    +          ))
    +          (net N_757 (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_a3_13_RNI81UL_7))
    +           (portRef A (instanceRef wb_dati_7_0_0_4))
    +           (portRef A (instanceRef wb_dati_7_0_0_6))
    +          ))
    +          (net CKE_7 (joined
    +           (portRef Z (instanceRef CKE_7))
    +           (portRef A (instanceRef CKE_7_RNIS77M1))
    +          ))
    +          (net (rename CKE_7_RNIS77M1_1z "CKE_7_RNIS77M1") (joined
    +           (portRef Z (instanceRef CKE_7_RNIS77M1))
    +           (portRef CKE_7_RNIS77M1_1z)
    +          ))
    +          (net N_185_i (joined
    +           (portRef Z (instanceRef S_r_i_0_o2_RNIBAU51_1))
    +           (portRef N_185_i)
    +          ))
    +          (net (rename N_187_i_1z "N_187_i") (joined
    +           (portRef Z (instanceRef N_187_i))
    +           (portRef SP (instanceRef CmdBitbangMXO2))
    +           (portRef SP (instanceRef CmdExecMXO2))
    +           (portRef SP (instanceRef CmdSetRWBankFFChip))
    +           (portRef N_187_i_1z)
    +          ))
    +          (net un1_CS_0_sqmuxa_0_0_0_bm (joined
    +           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_0_bm))
    +           (portRef ALUT (instanceRef un1_CS_0_sqmuxa_0_0_0))
    +          ))
    +          (net un1_CS_0_sqmuxa_0_0_0_am (joined
    +           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_0_am))
    +           (portRef BLUT (instanceRef un1_CS_0_sqmuxa_0_0_0))
    +          ))
    +          (net un1_CS_0_sqmuxa_0_0_0 (joined
    +           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_0))
    +           (portRef D (instanceRef un1_CS_0_sqmuxa_0_0_0_RNIVQNQ2))
    +          ))
    +          (net N_193 (joined
    +           (portRef Z (instanceRef SUM0_i_o2))
    +           (portRef D (instanceRef SUM0_i_a3_1))
    +           (portRef A (instanceRef S_r_i_0_o2_RNIVM0LF_1))
    +           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_0_bm))
    +          ))
    +          (net un1_CS_0_sqmuxa_0_0_a3_2_2 (joined
    +           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a3_2_2))
    +           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_0_bm))
    +          ))
    +          (net (rename Din_c_6 "Din_c[6]") (joined
    +           (portRef (member din_c 1))
    +           (portRef A (instanceRef CmdBitbangMXO2_3_0_a3_0))
    +           (portRef A (instanceRef RDout_i_0_i_a3_6))
    +           (portRef A (instanceRef wb_adr_RNO_6))
    +           (portRef C (instanceRef SUM1_0_o3_0))
    +           (portRef A (instanceRef RWMask_RNO_6))
    +           (portRef C (instanceRef SUM0_i_a3_1))
    +           (portRef A (instanceRef RWBank_3_0_6))
    +           (portRef C (instanceRef CmdExecMXO2_3_0_a3_0_RNIAJ811))
    +           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a3_1_0))
    +           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a3_0))
    +           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_0_bm))
    +          ))
    +          (net (rename CS_0 "CS[0]") (joined
    +           (portRef (member cs 2))
    +           (portRef A (instanceRef SUM2_0_o2))
    +           (portRef B (instanceRef CmdBitbangMXO2_3_0_a3_0))
    +           (portRef A (instanceRef SUM0_i_a3_1))
    +           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a3_0))
    +           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_o3_0_RNIN3AF2))
    +           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_o3_0_RNIA0N95))
    +           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a3_1_0_RNIS35S))
    +           (portRef A (instanceRef S_r_i_0_o2_RNI3VQTC_1))
    +           (portRef D (instanceRef un1_CS_0_sqmuxa_0_0_0_bm))
    +          ))
    +          (net (rename CmdTout_2 "CmdTout[2]") (joined
    +           (portRef (member cmdtout 0))
    +           (portRef C (instanceRef N_369_i))
    +           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_0_am))
    +          ))
    +          (net (rename CmdTout_1 "CmdTout[1]") (joined
    +           (portRef (member cmdtout 1))
    +           (portRef B (instanceRef N_368_i))
    +           (portRef B (instanceRef N_369_i))
    +           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_0_am))
    +          ))
    +          (net CO0_0 (joined
    +           (portRef CO0_0)
    +           (portRef A (instanceRef CmdTout_3_0_a3_0_a3_0))
    +           (portRef A (instanceRef N_368_i))
    +           (portRef A (instanceRef N_369_i))
    +           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_0_am))
    +          ))
    +          (net wb_we_RNO (joined
    +           (portRef Z (instanceRef wb_we_RNO))
    +           (portRef D (instanceRef wb_we))
    +          ))
    +          (net C14M_c (joined
    +           (portRef C14M_c)
    +           (portRef C14M_c (instanceRef ufmefb))
    +           (portRef CK (instanceRef CmdBitbangMXO2))
    +           (portRef CK (instanceRef CmdExecMXO2))
    +           (portRef CK (instanceRef CmdSetRWBankFFChip))
    +           (portRef CK (instanceRef LEDEN))
    +           (portRef CK (instanceRef RWMask_7))
    +           (portRef CK (instanceRef RWMask_6))
    +           (portRef CK (instanceRef RWMask_5))
    +           (portRef CK (instanceRef RWMask_4))
    +           (portRef CK (instanceRef RWMask_3))
    +           (portRef CK (instanceRef RWMask_2))
    +           (portRef CK (instanceRef RWMask_1))
    +           (portRef CK (instanceRef RWMask_0))
    +           (portRef CK (instanceRef wb_adr_7))
    +           (portRef CK (instanceRef wb_adr_6))
    +           (portRef CK (instanceRef wb_adr_5))
    +           (portRef CK (instanceRef wb_adr_4))
    +           (portRef CK (instanceRef wb_adr_3))
    +           (portRef CK (instanceRef wb_adr_2))
    +           (portRef CK (instanceRef wb_adr_1))
    +           (portRef CK (instanceRef wb_adr_0))
    +           (portRef CK (instanceRef wb_cyc_stb))
    +           (portRef CK (instanceRef wb_dati_7))
    +           (portRef CK (instanceRef wb_dati_6))
    +           (portRef CK (instanceRef wb_dati_5))
    +           (portRef CK (instanceRef wb_dati_4))
    +           (portRef CK (instanceRef wb_dati_3))
    +           (portRef CK (instanceRef wb_dati_2))
    +           (portRef CK (instanceRef wb_dati_1))
    +           (portRef CK (instanceRef wb_dati_0))
    +           (portRef CK (instanceRef wb_req))
    +           (portRef CK (instanceRef wb_rst))
    +           (portRef CK (instanceRef wb_we))
    +          ))
    +          (net wb_we (joined
    +           (portRef Q (instanceRef wb_we))
    +           (portRef wb_we (instanceRef ufmefb))
    +          ))
    +          (net wb_rst8 (joined
    +           (portRef Z (instanceRef wb_rst8_0_a3_0_a3))
    +           (portRef D (instanceRef wb_rst))
    +          ))
    +          (net wb_rst (joined
    +           (portRef Q (instanceRef wb_rst))
    +           (portRef wb_rst (instanceRef ufmefb))
    +          ))
    +          (net wb_reqc_i (joined
    +           (portRef Z (instanceRef wb_req_RNO))
    +           (portRef D (instanceRef wb_req))
    +          ))
    +          (net wb_req (joined
    +           (portRef Q (instanceRef wb_req))
    +           (portRef C (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_a3_0_0_0))
    +          ))
    +          (net (rename wb_dati_7_0 "wb_dati_7[0]") (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_0_0))
    +           (portRef D (instanceRef wb_dati_0))
    +          ))
    +          (net (rename wb_dati_0 "wb_dati[0]") (joined
    +           (portRef Q (instanceRef wb_dati_0))
    +           (portRef (member wb_dati 7) (instanceRef ufmefb))
    +          ))
    +          (net (rename wb_dati_7_1 "wb_dati_7[1]") (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_1))
    +           (portRef D (instanceRef wb_dati_1))
    +          ))
    +          (net (rename wb_dati_1 "wb_dati[1]") (joined
    +           (portRef Q (instanceRef wb_dati_1))
    +           (portRef (member wb_dati 6) (instanceRef ufmefb))
    +          ))
    +          (net (rename wb_dati_7_2 "wb_dati_7[2]") (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_2))
    +           (portRef D (instanceRef wb_dati_2))
    +          ))
    +          (net (rename wb_dati_2 "wb_dati[2]") (joined
    +           (portRef Q (instanceRef wb_dati_2))
    +           (portRef (member wb_dati 5) (instanceRef ufmefb))
    +          ))
    +          (net (rename wb_dati_7_3 "wb_dati_7[3]") (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_0_3))
    +           (portRef D (instanceRef wb_dati_3))
    +          ))
    +          (net (rename wb_dati_3 "wb_dati[3]") (joined
    +           (portRef Q (instanceRef wb_dati_3))
    +           (portRef (member wb_dati 4) (instanceRef ufmefb))
    +          ))
    +          (net (rename wb_dati_7_4 "wb_dati_7[4]") (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_4))
    +           (portRef D (instanceRef wb_dati_4))
    +          ))
    +          (net (rename wb_dati_4 "wb_dati[4]") (joined
    +           (portRef Q (instanceRef wb_dati_4))
    +           (portRef (member wb_dati 3) (instanceRef ufmefb))
    +          ))
    +          (net (rename wb_dati_7_5 "wb_dati_7[5]") (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_5))
    +           (portRef D (instanceRef wb_dati_5))
    +          ))
    +          (net (rename wb_dati_5 "wb_dati[5]") (joined
    +           (portRef Q (instanceRef wb_dati_5))
    +           (portRef (member wb_dati 2) (instanceRef ufmefb))
    +          ))
    +          (net (rename wb_dati_7_6 "wb_dati_7[6]") (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_6))
    +           (portRef D (instanceRef wb_dati_6))
    +          ))
    +          (net (rename wb_dati_6 "wb_dati[6]") (joined
    +           (portRef Q (instanceRef wb_dati_6))
    +           (portRef (member wb_dati 1) (instanceRef ufmefb))
    +          ))
    +          (net (rename wb_dati_7_7 "wb_dati_7[7]") (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_0_7))
    +           (portRef D (instanceRef wb_dati_7))
    +          ))
    +          (net (rename wb_dati_7 "wb_dati[7]") (joined
    +           (portRef Q (instanceRef wb_dati_7))
    +           (portRef (member wb_dati 0) (instanceRef ufmefb))
    +          ))
    +          (net wb_cyc_stb_RNO (joined
    +           (portRef Z (instanceRef wb_cyc_stb_RNO))
    +           (portRef D (instanceRef wb_cyc_stb))
    +          ))
    +          (net (rename un1_CmdSetRWBankFFChip13_1_i_0_0_0 "un1_CmdSetRWBankFFChip13_1_i_0_0[0]") (joined
    +           (portRef Z (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_0))
    +           (portRef SP (instanceRef wb_cyc_stb))
    +          ))
    +          (net wb_cyc_stb (joined
    +           (portRef Q (instanceRef wb_cyc_stb))
    +           (portRef wb_cyc_stb (instanceRef ufmefb))
    +          ))
    +          (net (rename wb_adr_7_i_i_0 "wb_adr_7_i_i[0]") (joined
    +           (portRef Z (instanceRef wb_adr_7_i_i_0))
    +           (portRef D (instanceRef wb_adr_0))
    +          ))
    +          (net (rename wb_adr_0 "wb_adr[0]") (joined
    +           (portRef Q (instanceRef wb_adr_0))
    +           (portRef (member wb_adr 7) (instanceRef ufmefb))
    +           (portRef C (instanceRef wb_dati_7_0_0_0_0))
    +          ))
    +          (net (rename wb_adr_RNO_1 "wb_adr_RNO[1]") (joined
    +           (portRef Z (instanceRef wb_adr_RNO_1))
    +           (portRef D (instanceRef wb_adr_1))
    +          ))
    +          (net (rename wb_adr_1 "wb_adr[1]") (joined
    +           (portRef Q (instanceRef wb_adr_1))
    +           (portRef (member wb_adr 6) (instanceRef ufmefb))
    +           (portRef C (instanceRef wb_dati_7_0_0_0_1))
    +          ))
    +          (net N_80_i (joined
    +           (portRef Z (instanceRef wb_adr_RNO_2))
    +           (portRef D (instanceRef wb_adr_2))
    +          ))
    +          (net (rename wb_adr_2 "wb_adr[2]") (joined
    +           (portRef Q (instanceRef wb_adr_2))
    +           (portRef (member wb_adr 5) (instanceRef ufmefb))
    +           (portRef C (instanceRef wb_dati_7_0_0_2))
    +          ))
    +          (net N_268_i (joined
    +           (portRef Z (instanceRef wb_adr_RNO_3))
    +           (portRef D (instanceRef wb_adr_3))
    +          ))
    +          (net (rename wb_adr_3 "wb_adr[3]") (joined
    +           (portRef Q (instanceRef wb_adr_3))
    +           (portRef (member wb_adr 4) (instanceRef ufmefb))
    +           (portRef C (instanceRef wb_dati_7_0_0_0_0_3))
    +          ))
    +          (net N_294 (joined
    +           (portRef Z (instanceRef wb_adr_RNO_4))
    +           (portRef D (instanceRef wb_adr_4))
    +          ))
    +          (net (rename wb_adr_4 "wb_adr[4]") (joined
    +           (portRef Q (instanceRef wb_adr_4))
    +           (portRef (member wb_adr 3) (instanceRef ufmefb))
    +           (portRef D (instanceRef wb_dati_7_0_0_0_4))
    +          ))
    +          (net N_290 (joined
    +           (portRef Z (instanceRef wb_adr_RNO_5))
    +           (portRef D (instanceRef wb_adr_5))
    +          ))
    +          (net (rename wb_adr_5 "wb_adr[5]") (joined
    +           (portRef Q (instanceRef wb_adr_5))
    +           (portRef (member wb_adr 2) (instanceRef ufmefb))
    +           (portRef C (instanceRef wb_dati_7_0_0_5))
    +          ))
    +          (net N_284 (joined
    +           (portRef Z (instanceRef wb_adr_RNO_6))
    +           (portRef D (instanceRef wb_adr_6))
    +          ))
    +          (net (rename wb_adr_6 "wb_adr[6]") (joined
    +           (portRef Q (instanceRef wb_adr_6))
    +           (portRef (member wb_adr 1) (instanceRef ufmefb))
    +           (portRef C (instanceRef wb_dati_7_0_0_0_6))
    +          ))
    +          (net N_267_i (joined
    +           (portRef Z (instanceRef wb_adr_RNO_7))
    +           (portRef D (instanceRef wb_adr_7))
    +          ))
    +          (net (rename wb_adr_7 "wb_adr[7]") (joined
    +           (portRef Q (instanceRef wb_adr_7))
    +           (portRef (member wb_adr 0) (instanceRef ufmefb))
    +           (portRef C (instanceRef wb_dati_7_0_0_0_0_7))
    +          ))
    +          (net N_309_i (joined
    +           (portRef Z (instanceRef RWMask_RNO_0))
    +           (portRef D (instanceRef RWMask_0))
    +          ))
    +          (net (rename un1_RWMask_0_sqmuxa_1_i_0_0_0 "un1_RWMask_0_sqmuxa_1_i_0_0[0]") (joined
    +           (portRef Z (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0_0))
    +           (portRef SP (instanceRef RWMask_7))
    +           (portRef SP (instanceRef RWMask_6))
    +           (portRef SP (instanceRef RWMask_5))
    +           (portRef SP (instanceRef RWMask_4))
    +           (portRef SP (instanceRef RWMask_3))
    +           (portRef SP (instanceRef RWMask_2))
    +           (portRef SP (instanceRef RWMask_1))
    +           (portRef SP (instanceRef RWMask_0))
    +          ))
    +          (net (rename RWMask_0 "RWMask[0]") (joined
    +           (portRef Q (instanceRef RWMask_0))
    +           (portRef C (instanceRef RWBank_3_0_0_0))
    +          ))
    +          (net N_307_i (joined
    +           (portRef Z (instanceRef RWMask_RNO_1))
    +           (portRef D (instanceRef RWMask_1))
    +          ))
    +          (net (rename RWMask_1 "RWMask[1]") (joined
    +           (portRef Q (instanceRef RWMask_1))
    +           (portRef C (instanceRef RWBank_3_0_1))
    +          ))
    +          (net N_304_i (joined
    +           (portRef Z (instanceRef RWMask_RNO_2))
    +           (portRef D (instanceRef RWMask_2))
    +          ))
    +          (net (rename RWMask_2 "RWMask[2]") (joined
    +           (portRef Q (instanceRef RWMask_2))
    +           (portRef C (instanceRef RWBank_3_0_2))
    +          ))
    +          (net N_302_i (joined
    +           (portRef Z (instanceRef RWMask_RNO_3))
    +           (portRef D (instanceRef RWMask_3))
    +          ))
    +          (net (rename RWMask_3 "RWMask[3]") (joined
    +           (portRef Q (instanceRef RWMask_3))
    +           (portRef C (instanceRef RWBank_3_0_3))
    +          ))
    +          (net N_310_i (joined
    +           (portRef Z (instanceRef RWMask_RNO_4))
    +           (portRef D (instanceRef RWMask_4))
    +          ))
    +          (net (rename RWMask_4 "RWMask[4]") (joined
    +           (portRef Q (instanceRef RWMask_4))
    +           (portRef C (instanceRef RWBank_3_0_0_4))
    +          ))
    +          (net N_301_i (joined
    +           (portRef Z (instanceRef RWMask_RNO_5))
    +           (portRef D (instanceRef RWMask_5))
    +          ))
    +          (net (rename RWMask_5 "RWMask[5]") (joined
    +           (portRef Q (instanceRef RWMask_5))
    +           (portRef C (instanceRef RWBank_3_0_5))
    +          ))
    +          (net N_300_i (joined
    +           (portRef Z (instanceRef RWMask_RNO_6))
    +           (portRef D (instanceRef RWMask_6))
    +          ))
    +          (net (rename RWMask_6 "RWMask[6]") (joined
    +           (portRef Q (instanceRef RWMask_6))
    +           (portRef C (instanceRef RWBank_3_0_6))
    +          ))
    +          (net N_296 (joined
    +           (portRef Z (instanceRef RWMask_RNO_7))
    +           (portRef D (instanceRef RWMask_7))
    +          ))
    +          (net (rename RWMask_7 "RWMask[7]") (joined
    +           (portRef Q (instanceRef RWMask_7))
    +           (portRef C (instanceRef RWBank_3_0_7))
    +          ))
    +          (net N_295 (joined
    +           (portRef Z (instanceRef LEDEN_RNO))
    +           (portRef D (instanceRef LEDEN))
    +          ))
    +          (net (rename un1_LEDEN_0_sqmuxa_1_i_0_0_0 "un1_LEDEN_0_sqmuxa_1_i_0_0[0]") (joined
    +           (portRef Z (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0_0))
    +           (portRef SP (instanceRef LEDEN))
    +          ))
    +          (net LEDEN (joined
    +           (portRef Q (instanceRef LEDEN))
    +           (portRef D (instanceRef RWBank_3_0_0_o3_0))
    +           (portRef A (instanceRef LEDEN_RNI6G6M))
    +          ))
    +          (net CmdSetRWBankFFChip_3 (joined
    +           (portRef Z (instanceRef CmdSetRWBankFFChip_3_0_a8_0_a3))
    +           (portRef D (instanceRef CmdSetRWBankFFChip))
    +          ))
    +          (net CmdSetRWBankFFChip (joined
    +           (portRef Q (instanceRef CmdSetRWBankFFChip))
    +           (portRef B (instanceRef RWBank_3_0_0_o3_0))
    +          ))
    +          (net CmdExecMXO2_3 (joined
    +           (portRef Z (instanceRef CmdExecMXO2_3_0_a3))
    +           (portRef D (instanceRef CmdExecMXO2))
    +          ))
    +          (net CmdBitbangMXO2_3 (joined
    +           (portRef Z (instanceRef CmdBitbangMXO2_3_0_a3))
    +           (portRef D (instanceRef CmdBitbangMXO2))
    +          ))
    +          (net N_215 (joined
    +           (portRef Z (instanceRef SUM2_0_o2))
    +           (portRef C (instanceRef CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514_0))
    +           (portRef C (instanceRef CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514))
    +           (portRef A (instanceRef CmdExecMXO2_3_0_a3_0_RNI6S1P8))
    +           (portRef B (instanceRef S_r_i_0_o2_RNIVM0LF_1))
    +          ))
    +          (net SUM0_i_4 (joined
    +           (portRef Z (instanceRef S_r_i_0_o2_RNI3VQTC_1))
    +           (portRef C (instanceRef S_r_i_0_o2_RNIVM0LF_1))
    +          ))
    +          (net N_547_i (joined
    +           (portRef Z (instanceRef S_r_i_0_o2_RNIVM0LF_1))
    +           (portRef N_547_i)
    +          ))
    +          (net N_637 (joined
    +           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a3_0))
    +           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_0_RNIVQNQ2))
    +          ))
    +          (net un1_CS_0_sqmuxa_0_0_a3_1_0_RNIS35S (joined
    +           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a3_1_0_RNIS35S))
    +           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_0_RNIVQNQ2))
    +          ))
    +          (net un1_CS_0_sqmuxa_i (joined
    +           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_0_RNIVQNQ2))
    +           (portRef un1_CS_0_sqmuxa_i)
    +          ))
    +          (net SUM0_i_1 (joined
    +           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_o3_0_RNIA0N95))
    +           (portRef C (instanceRef S_r_i_0_o2_RNI3VQTC_1))
    +          ))
    +          (net SUM0_i_3 (joined
    +           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a3_9_RNI3N8T5))
    +           (portRef D (instanceRef S_r_i_0_o2_RNI3VQTC_1))
    +          ))
    +          (net N_793 (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_a3_10_7))
    +           (portRef D (instanceRef wb_dati_7_0_0_a3_2_4))
    +           (portRef C (instanceRef wb_adr_7_i_i_a3_6_0))
    +           (portRef B (instanceRef wb_dati_7_0_0_RNO_0_7))
    +           (portRef B (instanceRef wb_dati_7_0_0_1))
    +           (portRef C (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0_0))
    +           (portRef B (instanceRef wb_dati_7_0_0_6))
    +           (portRef B (instanceRef wb_adr_7_i_i_0))
    +          ))
    +          (net (rename wb_adr_7_i_i_4_0 "wb_adr_7_i_i_4[0]") (joined
    +           (portRef Z (instanceRef wb_adr_7_i_i_4_0))
    +           (portRef C (instanceRef wb_adr_7_i_i_0))
    +          ))
    +          (net (rename wb_adr_7_i_i_5_0 "wb_adr_7_i_i_5[0]") (joined
    +           (portRef Z (instanceRef wb_adr_7_i_i_5_0))
    +           (portRef D (instanceRef wb_adr_7_i_i_0))
    +          ))
    +          (net N_592 (joined
    +           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_m3))
    +           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a3_1_0_RNIS35S))
    +          ))
    +          (net un1_CS_0_sqmuxa_0_0_a3_1_0 (joined
    +           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a3_1_0))
    +           (portRef D (instanceRef un1_CS_0_sqmuxa_0_0_a3_1_0_RNIS35S))
    +          ))
    +          (net N_615 (joined
    +           (portRef Z (instanceRef nRAS_s_i_0_a3_0))
    +           (portRef A (instanceRef nRAS_s_i_0_a3_0_RNIIR094))
    +           (portRef A (instanceRef nRAS_s_i_0_0_RNI0PC64))
    +          ))
    +          (net N_616 (joined
    +           (portRef Z (instanceRef nRAS_s_i_0_a3_1))
    +           (portRef B (instanceRef nRAS_s_i_0_0_RNI0PC64))
    +          ))
    +          (net nRAS_s_i_0_0 (joined
    +           (portRef Z (instanceRef nRAS_s_i_0_0))
    +           (portRef D (instanceRef nRAS_s_i_0_0_RNI0PC64))
    +          ))
    +          (net N_358_i (joined
    +           (portRef Z (instanceRef nRAS_s_i_0_0_RNI0PC64))
    +           (portRef N_358_i)
    +          ))
    +          (net N_640 (joined
    +           (portRef Z (instanceRef nCAS_s_i_0_a3))
    +           (portRef A (instanceRef nCAS_s_i_0_a3_RNIO1UQ3))
    +          ))
    +          (net N_641 (joined
    +           (portRef Z (instanceRef nCAS_s_i_0_a3_0))
    +           (portRef B (instanceRef nCAS_s_i_0_a3_RNIO1UQ3))
    +          ))
    +          (net nWE_c (joined
    +           (portRef nWE_c)
    +           (portRef C (instanceRef nRAS_s_i_0_o2))
    +           (portRef D (instanceRef RWSel_2_0_a3_0_a3))
    +           (portRef D (instanceRef RA_35_2_0_a3_3_10))
    +           (portRef D (instanceRef nRAS_s_i_0_a3_1))
    +           (portRef C (instanceRef RDOE_i))
    +           (portRef C (instanceRef un1_nDOE_i))
    +           (portRef D (instanceRef CKE_7s2_0_0_o3))
    +           (portRef C (instanceRef CKE_7_am))
    +           (portRef D (instanceRef nCAS_s_i_0_a3_RNIO1UQ3))
    +          ))
    +          (net N_370_i (joined
    +           (portRef Z (instanceRef nCAS_s_i_0_a3_RNIO1UQ3))
    +           (portRef N_370_i)
    +          ))
    +          (net N_760 (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_a3_4))
    +           (portRef B (instanceRef wb_dati_7_0_0_4))
    +           (portRef A (instanceRef wb_dati_7_0_0_2))
    +           (portRef A (instanceRef wb_dati_7_0_0_5))
    +          ))
    +          (net (rename wb_dati_7_0_0_o3_0_2 "wb_dati_7_0_0_o3_0[2]") (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_o3_0_2))
    +           (portRef D (instanceRef wb_dati_7_0_0_2))
    +           (portRef D (instanceRef wb_dati_7_0_0_5))
    +          ))
    +          (net N_602 (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_0_a3_7))
    +           (portRef A (instanceRef wb_dati_7_0_0_0_7))
    +          ))
    +          (net (rename wb_dati_7_0_0_RNO_0_7 "wb_dati_7_0_0_RNO_0[7]") (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_RNO_0_7))
    +           (portRef C (instanceRef wb_dati_7_0_0_0_7))
    +          ))
    +          (net (rename wb_dati_7_0_0_0_0_7 "wb_dati_7_0_0_0_0[7]") (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_0_0_7))
    +           (portRef D (instanceRef wb_dati_7_0_0_0_7))
    +          ))
    +          (net N_886 (joined
    +           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a3_9_RNIJT9D1))
    +           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_m3))
    +           (portRef D (instanceRef un1_CS_0_sqmuxa_0_0_a3_9_RNI3N8T5))
    +           (portRef B (instanceRef CmdExecMXO2_3_0_a3_0_RNI6S1P8))
    +          ))
    +          (net SUM0_i_a3_4_0 (joined
    +           (portRef Z (instanceRef CmdExecMXO2_3_0_a3_0_RNIAJ811))
    +           (portRef D (instanceRef CmdExecMXO2_3_0_a3_0_RNIPG3P2))
    +           (portRef C (instanceRef CmdExecMXO2_3_0_a3_0_RNI6S1P8))
    +          ))
    +          (net SUM1_0_0 (joined
    +           (portRef Z (instanceRef CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514_0))
    +           (portRef D (instanceRef CmdExecMXO2_3_0_a3_0_RNI6S1P8))
    +          ))
    +          (net (rename CmdExecMXO2_3_0_a3_0_RNI6S1P8_1z "CmdExecMXO2_3_0_a3_0_RNI6S1P8") (joined
    +           (portRef Z (instanceRef CmdExecMXO2_3_0_a3_0_RNI6S1P8))
    +           (portRef CmdExecMXO2_3_0_a3_0_RNI6S1P8_1z)
    +          ))
    +          (net (rename wb_dati_7_0_0_0_6 "wb_dati_7_0_0_0[6]") (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_0_6))
    +           (portRef D (instanceRef wb_dati_7_0_0_6))
    +          ))
    +          (net N_763 (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_a3_2_4))
    +           (portRef C (instanceRef wb_dati_7_0_0_4))
    +          ))
    +          (net (rename wb_dati_7_0_0_0_4 "wb_dati_7_0_0_0[4]") (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_0_4))
    +           (portRef D (instanceRef wb_dati_7_0_0_4))
    +          ))
    +          (net (rename CS_1 "CS[1]") (joined
    +           (portRef (member cs 1))
    +           (portRef D (instanceRef CmdBitbangMXO2_3_0_a3_0))
    +           (portRef A (instanceRef SUM0_i_m3_0_bm))
    +           (portRef A (instanceRef SUM0_i_o2))
    +           (portRef A (instanceRef CmdExecMXO2_3_0_a3_0_RNIAJ811))
    +           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_o2))
    +           (portRef A (instanceRef CmdExecMXO2_3_0_a3_0_RNIPG3P2))
    +           (portRef A (instanceRef CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514_0))
    +           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_o3_0_RNIN3AF2))
    +           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_m3))
    +           (portRef A (instanceRef CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514))
    +           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a3_9_RNI3N8T5))
    +          ))
    +          (net N_720_tz (joined
    +           (portRef Z (instanceRef CmdExecMXO2_3_0_a3_0_RNIPG3P2))
    +           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a3_9_RNI3N8T5))
    +          ))
    +          (net (rename CS_2 "CS[2]") (joined
    +           (portRef (member cs 0))
    +           (portRef C (instanceRef CmdBitbangMXO2_3_0_a3_0))
    +           (portRef B (instanceRef SUM0_i_o2))
    +           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a3_5_1))
    +           (portRef B (instanceRef CmdExecMXO2_3_0_a3_0_RNIAJ811))
    +           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a3_1_0))
    +           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_o3_0_RNI9H8R))
    +           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a3_2_2))
    +           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a3_9_RNIJT9D1))
    +           (portRef B (instanceRef CmdExecMXO2_3_0_a3_0_RNIPG3P2))
    +           (portRef B (instanceRef CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514_0))
    +           (portRef B (instanceRef CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514))
    +           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_o3_0_RNIA0N95))
    +          ))
    +          (net N_350 (joined
    +           (portRef Z (instanceRef SUM0_i_o2_2))
    +           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_o3_0_RNIA0N95))
    +          ))
    +          (net SUM0_i_0 (joined
    +           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_o3_0_RNIN3AF2))
    +           (portRef D (instanceRef un1_CS_0_sqmuxa_0_0_o3_0_RNIA0N95))
    +          ))
    +          (net CmdRWMaskSet (joined
    +           (portRef CmdRWMaskSet)
    +           (portRef A (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0_0))
    +          ))
    +          (net (rename un1_RWMask_0_sqmuxa_1_i_0_a3_0_0_0 "un1_RWMask_0_sqmuxa_1_i_0_a3_0_0[0]") (joined
    +           (portRef Z (instanceRef un1_RWMask_0_sqmuxa_1_i_0_a3_0_0_0))
    +           (portRef D (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0_0))
    +          ))
    +          (net (rename wb_dati_7_0_0_0_a3_0_0 "wb_dati_7_0_0_0_a3_0[0]") (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_0_a3_0_0))
    +           (portRef D (instanceRef wb_dati_7_0_0_0_0))
    +          ))
    +          (net N_611 (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_a3_2_3))
    +           (portRef B (instanceRef wb_dati_7_0_0_o3_0_2))
    +           (portRef A (instanceRef wb_dati_7_0_0_0_3))
    +           (portRef A (instanceRef wb_dati_7_0_0_1))
    +          ))
    +          (net (rename wb_dati_7_0_0_0_1 "wb_dati_7_0_0_0[1]") (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_0_1))
    +           (portRef D (instanceRef wb_dati_7_0_0_1))
    +          ))
    +          (net N_234 (joined
    +           (portRef Z (instanceRef CmdSetRWBankFFChip_3_0_a8_0_o3_RNIGCV91))
    +           (portRef C (instanceRef CmdExecMXO2_3_0_a3_0_RNIPG3P2))
    +           (portRef D (instanceRef CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514_0))
    +           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_m3))
    +           (portRef D (instanceRef CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514))
    +          ))
    +          (net (rename CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514_1z "CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514") (joined
    +           (portRef Z (instanceRef CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514))
    +           (portRef CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514_1z)
    +          ))
    +          (net N_783 (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_a3_7_3))
    +           (portRef C (instanceRef wb_adr_7_i_i_3_0))
    +           (portRef C (instanceRef wb_dati_7_0_0_a3_4))
    +           (portRef A (instanceRef wb_dati_7_0_0_0_4))
    +           (portRef A (instanceRef wb_dati_7_0_0_0_6))
    +           (portRef A (instanceRef wb_dati_7_0_0_0_0_3))
    +           (portRef B (instanceRef wb_dati_7_0_0_0_3))
    +          ))
    +          (net (rename wb_dati_7_0_0_0_0_3 "wb_dati_7_0_0_0_0[3]") (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_0_0_3))
    +           (portRef D (instanceRef wb_dati_7_0_0_0_3))
    +          ))
    +          (net N_634 (joined
    +           (portRef Z (instanceRef wb_we_7_iv_0_0_0_a3_1))
    +           (portRef C (instanceRef wb_we_RNO_3))
    +           (portRef A (instanceRef wb_adr_7_i_i_4_0))
    +          ))
    +          (net N_753 (joined
    +           (portRef Z (instanceRef wb_adr_7_i_i_a3_4_0))
    +           (portRef B (instanceRef wb_adr_7_i_i_4_0))
    +          ))
    +          (net (rename wb_adr_7_i_i_1_0 "wb_adr_7_i_i_1[0]") (joined
    +           (portRef Z (instanceRef wb_adr_7_i_i_1_0))
    +           (portRef C (instanceRef wb_adr_7_i_i_4_0))
    +          ))
    +          (net (rename wb_adr_7_i_i_3_0 "wb_adr_7_i_i_3[0]") (joined
    +           (portRef Z (instanceRef wb_adr_7_i_i_3_0))
    +           (portRef D (instanceRef wb_adr_7_i_i_4_0))
    +          ))
    +          (net N_755 (joined
    +           (portRef Z (instanceRef wb_adr_7_i_i_a3_6_0))
    +           (portRef C (instanceRef wb_adr_7_i_i_5_0))
    +          ))
    +          (net N_345 (joined
    +           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_o3_0_RNI9H8R))
    +           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_o3_0_RNIN3AF2))
    +          ))
    +          (net N_735 (joined
    +           (portRef Z (instanceRef SUM0_i_a3_1))
    +           (portRef D (instanceRef un1_CS_0_sqmuxa_0_0_o3_0_RNIN3AF2))
    +          ))
    +          (net CmdLEDSet (joined
    +           (portRef CmdLEDSet)
    +           (portRef A (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0_0))
    +          ))
    +          (net (rename un1_CmdSetRWBankFFChip13_1_i_0_0_0_0 "un1_CmdSetRWBankFFChip13_1_i_0_0_0[0]") (joined
    +           (portRef Z (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_0_0))
    +           (portRef C (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_0))
    +          ))
    +          (net (rename wb_dati_7_0_0_a3_6_1_3 "wb_dati_7_0_0_a3_6_1[3]") (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_a3_4_1_0_7))
    +           (portRef D (instanceRef wb_dati_7_0_0_0_o2_3))
    +           (portRef D (instanceRef wb_dati_7_0_0_RNO_0_7))
    +          ))
    +          (net (rename FS_14 "FS[14]") (joined
    +           (portRef (member fs 1))
    +           (portRef B (instanceRef wb_dati_7_0_0_a3_10_7))
    +           (portRef B (instanceRef wb_dati_7_0_0_a3_14_7))
    +           (portRef C (instanceRef wb_dati_7_0_0_a3_12_7))
    +           (portRef C (instanceRef wb_dati_7_0_0_a3_7_3))
    +           (portRef A (instanceRef wb_we_7_iv_0_0_0_a3_6))
    +           (portRef A (instanceRef wb_rst8_0_a3_0_a3))
    +           (portRef B (instanceRef wb_adr_RNO_4))
    +           (portRef B (instanceRef wb_adr_RNO_5))
    +           (portRef B (instanceRef wb_adr_RNO_6))
    +           (portRef C (instanceRef Ready3_0_a3_4))
    +           (portRef A (instanceRef wb_reqc_1_0))
    +           (portRef A (instanceRef wb_dati_7_0_0_a3_9_7))
    +           (portRef B (instanceRef wb_cyc_stb_RNO_0))
    +           (portRef A (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_0_0))
    +           (portRef A (instanceRef RA_35_0_0_0_7))
    +          ))
    +          (net N_801 (joined
    +           (portRef Z (instanceRef RA_35_0_0_a3_4_7))
    +           (portRef C (instanceRef RA_35_0_0_0))
    +           (portRef B (instanceRef RA_35_0_0_3))
    +           (portRef B (instanceRef RA_35_0_0_4))
    +           (portRef B (instanceRef RA_35_0_0_0_6))
    +           (portRef B (instanceRef RA_35_0_0_0_7))
    +          ))
    +          (net (rename RA_35_0_0_0_0_7 "RA_35_0_0_0_0[7]") (joined
    +           (portRef Z (instanceRef RA_35_0_0_0_0_7))
    +           (portRef C (instanceRef RA_35_0_0_0_7))
    +          ))
    +          (net (rename RA_35_7 "RA_35[7]") (joined
    +           (portRef Z (instanceRef RA_35_0_0_0_7))
    +           (portRef (member ra_35 4))
    +          ))
    +          (net (rename RA_35_0_0_0_0_6 "RA_35_0_0_0_0[6]") (joined
    +           (portRef Z (instanceRef RA_35_0_0_0_0_6))
    +           (portRef C (instanceRef RA_35_0_0_0_6))
    +          ))
    +          (net (rename RA_35_6 "RA_35[6]") (joined
    +           (portRef Z (instanceRef RA_35_0_0_0_6))
    +           (portRef (member ra_35 5))
    +          ))
    +          (net (rename RA_35_0_0_0_4 "RA_35_0_0_0[4]") (joined
    +           (portRef Z (instanceRef RA_35_0_0_0_4))
    +           (portRef C (instanceRef RA_35_0_0_4))
    +          ))
    +          (net (rename RA_35_4 "RA_35[4]") (joined
    +           (portRef Z (instanceRef RA_35_0_0_4))
    +           (portRef (member ra_35 7))
    +          ))
    +          (net (rename RA_35_0_0_0_3 "RA_35_0_0_0[3]") (joined
    +           (portRef Z (instanceRef RA_35_0_0_0_3))
    +           (portRef C (instanceRef RA_35_0_0_3))
    +          ))
    +          (net (rename RA_35_3 "RA_35[3]") (joined
    +           (portRef Z (instanceRef RA_35_0_0_3))
    +           (portRef (member ra_35 8))
    +          ))
    +          (net (rename wb_dati_7_0_0_0_a3_0_3 "wb_dati_7_0_0_0_a3_0[3]") (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_0_a3_0_3))
    +           (portRef D (instanceRef wb_dati_7_0_0_0_0_3))
    +          ))
    +          (net (rename wb_dati_7_0_0_a3_1_6 "wb_dati_7_0_0_a3_1[6]") (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_a3_1_0_6))
    +           (portRef D (instanceRef wb_dati_7_0_0_0_6))
    +          ))
    +          (net CKE_7_am (joined
    +           (portRef Z (instanceRef CKE_7_am))
    +           (portRef BLUT (instanceRef CKE_7))
    +          ))
    +          (net CKE_7_bm (joined
    +           (portRef Z (instanceRef CKE_7_bm))
    +           (portRef ALUT (instanceRef CKE_7))
    +          ))
    +          (net CKE_7_sm0 (joined
    +           (portRef Z (instanceRef CKE_7s2_0_0))
    +           (portRef C0 (instanceRef CKE_7))
    +          ))
    +          (net N_687 (joined
    +           (portRef Z (instanceRef wb_cyc_stb_RNO_0))
    +           (portRef A (instanceRef wb_cyc_stb_RNO))
    +          ))
    +          (net (rename un1_CmdSetRWBankFFChip13_1_i_0_0_a3_0_0_0 "un1_CmdSetRWBankFFChip13_1_i_0_0_a3_0_0[0]") (joined
    +           (portRef Z (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_a3_0_0_0))
    +           (portRef C (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_0_0))
    +          ))
    +          (net N_256 (joined
    +           (portRef Z (instanceRef nRAS_s_i_0_o2_0))
    +           (portRef B (instanceRef nRAS_s_i_0_0))
    +          ))
    +          (net N_890 (joined
    +           (portRef Z (instanceRef nRAS_s_i_0_a3_8))
    +           (portRef C (instanceRef nCAS_s_i_0_a3))
    +           (portRef D (instanceRef nRAS_s_i_0_0))
    +          ))
    +          (net N_220 (joined
    +           (portRef Z (instanceRef CKE_7s2_0_0_o3))
    +           (portRef A (instanceRef CKE_7s2_0_0))
    +           (portRef B (instanceRef nCAS_s_i_0_a3))
    +          ))
    +          (net N_196 (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_o2_4))
    +           (portRef B (instanceRef wb_dati_7_0_0_a3_4))
    +          ))
    +          (net (rename Ain_c_1 "Ain_c[1]") (joined
    +           (portRef (member ain_c 6))
    +           (portRef A (instanceRef RA_35_i_i_0_1))
    +          ))
    +          (net N_182 (joined
    +           (portRef Z (instanceRef RA_35_0_0_o2_5))
    +           (portRef B (instanceRef RA_35_0_0_1_0))
    +           (portRef B (instanceRef RA_35_0_0_0_3))
    +           (portRef B (instanceRef RA_35_0_0_0_0_7))
    +           (portRef B (instanceRef RA_35_0_0_0_0_6))
    +           (portRef B (instanceRef RA_35_0_0_0_4))
    +           (portRef B (instanceRef RA_35_0_0_5))
    +           (portRef B (instanceRef RA_35_0_0_2))
    +           (portRef B (instanceRef RA_35_i_i_0_1))
    +          ))
    +          (net N_659 (joined
    +           (portRef Z (instanceRef RA_35_i_i_0_a3_1))
    +           (portRef C (instanceRef RA_35_i_i_0_1))
    +          ))
    +          (net N_660 (joined
    +           (portRef Z (instanceRef RA_35_i_i_0_a3_0_1))
    +           (portRef D (instanceRef RA_35_i_i_0_1))
    +          ))
    +          (net N_223 (joined
    +           (portRef Z (instanceRef RA_35_i_i_0_1))
    +           (portRef N_223)
    +          ))
    +          (net (rename Ain_c_2 "Ain_c[2]") (joined
    +           (portRef (member ain_c 5))
    +           (portRef A (instanceRef RA_35_0_0_2))
    +          ))
    +          (net N_679 (joined
    +           (portRef Z (instanceRef RA_35_0_0_a3_2))
    +           (portRef C (instanceRef RA_35_0_0_2))
    +          ))
    +          (net N_680 (joined
    +           (portRef Z (instanceRef RA_35_0_0_a3_0_2))
    +           (portRef D (instanceRef RA_35_0_0_2))
    +          ))
    +          (net (rename RA_35_2 "RA_35[2]") (joined
    +           (portRef Z (instanceRef RA_35_0_0_2))
    +           (portRef (member ra_35 9))
    +          ))
    +          (net un1_CS_0_sqmuxa_0_0_o2 (joined
    +           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_o2))
    +           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a3_0))
    +          ))
    +          (net un1_CS_0_sqmuxa_0_0_a3_0_1 (joined
    +           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a3_0_1))
    +           (portRef D (instanceRef un1_CS_0_sqmuxa_0_0_a3_0))
    +          ))
    +          (net (rename Ain_c_5 "Ain_c[5]") (joined
    +           (portRef (member ain_c 2))
    +           (portRef A (instanceRef RA_35_0_0_5))
    +          ))
    +          (net N_621 (joined
    +           (portRef Z (instanceRef RA_35_0_0_a3_5))
    +           (portRef C (instanceRef RA_35_0_0_5))
    +          ))
    +          (net (rename RA_35_0_0_0_5 "RA_35_0_0_0[5]") (joined
    +           (portRef Z (instanceRef RA_35_0_0_0_5))
    +           (portRef D (instanceRef RA_35_0_0_5))
    +          ))
    +          (net (rename RA_35_5 "RA_35[5]") (joined
    +           (portRef Z (instanceRef RA_35_0_0_5))
    +           (portRef (member ra_35 6))
    +          ))
    +          (net N_624 (joined
    +           (portRef Z (instanceRef RA_35_2_0_a3_10))
    +           (portRef A (instanceRef RA_35_2_0_10))
    +          ))
    +          (net N_628 (joined
    +           (portRef Z (instanceRef RA_35_2_0_a3_3_10))
    +           (portRef C (instanceRef RA_35_2_0_10))
    +          ))
    +          (net (rename RA_35_2_0_0_10 "RA_35_2_0_0[10]") (joined
    +           (portRef Z (instanceRef RA_35_2_0_0_10))
    +           (portRef D (instanceRef RA_35_2_0_10))
    +          ))
    +          (net (rename RA_35_10 "RA_35[10]") (joined
    +           (portRef Z (instanceRef RA_35_2_0_10))
    +           (portRef (member ra_35 1))
    +          ))
    +          (net N_208 (joined
    +           (portRef Z (instanceRef wb_we_RNO_1))
    +           (portRef B (instanceRef wb_we_RNO))
    +          ))
    +          (net N_799 (joined
    +           (portRef Z (instanceRef wb_we_7_iv_0_0_0_a3_6))
    +           (portRef D (instanceRef wb_adr_RNO_0_1))
    +           (portRef D (instanceRef wb_we_RNO_3))
    +           (portRef B (instanceRef wb_we_RNO_2))
    +           (portRef C (instanceRef wb_adr_RNO_1_1))
    +           (portRef C (instanceRef wb_we_RNO))
    +          ))
    +          (net wb_we_7_iv_0_0_3_0_1 (joined
    +           (portRef Z (instanceRef wb_we_RNO_2))
    +           (portRef D (instanceRef wb_we_RNO))
    +          ))
    +          (net (rename Din_c_1 "Din_c[1]") (joined
    +           (portRef (member din_c 6))
    +           (portRef B (instanceRef CmdRWMaskSet_3_0_a3))
    +           (portRef B (instanceRef CmdLEDSet_3_0_a8_0_a3))
    +           (portRef C (instanceRef CmdSetRWBankFFChip_3_0_a8_0_a3_0_0))
    +           (portRef A (instanceRef CmdSetRWBankFFChip_3_0_a8_0_o3_RNIGCV91))
    +           (portRef A (instanceRef RDout_i_0_i_a3_1))
    +           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a3_5_1))
    +           (portRef B (instanceRef CmdSetRWBankFFChip_3_0_a8_0_a3_0))
    +           (portRef A (instanceRef RWMask_RNO_1))
    +           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_o3_0))
    +           (portRef A (instanceRef RWBank_3_0_1))
    +           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a3_9))
    +           (portRef C0 (instanceRef SUM0_i_m3_0))
    +           (portRef A (instanceRef CmdLEDGet_3_0_a3))
    +           (portRef A (instanceRef CmdSetRWBankFFLED_4_0_a8_0_a3))
    +           (portRef A (instanceRef CmdBitbangMXO2_3_0_a3))
    +           (portRef A (instanceRef wb_adr_RNO_1))
    +          ))
    +          (net N_768 (joined
    +           (portRef Z (instanceRef wb_adr_RNO_0_1))
    +           (portRef B (instanceRef wb_adr_RNO_1))
    +          ))
    +          (net wb_adr_7_5_41_0_1 (joined
    +           (portRef Z (instanceRef wb_adr_RNO_1_1))
    +           (portRef D (instanceRef wb_adr_RNO_1))
    +          ))
    +          (net (rename Din_c_4 "Din_c[4]") (joined
    +           (portRef (member din_c 3))
    +           (portRef C (instanceRef CmdLEDGet_3_0_a3_1))
    +           (portRef D (instanceRef CmdRWMaskSet_3_0_a3))
    +           (portRef D (instanceRef CmdLEDSet_3_0_a8_0_a3))
    +           (portRef A (instanceRef CmdSetRWBankFFChip_3_0_a8_0_a3_0_0))
    +           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_o3))
    +           (portRef A (instanceRef RDout_i_i_a3_4))
    +           (portRef A (instanceRef wb_adr_RNO_4))
    +           (portRef A (instanceRef RWMask_RNO_4))
    +           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a3_0_1))
    +           (portRef A (instanceRef RWBank_3_0_0_4))
    +           (portRef B (instanceRef SUM0_i_o2_2))
    +           (portRef B (instanceRef CmdSetRWBankFFLED_4_0_a8_0_a3))
    +           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_o3_0_RNI9H8R))
    +           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a3_9_RNIJT9D1))
    +          ))
    +          (net N_212 (joined
    +           (portRef Z (instanceRef SUM1_0_o3_0))
    +           (portRef C (instanceRef CmdSetRWBankFFChip_3_0_a8_0_o3_RNIGCV91))
    +           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a3_9_RNIJT9D1))
    +          ))
    +          (net N_850 (joined
    +           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a3_9))
    +           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_o2))
    +           (portRef D (instanceRef un1_CS_0_sqmuxa_0_0_a3_9_RNIJT9D1))
    +          ))
    +          (net (rename Din_c_0 "Din_c[0]") (joined
    +           (portRef (member din_c 7))
    +           (portRef A (instanceRef CmdLEDGet_3_0_a3_1))
    +           (portRef B (instanceRef CmdBitbangMXO2_3_0_a3_1))
    +           (portRef D (instanceRef CmdSetRWBankFFChip_3_0_a8_0_a3_0_0))
    +           (portRef A (instanceRef RDout_i_0_i_a3_0))
    +           (portRef A (instanceRef wb_we_7_iv_0_0_0_a3_1))
    +           (portRef A (instanceRef LEDEN_RNO))
    +           (portRef A (instanceRef SUM1_0_o3_0))
    +           (portRef A (instanceRef CmdSetRWBankFFChip_3_0_a8_0_a3_0))
    +           (portRef A (instanceRef RWMask_RNO_0))
    +           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a3_0_1))
    +           (portRef B (instanceRef SUM0_i_a3_1))
    +           (portRef A (instanceRef RWBank_3_0_0_0))
    +           (portRef A (instanceRef CmdRWMaskSet_3_0_a3_0))
    +           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a3_2_2))
    +          ))
    +          (net N_243 (joined
    +           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_o3_0))
    +           (portRef D (instanceRef un1_CS_0_sqmuxa_0_0_o3_0_RNI9H8R))
    +           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a3_2_2))
    +          ))
    +          (net un1_CS_0_sqmuxa_0_0_o3 (joined
    +           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_o3))
    +           (portRef A (instanceRef CmdBitbangMXO2_3_0_a3_1))
    +           (portRef B (instanceRef CmdExecMXO2_3_0_a3_0))
    +           (portRef D (instanceRef CmdSetRWBankFFChip_3_0_a8_0_o3_RNIGCV91))
    +           (portRef D (instanceRef un1_CS_0_sqmuxa_0_0_a3_2_2))
    +          ))
    +          (net (rename Ain_c_4 "Ain_c[4]") (joined
    +           (portRef (member ain_c 3))
    +           (portRef A (instanceRef RA_35_0_0_0_4))
    +          ))
    +          (net N_186 (joined
    +           (portRef Z (instanceRef RA_35_0_0_o2_0_5))
    +           (portRef C (instanceRef RA_35_0_0_1_0))
    +           (portRef A (instanceRef RA_35_0_0_a3_0_2))
    +           (portRef A (instanceRef RA_35_i_i_0_a3_0_1))
    +           (portRef A (instanceRef RA_35_0_0_a3_5))
    +           (portRef C (instanceRef RA_35_0_0_0_3))
    +           (portRef C (instanceRef RA_35_0_0_0_0_7))
    +           (portRef C (instanceRef RA_35_0_0_0_0_6))
    +           (portRef C (instanceRef RA_35_0_0_0_4))
    +          ))
    +          (net (rename RA_4 "RA[4]") (joined
    +           (portRef (member ra 7))
    +           (portRef D (instanceRef RA_35_0_0_0_4))
    +          ))
    +          (net (rename Ain_c_6 "Ain_c[6]") (joined
    +           (portRef (member ain_c 1))
    +           (portRef A (instanceRef RA_35_0_0_0_0_6))
    +          ))
    +          (net (rename RA_6 "RA[6]") (joined
    +           (portRef (member ra 5))
    +           (portRef D (instanceRef RA_35_0_0_0_0_6))
    +          ))
    +          (net (rename Ain_c_7 "Ain_c[7]") (joined
    +           (portRef (member ain_c 0))
    +           (portRef A (instanceRef RA_35_0_0_0_0_7))
    +          ))
    +          (net (rename RA_7 "RA[7]") (joined
    +           (portRef (member ra 4))
    +           (portRef D (instanceRef RA_35_0_0_0_0_7))
    +          ))
    +          (net (rename Ain_c_3 "Ain_c[3]") (joined
    +           (portRef (member ain_c 4))
    +           (portRef A (instanceRef RA_35_0_0_0_3))
    +          ))
    +          (net (rename RA_3 "RA[3]") (joined
    +           (portRef (member ra 8))
    +           (portRef B (instanceRef RWSel_2_0_a3_0_a3))
    +           (portRef D (instanceRef RA_35_0_0_0_3))
    +          ))
    +          (net N_781 (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_a3_9_7))
    +           (portRef C (instanceRef wb_dati_7_0_0_o3_0_2))
    +           (portRef D (instanceRef wb_adr_7_i_i_a3_4_0))
    +           (portRef C (instanceRef wb_adr_7_i_i_1_0))
    +           (portRef A (instanceRef wb_dati_7_0_0_0_0_7))
    +           (portRef A (instanceRef wb_dati_7_0_0_0_1))
    +          ))
    +          (net (rename wb_dati_7_0_0_a3_0_0_1 "wb_dati_7_0_0_a3_0_0[1]") (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_a3_0_0_1))
    +           (portRef D (instanceRef wb_dati_7_0_0_0_1))
    +          ))
    +          (net N_565 (joined
    +           (portRef Z (instanceRef wb_adr_7_i_i_o2_1_0))
    +           (portRef B (instanceRef wb_adr_7_i_i_1_0))
    +          ))
    +          (net (rename wb_adr_7_i_i_a3_2_0_0 "wb_adr_7_i_i_a3_2_0[0]") (joined
    +           (portRef Z (instanceRef wb_adr_7_i_i_a3_2_0_0))
    +           (portRef D (instanceRef wb_adr_7_i_i_1_0))
    +          ))
    +          (net (rename Din_c_7 "Din_c[7]") (joined
    +           (portRef (member din_c 0))
    +           (portRef B (instanceRef CmdLEDGet_3_0_a3_1))
    +           (portRef C (instanceRef CmdRWMaskSet_3_0_a3))
    +           (portRef C (instanceRef CmdLEDSet_3_0_a8_0_a3))
    +           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_o3))
    +           (portRef A (instanceRef RDout_i_0_i_a3_7))
    +           (portRef A (instanceRef RWMask_RNO_7))
    +           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a3_5_1))
    +           (portRef A (instanceRef wb_adr_RNO_7))
    +           (portRef A (instanceRef RWBank_3_0_7))
    +           (portRef D (instanceRef un1_CS_0_sqmuxa_0_0_a3_9))
    +           (portRef C (instanceRef SUM0_i_m3_0_am))
    +           (portRef C (instanceRef CmdSetRWBankFFLED_4_0_a8_0_a3))
    +           (portRef A (instanceRef CmdSetRWBankFFChip_3_0_a8_0_a3))
    +           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_o3_0_RNI9H8R))
    +          ))
    +          (net N_361_i (joined
    +           (portRef Z (instanceRef S_r_i_0_o2_RNIFNP81_2))
    +           (portRef N_361_i)
    +          ))
    +          (net (rename RA_5 "RA[5]") (joined
    +           (portRef (member ra 6))
    +           (portRef B (instanceRef RA_35_0_0_a3_5))
    +          ))
    +          (net (rename RA_1 "RA[1]") (joined
    +           (portRef (member ra 10))
    +           (portRef B (instanceRef RA_35_i_i_0_a3_0_1))
    +          ))
    +          (net (rename RA_2 "RA[2]") (joined
    +           (portRef (member ra 9))
    +           (portRef B (instanceRef RA_35_0_0_a3_0_2))
    +          ))
    +          (net (rename FS_0 "FS[0]") (joined
    +           (portRef (member fs 15))
    +           (portRef A (instanceRef Ready3_0_a3_3))
    +           (portRef A (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_a3_0_0_0))
    +           (portRef A (instanceRef N_285_i))
    +           (portRef A (instanceRef wb_cyc_stb_RNO_0))
    +          ))
    +          (net N_336 (joined
    +           (portRef Z (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_o3_0))
    +           (portRef B (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_a3_0_0_0))
    +           (portRef C (instanceRef wb_cyc_stb_RNO_0))
    +          ))
    +          (net N_242 (joined
    +           (portRef Z (instanceRef RA_35_0_0_o2_11))
    +           (portRef A (instanceRef RA_35_0_0_9))
    +           (portRef A (instanceRef RA_35_0_0_11))
    +          ))
    +          (net (rename RA_11 "RA[11]") (joined
    +           (portRef (member ra 0))
    +           (portRef C (instanceRef RA_35_0_0_11))
    +          ))
    +          (net (rename RWBank_4 "RWBank[4]") (joined
    +           (portRef (member rwbank 3))
    +           (portRef D (instanceRef RA_35_0_0_11))
    +          ))
    +          (net (rename RA_35_11 "RA_35[11]") (joined
    +           (portRef Z (instanceRef RA_35_0_0_11))
    +           (portRef (member ra_35 0))
    +          ))
    +          (net N_190 (joined
    +           (portRef Z (instanceRef CmdSetRWBankFFChip_3_0_a8_0_o3))
    +           (portRef B (instanceRef CmdSetRWBankFFChip_3_0_a8_0_o3_RNIGCV91))
    +           (portRef B (instanceRef CmdSetRWBankFFChip_3_0_a8_0_a3))
    +           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_o2))
    +          ))
    +          (net un1_CS_0_sqmuxa_0_0_a3_5_1 (joined
    +           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a3_5_1))
    +           (portRef D (instanceRef un1_CS_0_sqmuxa_0_0_o2))
    +          ))
    +          (net N_851 (joined
    +           (portRef Z (instanceRef CmdExecMXO2_3_0_a3_0))
    +           (portRef B (instanceRef CmdExecMXO2_3_0_a3))
    +           (portRef D (instanceRef CmdExecMXO2_3_0_a3_0_RNIAJ811))
    +           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a3_1_0))
    +          ))
    +          (net N_817 (joined
    +           (portRef Z (instanceRef CKE_7s2_0_0_a2_1))
    +           (portRef B (instanceRef nRAS_s_i_0_a3_8))
    +           (portRef A (instanceRef CKE_7_bm))
    +           (portRef B (instanceRef CKE_7s2_0_0))
    +          ))
    +          (net CKE_7s2_0_0_0 (joined
    +           (portRef Z (instanceRef CKE_7s2_0_0_0))
    +           (portRef C (instanceRef CKE_7s2_0_0))
    +          ))
    +          (net (rename RA_9 "RA[9]") (joined
    +           (portRef (member ra 2))
    +           (portRef B (instanceRef RA_35_0_0_9))
    +          ))
    +          (net (rename RA_35_0_0_0_9 "RA_35_0_0_0[9]") (joined
    +           (portRef Z (instanceRef RA_35_0_0_0_9))
    +           (portRef C (instanceRef RA_35_0_0_9))
    +          ))
    +          (net (rename RA_35_9 "RA_35[9]") (joined
    +           (portRef Z (instanceRef RA_35_0_0_9))
    +           (portRef (member ra_35 2))
    +          ))
    +          (net (rename Din_c_2 "Din_c[2]") (joined
    +           (portRef (member din_c 5))
    +           (portRef B (instanceRef CmdSetRWBankFFChip_3_0_a8_0_a3_0_0))
    +           (portRef A (instanceRef RDout_i_0_i_a3_2))
    +           (portRef B (instanceRef SUM1_0_o3_0))
    +           (portRef C (instanceRef CmdSetRWBankFFChip_3_0_a8_0_a3_0))
    +           (portRef A (instanceRef wb_adr_RNO_2))
    +           (portRef A (instanceRef RWMask_RNO_2))
    +           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a3_0_1))
    +           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_o3_0))
    +           (portRef A (instanceRef RWBank_3_0_2))
    +           (portRef B (instanceRef CmdLEDGet_3_0_a3))
    +           (portRef B (instanceRef CmdRWMaskSet_3_0_a3_0))
    +           (portRef A (instanceRef SUM0_i_o2_2))
    +           (portRef B (instanceRef CmdBitbangMXO2_3_0_a3))
    +          ))
    +          (net N_800 (joined
    +           (portRef Z (instanceRef CmdBitbangMXO2_3_0_a3_0))
    +           (portRef C (instanceRef CmdLEDGet_3_0_a3_0))
    +           (portRef A (instanceRef CmdExecMXO2_3_0_a3))
    +           (portRef C (instanceRef CmdSetRWBankFFChip_3_0_a8_0_a3))
    +           (portRef C (instanceRef CmdBitbangMXO2_3_0_a3))
    +          ))
    +          (net CmdBitbangMXO2_3_0_a3_1 (joined
    +           (portRef Z (instanceRef CmdBitbangMXO2_3_0_a3_1))
    +           (portRef D (instanceRef CmdBitbangMXO2_3_0_a3))
    +          ))
    +          (net CmdSetRWBankFFChip_3_0_a8_0_a3_0 (joined
    +           (portRef Z (instanceRef CmdSetRWBankFFChip_3_0_a8_0_a3_0_0))
    +           (portRef D (instanceRef CmdSetRWBankFFChip_3_0_a8_0_a3))
    +          ))
    +          (net N_883 (joined
    +           (portRef Z (instanceRef CmdRWMaskSet_3_0_a3_0))
    +           (portRef A (instanceRef CmdRWMaskSet_3_0_a3))
    +           (portRef A (instanceRef CmdLEDSet_3_0_a8_0_a3))
    +           (portRef D (instanceRef CmdSetRWBankFFLED_4_0_a8_0_a3))
    +          ))
    +          (net CmdSetRWBankFFLED_4 (joined
    +           (portRef Z (instanceRef CmdSetRWBankFFLED_4_0_a8_0_a3))
    +           (portRef CmdSetRWBankFFLED_4)
    +          ))
    +          (net N_885 (joined
    +           (portRef Z (instanceRef wb_we_7_iv_0_0_0_a3_7))
    +           (portRef A (instanceRef un1_RWMask_0_sqmuxa_1_i_0_a3_0_0_0))
    +           (portRef C (instanceRef wb_we_RNO_2))
    +           (portRef A (instanceRef Ready3_0_a3))
    +          ))
    +          (net Ready3_0_a3_3 (joined
    +           (portRef Z (instanceRef Ready3_0_a3_3))
    +           (portRef B (instanceRef Ready3_0_a3))
    +          ))
    +          (net Ready3_0_a3_4 (joined
    +           (portRef Z (instanceRef Ready3_0_a3_4))
    +           (portRef C (instanceRef Ready3_0_a3))
    +          ))
    +          (net Ready3_0_a3_5 (joined
    +           (portRef Z (instanceRef Ready3_0_a3_5))
    +           (portRef D (instanceRef Ready3_0_a3))
    +          ))
    +          (net Ready3 (joined
    +           (portRef Z (instanceRef Ready3_0_a3))
    +           (portRef Ready3)
    +          ))
    +          (net N_184 (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_0_o2_7))
    +           (portRef A (instanceRef wb_dati_7_0_0_o3_0_2))
    +           (portRef B (instanceRef wb_we_RNO_3))
    +           (portRef A (instanceRef wb_adr_RNO_1_1))
    +          ))
    +          (net N_204 (joined
    +           (portRef Z (instanceRef wb_adr_RNO_3_1))
    +           (portRef B (instanceRef wb_adr_RNO_1_1))
    +          ))
    +          (net wb_adr_7_5_41_a3_3_0 (joined
    +           (portRef Z (instanceRef wb_adr_RNO_4_1))
    +           (portRef D (instanceRef wb_adr_RNO_1_1))
    +          ))
    +          (net wb_we_7_iv_0_0_3_0_0 (joined
    +           (portRef Z (instanceRef wb_we_RNO_3))
    +           (portRef D (instanceRef wb_we_RNO_2))
    +          ))
    +          (net N_595 (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_o2_0_3))
    +           (portRef B (instanceRef wb_dati_7_0_0_0_a3_0_3))
    +          ))
    +          (net N_254 (joined
    +           (portRef Z (instanceRef nCAS_s_i_0_m2))
    +           (portRef B (instanceRef nCAS_s_i_0_a3_0))
    +          ))
    +          (net N_338 (joined
    +           (portRef Z (instanceRef SUM0_i_m3_0))
    +           (portRef C (instanceRef SUM0_i_o2_2))
    +          ))
    +          (net (rename N_369_i_1z "N_369_i") (joined
    +           (portRef Z (instanceRef N_369_i))
    +           (portRef N_369_i_1z)
    +          ))
    +          (net N_271 (joined
    +           (portRef Z (instanceRef S_r_i_0_o2_0_1))
    +           (portRef B (instanceRef S_s_0_0_0))
    +           (portRef C (instanceRef S_r_i_0_o2_0_RNI36E21_1))
    +          ))
    +          (net N_362_i (joined
    +           (portRef Z (instanceRef S_r_i_0_o2_0_RNI36E21_1))
    +           (portRef N_362_i)
    +          ))
    +          (net (rename RA_10 "RA[10]") (joined
    +           (portRef (member ra 1))
    +           (portRef C (instanceRef RA_35_2_0_a3_10))
    +          ))
    +          (net (rename FS_5 "FS[5]") (joined
    +           (portRef (member fs 10))
    +           (portRef B (instanceRef Ready3_0_a3_3))
    +           (portRef B (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_o3_0))
    +           (portRef B (instanceRef RA_35_i_i_0_a3_1))
    +          ))
    +          (net (rename FS_6 "FS[6]") (joined
    +           (portRef (member fs 9))
    +           (portRef C (instanceRef Ready3_0_a3_3))
    +           (portRef D (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_o3_3_0))
    +           (portRef B (instanceRef RA_35_0_0_a3_2))
    +          ))
    +          (net N_847 (joined
    +           (portRef Z (instanceRef CmdLEDGet_3_0_a3_0))
    +           (portRef C (instanceRef CmdLEDGet_3_0_a3))
    +           (portRef C (instanceRef CmdRWMaskSet_3_0_a3_0))
    +          ))
    +          (net (rename RWBank_6 "RWBank[6]") (joined
    +           (portRef (member rwbank 1))
    +           (portRef C (instanceRef BA_4_1))
    +          ))
    +          (net (rename BA_4_1 "BA_4[1]") (joined
    +           (portRef Z (instanceRef BA_4_1))
    +           (portRef (member ba_4 0))
    +          ))
    +          (net (rename RWBank_5 "RWBank[5]") (joined
    +           (portRef (member rwbank 2))
    +           (portRef C (instanceRef BA_4_0))
    +          ))
    +          (net (rename BA_4_0 "BA_4[0]") (joined
    +           (portRef Z (instanceRef BA_4_0))
    +           (portRef (member ba_4 1))
    +          ))
    +          (net wb_reqc_1 (joined
    +           (portRef Z (instanceRef wb_reqc_1_0))
    +           (portRef D (instanceRef wb_req_RNO))
    +          ))
    +          (net N_126 (joined
    +           (portRef Z (instanceRef un1_CKE75_0_i_0))
    +           (portRef N_126)
    +          ))
    +          (net N_226 (joined
    +           (portRef Z (instanceRef nRAS_s_i_0_o2))
    +           (portRef B (instanceRef nRAS_s_i_0_a3_0))
    +          ))
    +          (net (rename S_s_0_0_0 "S_s_0_0[0]") (joined
    +           (portRef Z (instanceRef S_s_0_0_0))
    +           (portRef S_s_0_0_0)
    +          ))
    +          (net CmdLEDGet_3_0_a3_1 (joined
    +           (portRef Z (instanceRef CmdLEDGet_3_0_a3_1))
    +           (portRef D (instanceRef CmdLEDGet_3_0_a3))
    +          ))
    +          (net CmdLEDGet_3 (joined
    +           (portRef Z (instanceRef CmdLEDGet_3_0_a3))
    +           (portRef CmdLEDGet_3)
    +          ))
    +          (net N_221 (joined
    +           (portRef Z (instanceRef un2_S_2_i_0_0_o3))
    +           (portRef A (instanceRef CKE_7s2_0_0_0))
    +           (portRef A (instanceRef un2_S_2_i_0_0_o3_RNIHFHN3))
    +          ))
    +          (net N_698 (joined
    +           (portRef Z (instanceRef RA_35_2_30_a3_2))
    +           (portRef B (instanceRef un2_S_2_i_0_0_o3_RNIHFHN3))
    +          ))
    +          (net (rename RA_8 "RA[8]") (joined
    +           (portRef (member ra 3))
    +           (portRef D (instanceRef un2_S_2_i_0_0_o3_RNIHFHN3))
    +          ))
    +          (net (rename un2_S_2_i_0_0_o3_RNIHFHN3_1z "un2_S_2_i_0_0_o3_RNIHFHN3") (joined
    +           (portRef Z (instanceRef un2_S_2_i_0_0_o3_RNIHFHN3))
    +           (portRef un2_S_2_i_0_0_o3_RNIHFHN3_1z)
    +          ))
    +          (net (rename RWBank_2 "RWBank[2]") (joined
    +           (portRef (member rwbank 5))
    +           (portRef D (instanceRef RA_35_0_0_0_9))
    +          ))
    +          (net N_625 (joined
    +           (portRef Z (instanceRef RA_35_2_0_a3_0_10))
    +           (portRef A (instanceRef RA_35_2_0_0_10))
    +          ))
    +          (net (rename RWBankZ0Z_3 "RWBank[3]") (joined
    +           (portRef (member rwbank 4))
    +           (portRef C (instanceRef RA_35_2_0_0_10))
    +          ))
    +          (net (rename Din_c_3 "Din_c[3]") (joined
    +           (portRef (member din_c 4))
    +           (portRef C (instanceRef SUM0_i_m3_0_bm))
    +           (portRef D (instanceRef CmdBitbangMXO2_3_0_a3_1))
    +           (portRef D (instanceRef CmdExecMXO2_3_0_a3_0))
    +           (portRef A (instanceRef CmdSetRWBankFFChip_3_0_a8_0_o3))
    +           (portRef A (instanceRef N_263_i))
    +           (portRef A (instanceRef wb_adr_RNO_3))
    +           (portRef A (instanceRef RWMask_RNO_3))
    +           (portRef A (instanceRef CmdLEDGet_3_0_a3_0))
    +           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_o3_0))
    +           (portRef A (instanceRef RWBank_3_0_3))
    +           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a3_9))
    +           (portRef A (instanceRef SUM0_i_m3_0_am))
    +          ))
    +          (net (rename Din_c_5 "Din_c[5]") (joined
    +           (portRef (member din_c 2))
    +           (portRef B (instanceRef SUM0_i_m3_0_bm))
    +           (portRef C (instanceRef CmdBitbangMXO2_3_0_a3_1))
    +           (portRef C (instanceRef CmdExecMXO2_3_0_a3_0))
    +           (portRef B (instanceRef CmdSetRWBankFFChip_3_0_a8_0_o3))
    +           (portRef A (instanceRef RDout_i_0_i_a3_5))
    +           (portRef A (instanceRef wb_adr_RNO_5))
    +           (portRef A (instanceRef RWMask_RNO_5))
    +           (portRef B (instanceRef CmdLEDGet_3_0_a3_0))
    +           (portRef D (instanceRef un1_CS_0_sqmuxa_0_0_o3_0))
    +           (portRef A (instanceRef RWBank_3_0_5))
    +           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a3_9))
    +           (portRef B (instanceRef SUM0_i_m3_0_am))
    +          ))
    +          (net SUM0_i_m3_0_am (joined
    +           (portRef Z (instanceRef SUM0_i_m3_0_am))
    +           (portRef BLUT (instanceRef SUM0_i_m3_0))
    +          ))
    +          (net SUM0_i_m3_0_bm (joined
    +           (portRef Z (instanceRef SUM0_i_m3_0_bm))
    +           (portRef ALUT (instanceRef SUM0_i_m3_0))
    +          ))
    +          (net (rename RWBank_0 "RWBank[0]") (joined
    +           (portRef (member rwbank 7))
    +           (portRef B (instanceRef DQMH_4_iv_0_0_i_i_a3_0_a3))
    +           (portRef B (instanceRef N_507_i))
    +          ))
    +          (net (rename N_507_i_1z "N_507_i") (joined
    +           (portRef Z (instanceRef N_507_i))
    +           (portRef N_507_i_1z)
    +          ))
    +          (net (rename N_368_i_1z "N_368_i") (joined
    +           (portRef Z (instanceRef N_368_i))
    +           (portRef N_368_i_1z)
    +          ))
    +          (net (rename N_360_i_1z "N_360_i") (joined
    +           (portRef Z (instanceRef N_360_i))
    +           (portRef N_360_i_1z)
    +          ))
    +          (net nEN80_c (joined
    +           (portRef nEN80_c)
    +           (portRef B (instanceRef nRAS_s_i_0_o2))
    +           (portRef C (instanceRef nRAS_s_i_0_a3_5))
    +           (portRef D (instanceRef CKE_7s2_0_0_0))
    +           (portRef C (instanceRef nRAS_s_i_0_a3_1))
    +           (portRef B (instanceRef RDOE_i))
    +           (portRef C (instanceRef LEDEN_RNI6G6M))
    +           (portRef B (instanceRef un1_nDOE_i))
    +           (portRef D (instanceRef RA_35_2_0_a3_0_10))
    +          ))
    +          (net N_188 (joined
    +           (portRef Z (instanceRef RWBank_3_0_0_o3_0))
    +           (portRef B (instanceRef RWBank_3_0_7))
    +           (portRef B (instanceRef RWBank_3_0_6))
    +           (portRef B (instanceRef RWBank_3_0_5))
    +           (portRef B (instanceRef RWBank_3_0_3))
    +           (portRef B (instanceRef RWBank_3_0_2))
    +           (portRef B (instanceRef RWBank_3_0_1))
    +           (portRef B (instanceRef RWBank_3_0_0_4))
    +           (portRef B (instanceRef RWBank_3_0_0_0))
    +          ))
    +          (net (rename RWBank_3_0 "RWBank_3[0]") (joined
    +           (portRef Z (instanceRef RWBank_3_0_0_0))
    +           (portRef (member rwbank_3 7))
    +          ))
    +          (net (rename RWBank_3_4 "RWBank_3[4]") (joined
    +           (portRef Z (instanceRef RWBank_3_0_0_4))
    +           (portRef (member rwbank_3 3))
    +          ))
    +          (net (rename RWBank_3_1 "RWBank_3[1]") (joined
    +           (portRef Z (instanceRef RWBank_3_0_1))
    +           (portRef (member rwbank_3 6))
    +          ))
    +          (net (rename RWBank_3_2 "RWBank_3[2]") (joined
    +           (portRef Z (instanceRef RWBank_3_0_2))
    +           (portRef (member rwbank_3 5))
    +          ))
    +          (net (rename RWBank_3_3 "RWBank_3[3]") (joined
    +           (portRef Z (instanceRef RWBank_3_0_3))
    +           (portRef (member rwbank_3 4))
    +          ))
    +          (net (rename RWBank_3_5 "RWBank_3[5]") (joined
    +           (portRef Z (instanceRef RWBank_3_0_5))
    +           (portRef (member rwbank_3 2))
    +          ))
    +          (net (rename RWBank_3_6 "RWBank_3[6]") (joined
    +           (portRef Z (instanceRef RWBank_3_0_6))
    +           (portRef (member rwbank_3 1))
    +          ))
    +          (net (rename RWBank_3_7 "RWBank_3[7]") (joined
    +           (portRef Z (instanceRef RWBank_3_0_7))
    +           (portRef (member rwbank_3 0))
    +          ))
    +          (net N_553 (joined
    +           (portRef Z (instanceRef wb_dati_7_0_0_0_o2_3))
    +           (portRef B (instanceRef wb_dati_7_0_0_a3_2_3))
    +          ))
    +          (net (rename RC_3_2 "RC_3[2]") (joined
    +           (portRef Z (instanceRef RC_3_0_0_2))
    +           (portRef (member rc_3 0))
    +          ))
    +          (net DOEEN (joined
    +           (portRef DOEEN)
    +           (portRef A (instanceRef un1_nDOE_i))
    +          ))
    +          (net nDOE_c (joined
    +           (portRef Z (instanceRef un1_nDOE_i))
    +           (portRef nDOE_c)
    +          ))
    +          (net Ready (joined
    +           (portRef Ready)
    +           (portRef B (instanceRef RDout_i_0_i_a3_0))
    +           (portRef B (instanceRef RDout_i_0_i_a3_1))
    +           (portRef B (instanceRef RDout_i_0_i_a3_2))
    +           (portRef B (instanceRef RDout_i_0_i_a3_5))
    +           (portRef B (instanceRef RDout_i_0_i_a3_6))
    +           (portRef B (instanceRef RDout_i_0_i_a3_7))
    +           (portRef B (instanceRef RDout_i_i_a3_4))
    +           (portRef B (instanceRef N_263_i))
    +           (portRef A (instanceRef RDOE_i))
    +           (portRef B (instanceRef LEDEN_RNI6G6M))
    +          ))
    +          (net LED_c (joined
    +           (portRef Z (instanceRef LEDEN_RNI6G6M))
    +           (portRef LED_c)
    +          ))
    +          (net (rename RDOE_i_1z "RDOE_i") (joined
    +           (portRef Z (instanceRef RDOE_i))
    +           (portRef RDOE_i_1z)
    +          ))
    +          (net N_866 (joined
    +           (portRef Z (instanceRef nRAS_s_i_0_a3_6))
    +           (portRef C (instanceRef nRAS_s_i_0_a3_0_RNIIR094))
    +           (portRef A (instanceRef nRAS_s_i_0_a3_1))
    +          ))
    +          (net N_241_i (joined
    +           (portRef Z (instanceRef wb_adr_RNO_2_1))
    +           (portRef C (instanceRef wb_adr_RNO_0_1))
    +          ))
    +          (net (rename RA_0 "RA[0]") (joined
    +           (portRef (member ra 11))
    +           (portRef D (instanceRef RA_35_0_0_1_0))
    +           (portRef A (instanceRef RWSel_2_0_a3_0_a3))
    +          ))
    +          (net nC07X_c (joined
    +           (portRef nC07X_c)
    +           (portRef C (instanceRef RWSel_2_0_a3_0_a3))
    +          ))
    +          (net RWSel_2 (joined
    +           (portRef Z (instanceRef RWSel_2_0_a3_0_a3))
    +           (portRef RWSel_2)
    +          ))
    +          (net (rename FS_7 "FS[7]") (joined
    +           (portRef (member fs 8))
    +           (portRef A (instanceRef RA_35_0_0_0))
    +           (portRef D (instanceRef Ready3_0_a3_3))
    +           (portRef C (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_o3_0))
    +          ))
    +          (net (rename un1_CmdSetRWBankFFChip13_1_i_0_0_o3_3_0 "un1_CmdSetRWBankFFChip13_1_i_0_0_o3_3[0]") (joined
    +           (portRef Z (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_o3_3_0))
    +           (portRef D (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_o3_0))
    +          ))
    +          (net N_250 (joined
    +           (portRef Z (instanceRef nRAS_s_i_0_m3))
    +           (portRef C (instanceRef nRAS_s_i_0_o2_0))
    +          ))
    +          (net (rename wb_dato_0 "wb_dato[0]") (joined
    +           (portRef (member wb_dato 7) (instanceRef ufmefb))
    +           (portRef C (instanceRef LEDEN_RNO))
    +           (portRef C (instanceRef RWMask_RNO_0))
    +          ))
    +          (net (rename wb_dato_1 "wb_dato[1]") (joined
    +           (portRef (member wb_dato 6) (instanceRef ufmefb))
    +           (portRef C (instanceRef RWMask_RNO_1))
    +          ))
    +          (net (rename wb_dato_2 "wb_dato[2]") (joined
    +           (portRef (member wb_dato 5) (instanceRef ufmefb))
    +           (portRef C (instanceRef RWMask_RNO_2))
    +          ))
    +          (net (rename wb_dato_3 "wb_dato[3]") (joined
    +           (portRef (member wb_dato 4) (instanceRef ufmefb))
    +           (portRef C (instanceRef RWMask_RNO_3))
    +          ))
    +          (net (rename wb_dato_4 "wb_dato[4]") (joined
    +           (portRef (member wb_dato 3) (instanceRef ufmefb))
    +           (portRef C (instanceRef RWMask_RNO_4))
    +          ))
    +          (net (rename wb_dato_5 "wb_dato[5]") (joined
    +           (portRef (member wb_dato 2) (instanceRef ufmefb))
    +           (portRef C (instanceRef RWMask_RNO_5))
    +          ))
    +          (net (rename wb_dato_6 "wb_dato[6]") (joined
    +           (portRef (member wb_dato 1) (instanceRef ufmefb))
    +           (portRef C (instanceRef RWMask_RNO_6))
    +          ))
    +          (net (rename FS_2 "FS[2]") (joined
    +           (portRef (member fs 13))
    +           (portRef B (instanceRef nRWE_s_i_0_63_1))
    +           (portRef B (instanceRef nRAS_s_i_0_m3))
    +           (portRef A (instanceRef Ready3_0_a3_4))
    +           (portRef B (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_o3_3_0))
    +           (portRef B (instanceRef nCAS_s_i_0_m2))
    +          ))
    +          (net N_508 (joined
    +           (portRef Z (instanceRef DQMH_4_iv_0_0_i_i_a3_0_a3))
    +           (portRef N_508)
    +          ))
    +          (net N_814 (joined
    +           (portRef Z (instanceRef CmdSetRWBankFFChip_3_0_a8_0_a3_0))
    +           (portRef A (instanceRef CmdExecMXO2_3_0_a3_0))
    +          ))
    +          (net (rename N_263_i_1z "N_263_i") (joined
    +           (portRef Z (instanceRef N_263_i))
    +           (portRef N_263_i_1z)
    +          ))
    +          (net (rename RWBank_7 "RWBank[7]") (joined
    +           (portRef (member rwbank 0))
    +           (portRef A (instanceRef RA_35_2_30_a3_2))
    +          ))
    +          (net (rename un9_VOEEN_0_a2_0_a3_0_a3_1z "un9_VOEEN_0_a2_0_a3_0_a3") (joined
    +           (portRef Z (instanceRef un9_VOEEN_0_a2_0_a3_0_a3))
    +           (portRef un9_VOEEN_0_a2_0_a3_0_a3_1z)
    +          ))
    +          (net Vout3 (joined
    +           (portRef Z (instanceRef Vout3_0_a3_0_a3_0_a3))
    +           (portRef Vout3)
    +          ))
    +          (net CmdLEDGet (joined
    +           (portRef CmdLEDGet)
    +           (portRef A (instanceRef RWBank_3_0_0_o3_0))
    +          ))
    +          (net CmdSetRWBankFFLED (joined
    +           (portRef CmdSetRWBankFFLED)
    +           (portRef C (instanceRef RWBank_3_0_0_o3_0))
    +          ))
    +          (net (rename wb_dato_7 "wb_dato[7]") (joined
    +           (portRef (member wb_dato 0) (instanceRef ufmefb))
    +           (portRef C (instanceRef RWMask_RNO_7))
    +          ))
    +          (net N_648 (joined
    +           (portRef Z (instanceRef RDout_i_i_a3_4))
    +           (portRef N_648)
    +          ))
    +          (net N_662 (joined
    +           (portRef Z (instanceRef RDout_i_0_i_a3_7))
    +           (portRef N_662)
    +          ))
    +          (net N_663 (joined
    +           (portRef Z (instanceRef RDout_i_0_i_a3_6))
    +           (portRef N_663)
    +          ))
    +          (net N_664 (joined
    +           (portRef Z (instanceRef RDout_i_0_i_a3_5))
    +           (portRef N_664)
    +          ))
    +          (net N_665 (joined
    +           (portRef Z (instanceRef RDout_i_0_i_a3_2))
    +           (portRef N_665)
    +          ))
    +          (net N_666 (joined
    +           (portRef Z (instanceRef RDout_i_0_i_a3_1))
    +           (portRef N_666)
    +          ))
    +          (net N_667 (joined
    +           (portRef Z (instanceRef RDout_i_0_i_a3_0))
    +           (portRef N_667)
    +          ))
    +          (net (rename CmdTout_3_0 "CmdTout_3[0]") (joined
    +           (portRef Z (instanceRef CmdTout_3_0_a3_0_a3_0))
    +           (portRef CmdTout_3_0)
    +          ))
    +          (net N_821 (joined
    +           (portRef Z (instanceRef RC_3_0_0_a3_1_1))
    +           (portRef B (instanceRef CKE_7_bm))
    +          ))
    +          (net nRWE_s_i_0_63_1 (joined
    +           (portRef Z (instanceRef nRWE_s_i_0_63_1))
    +           (portRef D (instanceRef S_r_i_0_o2_RNI62C53_1))
    +          ))
    +          (net (rename S_r_i_0_o2_RNI62C53_1 "S_r_i_0_o2_RNI62C53[1]") (joined
    +           (portRef Z (instanceRef S_r_i_0_o2_RNI62C53_1))
    +           (portRef B (instanceRef nRAS_s_i_0_a3_0_RNIIR094))
    +          ))
    +          (net (rename wb_adr_7_i_i_3_1_0 "wb_adr_7_i_i_3_1[0]") (joined
    +           (portRef Z (instanceRef wb_adr_7_i_i_3_1_0))
    +           (portRef D (instanceRef wb_adr_7_i_i_3_0))
    +          ))
    +          (net (rename Ain_c_0 "Ain_c[0]") (joined
    +           (portRef (member ain_c 7))
    +           (portRef A (instanceRef RA_35_0_0_1_0))
    +          ))
    +          (net (rename RA_35_0_0_1_0 "RA_35_0_0_1[0]") (joined
    +           (portRef Z (instanceRef RA_35_0_0_1_0))
    +           (portRef D (instanceRef RA_35_0_0_0))
    +          ))
    +          (net (rename RA_35_0 "RA_35[0]") (joined
    +           (portRef Z (instanceRef RA_35_0_0_0))
    +           (portRef (member ra_35 11))
    +          ))
    +          (net CmdLEDSet_3 (joined
    +           (portRef Z (instanceRef CmdLEDSet_3_0_a8_0_a3))
    +           (portRef CmdLEDSet_3)
    +          ))
    +          (net CmdRWMaskSet_3 (joined
    +           (portRef Z (instanceRef CmdRWMaskSet_3_0_a3))
    +           (portRef CmdRWMaskSet_3)
    +          ))
    +          (net N_359_i (joined
    +           (portRef Z (instanceRef nRAS_s_i_0_a3_0_RNIIR094))
    +           (portRef N_359_i)
    +          ))
    +         )
    +        (property orig_inst_of (string "RAM2E_UFM"))
    +       )
    +    )
    +    (cell RAM2E (cellType GENERIC)
    +       (view verilog (viewType NETLIST)
    +         (interface
    +           (port C14M (direction INPUT))
    +           (port PHI1 (direction INPUT))
    +           (port LED (direction OUTPUT))
    +           (port nWE (direction INPUT))
    +           (port nWE80 (direction INPUT))
    +           (port nEN80 (direction INPUT))
    +           (port nC07X (direction INPUT))
    +           (port (array (rename ain "Ain[7:0]") 8) (direction INPUT))
    +           (port (array (rename din "Din[7:0]") 8) (direction INPUT))
    +           (port (array (rename dout "Dout[7:0]") 8) (direction OUTPUT))
    +           (port nDOE (direction OUTPUT))
    +           (port (array (rename vout "Vout[7:0]") 8) (direction OUTPUT))
    +           (port nVOE (direction OUTPUT))
    +           (port CKEout (direction OUTPUT))
    +           (port nCSout (direction OUTPUT))
    +           (port nRASout (direction OUTPUT))
    +           (port nCASout (direction OUTPUT))
    +           (port nRWEout (direction OUTPUT))
    +           (port (array (rename ba "BA[1:0]") 2) (direction OUTPUT))
    +           (port (array (rename raout "RAout[11:0]") 12) (direction OUTPUT))
    +           (port DQML (direction OUTPUT))
    +           (port DQMH (direction OUTPUT))
    +           (port (array (rename rd "RD[7:0]") 8) (direction INOUT))
    +         )
    +         (contents
    +          (instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT)))          )
    +          (instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT)))          )
    +          (instance GSR_INST (viewRef PRIM (cellRef GSR (libraryRef LUCENT)))
    +          )
    +          (instance DOEEN_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C !A+C (!B !A))"))
    +          )
    +          (instance VOEEN_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!C !A+C (!B !A))"))
    +          )
    +          (instance Ready_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(B+A)"))
    +          )
    +          (instance (rename nCASout_CN "nCASout.CN") (viewRef PRIM (cellRef INV (libraryRef LUCENT)))          )
    +          (instance PHI1r_0io (viewRef PRIM (cellRef IFS1P3DX (libraryRef LUCENT)))
    +           (property IOB (string "FALSE"))
    +          )
    +          (instance nRWEout_0io (viewRef PRIM (cellRef OFS1P3BX (libraryRef LUCENT)))
    +           (property IOB (string "FALSE"))
    +          )
    +          (instance nRASout_0io (viewRef PRIM (cellRef OFS1P3BX (libraryRef LUCENT)))
    +           (property IOB (string "FALSE"))
    +          )
    +          (instance nCASout_0io (viewRef PRIM (cellRef OFS1P3BX (libraryRef LUCENT)))
    +           (property IOB (string "FALSE"))
    +          )
    +          (instance (rename Vout_0io_0 "Vout_0io[0]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    +           (property IOB (string "FALSE"))
    +          )
    +          (instance (rename Vout_0io_1 "Vout_0io[1]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    +           (property IOB (string "FALSE"))
    +          )
    +          (instance (rename Vout_0io_2 "Vout_0io[2]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    +           (property IOB (string "FALSE"))
    +          )
    +          (instance (rename Vout_0io_3 "Vout_0io[3]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    +           (property IOB (string "FALSE"))
    +          )
    +          (instance (rename Vout_0io_4 "Vout_0io[4]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    +           (property IOB (string "FALSE"))
    +          )
    +          (instance (rename Vout_0io_5 "Vout_0io[5]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    +           (property IOB (string "FALSE"))
    +          )
    +          (instance (rename Vout_0io_6 "Vout_0io[6]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    +           (property IOB (string "FALSE"))
    +          )
    +          (instance (rename Vout_0io_7 "Vout_0io[7]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    +           (property IOB (string "FALSE"))
    +          )
    +          (instance (rename RAout_0io_0 "RAout_0io[0]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    +           (property IOB (string "FALSE"))
    +          )
    +          (instance (rename RAout_0io_1 "RAout_0io[1]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    +           (property IOB (string "FALSE"))
    +          )
    +          (instance (rename RAout_0io_2 "RAout_0io[2]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    +           (property IOB (string "FALSE"))
    +          )
    +          (instance (rename RAout_0io_3 "RAout_0io[3]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    +           (property IOB (string "FALSE"))
    +          )
    +          (instance (rename RAout_0io_4 "RAout_0io[4]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    +           (property IOB (string "FALSE"))
    +          )
    +          (instance (rename RAout_0io_5 "RAout_0io[5]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    +           (property IOB (string "FALSE"))
    +          )
    +          (instance (rename RAout_0io_6 "RAout_0io[6]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    +           (property IOB (string "FALSE"))
    +          )
    +          (instance (rename RAout_0io_7 "RAout_0io[7]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    +           (property IOB (string "FALSE"))
    +          )
    +          (instance (rename RAout_0io_8 "RAout_0io[8]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    +           (property IOB (string "FALSE"))
    +          )
    +          (instance (rename RAout_0io_9 "RAout_0io[9]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    +           (property IOB (string "FALSE"))
    +          )
    +          (instance (rename RAout_0io_10 "RAout_0io[10]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    +           (property IOB (string "FALSE"))
    +          )
    +          (instance (rename RAout_0io_11 "RAout_0io[11]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    +           (property IOB (string "FALSE"))
    +          )
    +          (instance DQML_0io (viewRef PRIM (cellRef OFS1P3BX (libraryRef LUCENT)))
    +           (property IOB (string "FALSE"))
    +          )
    +          (instance DQMH_0io (viewRef PRIM (cellRef OFS1P3BX (libraryRef LUCENT)))
    +           (property IOB (string "FALSE"))
    +          )
    +          (instance CKEout_0io (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
    +           (property IOB (string "FALSE"))
    +          )
    +          (instance (rename BA_0io_0 "BA_0io[0]") (viewRef PRIM (cellRef OFS1P3IX (libraryRef LUCENT)))
    +           (property IOB (string "FALSE"))
    +          )
    +          (instance (rename BA_0io_1 "BA_0io[1]") (viewRef PRIM (cellRef OFS1P3IX (libraryRef LUCENT)))
    +           (property IOB (string "FALSE"))
    +          )
    +          (instance nRWE (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT)))
    +          )
    +          (instance nRAS (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT)))
    +          )
    +          (instance nCAS (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT)))
    +          )
    +          (instance VOEEN (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT)))
    +          )
    +          (instance (rename S_0 "S[0]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
    +          )
    +          (instance (rename S_1 "S[1]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
    +          )
    +          (instance (rename S_2 "S[2]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
    +          )
    +          (instance (rename S_3 "S[3]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
    +          )
    +          (instance Ready (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
    +          )
    +          (instance RWSel (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
    +          )
               (instance (rename RWBank_0 "RWBank[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
               )
               (instance (rename RWBank_1 "RWBank[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
    @@ -1053,11 +4193,35 @@
               )
               (instance (rename RWBank_7 "RWBank[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
               )
    +          (instance (rename RC_0 "RC[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
    +          )
    +          (instance (rename RC_1 "RC[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
    +          )
    +          (instance (rename RC_2 "RC[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
    +          )
               (instance (rename RA_0 "RA[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
               )
    +          (instance (rename RA_1 "RA[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
    +          )
    +          (instance (rename RA_2 "RA[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
    +          )
               (instance (rename RA_3 "RA[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
               )
    -          (instance LEDEN (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
    +          (instance (rename RA_4 "RA[4]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
    +          )
    +          (instance (rename RA_5 "RA[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
    +          )
    +          (instance (rename RA_6 "RA[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
    +          )
    +          (instance (rename RA_7 "RA[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
    +          )
    +          (instance (rename RA_8 "RA[8]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
    +          )
    +          (instance (rename RA_9 "RA[9]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
    +          )
    +          (instance (rename RA_10 "RA[10]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
    +          )
    +          (instance (rename RA_11 "RA[11]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
               )
               (instance (rename FS_0 "FS[0]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
               )
    @@ -1091,7 +4255,7 @@
               )
               (instance (rename FS_15 "FS[15]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
               )
    -          (instance DOEEN (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
    +          (instance DOEEN (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT)))
               )
               (instance (rename CmdTout_0 "CmdTout[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
               )
    @@ -1099,8 +4263,6 @@
               )
               (instance (rename CmdTout_2 "CmdTout[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
               )
    -          (instance CmdSetRWBankFFMXO2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
    -          )
               (instance CmdSetRWBankFFLED (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
               )
               (instance CmdRWMaskSet (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
    @@ -1109,18 +4271,14 @@
               )
               (instance CmdLEDGet (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
               )
    -          (instance CmdExecMXO2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
    -          )
    -          (instance CmdBitbangMXO2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
    -          )
               (instance (rename CS_0 "CS[0]") (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT)))
               )
               (instance (rename CS_1 "CS[1]") (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT)))
               )
               (instance (rename CS_2 "CS[2]") (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT)))
               )
    -          (instance DQMH_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
    -          (instance DQML_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
    +          (instance CKE (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT)))
    +          )
               (instance (rename RD_pad_7 "RD_pad[7]") (viewRef PRIM (cellRef BB (libraryRef LUCENT)))          )
               (instance (rename RD_pad_6 "RD_pad[6]") (viewRef PRIM (cellRef BB (libraryRef LUCENT)))          )
               (instance (rename RD_pad_5 "RD_pad[5]") (viewRef PRIM (cellRef BB (libraryRef LUCENT)))          )
    @@ -1129,25 +4287,27 @@
               (instance (rename RD_pad_2 "RD_pad[2]") (viewRef PRIM (cellRef BB (libraryRef LUCENT)))          )
               (instance (rename RD_pad_1 "RD_pad[1]") (viewRef PRIM (cellRef BB (libraryRef LUCENT)))          )
               (instance (rename RD_pad_0 "RD_pad[0]") (viewRef PRIM (cellRef BB (libraryRef LUCENT)))          )
    -          (instance (rename RA_pad_11 "RA_pad[11]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
    -          (instance (rename RA_pad_10 "RA_pad[10]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
    -          (instance (rename RA_pad_9 "RA_pad[9]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
    -          (instance (rename RA_pad_8 "RA_pad[8]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
    -          (instance (rename RA_pad_7 "RA_pad[7]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
    -          (instance (rename RA_pad_6 "RA_pad[6]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
    -          (instance (rename RA_pad_5 "RA_pad[5]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
    -          (instance (rename RA_pad_4 "RA_pad[4]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
    -          (instance (rename RA_pad_3 "RA_pad[3]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
    -          (instance (rename RA_pad_2 "RA_pad[2]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
    -          (instance (rename RA_pad_1 "RA_pad[1]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
    -          (instance (rename RA_pad_0 "RA_pad[0]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
    +          (instance DQMH_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
    +          (instance DQML_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
    +          (instance (rename RAout_pad_11 "RAout_pad[11]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
    +          (instance (rename RAout_pad_10 "RAout_pad[10]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
    +          (instance (rename RAout_pad_9 "RAout_pad[9]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
    +          (instance (rename RAout_pad_8 "RAout_pad[8]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
    +          (instance (rename RAout_pad_7 "RAout_pad[7]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
    +          (instance (rename RAout_pad_6 "RAout_pad[6]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
    +          (instance (rename RAout_pad_5 "RAout_pad[5]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
    +          (instance (rename RAout_pad_4 "RAout_pad[4]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
    +          (instance (rename RAout_pad_3 "RAout_pad[3]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
    +          (instance (rename RAout_pad_2 "RAout_pad[2]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
    +          (instance (rename RAout_pad_1 "RAout_pad[1]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
    +          (instance (rename RAout_pad_0 "RAout_pad[0]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
               (instance (rename BA_pad_1 "BA_pad[1]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
               (instance (rename BA_pad_0 "BA_pad[0]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
    -          (instance nRWE_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
    -          (instance nCAS_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
    -          (instance nRAS_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
    -          (instance nCS_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
    -          (instance CKE_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
    +          (instance nRWEout_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
    +          (instance nCASout_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
    +          (instance nRASout_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
    +          (instance nCSout_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
    +          (instance CKEout_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
               (instance nVOE_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
               (instance (rename Vout_pad_7 "Vout_pad[7]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
               (instance (rename Vout_pad_6 "Vout_pad[6]") (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
    @@ -1184,573 +4344,17 @@
               (instance (rename Ain_pad_0 "Ain_pad[0]") (viewRef PRIM (cellRef IB (libraryRef LUCENT)))          )
               (instance nC07X_pad (viewRef PRIM (cellRef IB (libraryRef LUCENT)))          )
               (instance nEN80_pad (viewRef PRIM (cellRef IB (libraryRef LUCENT)))          )
    -          (instance nWE80_pad (viewRef PRIM (cellRef IB (libraryRef LUCENT)))          )
               (instance nWE_pad (viewRef PRIM (cellRef IB (libraryRef LUCENT)))          )
               (instance LED_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT)))          )
               (instance PHI1_pad (viewRef PRIM (cellRef IB (libraryRef LUCENT)))
               )
               (instance C14M_pad (viewRef PRIM (cellRef IB (libraryRef LUCENT)))          )
    -          (instance un1_CS_0_sqmuxa_0_0_2_RNIQS7F (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (!C !B)+D (!C (!B !A)))"))
    -          )
    -          (instance nCAS_0io_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (!C+(!B+A))+D A)"))
    -          )
    -          (instance nRWE_r_0_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (!C+(!B+A)))"))
    -          )
    -          (instance nCS_0io_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!B !A)"))
    -          )
    -          (instance nRAS_2_iv_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C (!B !A)+C !A)"))
    -          )
    -          (instance (rename wb_dati_7_0_5 "wb_dati_7_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D+(!C A+C (B+A)))"))
    -          )
    -          (instance (rename wb_dati_7_0_2 "wb_dati_7_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D+(!C A+C (B+A)))"))
    -          )
    -          (instance (rename wb_dati_7_0_7 "wb_dati_7_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D+(C+(B+A)))"))
    -          )
    -          (instance (rename wb_adr_7_0_0 "wb_adr_7_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D+(!C B+C (B+A)))"))
    -          )
    -          (instance (rename wb_dati_7_0_6 "wb_dati_7_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(C+(B A))"))
    -          )
    -          (instance (rename wb_dati_7_0_4 "wb_dati_7_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D+(C+(B+A)))"))
    -          )
    -          (instance (rename wb_dati_7_0_3 "wb_dati_7_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(C+(B+A))"))
    -          )
    -          (instance (rename RA_42_0_10 "RA_42_0[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D+(!C B+C (B+!A)))"))
    -          )
    -          (instance un1_CS_0_sqmuxa_0_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D C+D (C+(B A)))"))
    -          )
    -          (instance un1_CS_0_sqmuxa_0_0_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D+(C+(B+A)))"))
    -          )
    -          (instance (rename CS_RNO_2 "CS_RNO[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C B+C (!B A+B !A))"))
    -          )
    -          (instance nCS_6_u_i_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D A+D (!C A+C (B+A)))"))
    -          )
    -          (instance (rename wb_dati_7_0_1 "wb_dati_7_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D+(!C A+C (B+A)))"))
    -          )
    -          (instance un1_CS_0_sqmuxa_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D C+D (C+(B A)))"))
    -          )
    -          (instance (rename wb_dati_7_0_1_6 "wb_dati_7_0_1[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (B+A)+D (C+(B+A)))"))
    -          )
    -          (instance (rename wb_dati_7_0_2_3 "wb_dati_7_0_2[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (C (B !A))+D (B !A))"))
    -          )
    -          (instance (rename wb_adr_7_0_4_0 "wb_adr_7_0_4[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D+(C+(B+A)))"))
    -          )
    -          (instance (rename CS_RNO_0 "CS_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C (!B !A+B A)+C A)"))
    -          )
    -          (instance CmdSetRWBankFFLED_4_u_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C (B+A)+C B)"))
    -          )
    -          (instance CmdExecMXO2_4_u_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (!C A+C (B+A))+D (C B))"))
    -          )
    -          (instance CmdRWMaskSet_4_u_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (!C A+C (B+A))+D (C B))"))
    -          )
    -          (instance CmdBitbangMXO2_4_u_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (!C A+C (B+A))+D (C B))"))
    -          )
    -          (instance CmdLEDSet_4_u_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C (B+A)+C B)"))
    -          )
    -          (instance (rename un1_LEDEN_0_sqmuxa_1_i_0_0 "un1_LEDEN_0_sqmuxa_1_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (B A)+D (C+(B A)))"))
    -          )
    -          (instance wb_cyc_stb_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C B+C (B+A))"))
    -          )
    -          (instance (rename un1_RWMask_0_sqmuxa_1_i_0_0 "un1_RWMask_0_sqmuxa_1_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (B A)+D (C+(B A)))"))
    -          )
    -          (instance (rename wb_dati_7_0_0 "wb_dati_7_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))"))
    -          )
    -          (instance (rename wb_adr_7_0_a2_0_0 "wb_adr_7_0_a2_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D (!C (B+A)+C B))"))
    -          )
    -          (instance (rename wb_dati_7_0_a2_4 "wb_dati_7_0_a2[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (C (B !A))+D (B !A))"))
    -          )
    -          (instance (rename wb_dati_7_0_RNO_7 "wb_dati_7_0_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (C (!B !A))+D C)"))
    -          )
    -          (instance (rename wb_dati_7_0_a2_3_4 "wb_dati_7_0_a2_3[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D (C (!B !A)))"))
    -          )
    -          (instance (rename wb_dati_7_0_a2_7 "wb_dati_7_0_a2[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D (!C (!B A)+C (B A)))"))
    -          )
    -          (instance un1_CS_0_sqmuxa_0_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D (C (B !A)))"))
    -          )
    -          (instance un1_CS_0_sqmuxa_0_0_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(C (!B !A))"))
    -          )
    -          (instance (rename wb_dati_7_0_o2_0_2 "wb_dati_7_0_o2_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D A+D (!C A+C (B+A)))"))
    -          )
    -          (instance (rename wb_dati_7_0_0_3 "wb_dati_7_0_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (B A)+D (C+(B A)))"))
    -          )
    -          (instance (rename wb_dati_7_0_0_4 "wb_dati_7_0_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (B A)+D (C+(B A)))"))
    -          )
    -          (instance DQML_0io_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (!B+!A)+D (!C !B+C (!B+!A)))"))
    -          )
    -          (instance CmdSetRWBankFFMXO2_4_u_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (!C A+C (B+A))+D (C B))"))
    -          )
    -          (instance CmdSetRWBankFFLED_4_u_0_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D (C (B !A)))"))
    -          )
    -          (instance CmdLEDGet_4_u_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (!C A+C (B+A))+D (C B))"))
    -          )
    -          (instance (rename wb_dati_7_0_a2_5_4 "wb_dati_7_0_a2_5[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(C (!B A))"))
    -          )
    -          (instance nCS_6_u_i_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D (C (B !A)))"))
    -          )
    -          (instance CKE_6_iv_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (!C A+C (B+A))+D A)"))
    -          )
    -          (instance wb_we_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D+(C (B !A)))"))
    -          )
    -          (instance (rename wb_adr_RNO_1 "wb_adr_RNO[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D+(!C B+C (B+A)))"))
    -          )
    -          (instance (rename wb_adr_7_0_a2_2_0 "wb_adr_7_0_a2_2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D (C (!B !A)))"))
    -          )
    -          (instance (rename wb_dati_7_0_a2_6 "wb_dati_7_0_a2[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D (C (!B !A+B A)))"))
    -          )
    -          (instance (rename un1_LEDEN13_2_i_0_0 "un1_LEDEN13_2_i_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (B+A)+D (C+(B+A)))"))
    -          )
    -          (instance (rename wb_dati_7_0_a2_1_7 "wb_dati_7_0_a2_1[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (C (B !A)))"))
    -          )
    -          (instance (rename wb_adr_7_0_a2_1_0 "wb_adr_7_0_a2_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (C (B+!A)))"))
    -          )
    -          (instance (rename wb_dati_7_0_a2_1 "wb_dati_7_0_a2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(C (B A))"))
    -          )
    -          (instance Ready_0_sqmuxa_0_a2_6_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D (C (B A)))"))
    -          )
    -          (instance CmdLEDSet_4_u_0_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D (C (!B A)))"))
    -          )
    -          (instance un1_CS_0_sqmuxa_0_0_a2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(C (B A))"))
    -          )
    -          (instance un1_CS_0_sqmuxa_0_0_a2_4_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D (C (!B A)))"))
    -          )
    -          (instance (rename wb_dati_7_0_0_1 "wb_dati_7_0_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))"))
    -          )
    -          (instance (rename wb_dati_7_0_0_7 "wb_dati_7_0_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (C B)+D (!C A+C (B+A)))"))
    -          )
    -          (instance (rename wb_adr_7_0_0_0 "wb_adr_7_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (C A)+D (!C B+C (B+A)))"))
    -          )
    -          (instance (rename wb_adr_7_0_1_0 "wb_adr_7_0_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (!C (B A))+D B)"))
    -          )
    -          (instance (rename S_RNO_2 "S_RNO[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (!C (!B !A)+C (B !A))+D (!C (!B !A)+C !A))"))
    -          )
    -          (instance (rename un1_LEDEN13_2_i_a2_0_0 "un1_LEDEN13_2_i_a2_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (C (!B !A))+D (C !B))"))
    -          )
    -          (instance (rename S_RNII9DO1_1_1 "S_RNII9DO1_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (!C (!B !A+B A)+C (B A))+D (!C (!B A)+C B))"))
    -          )
    -          (instance un1_CS_0_sqmuxa_0_0_a2_2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D (C (B !A)))"))
    -          )
    -          (instance un1_CS_0_sqmuxa_0_0_a2_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D (!C (!B A)))"))
    -          )
    -          (instance un1_CS_0_sqmuxa_0_0_a2_3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D (C (!B !A)))"))
    -          )
    -          (instance (rename wb_adr_RNO_1_1 "wb_adr_RNO_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (C (!B A))+D (!C A+C (!B A)))"))
    -          )
    -          (instance wb_we_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (C+B)+D (C+(B+A)))"))
    -          )
    -          (instance wb_we_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (!C (!B+A)))"))
    -          )
    -          (instance CmdBitbangMXO2_RNI8CSO1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (!C (!B+A)))"))
    -          )
    -          (instance (rename RA_0io_RNO_8 "RA_0io_RNO[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (B !A)+D (C !A))"))
    -          )
    -          (instance (rename RA_0io_RNO_9 "RA_0io_RNO[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (!C !B+C (!B A)))"))
    -          )
    -          (instance (rename S_RNO_1 "S_RNO[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D (!C (!B !A)+C !A))"))
    -          )
    -          (instance (rename S_RNO_3 "S_RNO[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (C (!B !A))+D !A)"))
    -          )
    -          (instance un1_CS_0_sqmuxa_0_0_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D+(C (!B A)))"))
    -          )
    -          (instance CmdSetRWBankFFLED_4_u_0_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(C (B A))"))
    -          )
    -          (instance wb_req_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (!C (!B !A)))"))
    -          )
    -          (instance CKE_6_iv_i_a2_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (C (B A)))"))
    -          )
    -          (instance (rename un1_LEDEN13_2_i_o2_2_0 "un1_LEDEN13_2_i_o2_2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D+(C+(B+A)))"))
    -          )
    -          (instance (rename S_s_0_0 "S_s_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D+(!C A+C (!B+A)))"))
    -          )
    -          (instance (rename CS_RNO_0_2 "CS_RNO_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C (!B A))"))
    -          )
    -          (instance un1_CS_0_sqmuxa_0_0_a2_4_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D (C (!B !A)))"))
    -          )
    -          (instance CKE_6_iv_i_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (!C B+C (!B A))+D (!C+(!B A)))"))
    -          )
    -          (instance Ready_0_sqmuxa_0_a2_6_a2_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D (C (B A)))"))
    -          )
    -          (instance nCS_6_u_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (!C (!B A)+C (!B !A))+D (!C (!B A)))"))
    -          )
    -          (instance (rename wb_adr_7_0_a2_0_0_0 "wb_adr_7_0_a2_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (C (!B !A))+D (!C (!B A)+C !B))"))
    -          )
    -          (instance (rename CmdTout_RNO_1 "CmdTout_RNO[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C (!B A+B !A))"))
    -          )
    -          (instance (rename RA_RNO_3 "RA_RNO[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C (B A)+C A)"))
    -          )
    -          (instance (rename RA_0io_RNO_4 "RA_0io_RNO[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C (B A)+C A)"))
    -          )
    -          (instance (rename RA_0io_RNO_6 "RA_0io_RNO[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C (B A)+C A)"))
    -          )
    -          (instance (rename RA_0io_RNO_7 "RA_0io_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C (B A)+C A)"))
    -          )
    -          (instance (rename RA_RNO_0 "RA_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C (B A)+C A)"))
    -          )
    -          (instance (rename RA_0io_RNO_1 "RA_0io_RNO[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C (B A)+C A)"))
    -          )
    -          (instance (rename RA_0io_RNO_2 "RA_0io_RNO[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C (B A)+C A)"))
    -          )
    -          (instance un1_CS_0_sqmuxa_0_0_a2_10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(C (B !A))"))
    -          )
    -          (instance (rename RWBank_5_0_4 "RWBank_5_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C (B+A)+C B)"))
    -          )
    -          (instance un1_CS_0_sqmuxa_0_0_a2_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (!C+(!B+!A)))"))
    -          )
    -          (instance nCAS_s_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(B+A)"))
    -          )
    -          (instance (rename wb_dati_7_0_o2_1 "wb_dati_7_0_o2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (C (!B !A))+D (!C (B A)))"))
    -          )
    -          (instance (rename RWBank_5_0_2 "RWBank_5_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C (B+A)+C B)"))
    -          )
    -          (instance (rename RWBank_5_0_3 "RWBank_5_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C (B+A)+C B)"))
    -          )
    -          (instance (rename RWBank_5_0_5 "RWBank_5_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C (B+A)+C B)"))
    -          )
    -          (instance (rename RWBank_5_0_6 "RWBank_5_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C (B+A)+C B)"))
    -          )
    -          (instance (rename RWBank_5_0_0_7 "RWBank_5_0_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C B+C (B+A))"))
    -          )
    -          (instance (rename RWBank_5_0_1 "RWBank_5_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C (B+A)+C B)"))
    -          )
    -          (instance (rename RWBank_5_0_0 "RWBank_5_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C (B+A)+C B)"))
    -          )
    -          (instance nRWE_r_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (!B A)+D (!C (!B A)+C !B))"))
    -          )
    -          (instance nDOE_pad_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C+(B+!A))"))
    -          )
    -          (instance (rename RA_0io_RNO_11 "RA_0io_RNO[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (C (!B A)))"))
    -          )
    -          (instance RWSel_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (!C (!B A)))"))
    -          )
    -          (instance wb_we_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (C (!B A)))"))
    -          )
    -          (instance nCS_6_u_i_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (C (!B !A)))"))
    -          )
    -          (instance (rename wb_adr_RNO_0_1 "wb_adr_RNO_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D (!C (!B A)))"))
    -          )
    -          (instance un1_CS_0_sqmuxa_0_0_a2_15 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (C (!B A)))"))
    -          )
    -          (instance un1_CS_0_sqmuxa_0_0_a2_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D (C (!B A)))"))
    -          )
    -          (instance un1_CS_0_sqmuxa_0_0_a2_1_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D (!C (B !A)))"))
    -          )
    -          (instance (rename S_s_0_1_0 "S_s_0_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (C !A)+D (!C !A+C (B+!A)))"))
    -          )
    -          (instance CmdSetRWBankFFMXO2_4_u_0_0_a2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(C (B !A))"))
    -          )
    -          (instance CmdLEDGet_4_u_0_0_a2_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D (!C (B A)))"))
    -          )
    -          (instance (rename wb_dati_7_0_a2_2_0_1 "wb_dati_7_0_a2_2_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(C (B A))"))
    -          )
    -          (instance (rename un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0 "un1_LEDEN_0_sqmuxa_1_i_a2_0_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D (!C (!B !A)))"))
    -          )
    -          (instance (rename wb_dati_7_0_a2_1_0_0 "wb_dati_7_0_a2_1_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D (!C (B A)+C (!B A)))"))
    -          )
    -          (instance (rename RWMask_RNO_6 "RWMask_RNO[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C (B !A)+C (!B+!A))"))
    -          )
    -          (instance (rename RWMask_RNO_5 "RWMask_RNO[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C (B !A)+C (!B+!A))"))
    -          )
    -          (instance (rename RWMask_RNO_4 "RWMask_RNO[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C (B !A)+C (!B+!A))"))
    -          )
    -          (instance (rename RWMask_RNO_3 "RWMask_RNO[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C (B !A)+C (!B+!A))"))
    -          )
    -          (instance (rename RWMask_RNO_2 "RWMask_RNO[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C (B !A)+C (!B+!A))"))
    -          )
    -          (instance (rename RWMask_RNO_1 "RWMask_RNO[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C (B !A)+C (!B+!A))"))
    -          )
    -          (instance (rename RWMask_RNO_0 "RWMask_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C (B !A)+C (!B+!A))"))
    -          )
    -          (instance (rename un1_wb_adr_0_sqmuxa_2_0_1_0 "un1_wb_adr_0_sqmuxa_2_0_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (C+!A)+D (!C+!B))"))
    -          )
    -          (instance (rename wb_adr_RNO_2 "wb_adr_RNO[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(B A)"))
    -          )
    -          (instance (rename wb_adr_RNO_3 "wb_adr_RNO[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(B A)"))
    -          )
    -          (instance (rename wb_adr_RNO_7 "wb_adr_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(B A)"))
    -          )
    -          (instance un1_CS_0_sqmuxa_0_0_a2_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(C (B A))"))
    -          )
    -          (instance wb_req_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(C (B A))"))
    -          )
    -          (instance (rename RA_42_i_o2_8 "RA_42_i_o2[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C+(B+A))"))
    -          )
    -          (instance nRAS_2_iv_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C (!B A)+C (!B !A))"))
    -          )
    -          (instance Vout3_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (C (B !A)))"))
    -          )
    -          (instance (rename RA_42_3_0_5 "RA_42_3_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C (!B+A)+C A)"))
    -          )
    -          (instance (rename wb_dati_7_0_a2_0_2_7 "wb_dati_7_0_a2_0_2[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D (!C (B+!A)))"))
    -          )
    -          (instance (rename wb_dati_7_0_a2_0_0_6 "wb_dati_7_0_a2_0_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C (!B A))"))
    -          )
    -          (instance LED_pad_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(B+!A)"))
    -          )
    -          (instance nWE80_pad_RNI3ICD (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(B+A)"))
    -          )
    -          (instance (rename RWBank_5_0_o2_0 "RWBank_5_0_o2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (C+B)+D (C+(B+A)))"))
    +          (instance nVOE_pad_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    +           (property lut_function (string "(!B+A)"))
               )
               (instance (rename SZ0Z_1 "S_1") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
                (property lut_function (string "(C (!B A))"))
               )
    -          (instance un1_CS_0_sqmuxa_0_0_a2_1_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (!C (B A)))"))
    -          )
    -          (instance (rename wb_adr_7_0_a2_5_0_0 "wb_adr_7_0_a2_5_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C (!B A))"))
    -          )
    -          (instance CmdBitbangMXO2_4_u_0_0_a2_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(C (B !A))"))
    -          )
    -          (instance Ready_0_sqmuxa_0_a2_6_a2_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D (C (B A)))"))
    -          )
    -          (instance nCS_6_u_i_a2_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (!C (!B A)))"))
    -          )
    -          (instance (rename wb_adr_RNO_4 "wb_adr_RNO[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C !B+C A)"))
    -          )
    -          (instance (rename wb_adr_RNO_6 "wb_adr_RNO[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C !B+C A)"))
    -          )
    -          (instance (rename wb_adr_RNO_5 "wb_adr_RNO[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C !B+C A)"))
    -          )
    -          (instance LEDEN_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C (B A)+C (!B+A))"))
    -          )
    -          (instance (rename RWMask_RNO_7 "RWMask_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C (B A)+C (!B+A))"))
    -          )
    -          (instance (rename CmdTout_3_0_a2_0 "CmdTout_3_0_a2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!B !A)"))
    -          )
    -          (instance un1_CS_0_sqmuxa_0_0_a2_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!B !A)"))
    -          )
    -          (instance un1_CS_0_sqmuxa_0_0_a2_12 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!B !A)"))
    -          )
    -          (instance un1_CS_0_sqmuxa_0_0_a2_13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(B A)"))
    -          )
    -          (instance nCS_6_u_i_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(B+A)"))
    -          )
    -          (instance (rename un1_LEDEN13_2_i_o2_0 "un1_LEDEN13_2_i_o2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(B+A)"))
    -          )
    -          (instance (rename wb_adr_7_0_o2_0 "wb_adr_7_0_o2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(B+A)"))
    -          )
    -          (instance (rename S_RNINI6S_1 "S_RNINI6S[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!B+!A)"))
    -          )
    -          (instance (rename S_r_i_o2_1 "S_r_i_o2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!B+!A)"))
    -          )
    -          (instance (rename FS_RNI9Q57_13 "FS_RNI9Q57[13]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!B+A)"))
    -          )
    -          (instance (rename wb_adr_7_0_o2_2_0 "wb_adr_7_0_o2_2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!B+!A)"))
    -          )
    -          (instance (rename FS_RNIJ9MH_14 "FS_RNIJ9MH[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!B !A)"))
    -          )
    -          (instance (rename wb_dati_7_0_a2_1_0 "wb_dati_7_0_a2_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(B A)"))
    -          )
    -          (instance wb_rst8_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!B !A)"))
    -          )
    -          (instance wb_reqc_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(B+A)"))
    -          )
    -          (instance CmdBitbangMXO2_4_u_0_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C (!B A))"))
    -          )
    -          (instance un1_CS_0_sqmuxa_0_0_a2_16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C (!B !A))"))
    -          )
    -          (instance un1_CS_0_sqmuxa_0_0_a2_17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(C (B A))"))
    -          )
    -          (instance un1_nCS61_1_0_a2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (!C (B A)))"))
    -          )
    -          (instance (rename FS_RNI6JJA_8 "FS_RNI6JJA[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C+(!B+!A))"))
    -          )
    -          (instance un1_CS_0_sqmuxa_0_0_a2_3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D (C (B A)))"))
    -          )
    -          (instance (rename CS_RNO_1 "CS_RNO[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D A+D (!C (!B !A+B A)+C A))"))
    -          )
    -          (instance (rename wb_dati_7_0_a2_5_1 "wb_dati_7_0_a2_5[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(C (!B !A))"))
    -          )
    -          (instance nCS_6_u_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(D+(C+(!B !A)))"))
    -          )
    -          (instance (rename un1_LEDEN13_2_i_a2_0 "un1_LEDEN13_2_i_a2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (C (B+A)))"))
    -          )
    -          (instance (rename wb_dati_7_0_a2_2_0 "wb_dati_7_0_a2_2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!D (!C (B !A)))"))
    -          )
    -          (instance (rename wb_dati_7_0_a2_6_1 "wb_dati_7_0_a2_6[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
    -           (property lut_function (string "(!C (B A))"))
    -          )
               (instance (rename FS_s_0_15 "FS_s_0[15]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
                (property INIT0 (string "0x5002"))
                (property INJECT1_1 (string "NO"))
    @@ -1805,674 +4409,206 @@
                (property INJECT1_0 (string "NO"))
                (property INIT1 (string "0x300A"))
               )
    -          (instance ufmefb (viewRef netlist (cellRef REFB))
    +          (instance ram2e_ufm (viewRef netlist (cellRef RAM2E_UFM))
               )
    -          (net wb_rst (joined
    -           (portRef Q (instanceRef wb_rst))
    -           (portRef wb_rst (instanceRef ufmefb))
    -          ))
    -          (net wb_cyc_stb (joined
    -           (portRef Q (instanceRef wb_cyc_stb))
    -           (portRef wb_cyc_stb (instanceRef ufmefb))
    -          ))
    -          (net wb_we (joined
    -           (portRef Q (instanceRef wb_we))
    -           (portRef wb_we (instanceRef ufmefb))
    -          ))
    -          (net (rename wb_adr_0 "wb_adr[0]") (joined
    -           (portRef Q (instanceRef wb_adr_0))
    -           (portRef (member wb_adr 7) (instanceRef ufmefb))
    -           (portRef C (instanceRef wb_dati_7_0_0))
    -          ))
    -          (net (rename wb_adr_1 "wb_adr[1]") (joined
    -           (portRef Q (instanceRef wb_adr_1))
    -           (portRef (member wb_adr 6) (instanceRef ufmefb))
    -           (portRef C (instanceRef wb_dati_7_0_0_1))
    -          ))
    -          (net (rename wb_adr_2 "wb_adr[2]") (joined
    -           (portRef Q (instanceRef wb_adr_2))
    -           (portRef (member wb_adr 5) (instanceRef ufmefb))
    -           (portRef C (instanceRef wb_dati_7_0_2))
    -          ))
    -          (net (rename wb_adr_3 "wb_adr[3]") (joined
    -           (portRef Q (instanceRef wb_adr_3))
    -           (portRef (member wb_adr 4) (instanceRef ufmefb))
    -           (portRef D (instanceRef wb_dati_7_0_0_3))
    -          ))
    -          (net (rename wb_adr_4 "wb_adr[4]") (joined
    -           (portRef Q (instanceRef wb_adr_4))
    -           (portRef (member wb_adr 3) (instanceRef ufmefb))
    -           (portRef D (instanceRef wb_dati_7_0_0_4))
    -          ))
    -          (net (rename wb_adr_5 "wb_adr[5]") (joined
    -           (portRef Q (instanceRef wb_adr_5))
    -           (portRef (member wb_adr 2) (instanceRef ufmefb))
    -           (portRef C (instanceRef wb_dati_7_0_5))
    -          ))
    -          (net (rename wb_adr_6 "wb_adr[6]") (joined
    -           (portRef Q (instanceRef wb_adr_6))
    -           (portRef (member wb_adr 1) (instanceRef ufmefb))
    -           (portRef D (instanceRef wb_dati_7_0_1_6))
    -          ))
    -          (net (rename wb_adr_7 "wb_adr[7]") (joined
    -           (portRef Q (instanceRef wb_adr_7))
    -           (portRef (member wb_adr 0) (instanceRef ufmefb))
    -           (portRef C (instanceRef wb_dati_7_0_0_7))
    -          ))
    -          (net (rename wb_dati_0 "wb_dati[0]") (joined
    -           (portRef Q (instanceRef wb_dati_0))
    -           (portRef (member wb_dati 7) (instanceRef ufmefb))
    -          ))
    -          (net (rename wb_dati_1 "wb_dati[1]") (joined
    -           (portRef Q (instanceRef wb_dati_1))
    -           (portRef (member wb_dati 6) (instanceRef ufmefb))
    -          ))
    -          (net (rename wb_dati_2 "wb_dati[2]") (joined
    -           (portRef Q (instanceRef wb_dati_2))
    -           (portRef (member wb_dati 5) (instanceRef ufmefb))
    -          ))
    -          (net (rename wb_dati_3 "wb_dati[3]") (joined
    -           (portRef Q (instanceRef wb_dati_3))
    -           (portRef (member wb_dati 4) (instanceRef ufmefb))
    -          ))
    -          (net (rename wb_dati_4 "wb_dati[4]") (joined
    -           (portRef Q (instanceRef wb_dati_4))
    -           (portRef (member wb_dati 3) (instanceRef ufmefb))
    -          ))
    -          (net (rename wb_dati_5 "wb_dati[5]") (joined
    -           (portRef Q (instanceRef wb_dati_5))
    -           (portRef (member wb_dati 2) (instanceRef ufmefb))
    -          ))
    -          (net (rename wb_dati_6 "wb_dati[6]") (joined
    -           (portRef Q (instanceRef wb_dati_6))
    -           (portRef (member wb_dati 1) (instanceRef ufmefb))
    -          ))
    -          (net (rename wb_dati_7 "wb_dati[7]") (joined
    -           (portRef Q (instanceRef wb_dati_7))
    -           (portRef (member wb_dati 0) (instanceRef ufmefb))
    -          ))
    -          (net (rename wb_dato_0 "wb_dato[0]") (joined
    -           (portRef (member wb_dato 7) (instanceRef ufmefb))
    -           (portRef C (instanceRef LEDEN_RNO))
    -           (portRef C (instanceRef RWMask_RNO_0))
    -          ))
    -          (net (rename wb_dato_1 "wb_dato[1]") (joined
    -           (portRef (member wb_dato 6) (instanceRef ufmefb))
    -           (portRef C (instanceRef RWMask_RNO_1))
    -          ))
    -          (net (rename wb_dato_2 "wb_dato[2]") (joined
    -           (portRef (member wb_dato 5) (instanceRef ufmefb))
    -           (portRef C (instanceRef RWMask_RNO_2))
    -          ))
    -          (net (rename wb_dato_3 "wb_dato[3]") (joined
    -           (portRef (member wb_dato 4) (instanceRef ufmefb))
    -           (portRef C (instanceRef RWMask_RNO_3))
    -          ))
    -          (net (rename wb_dato_4 "wb_dato[4]") (joined
    -           (portRef (member wb_dato 3) (instanceRef ufmefb))
    -           (portRef C (instanceRef RWMask_RNO_4))
    -          ))
    -          (net (rename wb_dato_5 "wb_dato[5]") (joined
    -           (portRef (member wb_dato 2) (instanceRef ufmefb))
    -           (portRef C (instanceRef RWMask_RNO_5))
    -          ))
    -          (net (rename wb_dato_6 "wb_dato[6]") (joined
    -           (portRef (member wb_dato 1) (instanceRef ufmefb))
    -           (portRef C (instanceRef RWMask_RNO_6))
    -          ))
    -          (net (rename wb_dato_7 "wb_dato[7]") (joined
    -           (portRef (member wb_dato 0) (instanceRef ufmefb))
    -           (portRef C (instanceRef RWMask_RNO_7))
    -          ))
    -          (net wb_ack (joined
    -           (portRef wb_ack (instanceRef ufmefb))
    -           (portRef A (instanceRef un1_LEDEN13_2_i_a2_0))
    -           (portRef B (instanceRef un1_LEDEN13_2_i_o2_0))
    -           (portRef D (instanceRef un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0))
    -           (portRef C (instanceRef wb_cyc_stb_RNO_0))
    -           (portRef A (instanceRef un1_RWMask_0_sqmuxa_1_i_0_RNO_0))
    -          ))
    -          (net (rename S_2 "S[2]") (joined
    -           (portRef Q (instanceRef S_2))
    -           (portRef B (instanceRef FS_RNIJ9MH_14))
    -           (portRef A (instanceRef S_r_i_o2_1))
    -           (portRef C (instanceRef wb_adr_RNO_5))
    -           (portRef C (instanceRef wb_adr_RNO_6))
    -           (portRef C (instanceRef wb_adr_RNO_4))
    -           (portRef C (instanceRef nCS_6_u_i_a2_1_0))
    -           (portRef C (instanceRef Vout3_0_a2))
    -           (portRef B (instanceRef nRAS_2_iv_0_a2_0))
    -           (portRef B (instanceRef RA_42_i_o2_8))
    -           (portRef B (instanceRef wb_adr_RNO_7))
    -           (portRef B (instanceRef wb_adr_RNO_3))
    -           (portRef B (instanceRef wb_adr_RNO_2))
    -           (portRef C (instanceRef un1_wb_adr_0_sqmuxa_2_0_1_0))
    -           (portRef C (instanceRef S_s_0_1_0))
    -           (portRef B (instanceRef RA_0io_RNO_11))
    -           (portRef B (instanceRef nCS_6_u_i_0))
    -           (portRef B (instanceRef CKE_6_iv_i_0_1))
    -           (portRef C (instanceRef S_RNO_3))
    -           (portRef B (instanceRef RA_0io_RNO_9))
    -           (portRef B (instanceRef CmdBitbangMXO2_RNI8CSO1))
    -           (portRef B (instanceRef wb_we_RNO_0))
    -           (portRef D (instanceRef wb_we_RNO_2))
    -           (portRef C (instanceRef S_RNII9DO1_1_1))
    -           (portRef C (instanceRef S_RNO_2))
    -           (portRef C (instanceRef wb_adr_7_0_0_0))
    -           (portRef B (instanceRef wb_dati_7_0_0_7))
    -           (portRef B (instanceRef wb_dati_7_0_0_1))
    -           (portRef C (instanceRef wb_adr_RNO_1))
    -           (portRef C (instanceRef wb_dati_7_0_0_4))
    -           (portRef C (instanceRef wb_dati_7_0_0_3))
    -           (portRef B (instanceRef wb_dati_7_0_0))
    -           (portRef C (instanceRef wb_dati_7_0_1_6))
    -           (portRef B (instanceRef wb_dati_7_0_2))
    -           (portRef B (instanceRef wb_dati_7_0_5))
    -           (portRef CD (instanceRef wb_req))
    -           (portRef C (instanceRef wb_reqc_1_RNIEO5C1))
    -           (portRef D (instanceRef wb_req_RNO_0))
    -           (portRef B (instanceRef DOEEN_RNO))
    -           (portRef C (instanceRef BA_0io_RNO_1))
    -           (portRef C (instanceRef BA_0io_RNO_0))
    -           (portRef D (instanceRef FS_RNI5OOF1_15))
    -           (portRef C (instanceRef S_RNII9DO1_3_1))
    -           (portRef C (instanceRef RA_42_0_RNO_10))
    -           (portRef B (instanceRef wb_reqc_1_RNIRU4M1))
    -           (portRef B (instanceRef S_RNII9DO1_1))
    -           (portRef B (instanceRef DQML_0io_RNO_0))
    -           (portRef A (instanceRef S_RNII9DO1_0_1))
    -           (portRef B (instanceRef S_RNII9DO1_2_1))
    -          ))
    -          (net RWSel (joined
    -           (portRef Q (instanceRef RWSel))
    -           (portRef C (instanceRef un1_LEDEN13_2_i_a2_0))
    -           (portRef B (instanceRef CmdTout_3_0_a2_0))
    -           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a2_7))
    -           (portRef B (instanceRef un1_wb_adr_0_sqmuxa_2_0_1_0))
    -           (portRef D (instanceRef un1_CS_0_sqmuxa_0_0_a2_5))
    -           (portRef C (instanceRef CmdTout_RNO_1))
    -           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a2_4_4))
    -           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_2))
    -           (portRef D (instanceRef CmdLEDGet_4_u_0_0_0))
    -           (portRef D (instanceRef CmdSetRWBankFFMXO2_4_u_0_0_0))
    -           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a2_1))
    -           (portRef C (instanceRef CmdLEDSet_4_u_0_0_0))
    -           (portRef D (instanceRef CmdBitbangMXO2_4_u_0_0_0))
    -           (portRef D (instanceRef CmdRWMaskSet_4_u_0_0_0))
    -           (portRef D (instanceRef CmdExecMXO2_4_u_0_0_0))
    -           (portRef C (instanceRef CmdSetRWBankFFLED_4_u_0_0_0))
    -           (portRef D (instanceRef un1_CS_0_sqmuxa_0_0_0))
    -           (portRef D (instanceRef CmdTout_RNO_2))
    -           (portRef A (instanceRef wb_reqc_1_RNIRU4M1))
    +          (net un9_VOEEN_0_a2_0_a3_0_a3 (joined
    +           (portRef un9_VOEEN_0_a2_0_a3_0_a3_1z (instanceRef ram2e_ufm))
    +           (portRef SP (instanceRef RWSel))
               ))
               (net (rename S_0 "S[0]") (joined
                (portRef Q (instanceRef S_0))
    -           (portRef A (instanceRef wb_reqc_1))
    -           (portRef A (instanceRef S_RNINI6S_1))
    -           (portRef B (instanceRef nCS_6_u_i_a2_1_0))
    -           (portRef B (instanceRef RA_42_3_0_5))
    -           (portRef A (instanceRef Vout3_0_a2))
    -           (portRef A (instanceRef S_s_0_1_0))
    -           (portRef B (instanceRef nCS_6_u_i_a2_0))
    -           (portRef C (instanceRef nRWE_r_0))
    -           (portRef B (instanceRef RA_0io_RNO_2))
    -           (portRef B (instanceRef RA_0io_RNO_1))
    -           (portRef B (instanceRef RA_RNO_0))
    -           (portRef B (instanceRef RA_0io_RNO_7))
    -           (portRef B (instanceRef RA_0io_RNO_6))
    -           (portRef B (instanceRef RA_0io_RNO_4))
    -           (portRef B (instanceRef RA_RNO_3))
    -           (portRef B (instanceRef S_s_0_0))
    -           (portRef D (instanceRef RA_0io_RNO_8))
    -           (portRef A (instanceRef S_RNII9DO1_1_1))
    -           (portRef C (instanceRef nRAS_2_iv_i))
    -           (portRef C (instanceRef DQMH_0io_RNO))
    -           (portRef D (instanceRef DOEEN_RNO))
    -           (portRef C (instanceRef nCAS_0io_RNO_0))
    -           (portRef A (instanceRef S_RNII9DO1_3_1))
    -           (portRef A (instanceRef RA_42_0_RNO_10))
    -           (portRef D (instanceRef S_RNII9DO1_1))
    -           (portRef C (instanceRef CKE_6_iv_i_0_1_RNO))
    -           (portRef D (instanceRef DQML_0io_RNO_0))
    -           (portRef D (instanceRef S_RNII9DO1_0_1))
    -           (portRef D (instanceRef S_RNII9DO1_2_1))
    +           (portRef (member s 3) (instanceRef ram2e_ufm))
    +           (portRef C (instanceRef VOEEN_RNO))
    +           (portRef C (instanceRef DOEEN_RNO))
               ))
               (net (rename S_1 "S[1]") (joined
                (portRef Q (instanceRef S_1))
    -           (portRef B (instanceRef wb_reqc_1))
    -           (portRef B (instanceRef S_RNINI6S_1))
    -           (portRef B (instanceRef Vout3_0_a2))
    -           (portRef A (instanceRef nRAS_2_iv_0_a2_0))
    -           (portRef A (instanceRef RA_42_i_o2_8))
    -           (portRef B (instanceRef S_s_0_1_0))
    -           (portRef C (instanceRef nCS_6_u_i_a2_0))
    -           (portRef A (instanceRef nCS_6_u_i_0))
    -           (portRef C (instanceRef S_s_0_0))
    -           (portRef B (instanceRef S_RNII9DO1_1_1))
    -           (portRef C (instanceRef DOEEN_RNO))
    -           (portRef D (instanceRef BA_0io_RNO_1))
    -           (portRef D (instanceRef BA_0io_RNO_0))
    -           (portRef D (instanceRef S_RNII9DO1_3_1))
    -           (portRef D (instanceRef RA_42_0_RNO_10))
    -           (portRef C (instanceRef S_RNII9DO1_1))
    -           (portRef B (instanceRef CKE_6_iv_i_0_1_RNO))
    -           (portRef C (instanceRef DQML_0io_RNO_0))
    -           (portRef C (instanceRef S_RNII9DO1_0_1))
    -           (portRef C (instanceRef S_RNII9DO1_2_1))
    +           (portRef (member s 2) (instanceRef ram2e_ufm))
    +           (portRef B (instanceRef VOEEN_RNO))
    +           (portRef B (instanceRef DOEEN_RNO))
    +          ))
    +          (net (rename S_2 "S[2]") (joined
    +           (portRef Q (instanceRef S_2))
    +           (portRef (member s 1) (instanceRef ram2e_ufm))
    +           (portRef A (instanceRef DOEEN_RNO))
               ))
               (net (rename S_3 "S[3]") (joined
                (portRef Q (instanceRef S_3))
    -           (portRef B (instanceRef S_r_i_o2_1))
    -           (portRef B (instanceRef RWMask_RNO_7))
    -           (portRef B (instanceRef LEDEN_RNO))
    -           (portRef D (instanceRef nCS_6_u_i_a2_1_0))
    -           (portRef C (instanceRef RA_42_3_0_5))
    -           (portRef D (instanceRef Vout3_0_a2))
    -           (portRef C (instanceRef nRAS_2_iv_0_a2_0))
    -           (portRef C (instanceRef RA_42_i_o2_8))
    -           (portRef D (instanceRef un1_wb_adr_0_sqmuxa_2_0_1_0))
    -           (portRef B (instanceRef RWMask_RNO_0))
    -           (portRef B (instanceRef RWMask_RNO_1))
    -           (portRef B (instanceRef RWMask_RNO_2))
    -           (portRef B (instanceRef RWMask_RNO_3))
    -           (portRef B (instanceRef RWMask_RNO_4))
    -           (portRef B (instanceRef RWMask_RNO_5))
    -           (portRef B (instanceRef RWMask_RNO_6))
    -           (portRef D (instanceRef S_s_0_1_0))
    -           (portRef D (instanceRef nCS_6_u_i_a2_0))
    -           (portRef C (instanceRef RA_0io_RNO_11))
    -           (portRef C (instanceRef RA_0io_RNO_2))
    -           (portRef C (instanceRef RA_0io_RNO_1))
    -           (portRef C (instanceRef RA_RNO_0))
    -           (portRef C (instanceRef RA_0io_RNO_7))
    -           (portRef C (instanceRef RA_0io_RNO_6))
    -           (portRef C (instanceRef RA_0io_RNO_4))
    -           (portRef C (instanceRef RA_RNO_3))
    -           (portRef C (instanceRef nCS_6_u_i_0))
    -           (portRef C (instanceRef CKE_6_iv_i_0_1))
    -           (portRef C (instanceRef wb_req_RNO))
    -           (portRef D (instanceRef S_RNO_3))
    -           (portRef C (instanceRef RA_0io_RNO_9))
    -           (portRef D (instanceRef S_RNII9DO1_1_1))
    -           (portRef D (instanceRef S_RNO_2))
    -           (portRef D (instanceRef CKE_6_iv_i_0))
    -           (portRef C (instanceRef wb_cyc_stb_RNO))
    -           (portRef B (instanceRef wb_reqc_1_RNIEO5C1))
    -           (portRef C (instanceRef wb_req_RNO_0))
    -           (portRef A (instanceRef DOEEN_RNO))
    -           (portRef B (instanceRef BA_0io_RNO_1))
    -           (portRef B (instanceRef BA_0io_RNO_0))
    -           (portRef C (instanceRef FS_RNI5OOF1_15))
    -           (portRef B (instanceRef S_RNII9DO1_3_1))
    -           (portRef B (instanceRef RA_42_0_RNO_10))
    -           (portRef C (instanceRef wb_reqc_1_RNIRU4M1))
    -           (portRef A (instanceRef S_RNII9DO1_1))
    -           (portRef A (instanceRef DQML_0io_RNO_0))
    -           (portRef B (instanceRef S_RNII9DO1_0_1))
    -           (portRef A (instanceRef S_RNII9DO1_2_1))
    +           (portRef (member s 0) (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef DOEEN))
    +           (portRef A (instanceRef VOEEN_RNO))
               ))
               (net (rename FS_0 "FS[0]") (joined
                (portRef Q (instanceRef FS_0))
    +           (portRef (member fs 15) (instanceRef ram2e_ufm))
                (portRef A1 (instanceRef FS_cry_0_0))
    -           (portRef A (instanceRef Ready_0_sqmuxa_0_a2_6_a2_2_0))
    -           (portRef A (instanceRef un1_LEDEN13_2_i_a2_0_0))
    -           (portRef A (instanceRef nCS_6_u_i_a2_4))
    -           (portRef B (instanceRef wb_cyc_stb_RNO_0))
    -          ))
    -          (net (rename FS_8 "FS[8]") (joined
    -           (portRef Q (instanceRef FS_8))
    -           (portRef A1 (instanceRef FS_cry_0_7))
    -           (portRef A (instanceRef wb_dati_7_0_a2_2_0))
    -           (portRef C (instanceRef FS_RNI6JJA_8))
    -           (portRef A (instanceRef wb_adr_7_0_o2_2_0))
    -           (portRef A (instanceRef wb_dati_7_0_a2_0_0_6))
    -           (portRef A (instanceRef wb_dati_7_0_a2_0_2_7))
    -           (portRef A (instanceRef wb_adr_7_0_1_0))
    -           (portRef A (instanceRef wb_adr_7_0_a2_2_0))
    -           (portRef A (instanceRef wb_dati_7_0_a2_3_4))
    -           (portRef D (instanceRef wb_we_RNO_1))
    -           (portRef D (instanceRef FS_RNIOD6E_8))
    -           (portRef B (instanceRef FS_RNIOD6E_0_8))
    -           (portRef C (instanceRef wb_adr_RNO_2_1))
    -           (portRef B (instanceRef FS_RNIOD6E_1_8))
    -           (portRef B (instanceRef wb_dati_7_0_2_RNO_3))
    -          ))
    -          (net (rename FS_9 "FS[9]") (joined
    -           (portRef Q (instanceRef FS_9))
    -           (portRef A0 (instanceRef FS_cry_0_9))
    -           (portRef B (instanceRef FS_RNI6JJA_8))
    -           (portRef B (instanceRef wb_adr_7_0_o2_2_0))
    -           (portRef A (instanceRef wb_adr_7_0_a2_5_0_0))
    -           (portRef B (instanceRef wb_dati_7_0_a2_0_0_6))
    -           (portRef B (instanceRef wb_dati_7_0_a2_0_2_7))
    -           (portRef A (instanceRef wb_dati_7_0_a2_1_0_0))
    -           (portRef A (instanceRef un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0))
    -           (portRef A (instanceRef wb_dati_7_0_o2_1))
    -           (portRef A (instanceRef wb_dati_7_0_a2_1_7))
    -           (portRef B (instanceRef wb_adr_7_0_a2_2_0))
    -           (portRef A (instanceRef wb_dati_7_0_a2_5_4))
    -           (portRef B (instanceRef wb_dati_7_0_a2_3_4))
    -           (portRef A (instanceRef wb_dati_7_0_RNO_7))
    -           (portRef A (instanceRef wb_adr_7_0_a2_0_0))
    -           (portRef C (instanceRef wb_we_RNO_1))
    -           (portRef C (instanceRef FS_RNIOD6E_8))
    -           (portRef C (instanceRef FS_RNIOD6E_0_8))
    -           (portRef B (instanceRef wb_adr_RNO_2_1))
    -           (portRef A (instanceRef FS_RNIOD6E_1_8))
    -           (portRef A (instanceRef wb_dati_7_0_2_RNO_3))
    -          ))
    -          (net (rename FS_10 "FS[10]") (joined
    -           (portRef Q (instanceRef FS_10))
    -           (portRef A1 (instanceRef FS_cry_0_9))
    -           (portRef A (instanceRef wb_dati_7_0_a2_1_0))
    -           (portRef A (instanceRef wb_adr_7_0_o2_0))
    -           (portRef B (instanceRef wb_adr_7_0_a2_5_0_0))
    -           (portRef C (instanceRef wb_dati_7_0_a2_0_2_7))
    -           (portRef A (instanceRef wb_we_RNO_4))
    -           (portRef B (instanceRef wb_dati_7_0_o2_1))
    -           (portRef A (instanceRef wb_dati_7_0_a2_6))
    -           (portRef A (instanceRef wb_dati_7_0_a2_7))
    -           (portRef B (instanceRef wb_adr_7_0_a2_0_0))
    -           (portRef D (instanceRef wb_dati_7_0_a2_5_RNIC22J_4))
    -           (portRef B (instanceRef wb_we_RNO_1))
    -           (portRef B (instanceRef FS_RNIOD6E_8))
    -           (portRef A (instanceRef FS_RNIOD6E_0_8))
    -           (portRef D (instanceRef wb_dati_7_0_0_RNO_7))
    -           (portRef D (instanceRef un1_RWMask_0_sqmuxa_1_i_0_RNO_0))
    -           (portRef A (instanceRef wb_adr_RNO_2_1))
    -           (portRef D (instanceRef FS_RNIOD6E_1_8))
    -           (portRef C (instanceRef wb_adr_RNO_3_1))
    -           (portRef D (instanceRef wb_dati_7_0_2_RNO_3))
    -          ))
    -          (net (rename FS_11 "FS[11]") (joined
    -           (portRef Q (instanceRef FS_11))
    -           (portRef A0 (instanceRef FS_cry_0_11))
    -           (portRef A (instanceRef FS_RNI6JJA_8))
    -           (portRef B (instanceRef wb_adr_7_0_o2_0))
    -           (portRef C (instanceRef wb_dati_7_0_a2_0_0_6))
    -           (portRef D (instanceRef wb_dati_7_0_a2_0_2_7))
    -           (portRef A (instanceRef wb_req_RNO_1))
    -           (portRef B (instanceRef wb_dati_7_0_a2_1_0_0))
    -           (portRef A (instanceRef wb_adr_RNO_0_1))
    -           (portRef C (instanceRef wb_dati_7_0_o2_1))
    -           (portRef A (instanceRef wb_adr_7_0_a2_0_0_0))
    -           (portRef A (instanceRef wb_adr_7_0_a2_1_0))
    -           (portRef B (instanceRef wb_dati_7_0_a2_5_4))
    -           (portRef C (instanceRef wb_dati_7_0_a2_3_4))
    -           (portRef C (instanceRef wb_adr_7_0_a2_0_0))
    -           (portRef A (instanceRef FS_RNIOD6E_8))
    -           (portRef D (instanceRef FS_RNIOD6E_0_8))
    -           (portRef B (instanceRef wb_we_RNO_3))
    -           (portRef C (instanceRef FS_RNIOD6E_1_8))
    -           (portRef C (instanceRef wb_dati_7_0_2_RNO_3))
    -          ))
    -          (net (rename FS_12 "FS[12]") (joined
    -           (portRef Q (instanceRef FS_12))
    -           (portRef A1 (instanceRef FS_cry_0_11))
    -           (portRef A (instanceRef wb_dati_7_0_a2_6_1))
    -           (portRef B (instanceRef wb_dati_7_0_a2_1_0))
    -           (portRef A (instanceRef FS_RNI9Q57_13))
    -           (portRef C (instanceRef wb_adr_7_0_a2_5_0_0))
    -           (portRef B (instanceRef wb_req_RNO_1))
    -           (portRef A (instanceRef wb_dati_7_0_a2_2_0_1))
    -           (portRef B (instanceRef wb_adr_RNO_0_1))
    -           (portRef B (instanceRef wb_we_RNO_4))
    -           (portRef B (instanceRef wb_adr_7_0_a2_0_0_0))
    -           (portRef A (instanceRef wb_dati_7_0_a2_1))
    -           (portRef B (instanceRef wb_adr_7_0_a2_1_0))
    -           (portRef B (instanceRef wb_dati_7_0_a2_6))
    -           (portRef B (instanceRef wb_dati_7_0_a2_7))
    -           (portRef A (instanceRef wb_dati_7_0_a2_4))
    -           (portRef A (instanceRef wb_dati_7_0_2_3))
    -           (portRef C (instanceRef wb_dati_7_0_a2_5_RNIC22J_4))
    -           (portRef A (instanceRef wb_we_RNO_1))
    -           (portRef D (instanceRef wb_we_RNO_3))
    -           (portRef C (instanceRef wb_dati_7_0_0_RNO_7))
    -           (portRef C (instanceRef un1_RWMask_0_sqmuxa_1_i_0_RNO_0))
    -           (portRef B (instanceRef wb_adr_RNO_3_1))
    -          ))
    -          (net (rename FS_13 "FS[13]") (joined
    -           (portRef Q (instanceRef FS_13))
    -           (portRef A0 (instanceRef FS_cry_0_13))
    -           (portRef C (instanceRef wb_dati_7_0_a2_6_1))
    -           (portRef B (instanceRef FS_RNI9Q57_13))
    -           (portRef C (instanceRef wb_req_RNO_1))
    -           (portRef C (instanceRef wb_dati_7_0_a2_1_0_0))
    -           (portRef B (instanceRef wb_dati_7_0_a2_2_0_1))
    -           (portRef D (instanceRef wb_dati_7_0_o2_1))
    -           (portRef C (instanceRef wb_adr_7_0_a2_0_0_0))
    -           (portRef A (instanceRef CKE_6_iv_i_a2_3))
    -           (portRef A (instanceRef wb_we_RNO))
    -           (portRef C (instanceRef wb_dati_7_0_a2_7))
    -           (portRef A (instanceRef wb_adr_7_0_0))
    -           (portRef B (instanceRef wb_dati_7_0_a2_5_RNIC22J_4))
    -           (portRef A (instanceRef FS_RNIK5632_15))
    -           (portRef C (instanceRef wb_we_RNO_3))
    -           (portRef B (instanceRef wb_dati_7_0_0_RNO_7))
    -           (portRef A (instanceRef wb_adr_RNO_3_1))
    -          ))
    -          (net (rename FS_15 "FS[15]") (joined
    -           (portRef Q (instanceRef FS_15))
    -           (portRef A0 (instanceRef FS_s_0_15))
    -           (portRef B (instanceRef wb_dati_7_0_a2_2_0))
    -           (portRef C (instanceRef wb_dati_7_0_a2_5_1))
    -           (portRef B (instanceRef wb_rst8_0_a2))
    -           (portRef A (instanceRef nCS_6_u_i_a2_1_0))
    -           (portRef A (instanceRef un1_wb_adr_0_sqmuxa_2_0_1_0))
    -           (portRef B (instanceRef CKE_6_iv_i_0))
    -           (portRef C (instanceRef nCS_6_u_i_a2_4_RNI3A062))
    -           (portRef B (instanceRef FS_RNIK5632_15))
    -           (portRef A (instanceRef wb_req_RNO_0))
    -           (portRef A (instanceRef FS_RNI5OOF1_15))
    -          ))
    -          (net PHI1reg (joined
    -           (portRef Q (instanceRef PHI1reg_0io))
    -           (portRef B (instanceRef SZ0Z_1))
    -          ))
    -          (net (rename FS_14 "FS[14]") (joined
    -           (portRef Q (instanceRef FS_14))
    -           (portRef A1 (instanceRef FS_cry_0_13))
    -           (portRef D (instanceRef wb_dati_7_0_a2_2_0))
    -           (portRef A (instanceRef wb_dati_7_0_a2_5_1))
    -           (portRef A (instanceRef wb_rst8_0_a2))
    -           (portRef A (instanceRef FS_RNIJ9MH_14))
    -           (portRef A (instanceRef un1_LEDEN13_2_i_o2_0))
    -           (portRef B (instanceRef wb_adr_RNO_5))
    -           (portRef B (instanceRef wb_adr_RNO_6))
    -           (portRef B (instanceRef wb_adr_RNO_4))
    -           (portRef B (instanceRef CKE_6_iv_i_a2_3))
    -           (portRef A (instanceRef wb_req_RNO))
    -           (portRef D (instanceRef wb_cyc_stb_RNO_0))
    -           (portRef D (instanceRef FS_RNIK5632_15))
    -          ))
    -          (net (rename FS_6 "FS[6]") (joined
    -           (portRef Q (instanceRef FS_6))
    -           (portRef A1 (instanceRef FS_cry_0_5))
    -           (portRef A (instanceRef un1_LEDEN13_2_i_o2_2_0))
    -           (portRef A (instanceRef Ready_0_sqmuxa_0_a2_6_a2))
    -           (portRef B (instanceRef nCS_6_u_i_a2_4))
    -          ))
    -          (net (rename FS_2 "FS[2]") (joined
    -           (portRef Q (instanceRef FS_2))
    -           (portRef A1 (instanceRef FS_cry_0_1))
    -           (portRef C (instanceRef un1_nCS61_1_0_a2_0))
    -           (portRef C (instanceRef Ready_0_sqmuxa_0_a2_6_a2_2_0))
    -           (portRef B (instanceRef FS_RNI9FGA_1))
               ))
               (net (rename FS_1 "FS[1]") (joined
                (portRef Q (instanceRef FS_1))
    +           (portRef (member fs 14) (instanceRef ram2e_ufm))
                (portRef A0 (instanceRef FS_cry_0_1))
    -           (portRef D (instanceRef un1_nCS61_1_0_a2_0))
    -           (portRef B (instanceRef Ready_0_sqmuxa_0_a2_6_a2_2_0))
    -           (portRef C (instanceRef FS_RNI9FGA_1))
    +          ))
    +          (net (rename FS_2 "FS[2]") (joined
    +           (portRef Q (instanceRef FS_2))
    +           (portRef (member fs 13) (instanceRef ram2e_ufm))
    +           (portRef A1 (instanceRef FS_cry_0_1))
               ))
               (net (rename FS_3 "FS[3]") (joined
                (portRef Q (instanceRef FS_3))
    +           (portRef (member fs 12) (instanceRef ram2e_ufm))
                (portRef A0 (instanceRef FS_cry_0_3))
    -           (portRef B (instanceRef un1_nCS61_1_0_a2_0))
    -           (portRef A (instanceRef Ready_0_sqmuxa_0_a2_6_a2_4))
    -           (portRef A (instanceRef FS_RNI9FGA_1))
    -          ))
    -          (net (rename FS_7 "FS[7]") (joined
    -           (portRef Q (instanceRef FS_7))
    -           (portRef A0 (instanceRef FS_cry_0_7))
    -           (portRef B (instanceRef un1_LEDEN13_2_i_o2_2_0))
    -           (portRef B (instanceRef Ready_0_sqmuxa_0_a2_6_a2))
    -           (portRef C (instanceRef nCS_6_u_i_a2_4))
    -          ))
    -          (net (rename FS_5 "FS[5]") (joined
    -           (portRef Q (instanceRef FS_5))
    -           (portRef A0 (instanceRef FS_cry_0_5))
    -           (portRef B (instanceRef nCS_6_u_i_o2))
    -           (portRef A (instanceRef un1_nCS61_1_0_a2_0))
    -           (portRef B (instanceRef nCS_6_u_i_o2_0))
    -           (portRef B (instanceRef Ready_0_sqmuxa_0_a2_6_a2_4))
               ))
               (net (rename FS_4 "FS[4]") (joined
                (portRef Q (instanceRef FS_4))
    +           (portRef (member fs 11) (instanceRef ram2e_ufm))
                (portRef A1 (instanceRef FS_cry_0_3))
    -           (portRef D (instanceRef nCS_6_u_i_o2))
    -           (portRef A (instanceRef nCS_6_u_i_o2_0))
    -           (portRef D (instanceRef Ready_0_sqmuxa_0_a2_6_a2_2_0))
    -           (portRef A (instanceRef nCAS_s_i_o2))
    -           (portRef A (instanceRef nRWE_r_0_RNO))
               ))
    -          (net (rename RWBank_6 "RWBank[6]") (joined
    -           (portRef Q (instanceRef RWBank_6))
    -           (portRef C (instanceRef DQML_0io_RNO))
    -           (portRef A (instanceRef DQMH_0io_RNO))
    +          (net (rename FS_5 "FS[5]") (joined
    +           (portRef Q (instanceRef FS_5))
    +           (portRef (member fs 10) (instanceRef ram2e_ufm))
    +           (portRef A0 (instanceRef FS_cry_0_5))
               ))
    -          (net (rename RWMask_0 "RWMask[0]") (joined
    -           (portRef Q (instanceRef RWMask_0))
    -           (portRef C (instanceRef RWBank_5_0_0))
    +          (net (rename FS_6 "FS[6]") (joined
    +           (portRef Q (instanceRef FS_6))
    +           (portRef (member fs 9) (instanceRef ram2e_ufm))
    +           (portRef A1 (instanceRef FS_cry_0_5))
               ))
    -          (net (rename RWMask_1 "RWMask[1]") (joined
    -           (portRef Q (instanceRef RWMask_1))
    -           (portRef C (instanceRef RWBank_5_0_1))
    +          (net (rename FS_7 "FS[7]") (joined
    +           (portRef Q (instanceRef FS_7))
    +           (portRef (member fs 8) (instanceRef ram2e_ufm))
    +           (portRef A0 (instanceRef FS_cry_0_7))
               ))
    -          (net (rename RWMask_2 "RWMask[2]") (joined
    -           (portRef Q (instanceRef RWMask_2))
    -           (portRef C (instanceRef RWBank_5_0_2))
    +          (net (rename FS_8 "FS[8]") (joined
    +           (portRef Q (instanceRef FS_8))
    +           (portRef (member fs 7) (instanceRef ram2e_ufm))
    +           (portRef A1 (instanceRef FS_cry_0_7))
               ))
    -          (net (rename RWMask_3 "RWMask[3]") (joined
    -           (portRef Q (instanceRef RWMask_3))
    -           (portRef C (instanceRef RWBank_5_0_3))
    +          (net (rename FS_9 "FS[9]") (joined
    +           (portRef Q (instanceRef FS_9))
    +           (portRef (member fs 6) (instanceRef ram2e_ufm))
    +           (portRef A0 (instanceRef FS_cry_0_9))
               ))
    -          (net (rename RWMask_4 "RWMask[4]") (joined
    -           (portRef Q (instanceRef RWMask_4))
    -           (portRef C (instanceRef RWBank_5_0_4))
    +          (net (rename FS_10 "FS[10]") (joined
    +           (portRef Q (instanceRef FS_10))
    +           (portRef (member fs 5) (instanceRef ram2e_ufm))
    +           (portRef A1 (instanceRef FS_cry_0_9))
               ))
    -          (net (rename RWMask_5 "RWMask[5]") (joined
    -           (portRef Q (instanceRef RWMask_5))
    -           (portRef C (instanceRef RWBank_5_0_5))
    +          (net (rename FS_11 "FS[11]") (joined
    +           (portRef Q (instanceRef FS_11))
    +           (portRef (member fs 4) (instanceRef ram2e_ufm))
    +           (portRef A0 (instanceRef FS_cry_0_11))
               ))
    -          (net (rename RWMask_6 "RWMask[6]") (joined
    -           (portRef Q (instanceRef RWMask_6))
    -           (portRef C (instanceRef RWBank_5_0_6))
    +          (net (rename FS_12 "FS[12]") (joined
    +           (portRef Q (instanceRef FS_12))
    +           (portRef (member fs 3) (instanceRef ram2e_ufm))
    +           (portRef A1 (instanceRef FS_cry_0_11))
    +          ))
    +          (net (rename FS_13 "FS[13]") (joined
    +           (portRef Q (instanceRef FS_13))
    +           (portRef (member fs 2) (instanceRef ram2e_ufm))
    +           (portRef A0 (instanceRef FS_cry_0_13))
    +          ))
    +          (net (rename FS_14 "FS[14]") (joined
    +           (portRef Q (instanceRef FS_14))
    +           (portRef (member fs 1) (instanceRef ram2e_ufm))
    +           (portRef A1 (instanceRef FS_cry_0_13))
    +          ))
    +          (net (rename FS_15 "FS[15]") (joined
    +           (portRef Q (instanceRef FS_15))
    +           (portRef (member fs 0) (instanceRef ram2e_ufm))
    +           (portRef A0 (instanceRef FS_s_0_15))
               ))
               (net (rename CS_0 "CS[0]") (joined
                (portRef Q (instanceRef CS_0))
    -           (portRef D (instanceRef CS_RNO_1))
    -           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_1_6))
    -           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_10))
    -           (portRef A (instanceRef CS_RNO_0_2))
    -           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_2_4))
    -           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_4_4))
    -           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_1))
    -           (portRef A (instanceRef CS_RNO_0))
    +           (portRef (member cs 2) (instanceRef ram2e_ufm))
               ))
               (net (rename CS_1 "CS[1]") (joined
                (portRef Q (instanceRef CS_1))
    -           (portRef A (instanceRef CS_RNO_1))
    -           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_15))
    -           (portRef A (instanceRef CmdSetRWBankFFLED_4_u_0_0_a2_1))
    -           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_3_2))
    -           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_1_4))
    -           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_4))
    -           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_0))
    -           (portRef A (instanceRef CS_RNO_2))
    +           (portRef (member cs 1) (instanceRef ram2e_ufm))
               ))
               (net (rename CS_2 "CS[2]") (joined
                (portRef Q (instanceRef CS_2))
    -           (portRef B (instanceRef CmdSetRWBankFFLED_4_u_0_0_a2_1))
    -           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_o2_0))
    -           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_3_2))
    -           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_2_4))
    -           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_4))
    -           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_0))
    -           (portRef B (instanceRef CS_RNO_2))
    -          ))
    -          (net CmdExecMXO2 (joined
    -           (portRef Q (instanceRef CmdExecMXO2))
    -           (portRef B (instanceRef un1_LEDEN13_2_i_a2_0))
    -           (portRef A (instanceRef wb_we_RNO_0))
    -           (portRef A (instanceRef wb_cyc_stb_RNO))
    -           (portRef A (instanceRef CmdExecMXO2_4_u_0_0_0))
    -          ))
    -          (net DOEEN (joined
    -           (portRef Q (instanceRef DOEEN))
    -           (portRef A (instanceRef nDOE_pad_RNO))
    -          ))
    -          (net LEDEN (joined
    -           (portRef Q (instanceRef LEDEN))
    -           (portRef D (instanceRef RWBank_5_0_o2_0))
    -           (portRef A (instanceRef LED_pad_RNO))
    -          ))
    -          (net CmdLEDGet (joined
    -           (portRef Q (instanceRef CmdLEDGet))
    -           (portRef A (instanceRef RWBank_5_0_o2_0))
    -           (portRef A (instanceRef CmdLEDGet_4_u_0_0_0))
    -          ))
    -          (net CO0_1 (joined
    -           (portRef Q (instanceRef CmdTout_0))
    -           (portRef A (instanceRef CmdTout_3_0_a2_0))
    -           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_5))
    -           (portRef A (instanceRef CmdTout_RNO_1))
    -           (portRef C (instanceRef CmdTout_RNO_2))
    -          ))
    -          (net (rename CmdTout_1 "CmdTout[1]") (joined
    -           (portRef Q (instanceRef CmdTout_1))
    -           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_5))
    -           (portRef B (instanceRef CmdTout_RNO_1))
    -           (portRef B (instanceRef CmdTout_RNO_2))
    -          ))
    -          (net (rename CmdTout_2 "CmdTout[2]") (joined
    -           (portRef Q (instanceRef CmdTout_2))
    -           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a2_5))
    -           (portRef A (instanceRef CmdTout_RNO_2))
    -          ))
    -          (net (rename SZ0Z_1 "S_1") (joined
    -           (portRef Z (instanceRef SZ0Z_1))
    -           (portRef A (instanceRef S_s_0_0))
    -           (portRef A (instanceRef S_RNO_3))
    -           (portRef A (instanceRef S_RNO_1))
    -           (portRef A (instanceRef S_RNO_2))
    +           (portRef (member cs 0) (instanceRef ram2e_ufm))
               ))
               (net Ready (joined
                (portRef Q (instanceRef Ready))
    +           (portRef Ready (instanceRef ram2e_ufm))
                (portRef C (instanceRef SZ0Z_1))
                (portRef B (instanceRef Ready_RNO))
               ))
    -          (net wb_rst8 (joined
    -           (portRef Z (instanceRef wb_rst8_0_a2))
    -           (portRef D (instanceRef wb_rst))
    +          (net RWSel (joined
    +           (portRef Q (instanceRef RWSel))
    +           (portRef RWSel (instanceRef ram2e_ufm))
               ))
    -          (net nCS61 (joined
    -           (portRef Z (instanceRef S_RNII9DO1_3_1))
    -           (portRef D (instanceRef DQML_0io_RNO))
    -           (portRef D (instanceRef nRWE_r_0_RNO))
    -           (portRef SP (instanceRef RWSel))
    +          (net CmdRWMaskSet (joined
    +           (portRef Q (instanceRef CmdRWMaskSet))
    +           (portRef CmdRWMaskSet (instanceRef ram2e_ufm))
               ))
    -          (net RWSel_2 (joined
    -           (portRef Z (instanceRef RWSel_2))
    -           (portRef D (instanceRef RWSel))
    +          (net CmdLEDSet (joined
    +           (portRef Q (instanceRef CmdLEDSet))
    +           (portRef CmdLEDSet (instanceRef ram2e_ufm))
               ))
    -          (net (rename RWMask_7 "RWMask[7]") (joined
    -           (portRef Q (instanceRef RWMask_7))
    -           (portRef C (instanceRef RWBank_5_0_0_7))
    +          (net PHI1r (joined
    +           (portRef Q (instanceRef PHI1r_0io))
    +           (portRef B (instanceRef SZ0Z_1))
    +          ))
    +          (net (rename RC_1 "RC[1]") (joined
    +           (portRef Q (instanceRef RC_1))
    +           (portRef (member rc 1) (instanceRef ram2e_ufm))
    +          ))
    +          (net (rename RC_2 "RC[2]") (joined
    +           (portRef Q (instanceRef RC_2))
    +           (portRef (member rc 0) (instanceRef ram2e_ufm))
    +          ))
    +          (net CO0_1 (joined
    +           (portRef Q (instanceRef RC_0))
    +           (portRef CO0_1 (instanceRef ram2e_ufm))
    +          ))
    +          (net (rename RA_3 "RA[3]") (joined
    +           (portRef Q (instanceRef RA_3))
    +           (portRef (member ra 8) (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef RAout_0io_3))
    +          ))
    +          (net (rename RWBank_0 "RWBank[0]") (joined
    +           (portRef Q (instanceRef RWBank_0))
    +           (portRef (member rwbank 7) (instanceRef ram2e_ufm))
    +          ))
    +          (net CO0_0 (joined
    +           (portRef Q (instanceRef CmdTout_0))
    +           (portRef CO0_0 (instanceRef ram2e_ufm))
    +          ))
    +          (net (rename CmdTout_1 "CmdTout[1]") (joined
    +           (portRef Q (instanceRef CmdTout_1))
    +           (portRef (member cmdtout 1) (instanceRef ram2e_ufm))
    +          ))
    +          (net (rename CmdTout_2 "CmdTout[2]") (joined
    +           (portRef Q (instanceRef CmdTout_2))
    +           (portRef (member cmdtout 0) (instanceRef ram2e_ufm))
    +          ))
    +          (net CmdLEDGet (joined
    +           (portRef Q (instanceRef CmdLEDGet))
    +           (portRef CmdLEDGet (instanceRef ram2e_ufm))
    +          ))
    +          (net (rename SZ0Z_1 "S_1") (joined
    +           (portRef Z (instanceRef SZ0Z_1))
    +           (portRef S_1 (instanceRef ram2e_ufm))
    +          ))
    +          (net DOEEN (joined
    +           (portRef Q (instanceRef DOEEN))
    +           (portRef DOEEN (instanceRef ram2e_ufm))
    +          ))
    +          (net VOEEN (joined
    +           (portRef Q (instanceRef VOEEN))
    +           (portRef B (instanceRef nVOE_pad_RNO))
    +          ))
    +          (net RC12 (joined
    +           (portRef RC12 (instanceRef ram2e_ufm))
    +           (portRef SP (instanceRef RC_2))
    +           (portRef SP (instanceRef RC_1))
    +           (portRef SP (instanceRef RC_0))
               ))
               (net Vout3 (joined
    -           (portRef Z (instanceRef Vout3_0_a2))
    +           (portRef Vout3 (instanceRef ram2e_ufm))
                (portRef SP (instanceRef Vout_0io_7))
                (portRef SP (instanceRef Vout_0io_6))
                (portRef SP (instanceRef Vout_0io_5))
    @@ -2481,721 +4617,308 @@
                (portRef SP (instanceRef Vout_0io_2))
                (portRef SP (instanceRef Vout_0io_1))
                (portRef SP (instanceRef Vout_0io_0))
    -           (portRef A (instanceRef nCAS_0io_RNO_0))
    +          ))
    +          (net RWSel_2 (joined
    +           (portRef RWSel_2 (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef RWSel))
    +          ))
    +          (net (rename RA_0 "RA[0]") (joined
    +           (portRef Q (instanceRef RA_0))
    +           (portRef (member ra 11) (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef RAout_0io_0))
               ))
               (net CmdSetRWBankFFLED (joined
                (portRef Q (instanceRef CmdSetRWBankFFLED))
    -           (portRef B (instanceRef RWBank_5_0_o2_0))
    -           (portRef A (instanceRef CmdSetRWBankFFLED_4_u_0_0_0))
    +           (portRef CmdSetRWBankFFLED (instanceRef ram2e_ufm))
               ))
    -          (net CmdSetRWBankFFMXO2 (joined
    -           (portRef Q (instanceRef CmdSetRWBankFFMXO2))
    -           (portRef C (instanceRef RWBank_5_0_o2_0))
    -           (portRef A (instanceRef CmdSetRWBankFFMXO2_4_u_0_0_0))
    +          (net Ready3 (joined
    +           (portRef Ready3 (instanceRef ram2e_ufm))
    +           (portRef A (instanceRef Ready_RNO))
               ))
    -          (net wb_req (joined
    -           (portRef Q (instanceRef wb_req))
    -           (portRef D (instanceRef un1_LEDEN13_2_i_a2_0_0))
    +          (net BA_0_sqmuxa (joined
    +           (portRef BA_0_sqmuxa (instanceRef ram2e_ufm))
    +           (portRef CD (instanceRef BA_0io_1))
    +           (portRef CD (instanceRef BA_0io_0))
               ))
    -          (net LEDEN13 (joined
    -           (portRef Z (instanceRef wb_reqc_1_RNIRU4M1))
    -           (portRef B (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0))
    -           (portRef B (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0))
    -           (portRef SP (instanceRef RWBank_7))
    -           (portRef SP (instanceRef RWBank_6))
    -           (portRef SP (instanceRef RWBank_5))
    -           (portRef SP (instanceRef RWBank_4))
    -           (portRef SP (instanceRef RWBank_3))
    -           (portRef SP (instanceRef RWBank_2))
    -           (portRef SP (instanceRef RWBank_1))
    -           (portRef SP (instanceRef RWBank_0))
    -          ))
    -          (net (rename RWBank_5_0 "RWBank_5[0]") (joined
    -           (portRef Z (instanceRef RWBank_5_0_0))
    +          (net (rename RWBank_3_0 "RWBank_3[0]") (joined
    +           (portRef (member rwbank_3 7) (instanceRef ram2e_ufm))
                (portRef D (instanceRef RWBank_0))
               ))
    -          (net (rename RWBank_5_1 "RWBank_5[1]") (joined
    -           (portRef Z (instanceRef RWBank_5_0_1))
    +          (net (rename RWBank_3_1 "RWBank_3[1]") (joined
    +           (portRef (member rwbank_3 6) (instanceRef ram2e_ufm))
                (portRef D (instanceRef RWBank_1))
               ))
    -          (net (rename RWBank_5_2 "RWBank_5[2]") (joined
    -           (portRef Z (instanceRef RWBank_5_0_2))
    +          (net (rename RWBank_3_2 "RWBank_3[2]") (joined
    +           (portRef (member rwbank_3 5) (instanceRef ram2e_ufm))
                (portRef D (instanceRef RWBank_2))
               ))
    -          (net (rename RWBank_5_3 "RWBank_5[3]") (joined
    -           (portRef Z (instanceRef RWBank_5_0_3))
    +          (net (rename RWBank_3_3 "RWBank_3[3]") (joined
    +           (portRef (member rwbank_3 4) (instanceRef ram2e_ufm))
                (portRef D (instanceRef RWBank_3))
               ))
    -          (net (rename RWBank_5_5 "RWBank_5[5]") (joined
    -           (portRef Z (instanceRef RWBank_5_0_5))
    +          (net (rename RWBank_3_4 "RWBank_3[4]") (joined
    +           (portRef (member rwbank_3 3) (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef RWBank_4))
    +          ))
    +          (net (rename RWBank_3_5 "RWBank_3[5]") (joined
    +           (portRef (member rwbank_3 2) (instanceRef ram2e_ufm))
                (portRef D (instanceRef RWBank_5))
               ))
    -          (net (rename RWBank_5_6 "RWBank_5[6]") (joined
    -           (portRef Z (instanceRef RWBank_5_0_6))
    +          (net (rename RWBank_3_6 "RWBank_3[6]") (joined
    +           (portRef (member rwbank_3 1) (instanceRef ram2e_ufm))
                (portRef D (instanceRef RWBank_6))
               ))
    -          (net (rename RWBank_5_7 "RWBank_5[7]") (joined
    -           (portRef Z (instanceRef RWBank_5_0_0_7))
    +          (net (rename RWBank_3_7 "RWBank_3[7]") (joined
    +           (portRef (member rwbank_3 0) (instanceRef ram2e_ufm))
                (portRef D (instanceRef RWBank_7))
               ))
    -          (net (rename RWBank_0 "RWBank[0]") (joined
    -           (portRef Q (instanceRef RWBank_0))
    -           (portRef B (instanceRef RA_0io_RNO_8))
    +          (net CmdSetRWBankFFLED_4 (joined
    +           (portRef CmdSetRWBankFFLED_4 (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef CmdSetRWBankFFLED))
    +          ))
    +          (net CmdLEDGet_3 (joined
    +           (portRef CmdLEDGet_3 (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef CmdLEDGet))
    +          ))
    +          (net CmdLEDSet_3 (joined
    +           (portRef CmdLEDSet_3 (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef CmdLEDSet))
    +          ))
    +          (net CmdRWMaskSet_3 (joined
    +           (portRef CmdRWMaskSet_3 (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef CmdRWMaskSet))
    +          ))
    +          (net (rename CmdTout_3_0 "CmdTout_3[0]") (joined
    +           (portRef CmdTout_3_0 (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef CmdTout_0))
               ))
               (net (rename RWBank_1 "RWBank[1]") (joined
                (portRef Q (instanceRef RWBank_1))
    -           (portRef A (instanceRef RA_0io_RNO_9))
    +           (portRef (member rwbank 6) (instanceRef ram2e_ufm))
               ))
               (net (rename RWBank_2 "RWBank[2]") (joined
                (portRef Q (instanceRef RWBank_2))
    -           (portRef C (instanceRef RA_42_0_10))
    +           (portRef (member rwbank 5) (instanceRef ram2e_ufm))
               ))
               (net (rename RWBank_3 "RWBank[3]") (joined
                (portRef Q (instanceRef RWBank_3))
    -           (portRef A (instanceRef RA_0io_RNO_11))
    +           (portRef (member rwbank 4) (instanceRef ram2e_ufm))
               ))
               (net (rename RWBank_4 "RWBank[4]") (joined
                (portRef Q (instanceRef RWBank_4))
    -           (portRef A (instanceRef BA_0io_RNO_0))
    +           (portRef (member rwbank 3) (instanceRef ram2e_ufm))
               ))
               (net (rename RWBank_5 "RWBank[5]") (joined
                (portRef Q (instanceRef RWBank_5))
    -           (portRef A (instanceRef BA_0io_RNO_1))
    +           (portRef (member rwbank 2) (instanceRef ram2e_ufm))
    +          ))
    +          (net (rename RWBank_6 "RWBank[6]") (joined
    +           (portRef Q (instanceRef RWBank_6))
    +           (portRef (member rwbank 1) (instanceRef ram2e_ufm))
               ))
               (net (rename RWBank_7 "RWBank[7]") (joined
                (portRef Q (instanceRef RWBank_7))
    -           (portRef C (instanceRef RA_0io_RNO_8))
    -          ))
    -          (net CmdLEDSet (joined
    -           (portRef Q (instanceRef CmdLEDSet))
    -           (portRef A (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0))
    -           (portRef A (instanceRef CmdLEDSet_4_u_0_0_0))
    -          ))
    -          (net CmdRWMaskSet (joined
    -           (portRef Q (instanceRef CmdRWMaskSet))
    -           (portRef A (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0))
    -           (portRef A (instanceRef CmdRWMaskSet_4_u_0_0_0))
    -          ))
    -          (net CmdBitbangMXO2 (joined
    -           (portRef Q (instanceRef CmdBitbangMXO2))
    -           (portRef A (instanceRef CmdBitbangMXO2_RNI8CSO1))
    -           (portRef A (instanceRef CmdBitbangMXO2_4_u_0_0_0))
    -          ))
    -          (net nCAS_0_sqmuxa (joined
    -           (portRef Z (instanceRef nCS_6_u_i_a2_4_RNICJKD2))
    -           (portRef B (instanceRef nRWE_r_0))
    -           (portRef D (instanceRef RA_42_0_10))
    -           (portRef A (instanceRef nCAS_0io_RNO))
    -          ))
    -          (net Ready_0_sqmuxa (joined
    -           (portRef Z (instanceRef Ready_0_sqmuxa_0_a2_6_a2))
    -           (portRef A (instanceRef Ready_RNO))
    +           (portRef (member rwbank 0) (instanceRef ram2e_ufm))
               ))
               (net (rename BA_4_0 "BA_4[0]") (joined
    -           (portRef Z (instanceRef BA_0io_RNO_0))
    +           (portRef (member ba_4 1) (instanceRef ram2e_ufm))
                (portRef D (instanceRef BA_0io_0))
               ))
               (net (rename BA_4_1 "BA_4[1]") (joined
    -           (portRef Z (instanceRef BA_0io_RNO_1))
    +           (portRef (member ba_4 0) (instanceRef ram2e_ufm))
                (portRef D (instanceRef BA_0io_1))
               ))
    -          (net (rename RA_42_11 "RA_42[11]") (joined
    -           (portRef Z (instanceRef RA_0io_RNO_11))
    -           (portRef D (instanceRef RA_0io_11))
    +          (net (rename RA_1 "RA[1]") (joined
    +           (portRef Q (instanceRef RA_1))
    +           (portRef (member ra 10) (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef RAout_0io_1))
               ))
    -          (net nRWE_r_0 (joined
    -           (portRef Z (instanceRef nRWE_r_0))
    -           (portRef D (instanceRef nRWE_0io))
    +          (net (rename RA_2 "RA[2]") (joined
    +           (portRef Q (instanceRef RA_2))
    +           (portRef (member ra 9) (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef RAout_0io_2))
               ))
    -          (net (rename S_s_0_0 "S_s_0[0]") (joined
    -           (portRef Z (instanceRef S_s_0_0))
    +          (net (rename RA_4 "RA[4]") (joined
    +           (portRef Q (instanceRef RA_4))
    +           (portRef (member ra 7) (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef RAout_0io_4))
    +          ))
    +          (net (rename RA_5 "RA[5]") (joined
    +           (portRef Q (instanceRef RA_5))
    +           (portRef (member ra 6) (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef RAout_0io_5))
    +          ))
    +          (net (rename RA_6 "RA[6]") (joined
    +           (portRef Q (instanceRef RA_6))
    +           (portRef (member ra 5) (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef RAout_0io_6))
    +          ))
    +          (net (rename RA_7 "RA[7]") (joined
    +           (portRef Q (instanceRef RA_7))
    +           (portRef (member ra 4) (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef RAout_0io_7))
    +          ))
    +          (net (rename RA_8 "RA[8]") (joined
    +           (portRef Q (instanceRef RA_8))
    +           (portRef (member ra 3) (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef RAout_0io_8))
    +          ))
    +          (net (rename RA_9 "RA[9]") (joined
    +           (portRef Q (instanceRef RA_9))
    +           (portRef (member ra 2) (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef RAout_0io_9))
    +          ))
    +          (net (rename RA_10 "RA[10]") (joined
    +           (portRef Q (instanceRef RA_10))
    +           (portRef (member ra 1) (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef RAout_0io_10))
    +          ))
    +          (net (rename RA_11 "RA[11]") (joined
    +           (portRef Q (instanceRef RA_11))
    +           (portRef (member ra 0) (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef RAout_0io_11))
    +          ))
    +          (net CKE (joined
    +           (portRef Q (instanceRef CKE))
    +           (portRef D (instanceRef CKEout_0io))
    +          ))
    +          (net nRWE (joined
    +           (portRef Q (instanceRef nRWE))
    +           (portRef D (instanceRef nRWEout_0io))
    +          ))
    +          (net nCAS (joined
    +           (portRef Q (instanceRef nCAS))
    +           (portRef D (instanceRef nCASout_0io))
    +          ))
    +          (net nRAS (joined
    +           (portRef Q (instanceRef nRAS))
    +           (portRef D (instanceRef nRASout_0io))
    +          ))
    +          (net (rename S_s_0_0_0 "S_s_0_0[0]") (joined
    +           (portRef S_s_0_0_0 (instanceRef ram2e_ufm))
                (portRef D (instanceRef S_0))
               ))
    -          (net (rename wb_dati_7_0 "wb_dati_7[0]") (joined
    -           (portRef Z (instanceRef wb_dati_7_0_0))
    -           (portRef D (instanceRef wb_dati_0))
    +          (net CmdExecMXO2_3_0_a3_0_RNI6S1P8 (joined
    +           (portRef CmdExecMXO2_3_0_a3_0_RNI6S1P8_1z (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef CS_1))
               ))
    -          (net (rename wb_dati_7_1 "wb_dati_7[1]") (joined
    -           (portRef Z (instanceRef wb_dati_7_0_1))
    -           (portRef D (instanceRef wb_dati_1))
    +          (net CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514 (joined
    +           (portRef CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514_1z (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef CS_2))
               ))
    -          (net (rename wb_dati_7_2 "wb_dati_7[2]") (joined
    -           (portRef Z (instanceRef wb_dati_7_0_2))
    -           (portRef D (instanceRef wb_dati_2))
    +          (net (rename RA_35_0 "RA_35[0]") (joined
    +           (portRef (member ra_35 11) (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef RA_0))
               ))
    -          (net (rename wb_dati_7_3 "wb_dati_7[3]") (joined
    -           (portRef Z (instanceRef wb_dati_7_0_3))
    -           (portRef D (instanceRef wb_dati_3))
    +          (net (rename RA_35_2 "RA_35[2]") (joined
    +           (portRef (member ra_35 9) (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef RA_2))
               ))
    -          (net (rename wb_dati_7_4 "wb_dati_7[4]") (joined
    -           (portRef Z (instanceRef wb_dati_7_0_4))
    -           (portRef D (instanceRef wb_dati_4))
    +          (net (rename RA_35_3 "RA_35[3]") (joined
    +           (portRef (member ra_35 8) (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef RA_3))
               ))
    -          (net (rename wb_dati_7_5 "wb_dati_7[5]") (joined
    -           (portRef Z (instanceRef wb_dati_7_0_5))
    -           (portRef D (instanceRef wb_dati_5))
    +          (net (rename RA_35_4 "RA_35[4]") (joined
    +           (portRef (member ra_35 7) (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef RA_4))
               ))
    -          (net (rename wb_dati_7_6 "wb_dati_7[6]") (joined
    -           (portRef Z (instanceRef wb_dati_7_0_6))
    -           (portRef D (instanceRef wb_dati_6))
    +          (net (rename RA_35_5 "RA_35[5]") (joined
    +           (portRef (member ra_35 6) (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef RA_5))
               ))
    -          (net (rename wb_dati_7_7 "wb_dati_7[7]") (joined
    -           (portRef Z (instanceRef wb_dati_7_0_7))
    -           (portRef D (instanceRef wb_dati_7))
    +          (net (rename RA_35_6 "RA_35[6]") (joined
    +           (portRef (member ra_35 5) (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef RA_6))
               ))
    -          (net (rename wb_adr_7_0 "wb_adr_7[0]") (joined
    -           (portRef Z (instanceRef wb_adr_7_0_0))
    -           (portRef D (instanceRef wb_adr_0))
    +          (net (rename RA_35_7 "RA_35[7]") (joined
    +           (portRef (member ra_35 4) (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef RA_7))
               ))
    -          (net (rename RA_42_3_0_5 "RA_42_3_0[5]") (joined
    -           (portRef Z (instanceRef RA_42_3_0_5))
    -           (portRef D (instanceRef RA_0io_5))
    +          (net (rename RA_35_9 "RA_35[9]") (joined
    +           (portRef (member ra_35 2) (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef RA_9))
               ))
    -          (net (rename RA_42_10 "RA_42[10]") (joined
    -           (portRef Z (instanceRef RA_42_0_10))
    -           (portRef D (instanceRef RA_0io_10))
    +          (net (rename RA_35_10 "RA_35[10]") (joined
    +           (portRef (member ra_35 1) (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef RA_10))
               ))
    -          (net CmdLEDGet_4 (joined
    -           (portRef Z (instanceRef CmdLEDGet_4_u_0_0_0))
    -           (portRef D (instanceRef CmdLEDGet))
    +          (net (rename RA_35_11 "RA_35[11]") (joined
    +           (portRef (member ra_35 0) (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef RA_11))
               ))
    -          (net (rename wb_adr_RNO_1 "wb_adr_RNO[1]") (joined
    -           (portRef Z (instanceRef wb_adr_RNO_1))
    -           (portRef D (instanceRef wb_adr_1))
    +          (net un2_S_2_i_0_0_o3_RNIHFHN3 (joined
    +           (portRef un2_S_2_i_0_0_o3_RNIHFHN3_1z (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef RA_8))
               ))
    -          (net wb_we_RNO (joined
    -           (portRef Z (instanceRef wb_we_RNO))
    -           (portRef D (instanceRef wb_we))
    -          ))
    -          (net wb_cyc_stb_RNO (joined
    -           (portRef Z (instanceRef wb_cyc_stb_RNO))
    -           (portRef D (instanceRef wb_cyc_stb))
    -          ))
    -          (net (rename S_RNII9DO1_1_1 "S_RNII9DO1_1[1]") (joined
    -           (portRef Z (instanceRef S_RNII9DO1_1_1))
    +          (net N_126 (joined
    +           (portRef N_126 (instanceRef ram2e_ufm))
    +           (portRef SP (instanceRef RA_11))
    +           (portRef SP (instanceRef RA_10))
    +           (portRef SP (instanceRef RA_9))
    +           (portRef SP (instanceRef RA_8))
    +           (portRef SP (instanceRef RA_7))
    +           (portRef SP (instanceRef RA_6))
    +           (portRef SP (instanceRef RA_5))
    +           (portRef SP (instanceRef RA_4))
                (portRef SP (instanceRef RA_3))
    +           (portRef SP (instanceRef RA_2))
    +           (portRef SP (instanceRef RA_1))
                (portRef SP (instanceRef RA_0))
    -           (portRef SP (instanceRef RA_0io_7))
    -           (portRef SP (instanceRef RA_0io_6))
    -           (portRef SP (instanceRef RA_0io_5))
    -           (portRef SP (instanceRef RA_0io_4))
    -           (portRef SP (instanceRef RA_0io_2))
    -           (portRef SP (instanceRef RA_0io_1))
               ))
    -          (net N_88 (joined
    -           (portRef Z (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0))
    -           (portRef SP (instanceRef RWMask_7))
    -           (portRef SP (instanceRef RWMask_6))
    -           (portRef SP (instanceRef RWMask_5))
    -           (portRef SP (instanceRef RWMask_4))
    -           (portRef SP (instanceRef RWMask_3))
    -           (portRef SP (instanceRef RWMask_2))
    -           (portRef SP (instanceRef RWMask_1))
    -           (portRef SP (instanceRef RWMask_0))
    +          (net N_223 (joined
    +           (portRef N_223 (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef RA_1))
               ))
    -          (net N_104 (joined
    -           (portRef Z (instanceRef un1_LEDEN13_2_i_0_0))
    -           (portRef SP (instanceRef wb_cyc_stb))
    +          (net N_508 (joined
    +           (portRef N_508 (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef DQMH_0io))
               ))
    -          (net (rename un1_LEDEN_0_sqmuxa_1_i_0_0 "un1_LEDEN_0_sqmuxa_1_i_0[0]") (joined
    -           (portRef Z (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0))
    -           (portRef SP (instanceRef LEDEN))
    +          (net N_648 (joined
    +           (portRef N_648 (instanceRef ram2e_ufm))
    +           (portRef I (instanceRef RD_pad_4))
               ))
    -          (net CKE_6_iv_i_0 (joined
    -           (portRef Z (instanceRef CKE_6_iv_i_0))
    -           (portRef D (instanceRef CKE_0io))
    +          (net N_662 (joined
    +           (portRef N_662 (instanceRef ram2e_ufm))
    +           (portRef I (instanceRef RD_pad_7))
               ))
    -          (net (rename S_RNII9DO1_1 "S_RNII9DO1[1]") (joined
    -           (portRef Z (instanceRef S_RNII9DO1_1))
    -           (portRef C (instanceRef wb_dati_7_0_a2_2_0))
    -           (portRef B (instanceRef wb_dati_7_0_a2_5_1))
    -           (portRef B (instanceRef DQML_0io_RNO))
    -           (portRef CD (instanceRef wb_rst))
    -           (portRef B (instanceRef nCS_6_u_i_a2_4_RNI3A062))
    -           (portRef B (instanceRef DQMH_0io_RNO))
    -           (portRef C (instanceRef FS_RNIK5632_15))
    +          (net N_663 (joined
    +           (portRef N_663 (instanceRef ram2e_ufm))
    +           (portRef I (instanceRef RD_pad_6))
               ))
    -          (net (rename S_RNII9DO1_0_1 "S_RNII9DO1_0[1]") (joined
    -           (portRef Z (instanceRef S_RNII9DO1_0_1))
    -           (portRef D (instanceRef un1_LEDEN13_2_i_a2_0))
    -           (portRef B (instanceRef CS_RNO_1))
    -           (portRef C (instanceRef CS_RNO_0_2))
    -           (portRef C (instanceRef CS_RNO_0))
    -           (portRef D (instanceRef un1_CS_0_sqmuxa_0_0_3))
    +          (net N_664 (joined
    +           (portRef N_664 (instanceRef ram2e_ufm))
    +           (portRef I (instanceRef RD_pad_5))
               ))
    -          (net N_285 (joined
    -           (portRef Z (instanceRef RWMask_RNO_7))
    -           (portRef D (instanceRef RWMask_7))
    +          (net N_665 (joined
    +           (portRef N_665 (instanceRef ram2e_ufm))
    +           (portRef I (instanceRef RD_pad_2))
               ))
    -          (net LEDEN_RNO (joined
    -           (portRef Z (instanceRef LEDEN_RNO))
    -           (portRef D (instanceRef LEDEN))
    +          (net N_666 (joined
    +           (portRef N_666 (instanceRef ram2e_ufm))
    +           (portRef I (instanceRef RD_pad_1))
               ))
    -          (net N_295 (joined
    -           (portRef Z (instanceRef wb_adr_RNO_5))
    -           (portRef D (instanceRef wb_adr_5))
    +          (net N_667 (joined
    +           (portRef N_667 (instanceRef ram2e_ufm))
    +           (portRef I (instanceRef RD_pad_0))
               ))
    -          (net N_296 (joined
    -           (portRef Z (instanceRef wb_adr_RNO_6))
    -           (portRef D (instanceRef wb_adr_6))
    +          (net CKE_7_RNIS77M1 (joined
    +           (portRef CKE_7_RNIS77M1_1z (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef CKE))
               ))
    -          (net N_294 (joined
    -           (portRef Z (instanceRef wb_adr_RNO_4))
    -           (portRef D (instanceRef wb_adr_4))
    +          (net N_551 (joined
    +           (portRef N_551 (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef VOEEN))
               ))
    -          (net CmdLEDSet_4 (joined
    -           (portRef Z (instanceRef CmdLEDSet_4_u_0_0_0))
    -           (portRef D (instanceRef CmdLEDSet))
    +          (net (rename RC_3_1 "RC_3[1]") (joined
    +           (portRef (member rc_3 1) (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef RC_1))
               ))
    -          (net CmdBitbangMXO2_4 (joined
    -           (portRef Z (instanceRef CmdBitbangMXO2_4_u_0_0_0))
    -           (portRef D (instanceRef CmdBitbangMXO2))
    -          ))
    -          (net CmdRWMaskSet_4 (joined
    -           (portRef Z (instanceRef CmdRWMaskSet_4_u_0_0_0))
    -           (portRef D (instanceRef CmdRWMaskSet))
    -          ))
    -          (net (rename RWBank_5_4 "RWBank_5[4]") (joined
    -           (portRef Z (instanceRef RWBank_5_0_4))
    -           (portRef D (instanceRef RWBank_4))
    -          ))
    -          (net CmdExecMXO2_4 (joined
    -           (portRef Z (instanceRef CmdExecMXO2_4_u_0_0_0))
    -           (portRef D (instanceRef CmdExecMXO2))
    -          ))
    -          (net CmdSetRWBankFFMXO2_4 (joined
    -           (portRef Z (instanceRef CmdSetRWBankFFMXO2_4_u_0_0_0))
    -           (portRef D (instanceRef CmdSetRWBankFFMXO2))
    -          ))
    -          (net CmdSetRWBankFFLED_4 (joined
    -           (portRef Z (instanceRef CmdSetRWBankFFLED_4_u_0_0_0))
    -           (portRef D (instanceRef CmdSetRWBankFFLED))
    -          ))
    -          (net (rename CmdTout_3_0 "CmdTout_3[0]") (joined
    -           (portRef Z (instanceRef CmdTout_3_0_a2_0))
    -           (portRef D (instanceRef CmdTout_0))
    -          ))
    -          (net N_637 (joined
    -           (portRef Z (instanceRef CS_RNO_0_2))
    -           (portRef C (instanceRef CS_RNO_2))
    -          ))
    -          (net N_466 (joined
    -           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_7))
    -           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a2_10))
    -           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_2))
    -          ))
    -          (net N_474 (joined
    -           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_10))
    -           (portRef C (instanceRef CmdSetRWBankFFLED_4_u_0_0_a2_1))
    -           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_2_RNIQS7F))
    -          ))
    -          (net N_477 (joined
    -           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_11))
    -           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a2_2_2))
    -           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a2_4_2))
    -          ))
    -          (net N_478 (joined
    -           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_12))
    -           (portRef D (instanceRef CmdLEDGet_4_u_0_0_a2_0_2))
    -           (portRef D (instanceRef un1_CS_0_sqmuxa_0_0_a2_2_2))
    -          ))
    -          (net N_616 (joined
    -           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_13))
    -           (portRef D (instanceRef un1_CS_0_sqmuxa_0_0_a2_1_2))
    -           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_o2_0))
    -          ))
    -          (net N_629 (joined
    -           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_17))
    -           (portRef C (instanceRef CmdSetRWBankFFMXO2_4_u_0_0_a2_0_0))
    -           (portRef B (instanceRef CmdExecMXO2_4_u_0_0_0))
    -          ))
    -          (net N_461 (joined
    -           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_5))
    -           (portRef C (instanceRef CS_RNO_1))
    -           (portRef B (instanceRef CS_RNO_0_2))
    -           (portRef B (instanceRef CS_RNO_0))
    -           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_3))
    -          ))
    -          (net N_401 (joined
    -           (portRef Z (instanceRef CmdSetRWBankFFLED_4_u_0_0_a2_0))
    -           (portRef B (instanceRef CmdSetRWBankFFLED_4_u_0_0_0))
    -          ))
    -          (net N_591 (joined
    -           (portRef Z (instanceRef RWBank_5_0_o2_0))
    -           (portRef B (instanceRef RWBank_5_0_0))
    -           (portRef B (instanceRef RWBank_5_0_1))
    -           (portRef B (instanceRef RWBank_5_0_0_7))
    -           (portRef B (instanceRef RWBank_5_0_6))
    -           (portRef B (instanceRef RWBank_5_0_5))
    -           (portRef B (instanceRef RWBank_5_0_3))
    -           (portRef B (instanceRef RWBank_5_0_2))
    -           (portRef B (instanceRef RWBank_5_0_4))
    -          ))
    -          (net N_279 (joined
    -           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_o2_0))
    -           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_2))
    -          ))
    -          (net N_623 (joined
    -           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_15))
    -           (portRef D (instanceRef un1_CS_0_sqmuxa_0_0_o2_0))
    -          ))
    -          (net N_327 (joined
    -           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_1))
    -           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_0))
    -          ))
    -          (net N_328 (joined
    -           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_2))
    -           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_3))
    -          ))
    -          (net N_330 (joined
    -           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_4))
    -           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_3))
    -          ))
    -          (net N_476 (joined
    -           (portRef Z (instanceRef CmdSetRWBankFFLED_4_u_0_0_a2_1))
    -           (portRef A (instanceRef CmdBitbangMXO2_4_u_0_0_a2_1))
    -           (portRef C (instanceRef CmdLEDSet_4_u_0_0_a2_0))
    -           (portRef C (instanceRef CmdLEDGet_4_u_0_0_0))
    -           (portRef C (instanceRef CmdSetRWBankFFLED_4_u_0_0_a2_0))
    -           (portRef C (instanceRef CmdSetRWBankFFMXO2_4_u_0_0_0))
    -          ))
    -          (net N_626 (joined
    -           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_16))
    -           (portRef D (instanceRef un1_CS_0_sqmuxa_0_0_a2_4_2))
    -           (portRef D (instanceRef CmdLEDSet_4_u_0_0_a2_0))
    -           (portRef D (instanceRef CmdSetRWBankFFLED_4_u_0_0_a2_0))
    -           (portRef B (instanceRef CmdRWMaskSet_4_u_0_0_0))
    -          ))
    -          (net N_643 (joined
    -           (portRef Z (instanceRef CmdBitbangMXO2_4_u_0_0_a2_1))
    -           (portRef C (instanceRef CmdBitbangMXO2_4_u_0_0_0))
    -           (portRef C (instanceRef CmdRWMaskSet_4_u_0_0_0))
    -           (portRef C (instanceRef CmdExecMXO2_4_u_0_0_0))
    -          ))
    -          (net N_605 (joined
    -           (portRef Z (instanceRef CmdLEDSet_4_u_0_0_a2_0))
    -           (portRef B (instanceRef CmdLEDSet_4_u_0_0_0))
    -          ))
    -          (net N_255 (joined
    -           (portRef Z (instanceRef RA_42_i_o2_8))
    -           (portRef A (instanceRef RA_0io_RNO_8))
    -           (portRef A (instanceRef RA_42_0_10))
    -           (portRef D (instanceRef DQMH_0io_RNO))
    -          ))
    -          (net N_575 (joined
    -           (portRef Z (instanceRef S_RNINI6S_1))
    -           (portRef B (instanceRef S_RNO_3))
    -           (portRef C (instanceRef S_RNO_1))
    -           (portRef B (instanceRef S_RNO_2))
    -          ))
    -          (net N_397 (joined
    -           (portRef Z (instanceRef wb_req_RNO_1))
    -           (portRef B (instanceRef wb_req_RNO))
    -          ))
    -          (net N_635 (joined
    -           (portRef Z (instanceRef nRAS_2_iv_0_a2_0))
    -           (portRef B (instanceRef nRAS_2_iv_i))
    -           (portRef D (instanceRef nCAS_0io_RNO_0))
    -          ))
    -          (net N_449 (joined
    -           (portRef Z (instanceRef FS_RNI5OOF1_15))
    -           (portRef C (instanceRef Ready_0_sqmuxa_0_a2_6_a2_4))
    -           (portRef C (instanceRef un1_LEDEN13_2_i_a2_0_0))
    -           (portRef C (instanceRef un1_LEDEN13_2_i_0_0))
    -           (portRef D (instanceRef nCS_6_u_i_a2_4_RNICJKD2))
    -          ))
    -          (net N_633 (joined
    -           (portRef Z (instanceRef un1_nCS61_1_0_a2_0))
    -           (portRef C (instanceRef nCS_6_u_i_o2))
    -           (portRef B (instanceRef nCAS_s_i_o2))
    -           (portRef B (instanceRef nRWE_r_0_RNO))
    -          ))
    -          (net N_264 (joined
    -           (portRef Z (instanceRef FS_RNI9FGA_1))
    -           (portRef A (instanceRef nCS_6_u_i_o2))
    -           (portRef D (instanceRef un1_LEDEN13_2_i_o2_2_0))
    -           (portRef A (instanceRef nCS_6_u_i_a2_4_RNICJKD2))
    -          ))
    -          (net N_559_1 (joined
    -           (portRef Z (instanceRef nCS_6_u_i_1))
    -           (portRef A (instanceRef nRAS_2_iv_i))
    -           (portRef A (instanceRef nCS_0io_RNO))
    -          ))
    -          (net N_429 (joined
    -           (portRef Z (instanceRef nCS_6_u_i_a2_0))
    -           (portRef A (instanceRef nCS_6_u_i_1))
    -          ))
    -          (net N_640 (joined
    -           (portRef Z (instanceRef nCS_6_u_i_a2_4_RNI3A062))
    -           (portRef C (instanceRef nRWE_r_0_RNO))
    -           (portRef C (instanceRef nCAS_0io_RNO))
    -          ))
    -          (net N_336 (joined
    -           (portRef Z (instanceRef wb_dati_7_0_a2_1))
    -           (portRef A (instanceRef wb_dati_7_0_o2_0_2))
    -           (portRef A (instanceRef wb_dati_7_0_1))
    -           (portRef A (instanceRef wb_dati_7_0_3))
    -          ))
    -          (net N_565 (joined
    -           (portRef Z (instanceRef wb_dati_7_0_o2_1))
    -           (portRef C (instanceRef wb_dati_7_0_a2_1))
    -          ))
    -          (net N_484 (joined
    -           (portRef Z (instanceRef wb_dati_7_0_a2_2_0))
    -           (portRef B (instanceRef wb_dati_7_0_a2_1))
    -           (portRef B (instanceRef wb_dati_7_0_a2_1_7))
    -           (portRef C (instanceRef wb_dati_7_0_a2_5_4))
    -           (portRef A (instanceRef wb_dati_7_0_0))
    -           (portRef C (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0))
    -          ))
    -          (net N_634 (joined
    -           (portRef Z (instanceRef FS_RNIOD6E_1_8))
    -           (portRef C (instanceRef wb_dati_7_0_a2_2_0_1))
    -           (portRef B (instanceRef wb_dati_7_0_0_4))
    -           (portRef D (instanceRef wb_dati_7_0_o2_0_2))
    -          ))
    -          (net N_452 (joined
    -           (portRef Z (instanceRef wb_dati_7_0_a2_5_1))
    -           (portRef B (instanceRef wb_dati_7_0_a2_6_1))
    -           (portRef B (instanceRef wb_adr_7_0_1_0))
    -           (portRef B (instanceRef wb_adr_7_0_0_0))
    -           (portRef A (instanceRef wb_dati_7_0_0_7))
    -           (portRef A (instanceRef wb_dati_7_0_0_1))
    -           (portRef B (instanceRef wb_dati_7_0_o2_0_2))
    -          ))
    -          (net N_365 (joined
    -           (portRef Z (instanceRef un1_LEDEN13_2_i_a2_0_0))
    -           (portRef B (instanceRef un1_LEDEN13_2_i_0_0))
    -          ))
    -          (net N_300 (joined
    -           (portRef Z (instanceRef un1_LEDEN13_2_i_o2_2_0))
    -           (portRef B (instanceRef un1_LEDEN13_2_i_a2_0_0))
    -           (portRef A (instanceRef wb_cyc_stb_RNO_0))
    -          ))
    -          (net N_377 (joined
    -           (portRef Z (instanceRef wb_adr_7_0_a2_1_0))
    -           (portRef A (instanceRef wb_adr_7_0_4_0))
    -          ))
    -          (net N_455 (joined
    -           (portRef Z (instanceRef FS_RNIK5632_15))
    -           (portRef C (instanceRef wb_adr_7_0_a2_1_0))
    -           (portRef C (instanceRef wb_dati_7_0_a2_6))
    -           (portRef C (instanceRef wb_adr_7_0_a2_2_0))
    -           (portRef A (instanceRef wb_dati_7_0_0_4))
    -           (portRef A (instanceRef wb_dati_7_0_0_3))
    -           (portRef B (instanceRef wb_dati_7_0_a2_4))
    -           (portRef C (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0))
    -           (portRef B (instanceRef wb_dati_7_0_2_3))
    -          ))
    -          (net N_562 (joined
    -           (portRef Z (instanceRef wb_adr_7_0_o2_2_0))
    -           (portRef D (instanceRef wb_adr_7_0_a2_0_0_0))
    -           (portRef D (instanceRef wb_adr_7_0_a2_1_0))
    -          ))
    -          (net N_579 (joined
    -           (portRef Z (instanceRef wb_adr_7_0_o2_0))
    -           (portRef C (instanceRef un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0))
    -           (portRef C (instanceRef wb_adr_7_0_1_0))
    -           (portRef D (instanceRef wb_dati_7_0_a2_1_7))
    -           (portRef D (instanceRef wb_adr_7_0_a2_2_0))
    -           (portRef B (instanceRef wb_dati_7_0_RNO_7))
    -          ))
    -          (net N_388 (joined
    -           (portRef Z (instanceRef wb_adr_RNO_0_1))
    -           (portRef B (instanceRef wb_adr_RNO_1))
    -          ))
    -          (net N_265_i (joined
    -           (portRef Z (instanceRef wb_adr_RNO_2_1))
    -           (portRef C (instanceRef wb_adr_RNO_0_1))
    -          ))
    -          (net N_475 (joined
    -           (portRef Z (instanceRef FS_RNIJ9MH_14))
    -           (portRef D (instanceRef wb_adr_RNO_0_1))
    -           (portRef C (instanceRef wb_we_RNO_4))
    -           (portRef A (instanceRef wb_adr_RNO_1_1))
    -           (portRef B (instanceRef wb_we_RNO))
    -           (portRef A (instanceRef wb_we_RNO_3))
    -          ))
    -          (net N_577 (joined
    -           (portRef Z (instanceRef FS_RNI6JJA_8))
    -           (portRef D (instanceRef wb_we_RNO_4))
    -           (portRef D (instanceRef CKE_6_iv_i_a2_3))
    -           (portRef C (instanceRef wb_adr_RNO_1_1))
    -           (portRef B (instanceRef un1_RWMask_0_sqmuxa_1_i_0_RNO_0))
    -          ))
    -          (net N_569 (joined
    -           (portRef Z (instanceRef FS_RNI9Q57_13))
    -           (portRef B (instanceRef un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0))
    -           (portRef B (instanceRef wb_adr_RNO_1_1))
    -           (portRef C (instanceRef wb_dati_7_0_a2_1_7))
    -           (portRef C (instanceRef wb_dati_7_0_o2_0_2))
    -          ))
    -          (net N_584 (joined
    -           (portRef Z (instanceRef wb_we_RNO_1))
    -           (portRef C (instanceRef wb_we_RNO))
    -          ))
    -          (net N_393 (joined
    -           (portRef Z (instanceRef wb_we_RNO_3))
    -           (portRef B (instanceRef wb_we_RNO_2))
    -          ))
    -          (net N_422 (joined
    -           (portRef Z (instanceRef wb_dati_7_0_a2_7))
    -           (portRef A (instanceRef wb_dati_7_0_7))
    -          ))
    -          (net N_642 (joined
    -           (portRef Z (instanceRef wb_dati_7_0_a2_5_4))
    -           (portRef D (instanceRef wb_dati_7_0_a2_7))
    -           (portRef C (instanceRef wb_adr_7_0_0))
    -           (portRef A (instanceRef wb_dati_7_0_a2_5_RNIC22J_4))
    -          ))
    -          (net N_424 (joined
    -           (portRef Z (instanceRef wb_dati_7_0_a2_1_7))
    -           (portRef B (instanceRef wb_dati_7_0_7))
    -          ))
    -          (net N_254 (joined
    -           (portRef Z (instanceRef nCS_6_u_i_o2_0))
    -           (portRef A (instanceRef nCS_6_u_i_a2_0))
    -           (portRef C (instanceRef un1_LEDEN13_2_i_o2_2_0))
    -           (portRef B (instanceRef nCS_6_u_i_a2_4_RNICJKD2))
    -           (portRef B (instanceRef nCAS_0io_RNO_0))
    -          ))
    -          (net N_489 (joined
    -           (portRef Z (instanceRef CKE_6_iv_i_a2_3))
    -           (portRef C (instanceRef Ready_0_sqmuxa_0_a2_6_a2))
    -           (portRef C (instanceRef CKE_6_iv_i_0))
    -           (portRef D (instanceRef nCS_6_u_i_a2_4))
    -          ))
    -          (net N_567 (joined
    -           (portRef Z (instanceRef nCAS_s_i_o2))
    -           (portRef B (instanceRef nCAS_0io_RNO))
    -          ))
    -          (net N_639 (joined
    -           (portRef Z (instanceRef RA_42_0_RNO_10))
    -           (portRef B (instanceRef RA_42_0_10))
    -          ))
    -          (net N_364 (joined
    -           (portRef Z (instanceRef un1_LEDEN13_2_i_a2_0))
    -           (portRef A (instanceRef un1_LEDEN13_2_i_0_0))
    -          ))
    -          (net N_456 (joined
    -           (portRef Z (instanceRef wb_dati_7_0_a2_1_0))
    -           (portRef D (instanceRef wb_dati_7_0_a2_1_0_0))
    -           (portRef C (instanceRef CKE_6_iv_i_a2_3))
    -          ))
    -          (net N_349 (joined
    -           (portRef Z (instanceRef wb_dati_7_0_a2_3_4))
    -           (portRef C (instanceRef wb_dati_7_0_4))
    -          ))
    -          (net N_621 (joined
    -           (portRef Z (instanceRef wb_dati_7_0_a2_6_1))
    -           (portRef D (instanceRef wb_dati_7_0_a2_3_4))
    -           (portRef C (instanceRef wb_dati_7_0_RNO_7))
    -           (portRef D (instanceRef wb_adr_7_0_a2_0_0))
    -           (portRef B (instanceRef wb_dati_7_0_1))
    -           (portRef A (instanceRef wb_dati_7_0_6))
    -          ))
    -          (net N_351 (joined
    -           (portRef Z (instanceRef wb_dati_7_0_a2_6))
    -           (portRef B (instanceRef wb_dati_7_0_1_6))
    -          ))
    -          (net N_378 (joined
    -           (portRef Z (instanceRef wb_adr_7_0_a2_2_0))
    -           (portRef B (instanceRef wb_adr_7_0_4_0))
    -          ))
    -          (net N_394 (joined
    -           (portRef Z (instanceRef wb_we_RNO_4))
    -           (portRef C (instanceRef wb_we_RNO_2))
    -          ))
    -          (net N_628 (joined
    -           (portRef Z (instanceRef nCS_6_u_i_a2_4))
    -           (portRef C (instanceRef nCS_6_u_i_1))
    -           (portRef C (instanceRef nCS_6_u_i_a2_4_RNICJKD2))
    -           (portRef A (instanceRef nCS_6_u_i_a2_4_RNI3A062))
    -          ))
    -          (net N_570 (joined
    -           (portRef Z (instanceRef nCS_6_u_i_o2))
    -           (portRef B (instanceRef nCS_6_u_i_1))
    -          ))
    -          (net N_345 (joined
    -           (portRef Z (instanceRef wb_dati_7_0_a2_4))
    -           (portRef A (instanceRef wb_dati_7_0_4))
    -           (portRef A (instanceRef wb_dati_7_0_2))
    -           (portRef A (instanceRef wb_dati_7_0_5))
    -          ))
    -          (net N_346 (joined
    -           (portRef Z (instanceRef wb_dati_7_0_a2_5_RNIC22J_4))
    -           (portRef A (instanceRef wb_dati_7_0_1_6))
    -           (portRef B (instanceRef wb_dati_7_0_4))
    -          ))
    -          (net (rename un1_wb_adr_0_sqmuxa_2_1_0 "un1_wb_adr_0_sqmuxa_2_1[0]") (joined
    -           (portRef Z (instanceRef un1_wb_adr_0_sqmuxa_2_0_1_0))
    -           (portRef C (instanceRef CmdBitbangMXO2_RNI8CSO1))
    -           (portRef C (instanceRef wb_we_RNO_0))
    -          ))
    -          (net N_376 (joined
    -           (portRef Z (instanceRef wb_adr_7_0_a2_0_0))
    -           (portRef B (instanceRef wb_adr_7_0_0))
    -          ))
    -          (net N_586 (joined
    -           (portRef Z (instanceRef un1_LEDEN13_2_i_o2_0))
    -           (portRef D (instanceRef un1_LEDEN13_2_i_0_0))
    -          ))
    -          (net N_627 (joined
    -           (portRef Z (instanceRef FS_RNIOD6E_0_8))
    -           (portRef B (instanceRef wb_dati_7_0_0_3))
    -           (portRef C (instanceRef wb_dati_7_0_a2_4))
    -           (portRef C (instanceRef wb_dati_7_0_1))
    -           (portRef B (instanceRef wb_dati_7_0_6))
    -          ))
    -          (net N_644 (joined
    -           (portRef Z (instanceRef FS_RNIOD6E_8))
    -           (portRef D (instanceRef wb_dati_7_0_a2_4))
    -           (portRef C (instanceRef wb_dati_7_0_2_3))
    -          ))
    -          (net N_572 (joined
    -           (portRef Z (instanceRef S_r_i_o2_1))
    -           (portRef B (instanceRef S_RNO_1))
    -          ))
    -          (net N_395 (joined
    -           (portRef Z (instanceRef wb_cyc_stb_RNO_0))
    -           (portRef B (instanceRef wb_cyc_stb_RNO))
    -          ))
    -          (net (rename wb_dati_7_0_RNO_7 "wb_dati_7_0_RNO[7]") (joined
    -           (portRef Z (instanceRef wb_dati_7_0_RNO_7))
    -           (portRef C (instanceRef wb_dati_7_0_7))
    -          ))
    -          (net (rename wb_dati_7_0_a2_0_1_7 "wb_dati_7_0_a2_0_1[7]") (joined
    -           (portRef Z (instanceRef wb_dati_7_0_a2_0_2_7))
    -           (portRef D (instanceRef wb_dati_7_0_RNO_7))
    -          ))
    -          (net (rename wb_dati_7_0_a2_0_6 "wb_dati_7_0_a2_0[6]") (joined
    -           (portRef Z (instanceRef wb_dati_7_0_a2_0_0_6))
    -           (portRef D (instanceRef wb_dati_7_0_a2_6))
    -           (portRef A (instanceRef wb_dati_7_0_0_RNO_7))
    -          ))
    -          (net N_576_i (joined
    -           (portRef Z (instanceRef S_RNII9DO1_2_1))
    -           (portRef SP (instanceRef CmdBitbangMXO2))
    -           (portRef SP (instanceRef CmdExecMXO2))
    -           (portRef SP (instanceRef CmdLEDGet))
    -           (portRef SP (instanceRef CmdLEDSet))
    -           (portRef SP (instanceRef CmdRWMaskSet))
    -           (portRef SP (instanceRef CmdSetRWBankFFLED))
    -           (portRef SP (instanceRef CmdSetRWBankFFMXO2))
    -           (portRef SP (instanceRef CmdTout_2))
    -           (portRef SP (instanceRef CmdTout_1))
    -           (portRef SP (instanceRef CmdTout_0))
    -           (portRef SP (instanceRef Dout_0io_7))
    -           (portRef SP (instanceRef Dout_0io_6))
    -           (portRef SP (instanceRef Dout_0io_5))
    -           (portRef SP (instanceRef Dout_0io_4))
    -           (portRef SP (instanceRef Dout_0io_3))
    -           (portRef SP (instanceRef Dout_0io_2))
    -           (portRef SP (instanceRef Dout_0io_1))
    -           (portRef SP (instanceRef Dout_0io_0))
    +          (net (rename RC_3_2 "RC_3[2]") (joined
    +           (portRef (member rc_3 0) (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef RC_2))
               ))
               (net RDOE_i (joined
    -           (portRef Z (instanceRef nWE80_pad_RNI3ICD))
    +           (portRef RDOE_i_1z (instanceRef ram2e_ufm))
                (portRef T (instanceRef RD_pad_0))
                (portRef T (instanceRef RD_pad_1))
                (portRef T (instanceRef RD_pad_2))
    @@ -3205,174 +4928,69 @@
                (portRef T (instanceRef RD_pad_6))
                (portRef T (instanceRef RD_pad_7))
               ))
    -          (net N_6_i (joined
    -           (portRef Z (instanceRef DOEEN_RNO))
    -           (portRef D (instanceRef DOEEN))
    +          (net N_263_i (joined
    +           (portRef N_263_i_1z (instanceRef ram2e_ufm))
    +           (portRef I (instanceRef RD_pad_3))
               ))
    -          (net N_358_i (joined
    -           (portRef Z (instanceRef DQMH_0io_RNO))
    -           (portRef D (instanceRef DQMH_0io))
    +          (net N_370_i (joined
    +           (portRef N_370_i (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef nCAS))
               ))
    -          (net N_28_i (joined
    -           (portRef Z (instanceRef DQML_0io_RNO))
    -           (portRef D (instanceRef DQML_0io))
    +          (net N_359_i (joined
    +           (portRef N_359_i (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef nRWE))
               ))
    -          (net N_129_i (joined
    -           (portRef Z (instanceRef S_RNO_3))
    +          (net N_372_i (joined
    +           (portRef N_372_i (instanceRef ram2e_ufm))
                (portRef D (instanceRef S_3))
               ))
    -          (net N_131_i (joined
    -           (portRef Z (instanceRef S_RNO_2))
    +          (net N_361_i (joined
    +           (portRef N_361_i (instanceRef ram2e_ufm))
                (portRef D (instanceRef S_2))
               ))
    -          (net N_133_i (joined
    -           (portRef Z (instanceRef S_RNO_1))
    +          (net N_362_i (joined
    +           (portRef N_362_i (instanceRef ram2e_ufm))
                (portRef D (instanceRef S_1))
               ))
    -          (net N_561_i (joined
    -           (portRef Z (instanceRef nCAS_0io_RNO))
    -           (portRef D (instanceRef nCAS_0io))
    -          ))
    -          (net nRAS_2_iv_i (joined
    -           (portRef Z (instanceRef nRAS_2_iv_i))
    -           (portRef D (instanceRef nRAS_0io))
    -          ))
    -          (net N_559_i (joined
    -           (portRef Z (instanceRef nCS_0io_RNO))
    -           (portRef D (instanceRef nCS_0io))
    -          ))
    -          (net N_553_i (joined
    -           (portRef Z (instanceRef RA_0io_RNO_2))
    -           (portRef D (instanceRef RA_0io_2))
    -          ))
    -          (net N_558_i (joined
    -           (portRef Z (instanceRef RA_0io_RNO_1))
    -           (portRef D (instanceRef RA_0io_1))
    -          ))
    -          (net N_127_i (joined
    -           (portRef Z (instanceRef RA_RNO_0))
    -           (portRef D (instanceRef RA_0))
    -          ))
    -          (net N_59_i (joined
    -           (portRef Z (instanceRef RA_0io_RNO_9))
    -           (portRef D (instanceRef RA_0io_9))
    -          ))
    -          (net N_49_i (joined
    -           (portRef Z (instanceRef RA_0io_RNO_8))
    -           (portRef D (instanceRef RA_0io_8))
    -          ))
    -          (net N_549_i (joined
    -           (portRef Z (instanceRef RA_0io_RNO_7))
    -           (portRef D (instanceRef RA_0io_7))
    -          ))
    -          (net N_550_i (joined
    -           (portRef Z (instanceRef RA_0io_RNO_6))
    -           (portRef D (instanceRef RA_0io_6))
    -          ))
    -          (net N_551_i (joined
    -           (portRef Z (instanceRef RA_0io_RNO_4))
    -           (portRef D (instanceRef RA_0io_4))
    -          ))
    -          (net N_552_i (joined
    -           (portRef Z (instanceRef RA_RNO_3))
    -           (portRef D (instanceRef RA_3))
    -          ))
    -          (net N_510_i (joined
    -           (portRef Z (instanceRef CS_RNO_2))
    -           (portRef D (instanceRef CS_2))
    +          (net N_358_i (joined
    +           (portRef N_358_i (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef nRAS))
               ))
               (net un1_CS_0_sqmuxa_i (joined
    -           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_2_RNIQS7F))
    +           (portRef un1_CS_0_sqmuxa_i (instanceRef ram2e_ufm))
                (portRef CD (instanceRef CS_2))
                (portRef CD (instanceRef CS_1))
                (portRef CD (instanceRef CS_0))
               ))
    -          (net N_511_i (joined
    -           (portRef Z (instanceRef CS_RNO_1))
    -           (portRef D (instanceRef CS_1))
    -          ))
    -          (net N_504_i (joined
    -           (portRef Z (instanceRef CS_RNO_0))
    +          (net N_547_i (joined
    +           (portRef N_547_i (instanceRef ram2e_ufm))
                (portRef D (instanceRef CS_0))
               ))
    -          (net N_556_i (joined
    -           (portRef Z (instanceRef CmdTout_RNO_2))
    +          (net N_360_i (joined
    +           (portRef N_360_i_1z (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef RC_0))
    +          ))
    +          (net N_369_i (joined
    +           (portRef N_369_i_1z (instanceRef ram2e_ufm))
                (portRef D (instanceRef CmdTout_2))
               ))
    -          (net N_555_i (joined
    -           (portRef Z (instanceRef CmdTout_RNO_1))
    +          (net N_368_i (joined
    +           (portRef N_368_i_1z (instanceRef ram2e_ufm))
                (portRef D (instanceRef CmdTout_1))
               ))
    -          (net wb_adr_0_sqmuxa_i (joined
    -           (portRef Z (instanceRef wb_req_RNO_0))
    -           (portRef SP (instanceRef wb_req))
    +          (net N_225_i (joined
    +           (portRef N_225_i_1z (instanceRef ram2e_ufm))
    +           (portRef SP (instanceRef BA_0io_1))
    +           (portRef SP (instanceRef BA_0io_0))
               ))
    -          (net (rename un1_wb_adr_0_sqmuxa_2_i_0 "un1_wb_adr_0_sqmuxa_2_i[0]") (joined
    -           (portRef Z (instanceRef CmdBitbangMXO2_RNI8CSO1))
    -           (portRef SP (instanceRef wb_adr_7))
    -           (portRef SP (instanceRef wb_adr_6))
    -           (portRef SP (instanceRef wb_adr_5))
    -           (portRef SP (instanceRef wb_adr_4))
    -           (portRef SP (instanceRef wb_adr_3))
    -           (portRef SP (instanceRef wb_adr_2))
    -           (portRef SP (instanceRef wb_adr_1))
    -           (portRef SP (instanceRef wb_adr_0))
    -           (portRef SP (instanceRef wb_dati_7))
    -           (portRef SP (instanceRef wb_dati_6))
    -           (portRef SP (instanceRef wb_dati_5))
    -           (portRef SP (instanceRef wb_dati_4))
    -           (portRef SP (instanceRef wb_dati_3))
    -           (portRef SP (instanceRef wb_dati_2))
    -           (portRef SP (instanceRef wb_dati_1))
    -           (portRef SP (instanceRef wb_dati_0))
    +          (net N_201_i (joined
    +           (portRef N_201_i_1z (instanceRef ram2e_ufm))
    +           (portRef SP (instanceRef DQMH_0io))
    +           (portRef SP (instanceRef DQML_0io))
               ))
    -          (net (rename un1_wb_cyc_stb_0_sqmuxa_1_i_0 "un1_wb_cyc_stb_0_sqmuxa_1_i[0]") (joined
    -           (portRef Z (instanceRef wb_we_RNO_0))
    -           (portRef SP (instanceRef wb_we))
    -          ))
    -          (net N_39_i (joined
    -           (portRef Z (instanceRef wb_adr_RNO_7))
    -           (portRef D (instanceRef wb_adr_7))
    -          ))
    -          (net N_41_i (joined
    -           (portRef Z (instanceRef wb_adr_RNO_3))
    -           (portRef D (instanceRef wb_adr_3))
    -          ))
    -          (net N_43_i (joined
    -           (portRef Z (instanceRef wb_adr_RNO_2))
    -           (portRef D (instanceRef wb_adr_2))
    -          ))
    -          (net N_292_i (joined
    -           (portRef Z (instanceRef RWMask_RNO_0))
    -           (portRef D (instanceRef RWMask_0))
    -          ))
    -          (net N_291_i (joined
    -           (portRef Z (instanceRef RWMask_RNO_1))
    -           (portRef D (instanceRef RWMask_1))
    -          ))
    -          (net N_290_i (joined
    -           (portRef Z (instanceRef RWMask_RNO_2))
    -           (portRef D (instanceRef RWMask_2))
    -          ))
    -          (net N_289_i (joined
    -           (portRef Z (instanceRef RWMask_RNO_3))
    -           (portRef D (instanceRef RWMask_3))
    -          ))
    -          (net N_288_i (joined
    -           (portRef Z (instanceRef RWMask_RNO_4))
    -           (portRef D (instanceRef RWMask_4))
    -          ))
    -          (net N_287_i (joined
    -           (portRef Z (instanceRef RWMask_RNO_5))
    -           (portRef D (instanceRef RWMask_5))
    -          ))
    -          (net N_286_i (joined
    -           (portRef Z (instanceRef RWMask_RNO_6))
    -           (portRef D (instanceRef RWMask_6))
    -          ))
    -          (net un1_nCS61_1_i (joined
    -           (portRef Z (instanceRef nRWE_r_0_RNO))
    -           (portRef A (instanceRef nRWE_r_0))
    +          (net N_507_i (joined
    +           (portRef N_507_i_1z (instanceRef ram2e_ufm))
    +           (portRef D (instanceRef DQML_0io))
               ))
               (net (rename FS_cry_0 "FS_cry[0]") (joined
                (portRef COUT (instanceRef FS_cry_0_0))
    @@ -3470,205 +5088,6 @@
                (portRef S0 (instanceRef FS_s_0_15))
                (portRef D (instanceRef FS_15))
               ))
    -          (net wb_reqc_i (joined
    -           (portRef Z (instanceRef wb_req_RNO))
    -           (portRef D (instanceRef wb_req))
    -          ))
    -          (net wb_reqc_1 (joined
    -           (portRef Z (instanceRef wb_reqc_1))
    -           (portRef D (instanceRef RA_0io_RNO_11))
    -           (portRef D (instanceRef CKE_6_iv_i_0_1))
    -           (portRef D (instanceRef wb_req_RNO))
    -           (portRef D (instanceRef S_RNO_1))
    -           (portRef D (instanceRef RA_0io_RNO_9))
    -           (portRef D (instanceRef CmdBitbangMXO2_RNI8CSO1))
    -           (portRef D (instanceRef wb_we_RNO_0))
    -           (portRef A (instanceRef wb_reqc_1_RNIEO5C1))
    -           (portRef B (instanceRef wb_req_RNO_0))
    -           (portRef B (instanceRef FS_RNI5OOF1_15))
    -           (portRef D (instanceRef wb_reqc_1_RNIRU4M1))
    -          ))
    -          (net CKE_6_iv_i_a2_0 (joined
    -           (portRef Z (instanceRef CKE_6_iv_i_0_1_RNO))
    -           (portRef A (instanceRef CKE_6_iv_i_0_1))
    -          ))
    -          (net wb_adr_7_5_214_a2_2_0 (joined
    -           (portRef Z (instanceRef wb_adr_RNO_3_1))
    -           (portRef D (instanceRef wb_adr_RNO_1_1))
    -          ))
    -          (net DQML_s_i_a2_0 (joined
    -           (portRef Z (instanceRef DQML_0io_RNO_0))
    -           (portRef A (instanceRef DQML_0io_RNO))
    -          ))
    -          (net un1_CS_0_sqmuxa_0_0_a2_2_2 (joined
    -           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_2_2))
    -           (portRef D (instanceRef un1_CS_0_sqmuxa_0_0_a2_2_4))
    -          ))
    -          (net un1_CS_0_sqmuxa_0_0_a2_2_4 (joined
    -           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_2_4))
    -           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a2_2))
    -          ))
    -          (net un1_CS_0_sqmuxa_0_0_a2_1_2 (joined
    -           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_1_2))
    -           (portRef D (instanceRef un1_CS_0_sqmuxa_0_0_a2_1_4))
    -          ))
    -          (net un1_CS_0_sqmuxa_0_0_a2_1_4 (joined
    -           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_1_4))
    -           (portRef D (instanceRef un1_CS_0_sqmuxa_0_0_a2_1))
    -          ))
    -          (net (rename S_s_0_1_0 "S_s_0_1[0]") (joined
    -           (portRef Z (instanceRef S_s_0_1_0))
    -           (portRef D (instanceRef S_s_0_0))
    -          ))
    -          (net un1_CS_0_sqmuxa_0_0_a2_1 (joined
    -           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_1_6))
    -           (portRef D (instanceRef un1_CS_0_sqmuxa_0_0_2))
    -          ))
    -          (net un1_CS_0_sqmuxa_0_0_a2_3_0 (joined
    -           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_3_0))
    -           (portRef D (instanceRef un1_CS_0_sqmuxa_0_0_a2_3_2))
    -          ))
    -          (net un1_CS_0_sqmuxa_0_0_a2_3_2 (joined
    -           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_3_2))
    -           (portRef D (instanceRef un1_CS_0_sqmuxa_0_0_2_RNIQS7F))
    -          ))
    -          (net un1_CS_0_sqmuxa_0_0_a2_4_2 (joined
    -           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_4_2))
    -           (portRef D (instanceRef un1_CS_0_sqmuxa_0_0_a2_4_4))
    -          ))
    -          (net un1_CS_0_sqmuxa_0_0_a2_4_4 (joined
    -           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a2_4_4))
    -           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a2_4))
    -          ))
    -          (net CmdSetRWBankFFMXO2_4_u_0_0_a2_0_0 (joined
    -           (portRef Z (instanceRef CmdSetRWBankFFMXO2_4_u_0_0_a2_0_0))
    -           (portRef B (instanceRef CmdSetRWBankFFMXO2_4_u_0_0_0))
    -          ))
    -          (net wb_adr_7_5_214_0_1 (joined
    -           (portRef Z (instanceRef wb_adr_RNO_1_1))
    -           (portRef D (instanceRef wb_adr_RNO_1))
    -          ))
    -          (net CmdLEDGet_4_u_0_0_a2_0_2 (joined
    -           (portRef Z (instanceRef CmdLEDGet_4_u_0_0_a2_0_2))
    -           (portRef B (instanceRef CmdLEDGet_4_u_0_0_0))
    -          ))
    -          (net wb_we_7_iv_0_0_0_1 (joined
    -           (portRef Z (instanceRef wb_we_RNO_2))
    -           (portRef D (instanceRef wb_we_RNO))
    -          ))
    -          (net (rename wb_adr_7_0_a2_5_0_0 "wb_adr_7_0_a2_5_0[0]") (joined
    -           (portRef Z (instanceRef wb_adr_7_0_a2_5_0_0))
    -           (portRef D (instanceRef wb_adr_7_0_1_0))
    -          ))
    -          (net (rename wb_adr_7_0_a2_0_0 "wb_adr_7_0_a2_0[0]") (joined
    -           (portRef Z (instanceRef wb_adr_7_0_a2_0_0_0))
    -           (portRef D (instanceRef wb_adr_7_0_0_0))
    -          ))
    -          (net (rename wb_dati_7_0_a2_4_0_7 "wb_dati_7_0_a2_4_0[7]") (joined
    -           (portRef Z (instanceRef wb_dati_7_0_0_RNO_7))
    -           (portRef D (instanceRef wb_dati_7_0_0_7))
    -          ))
    -          (net (rename wb_dati_7_0_a2_2_0_1 "wb_dati_7_0_a2_2_0[1]") (joined
    -           (portRef Z (instanceRef wb_dati_7_0_a2_2_0_1))
    -           (portRef D (instanceRef wb_dati_7_0_0_1))
    -          ))
    -          (net CmdBitbangMXO2_4_u_0_0_a2_0_1 (joined
    -           (portRef Z (instanceRef CmdBitbangMXO2_4_u_0_0_a2_0_1))
    -           (portRef B (instanceRef CmdBitbangMXO2_4_u_0_0_0))
    -          ))
    -          (net CKE_6_iv_i_0_1 (joined
    -           (portRef Z (instanceRef CKE_6_iv_i_0_1))
    -           (portRef A (instanceRef CKE_6_iv_i_0))
    -          ))
    -          (net (rename un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0 "un1_LEDEN_0_sqmuxa_1_i_a2_0_1[0]") (joined
    -           (portRef Z (instanceRef un1_LEDEN_0_sqmuxa_1_i_a2_0_1_0))
    -           (portRef D (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0))
    -          ))
    -          (net (rename wb_dati_7_0_a2_1_0 "wb_dati_7_0_a2_1[0]") (joined
    -           (portRef Z (instanceRef wb_dati_7_0_a2_1_0_0))
    -           (portRef D (instanceRef wb_dati_7_0_0))
    -          ))
    -          (net (rename un1_RWMask_0_sqmuxa_1_i_a2_0_1_0 "un1_RWMask_0_sqmuxa_1_i_a2_0_1[0]") (joined
    -           (portRef Z (instanceRef un1_RWMask_0_sqmuxa_1_i_0_RNO_0))
    -           (portRef D (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0))
    -          ))
    -          (net Ready_0_sqmuxa_0_a2_6_a2_2 (joined
    -           (portRef Z (instanceRef Ready_0_sqmuxa_0_a2_6_a2_2_0))
    -           (portRef D (instanceRef Ready_0_sqmuxa_0_a2_6_a2_4))
    -          ))
    -          (net Ready_0_sqmuxa_0_a2_6_a2_4 (joined
    -           (portRef Z (instanceRef Ready_0_sqmuxa_0_a2_6_a2_4))
    -           (portRef D (instanceRef Ready_0_sqmuxa_0_a2_6_a2))
    -          ))
    -          (net nCS_6_u_i_a2_1 (joined
    -           (portRef Z (instanceRef nCS_6_u_i_a2_1_0))
    -           (portRef D (instanceRef nCS_6_u_i_1))
    -          ))
    -          (net (rename wb_dati_7_0_a2_2_1_3 "wb_dati_7_0_a2_2_1[3]") (joined
    -           (portRef Z (instanceRef wb_dati_7_0_2_RNO_3))
    -           (portRef D (instanceRef wb_dati_7_0_2_3))
    -          ))
    -          (net un1_CS_0_sqmuxa_0_0_0 (joined
    -           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_0))
    -           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_2))
    -          ))
    -          (net un1_CS_0_sqmuxa_0_0_2 (joined
    -           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_2))
    -           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_2_RNIQS7F))
    -          ))
    -          (net un1_CS_0_sqmuxa_0_0_3 (joined
    -           (portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_3))
    -           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_2_RNIQS7F))
    -          ))
    -          (net (rename wb_dati_7_0_0_1 "wb_dati_7_0_0[1]") (joined
    -           (portRef Z (instanceRef wb_dati_7_0_0_1))
    -           (portRef D (instanceRef wb_dati_7_0_1))
    -          ))
    -          (net (rename wb_dati_7_0_o2_0_2 "wb_dati_7_0_o2_0[2]") (joined
    -           (portRef Z (instanceRef wb_dati_7_0_o2_0_2))
    -           (portRef D (instanceRef wb_dati_7_0_2))
    -           (portRef D (instanceRef wb_dati_7_0_5))
    -          ))
    -          (net nCAS_s_i_tz_0 (joined
    -           (portRef Z (instanceRef nCAS_0io_RNO_0))
    -           (portRef D (instanceRef nCAS_0io_RNO))
    -          ))
    -          (net (rename wb_dati_7_0_1_6 "wb_dati_7_0_1[6]") (joined
    -           (portRef Z (instanceRef wb_dati_7_0_1_6))
    -           (portRef C (instanceRef wb_dati_7_0_6))
    -          ))
    -          (net (rename wb_dati_7_0_0_7 "wb_dati_7_0_0[7]") (joined
    -           (portRef Z (instanceRef wb_dati_7_0_0_7))
    -           (portRef D (instanceRef wb_dati_7_0_7))
    -          ))
    -          (net (rename wb_dati_7_0_0_3 "wb_dati_7_0_0[3]") (joined
    -           (portRef Z (instanceRef wb_dati_7_0_0_3))
    -           (portRef B (instanceRef wb_dati_7_0_3))
    -          ))
    -          (net (rename wb_dati_7_0_2_3 "wb_dati_7_0_2[3]") (joined
    -           (portRef Z (instanceRef wb_dati_7_0_2_3))
    -           (portRef C (instanceRef wb_dati_7_0_3))
    -          ))
    -          (net nCS_6_u_i_0 (joined
    -           (portRef Z (instanceRef nCS_6_u_i_0))
    -           (portRef B (instanceRef nCS_0io_RNO))
    -          ))
    -          (net (rename wb_adr_7_0_0_0 "wb_adr_7_0_0[0]") (joined
    -           (portRef Z (instanceRef wb_adr_7_0_0_0))
    -           (portRef C (instanceRef wb_adr_7_0_4_0))
    -          ))
    -          (net (rename wb_adr_7_0_1_0 "wb_adr_7_0_1[0]") (joined
    -           (portRef Z (instanceRef wb_adr_7_0_1_0))
    -           (portRef D (instanceRef wb_adr_7_0_4_0))
    -          ))
    -          (net (rename wb_adr_7_0_4_0 "wb_adr_7_0_4[0]") (joined
    -           (portRef Z (instanceRef wb_adr_7_0_4_0))
    -           (portRef D (instanceRef wb_adr_7_0_0))
    -          ))
    -          (net (rename wb_dati_7_0_0_4 "wb_dati_7_0_0[4]") (joined
    -           (portRef Z (instanceRef wb_dati_7_0_0_4))
    -           (portRef D (instanceRef wb_dati_7_0_4))
    -          ))
               (net (rename FS_cry_0_S0_0 "FS_cry_0_S0[0]") (joined
                (portRef S0 (instanceRef FS_cry_0_0))
               ))
    @@ -3678,42 +5097,45 @@
               (net (rename FS_s_0_COUT_15 "FS_s_0_COUT[15]") (joined
                (portRef COUT (instanceRef FS_s_0_15))
               ))
    -          (net (rename Dout_0__CN "Dout_0_.CN") (joined
    -           (portRef Z (instanceRef Vout_0__CN))
    -           (portRef SCLK (instanceRef Dout_0io_7))
    -           (portRef SCLK (instanceRef Dout_0io_6))
    -           (portRef SCLK (instanceRef Dout_0io_5))
    -           (portRef SCLK (instanceRef Dout_0io_4))
    -           (portRef SCLK (instanceRef Dout_0io_3))
    -           (portRef SCLK (instanceRef Dout_0io_2))
    -           (portRef SCLK (instanceRef Dout_0io_1))
    -           (portRef SCLK (instanceRef Dout_0io_0))
    -           (portRef SCLK (instanceRef Vout_0io_7))
    -           (portRef SCLK (instanceRef Vout_0io_6))
    -           (portRef SCLK (instanceRef Vout_0io_5))
    -           (portRef SCLK (instanceRef Vout_0io_4))
    -           (portRef SCLK (instanceRef Vout_0io_3))
    -           (portRef SCLK (instanceRef Vout_0io_2))
    -           (portRef SCLK (instanceRef Vout_0io_1))
    -           (portRef SCLK (instanceRef Vout_0io_0))
    +          (net (rename CKEout_CN "CKEout.CN") (joined
    +           (portRef Z (instanceRef nCASout_CN))
    +           (portRef SCLK (instanceRef CKEout_0io))
    +           (portRef SCLK (instanceRef RAout_0io_11))
    +           (portRef SCLK (instanceRef RAout_0io_10))
    +           (portRef SCLK (instanceRef RAout_0io_9))
    +           (portRef SCLK (instanceRef RAout_0io_8))
    +           (portRef SCLK (instanceRef RAout_0io_7))
    +           (portRef SCLK (instanceRef RAout_0io_6))
    +           (portRef SCLK (instanceRef RAout_0io_5))
    +           (portRef SCLK (instanceRef RAout_0io_4))
    +           (portRef SCLK (instanceRef RAout_0io_3))
    +           (portRef SCLK (instanceRef RAout_0io_2))
    +           (portRef SCLK (instanceRef RAout_0io_1))
    +           (portRef SCLK (instanceRef RAout_0io_0))
    +           (portRef SCLK (instanceRef nCASout_0io))
    +           (portRef SCLK (instanceRef nRASout_0io))
    +           (portRef SCLK (instanceRef nRWEout_0io))
               ))
               (net VCC (joined
                (portRef Z (instanceRef VCC))
                (portRef B0 (instanceRef FS_cry_0_0))
    -           (portRef SP (instanceRef BA_0io_1))
    -           (portRef SP (instanceRef BA_0io_0))
    -           (portRef SP (instanceRef CKE_0io))
    -           (portRef SP (instanceRef DQMH_0io))
    -           (portRef SP (instanceRef DQML_0io))
    -           (portRef SP (instanceRef RA_0io_11))
    -           (portRef SP (instanceRef RA_0io_10))
    -           (portRef SP (instanceRef RA_0io_9))
    -           (portRef SP (instanceRef RA_0io_8))
    -           (portRef SP (instanceRef nCAS_0io))
    -           (portRef SP (instanceRef nCS_0io))
    -           (portRef SP (instanceRef nRAS_0io))
    -           (portRef SP (instanceRef nRWE_0io))
    -           (portRef SP (instanceRef PHI1reg_0io))
    +           (portRef SP (instanceRef CKEout_0io))
    +           (portRef SP (instanceRef RAout_0io_11))
    +           (portRef SP (instanceRef RAout_0io_10))
    +           (portRef SP (instanceRef RAout_0io_9))
    +           (portRef SP (instanceRef RAout_0io_8))
    +           (portRef SP (instanceRef RAout_0io_7))
    +           (portRef SP (instanceRef RAout_0io_6))
    +           (portRef SP (instanceRef RAout_0io_5))
    +           (portRef SP (instanceRef RAout_0io_4))
    +           (portRef SP (instanceRef RAout_0io_3))
    +           (portRef SP (instanceRef RAout_0io_2))
    +           (portRef SP (instanceRef RAout_0io_1))
    +           (portRef SP (instanceRef RAout_0io_0))
    +           (portRef SP (instanceRef nCASout_0io))
    +           (portRef SP (instanceRef nRASout_0io))
    +           (portRef SP (instanceRef nRWEout_0io))
    +           (portRef SP (instanceRef PHI1r_0io))
                (portRef GSR (instanceRef GSR_INST))
               ))
               (net GND (joined
    @@ -3773,27 +5195,22 @@
                (portRef D0 (instanceRef FS_s_0_15))
                (portRef C0 (instanceRef FS_s_0_15))
                (portRef B0 (instanceRef FS_s_0_15))
    -           (portRef CD (instanceRef CKE_0io))
    +           (portRef I (instanceRef nCSout_pad))
    +           (portRef CD (instanceRef CKEout_0io))
                (portRef PD (instanceRef DQMH_0io))
                (portRef PD (instanceRef DQML_0io))
    -           (portRef CD (instanceRef Dout_0io_7))
    -           (portRef CD (instanceRef Dout_0io_6))
    -           (portRef CD (instanceRef Dout_0io_5))
    -           (portRef CD (instanceRef Dout_0io_4))
    -           (portRef CD (instanceRef Dout_0io_3))
    -           (portRef CD (instanceRef Dout_0io_2))
    -           (portRef CD (instanceRef Dout_0io_1))
    -           (portRef CD (instanceRef Dout_0io_0))
    -           (portRef CD (instanceRef RA_0io_11))
    -           (portRef CD (instanceRef RA_0io_10))
    -           (portRef CD (instanceRef RA_0io_9))
    -           (portRef CD (instanceRef RA_0io_8))
    -           (portRef CD (instanceRef RA_0io_7))
    -           (portRef CD (instanceRef RA_0io_6))
    -           (portRef CD (instanceRef RA_0io_5))
    -           (portRef CD (instanceRef RA_0io_4))
    -           (portRef CD (instanceRef RA_0io_2))
    -           (portRef CD (instanceRef RA_0io_1))
    +           (portRef CD (instanceRef RAout_0io_11))
    +           (portRef CD (instanceRef RAout_0io_10))
    +           (portRef CD (instanceRef RAout_0io_9))
    +           (portRef CD (instanceRef RAout_0io_8))
    +           (portRef CD (instanceRef RAout_0io_7))
    +           (portRef CD (instanceRef RAout_0io_6))
    +           (portRef CD (instanceRef RAout_0io_5))
    +           (portRef CD (instanceRef RAout_0io_4))
    +           (portRef CD (instanceRef RAout_0io_3))
    +           (portRef CD (instanceRef RAout_0io_2))
    +           (portRef CD (instanceRef RAout_0io_1))
    +           (portRef CD (instanceRef RAout_0io_0))
                (portRef CD (instanceRef Vout_0io_7))
                (portRef CD (instanceRef Vout_0io_6))
                (portRef CD (instanceRef Vout_0io_5))
    @@ -3802,25 +5219,22 @@
                (portRef CD (instanceRef Vout_0io_2))
                (portRef CD (instanceRef Vout_0io_1))
                (portRef CD (instanceRef Vout_0io_0))
    -           (portRef PD (instanceRef nCAS_0io))
    -           (portRef PD (instanceRef nCS_0io))
    -           (portRef PD (instanceRef nRAS_0io))
    -           (portRef PD (instanceRef nRWE_0io))
    -           (portRef CD (instanceRef PHI1reg_0io))
    +           (portRef PD (instanceRef nCASout_0io))
    +           (portRef PD (instanceRef nRASout_0io))
    +           (portRef PD (instanceRef nRWEout_0io))
    +           (portRef CD (instanceRef PHI1r_0io))
               ))
               (net C14M_c (joined
                (portRef O (instanceRef C14M_pad))
    -           (portRef C14M_c (instanceRef ufmefb))
    +           (portRef C14M_c (instanceRef ram2e_ufm))
    +           (portRef CK (instanceRef CKE))
                (portRef CK (instanceRef CS_2))
                (portRef CK (instanceRef CS_1))
                (portRef CK (instanceRef CS_0))
    -           (portRef CK (instanceRef CmdBitbangMXO2))
    -           (portRef CK (instanceRef CmdExecMXO2))
                (portRef CK (instanceRef CmdLEDGet))
                (portRef CK (instanceRef CmdLEDSet))
                (portRef CK (instanceRef CmdRWMaskSet))
                (portRef CK (instanceRef CmdSetRWBankFFLED))
    -           (portRef CK (instanceRef CmdSetRWBankFFMXO2))
                (portRef CK (instanceRef CmdTout_2))
                (portRef CK (instanceRef CmdTout_1))
                (portRef CK (instanceRef CmdTout_0))
    @@ -3841,9 +5255,21 @@
                (portRef CK (instanceRef FS_2))
                (portRef CK (instanceRef FS_1))
                (portRef CK (instanceRef FS_0))
    -           (portRef CK (instanceRef LEDEN))
    +           (portRef CK (instanceRef RA_11))
    +           (portRef CK (instanceRef RA_10))
    +           (portRef CK (instanceRef RA_9))
    +           (portRef CK (instanceRef RA_8))
    +           (portRef CK (instanceRef RA_7))
    +           (portRef CK (instanceRef RA_6))
    +           (portRef CK (instanceRef RA_5))
    +           (portRef CK (instanceRef RA_4))
                (portRef CK (instanceRef RA_3))
    +           (portRef CK (instanceRef RA_2))
    +           (portRef CK (instanceRef RA_1))
                (portRef CK (instanceRef RA_0))
    +           (portRef CK (instanceRef RC_2))
    +           (portRef CK (instanceRef RC_1))
    +           (portRef CK (instanceRef RC_0))
                (portRef CK (instanceRef RWBank_7))
                (portRef CK (instanceRef RWBank_6))
                (portRef CK (instanceRef RWBank_5))
    @@ -3852,61 +5278,30 @@
                (portRef CK (instanceRef RWBank_2))
                (portRef CK (instanceRef RWBank_1))
                (portRef CK (instanceRef RWBank_0))
    -           (portRef CK (instanceRef RWMask_7))
    -           (portRef CK (instanceRef RWMask_6))
    -           (portRef CK (instanceRef RWMask_5))
    -           (portRef CK (instanceRef RWMask_4))
    -           (portRef CK (instanceRef RWMask_3))
    -           (portRef CK (instanceRef RWMask_2))
    -           (portRef CK (instanceRef RWMask_1))
    -           (portRef CK (instanceRef RWMask_0))
                (portRef CK (instanceRef RWSel))
                (portRef CK (instanceRef Ready))
                (portRef CK (instanceRef S_3))
                (portRef CK (instanceRef S_2))
                (portRef CK (instanceRef S_1))
                (portRef CK (instanceRef S_0))
    -           (portRef CK (instanceRef wb_adr_7))
    -           (portRef CK (instanceRef wb_adr_6))
    -           (portRef CK (instanceRef wb_adr_5))
    -           (portRef CK (instanceRef wb_adr_4))
    -           (portRef CK (instanceRef wb_adr_3))
    -           (portRef CK (instanceRef wb_adr_2))
    -           (portRef CK (instanceRef wb_adr_1))
    -           (portRef CK (instanceRef wb_adr_0))
    -           (portRef CK (instanceRef wb_cyc_stb))
    -           (portRef CK (instanceRef wb_dati_7))
    -           (portRef CK (instanceRef wb_dati_6))
    -           (portRef CK (instanceRef wb_dati_5))
    -           (portRef CK (instanceRef wb_dati_4))
    -           (portRef CK (instanceRef wb_dati_3))
    -           (portRef CK (instanceRef wb_dati_2))
    -           (portRef CK (instanceRef wb_dati_1))
    -           (portRef CK (instanceRef wb_dati_0))
    -           (portRef CK (instanceRef wb_req))
    -           (portRef CK (instanceRef wb_rst))
    -           (portRef CK (instanceRef wb_we))
    +           (portRef CK (instanceRef VOEEN))
    +           (portRef CK (instanceRef nCAS))
    +           (portRef CK (instanceRef nRAS))
    +           (portRef CK (instanceRef nRWE))
                (portRef SCLK (instanceRef BA_0io_1))
                (portRef SCLK (instanceRef BA_0io_0))
    -           (portRef SCLK (instanceRef CKE_0io))
                (portRef SCLK (instanceRef DQMH_0io))
                (portRef SCLK (instanceRef DQML_0io))
    -           (portRef SCLK (instanceRef RA_0io_11))
    -           (portRef SCLK (instanceRef RA_0io_10))
    -           (portRef SCLK (instanceRef RA_0io_9))
    -           (portRef SCLK (instanceRef RA_0io_8))
    -           (portRef SCLK (instanceRef RA_0io_7))
    -           (portRef SCLK (instanceRef RA_0io_6))
    -           (portRef SCLK (instanceRef RA_0io_5))
    -           (portRef SCLK (instanceRef RA_0io_4))
    -           (portRef SCLK (instanceRef RA_0io_2))
    -           (portRef SCLK (instanceRef RA_0io_1))
    -           (portRef SCLK (instanceRef nCAS_0io))
    -           (portRef SCLK (instanceRef nCS_0io))
    -           (portRef SCLK (instanceRef nRAS_0io))
    -           (portRef SCLK (instanceRef nRWE_0io))
    -           (portRef SCLK (instanceRef PHI1reg_0io))
    -           (portRef A (instanceRef Vout_0__CN))
    +           (portRef SCLK (instanceRef Vout_0io_7))
    +           (portRef SCLK (instanceRef Vout_0io_6))
    +           (portRef SCLK (instanceRef Vout_0io_5))
    +           (portRef SCLK (instanceRef Vout_0io_4))
    +           (portRef SCLK (instanceRef Vout_0io_3))
    +           (portRef SCLK (instanceRef Vout_0io_2))
    +           (portRef SCLK (instanceRef Vout_0io_1))
    +           (portRef SCLK (instanceRef Vout_0io_0))
    +           (portRef SCLK (instanceRef PHI1r_0io))
    +           (portRef A (instanceRef nCASout_CN))
               ))
               (net C14M (joined
                (portRef C14M)
    @@ -3915,15 +5310,15 @@
               (net PHI1_c (joined
                (portRef O (instanceRef PHI1_pad))
                (portRef A (instanceRef SZ0Z_1))
    -           (portRef I (instanceRef nVOE_pad))
    -           (portRef D (instanceRef PHI1reg_0io))
    +           (portRef A (instanceRef nVOE_pad_RNO))
    +           (portRef D (instanceRef PHI1r_0io))
               ))
               (net PHI1 (joined
                (portRef PHI1)
                (portRef I (instanceRef PHI1_pad))
               ))
               (net LED_c (joined
    -           (portRef Z (instanceRef LED_pad_RNO))
    +           (portRef LED_c (instanceRef ram2e_ufm))
                (portRef I (instanceRef LED_pad))
               ))
               (net LED (joined
    @@ -3932,29 +5327,18 @@
               ))
               (net nWE_c (joined
                (portRef O (instanceRef nWE_pad))
    -           (portRef D (instanceRef RWSel_2))
    -           (portRef C (instanceRef nDOE_pad_RNO))
    +           (portRef nWE_c (instanceRef ram2e_ufm))
               ))
               (net nWE (joined
                (portRef nWE)
                (portRef I (instanceRef nWE_pad))
               ))
    -          (net nWE80_c (joined
    -           (portRef O (instanceRef nWE80_pad))
    -           (portRef B (instanceRef nWE80_pad_RNI3ICD))
    -           (portRef D (instanceRef nRWE_r_0))
    -          ))
               (net nWE80 (joined
                (portRef nWE80)
    -           (portRef I (instanceRef nWE80_pad))
               ))
               (net nEN80_c (joined
                (portRef O (instanceRef nEN80_pad))
    -           (portRef A (instanceRef nWE80_pad_RNI3ICD))
    -           (portRef B (instanceRef LED_pad_RNO))
    -           (portRef B (instanceRef nDOE_pad_RNO))
    -           (portRef D (instanceRef nCS_6_u_i_0))
    -           (portRef A (instanceRef CKE_6_iv_i_0_1_RNO))
    +           (portRef nEN80_c (instanceRef ram2e_ufm))
               ))
               (net nEN80 (joined
                (portRef nEN80)
    @@ -3962,7 +5346,7 @@
               ))
               (net nC07X_c (joined
                (portRef O (instanceRef nC07X_pad))
    -           (portRef C (instanceRef RWSel_2))
    +           (portRef nC07X_c (instanceRef ram2e_ufm))
               ))
               (net nC07X (joined
                (portRef nC07X)
    @@ -3970,7 +5354,7 @@
               ))
               (net (rename Ain_c_0 "Ain_c[0]") (joined
                (portRef O (instanceRef Ain_pad_0))
    -           (portRef A (instanceRef RA_RNO_0))
    +           (portRef (member ain_c 7) (instanceRef ram2e_ufm))
               ))
               (net (rename Ain_0 "Ain[0]") (joined
                (portRef (member ain 7))
    @@ -3978,7 +5362,7 @@
               ))
               (net (rename Ain_c_1 "Ain_c[1]") (joined
                (portRef O (instanceRef Ain_pad_1))
    -           (portRef A (instanceRef RA_0io_RNO_1))
    +           (portRef (member ain_c 6) (instanceRef ram2e_ufm))
               ))
               (net (rename Ain_1 "Ain[1]") (joined
                (portRef (member ain 6))
    @@ -3986,7 +5370,7 @@
               ))
               (net (rename Ain_c_2 "Ain_c[2]") (joined
                (portRef O (instanceRef Ain_pad_2))
    -           (portRef A (instanceRef RA_0io_RNO_2))
    +           (portRef (member ain_c 5) (instanceRef ram2e_ufm))
               ))
               (net (rename Ain_2 "Ain[2]") (joined
                (portRef (member ain 5))
    @@ -3994,7 +5378,7 @@
               ))
               (net (rename Ain_c_3 "Ain_c[3]") (joined
                (portRef O (instanceRef Ain_pad_3))
    -           (portRef A (instanceRef RA_RNO_3))
    +           (portRef (member ain_c 4) (instanceRef ram2e_ufm))
               ))
               (net (rename Ain_3 "Ain[3]") (joined
                (portRef (member ain 4))
    @@ -4002,7 +5386,7 @@
               ))
               (net (rename Ain_c_4 "Ain_c[4]") (joined
                (portRef O (instanceRef Ain_pad_4))
    -           (portRef A (instanceRef RA_0io_RNO_4))
    +           (portRef (member ain_c 3) (instanceRef ram2e_ufm))
               ))
               (net (rename Ain_4 "Ain[4]") (joined
                (portRef (member ain 3))
    @@ -4010,7 +5394,7 @@
               ))
               (net (rename Ain_c_5 "Ain_c[5]") (joined
                (portRef O (instanceRef Ain_pad_5))
    -           (portRef A (instanceRef RA_42_3_0_5))
    +           (portRef (member ain_c 2) (instanceRef ram2e_ufm))
               ))
               (net (rename Ain_5 "Ain[5]") (joined
                (portRef (member ain 2))
    @@ -4018,7 +5402,7 @@
               ))
               (net (rename Ain_c_6 "Ain_c[6]") (joined
                (portRef O (instanceRef Ain_pad_6))
    -           (portRef A (instanceRef RA_0io_RNO_6))
    +           (portRef (member ain_c 1) (instanceRef ram2e_ufm))
               ))
               (net (rename Ain_6 "Ain[6]") (joined
                (portRef (member ain 1))
    @@ -4026,7 +5410,7 @@
               ))
               (net (rename Ain_c_7 "Ain_c[7]") (joined
                (portRef O (instanceRef Ain_pad_7))
    -           (portRef A (instanceRef RA_0io_RNO_7))
    +           (portRef (member ain_c 0) (instanceRef ram2e_ufm))
               ))
               (net (rename Ain_7 "Ain[7]") (joined
                (portRef (member ain 0))
    @@ -4034,20 +5418,7 @@
               ))
               (net (rename Din_c_0 "Din_c[0]") (joined
                (portRef O (instanceRef Din_pad_0))
    -           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_3_0))
    -           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a2_17))
    -           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_16))
    -           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_13))
    -           (portRef A (instanceRef LEDEN_RNO))
    -           (portRef A (instanceRef CmdBitbangMXO2_4_u_0_0_a2_0_1))
    -           (portRef A (instanceRef RWMask_RNO_0))
    -           (portRef A (instanceRef CmdLEDGet_4_u_0_0_a2_0_2))
    -           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_2_2))
    -           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_15))
    -           (portRef A (instanceRef RWBank_5_0_0))
    -           (portRef A (instanceRef wb_we_RNO_2))
    -           (portRef A (instanceRef wb_adr_7_0_0_0))
    -           (portRef I (instanceRef RD_pad_0))
    +           (portRef (member din_c 7) (instanceRef ram2e_ufm))
               ))
               (net (rename Din_0 "Din[0]") (joined
                (portRef (member din 7))
    @@ -4055,20 +5426,7 @@
               ))
               (net (rename Din_c_1 "Din_c[1]") (joined
                (portRef O (instanceRef Din_pad_1))
    -           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_3_0))
    -           (portRef C (instanceRef CmdBitbangMXO2_4_u_0_0_a2_1))
    -           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_11))
    -           (portRef A (instanceRef RWMask_RNO_1))
    -           (portRef B (instanceRef CmdLEDGet_4_u_0_0_a2_0_2))
    -           (portRef A (instanceRef CmdSetRWBankFFMXO2_4_u_0_0_a2_0_0))
    -           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_1_2))
    -           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a2_15))
    -           (portRef A (instanceRef RWBank_5_0_1))
    -           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_o2_0))
    -           (portRef A (instanceRef CmdLEDSet_4_u_0_0_a2_0))
    -           (portRef A (instanceRef wb_adr_RNO_1))
    -           (portRef A (instanceRef CmdSetRWBankFFLED_4_u_0_0_a2_0))
    -           (portRef I (instanceRef RD_pad_1))
    +           (portRef (member din_c 6) (instanceRef ram2e_ufm))
               ))
               (net (rename Din_1 "Din[1]") (joined
                (portRef (member din 6))
    @@ -4076,17 +5434,7 @@
               ))
               (net (rename Din_c_2 "Din_c[2]") (joined
                (portRef O (instanceRef Din_pad_2))
    -           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a2_3_0))
    -           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_17))
    -           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a2_16))
    -           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_13))
    -           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_12))
    -           (portRef B (instanceRef CmdBitbangMXO2_4_u_0_0_a2_0_1))
    -           (portRef A (instanceRef wb_adr_RNO_2))
    -           (portRef A (instanceRef RWMask_RNO_2))
    -           (portRef D (instanceRef un1_CS_0_sqmuxa_0_0_a2_15))
    -           (portRef A (instanceRef RWBank_5_0_2))
    -           (portRef I (instanceRef RD_pad_2))
    +           (portRef (member din_c 5) (instanceRef ram2e_ufm))
               ))
               (net (rename Din_2 "Din[2]") (joined
                (portRef (member din 5))
    @@ -4094,17 +5442,7 @@
               ))
               (net (rename Din_c_3 "Din_c[3]") (joined
                (portRef O (instanceRef Din_pad_3))
    -           (portRef D (instanceRef un1_CS_0_sqmuxa_0_0_a2_3_0))
    -           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_17))
    -           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_16))
    -           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_12))
    -           (portRef C (instanceRef CmdBitbangMXO2_4_u_0_0_a2_0_1))
    -           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_1_6))
    -           (portRef A (instanceRef wb_adr_RNO_3))
    -           (portRef A (instanceRef RWMask_RNO_3))
    -           (portRef A (instanceRef RWBank_5_0_3))
    -           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_1_4))
    -           (portRef I (instanceRef RD_pad_3))
    +           (portRef (member din_c 4) (instanceRef ram2e_ufm))
               ))
               (net (rename Din_3 "Din[3]") (joined
                (portRef (member din 4))
    @@ -4112,19 +5450,7 @@
               ))
               (net (rename Din_c_4 "Din_c[4]") (joined
                (portRef O (instanceRef Din_pad_4))
    -           (portRef B (instanceRef CmdBitbangMXO2_4_u_0_0_a2_1))
    -           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_11))
    -           (portRef A (instanceRef wb_adr_RNO_4))
    -           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a2_1_6))
    -           (portRef A (instanceRef RWMask_RNO_4))
    -           (portRef C (instanceRef CmdLEDGet_4_u_0_0_a2_0_2))
    -           (portRef B (instanceRef CmdSetRWBankFFMXO2_4_u_0_0_a2_0_0))
    -           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_1_2))
    -           (portRef A (instanceRef RWBank_5_0_4))
    -           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a2_3_2))
    -           (portRef B (instanceRef CmdLEDSet_4_u_0_0_a2_0))
    -           (portRef B (instanceRef CmdSetRWBankFFLED_4_u_0_0_a2_0))
    -           (portRef I (instanceRef RD_pad_4))
    +           (portRef (member din_c 3) (instanceRef ram2e_ufm))
               ))
               (net (rename Din_4 "Din[4]") (joined
                (portRef (member din 3))
    @@ -4132,14 +5458,7 @@
               ))
               (net (rename Din_c_5 "Din_c[5]") (joined
                (portRef O (instanceRef Din_pad_5))
    -           (portRef A (instanceRef wb_adr_RNO_5))
    -           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_7))
    -           (portRef A (instanceRef RWMask_RNO_5))
    -           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a2_1_2))
    -           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_2_2))
    -           (portRef A (instanceRef RWBank_5_0_5))
    -           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_4_2))
    -           (portRef I (instanceRef RD_pad_5))
    +           (portRef (member din_c 2) (instanceRef ram2e_ufm))
               ))
               (net (rename Din_5 "Din[5]") (joined
                (portRef (member din 2))
    @@ -4147,15 +5466,7 @@
               ))
               (net (rename Din_c_6 "Din_c[6]") (joined
                (portRef O (instanceRef Din_pad_6))
    -           (portRef A (instanceRef wb_adr_RNO_6))
    -           (portRef D (instanceRef un1_CS_0_sqmuxa_0_0_a2_1_6))
    -           (portRef A (instanceRef RWMask_RNO_6))
    -           (portRef A (instanceRef RWBank_5_0_6))
    -           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_10))
    -           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a2_2_4))
    -           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_4_4))
    -           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_1))
    -           (portRef I (instanceRef RD_pad_6))
    +           (portRef (member din_c 1) (instanceRef ram2e_ufm))
               ))
               (net (rename Din_6 "Din[6]") (joined
                (portRef (member din 1))
    @@ -4163,85 +5474,46 @@
               ))
               (net (rename Din_c_7 "Din_c[7]") (joined
                (portRef O (instanceRef Din_pad_7))
    -           (portRef A (instanceRef RWMask_RNO_7))
    -           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_7))
    -           (portRef A (instanceRef wb_adr_RNO_7))
    -           (portRef A (instanceRef RWBank_5_0_0_7))
    -           (portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a2_4_2))
    -           (portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a2_1_4))
    -           (portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a2_2))
    -           (portRef I (instanceRef RD_pad_7))
    +           (portRef (member din_c 0) (instanceRef ram2e_ufm))
               ))
               (net (rename Din_7 "Din[7]") (joined
                (portRef (member din 0))
                (portRef I (instanceRef Din_pad_7))
               ))
    -          (net (rename Dout_c_0 "Dout_c[0]") (joined
    -           (portRef Q (instanceRef Dout_0io_0))
    -           (portRef I (instanceRef Dout_pad_0))
    -          ))
               (net (rename Dout_0 "Dout[0]") (joined
                (portRef O (instanceRef Dout_pad_0))
                (portRef (member dout 7))
               ))
    -          (net (rename Dout_c_1 "Dout_c[1]") (joined
    -           (portRef Q (instanceRef Dout_0io_1))
    -           (portRef I (instanceRef Dout_pad_1))
    -          ))
               (net (rename Dout_1 "Dout[1]") (joined
                (portRef O (instanceRef Dout_pad_1))
                (portRef (member dout 6))
               ))
    -          (net (rename Dout_c_2 "Dout_c[2]") (joined
    -           (portRef Q (instanceRef Dout_0io_2))
    -           (portRef I (instanceRef Dout_pad_2))
    -          ))
               (net (rename Dout_2 "Dout[2]") (joined
                (portRef O (instanceRef Dout_pad_2))
                (portRef (member dout 5))
               ))
    -          (net (rename Dout_c_3 "Dout_c[3]") (joined
    -           (portRef Q (instanceRef Dout_0io_3))
    -           (portRef I (instanceRef Dout_pad_3))
    -          ))
               (net (rename Dout_3 "Dout[3]") (joined
                (portRef O (instanceRef Dout_pad_3))
                (portRef (member dout 4))
               ))
    -          (net (rename Dout_c_4 "Dout_c[4]") (joined
    -           (portRef Q (instanceRef Dout_0io_4))
    -           (portRef I (instanceRef Dout_pad_4))
    -          ))
               (net (rename Dout_4 "Dout[4]") (joined
                (portRef O (instanceRef Dout_pad_4))
                (portRef (member dout 3))
               ))
    -          (net (rename Dout_c_5 "Dout_c[5]") (joined
    -           (portRef Q (instanceRef Dout_0io_5))
    -           (portRef I (instanceRef Dout_pad_5))
    -          ))
               (net (rename Dout_5 "Dout[5]") (joined
                (portRef O (instanceRef Dout_pad_5))
                (portRef (member dout 2))
               ))
    -          (net (rename Dout_c_6 "Dout_c[6]") (joined
    -           (portRef Q (instanceRef Dout_0io_6))
    -           (portRef I (instanceRef Dout_pad_6))
    -          ))
               (net (rename Dout_6 "Dout[6]") (joined
                (portRef O (instanceRef Dout_pad_6))
                (portRef (member dout 1))
               ))
    -          (net (rename Dout_c_7 "Dout_c[7]") (joined
    -           (portRef Q (instanceRef Dout_0io_7))
    -           (portRef I (instanceRef Dout_pad_7))
    -          ))
               (net (rename Dout_7 "Dout[7]") (joined
                (portRef O (instanceRef Dout_pad_7))
                (portRef (member dout 0))
               ))
               (net nDOE_c (joined
    -           (portRef Z (instanceRef nDOE_pad_RNO))
    +           (portRef nDOE_c (instanceRef ram2e_ufm))
                (portRef I (instanceRef nDOE_pad))
               ))
               (net nDOE (joined
    @@ -4312,49 +5584,49 @@
                (portRef O (instanceRef Vout_pad_7))
                (portRef (member vout 0))
               ))
    +          (net nVOE_c (joined
    +           (portRef Z (instanceRef nVOE_pad_RNO))
    +           (portRef I (instanceRef nVOE_pad))
    +          ))
               (net nVOE (joined
                (portRef O (instanceRef nVOE_pad))
                (portRef nVOE)
               ))
    -          (net CKE_c (joined
    -           (portRef Q (instanceRef CKE_0io))
    -           (portRef I (instanceRef CKE_pad))
    +          (net CKEout_c (joined
    +           (portRef Q (instanceRef CKEout_0io))
    +           (portRef I (instanceRef CKEout_pad))
               ))
    -          (net CKE (joined
    -           (portRef O (instanceRef CKE_pad))
    -           (portRef CKE)
    +          (net CKEout (joined
    +           (portRef O (instanceRef CKEout_pad))
    +           (portRef CKEout)
               ))
    -          (net nCS_c (joined
    -           (portRef Q (instanceRef nCS_0io))
    -           (portRef I (instanceRef nCS_pad))
    +          (net nCSout (joined
    +           (portRef O (instanceRef nCSout_pad))
    +           (portRef nCSout)
               ))
    -          (net nCS (joined
    -           (portRef O (instanceRef nCS_pad))
    -           (portRef nCS)
    +          (net nRASout_c (joined
    +           (portRef Q (instanceRef nRASout_0io))
    +           (portRef I (instanceRef nRASout_pad))
               ))
    -          (net nRAS_c (joined
    -           (portRef Q (instanceRef nRAS_0io))
    -           (portRef I (instanceRef nRAS_pad))
    +          (net nRASout (joined
    +           (portRef O (instanceRef nRASout_pad))
    +           (portRef nRASout)
               ))
    -          (net nRAS (joined
    -           (portRef O (instanceRef nRAS_pad))
    -           (portRef nRAS)
    +          (net nCASout_c (joined
    +           (portRef Q (instanceRef nCASout_0io))
    +           (portRef I (instanceRef nCASout_pad))
               ))
    -          (net nCAS_c (joined
    -           (portRef Q (instanceRef nCAS_0io))
    -           (portRef I (instanceRef nCAS_pad))
    +          (net nCASout (joined
    +           (portRef O (instanceRef nCASout_pad))
    +           (portRef nCASout)
               ))
    -          (net nCAS (joined
    -           (portRef O (instanceRef nCAS_pad))
    -           (portRef nCAS)
    +          (net nRWEout_c (joined
    +           (portRef Q (instanceRef nRWEout_0io))
    +           (portRef I (instanceRef nRWEout_pad))
               ))
    -          (net nRWE_c (joined
    -           (portRef Q (instanceRef nRWE_0io))
    -           (portRef I (instanceRef nRWE_pad))
    -          ))
    -          (net nRWE (joined
    -           (portRef O (instanceRef nRWE_pad))
    -           (portRef nRWE)
    +          (net nRWEout (joined
    +           (portRef O (instanceRef nRWEout_pad))
    +           (portRef nRWEout)
               ))
               (net (rename BA_c_0 "BA_c[0]") (joined
                (portRef Q (instanceRef BA_0io_0))
    @@ -4372,175 +5644,101 @@
                (portRef O (instanceRef BA_pad_1))
                (portRef (member ba 0))
               ))
    -          (net (rename RA_c_0 "RA_c[0]") (joined
    -           (portRef Q (instanceRef RA_0))
    -           (portRef A (instanceRef RWSel_2))
    -           (portRef I (instanceRef RA_pad_0))
    +          (net (rename RAout_c_0 "RAout_c[0]") (joined
    +           (portRef Q (instanceRef RAout_0io_0))
    +           (portRef I (instanceRef RAout_pad_0))
               ))
    -          (net (rename RA_0 "RA[0]") (joined
    -           (portRef O (instanceRef RA_pad_0))
    -           (portRef (member ra 11))
    +          (net (rename RAout_0 "RAout[0]") (joined
    +           (portRef O (instanceRef RAout_pad_0))
    +           (portRef (member raout 11))
               ))
    -          (net (rename RA_c_1 "RA_c[1]") (joined
    -           (portRef Q (instanceRef RA_0io_1))
    -           (portRef I (instanceRef RA_pad_1))
    +          (net (rename RAout_c_1 "RAout_c[1]") (joined
    +           (portRef Q (instanceRef RAout_0io_1))
    +           (portRef I (instanceRef RAout_pad_1))
               ))
    -          (net (rename RA_1 "RA[1]") (joined
    -           (portRef O (instanceRef RA_pad_1))
    -           (portRef (member ra 10))
    +          (net (rename RAout_1 "RAout[1]") (joined
    +           (portRef O (instanceRef RAout_pad_1))
    +           (portRef (member raout 10))
               ))
    -          (net (rename RA_c_2 "RA_c[2]") (joined
    -           (portRef Q (instanceRef RA_0io_2))
    -           (portRef I (instanceRef RA_pad_2))
    +          (net (rename RAout_c_2 "RAout_c[2]") (joined
    +           (portRef Q (instanceRef RAout_0io_2))
    +           (portRef I (instanceRef RAout_pad_2))
               ))
    -          (net (rename RA_2 "RA[2]") (joined
    -           (portRef O (instanceRef RA_pad_2))
    -           (portRef (member ra 9))
    +          (net (rename RAout_2 "RAout[2]") (joined
    +           (portRef O (instanceRef RAout_pad_2))
    +           (portRef (member raout 9))
               ))
    -          (net (rename RA_c_3 "RA_c[3]") (joined
    -           (portRef Q (instanceRef RA_3))
    -           (portRef B (instanceRef RWSel_2))
    -           (portRef I (instanceRef RA_pad_3))
    +          (net (rename RAout_c_3 "RAout_c[3]") (joined
    +           (portRef Q (instanceRef RAout_0io_3))
    +           (portRef I (instanceRef RAout_pad_3))
               ))
    -          (net (rename RA_3 "RA[3]") (joined
    -           (portRef O (instanceRef RA_pad_3))
    -           (portRef (member ra 8))
    +          (net (rename RAout_3 "RAout[3]") (joined
    +           (portRef O (instanceRef RAout_pad_3))
    +           (portRef (member raout 8))
               ))
    -          (net (rename RA_c_4 "RA_c[4]") (joined
    -           (portRef Q (instanceRef RA_0io_4))
    -           (portRef I (instanceRef RA_pad_4))
    +          (net (rename RAout_c_4 "RAout_c[4]") (joined
    +           (portRef Q (instanceRef RAout_0io_4))
    +           (portRef I (instanceRef RAout_pad_4))
               ))
    -          (net (rename RA_4 "RA[4]") (joined
    -           (portRef O (instanceRef RA_pad_4))
    -           (portRef (member ra 7))
    +          (net (rename RAout_4 "RAout[4]") (joined
    +           (portRef O (instanceRef RAout_pad_4))
    +           (portRef (member raout 7))
               ))
    -          (net (rename RA_c_5 "RA_c[5]") (joined
    -           (portRef Q (instanceRef RA_0io_5))
    -           (portRef I (instanceRef RA_pad_5))
    +          (net (rename RAout_c_5 "RAout_c[5]") (joined
    +           (portRef Q (instanceRef RAout_0io_5))
    +           (portRef I (instanceRef RAout_pad_5))
               ))
    -          (net (rename RA_5 "RA[5]") (joined
    -           (portRef O (instanceRef RA_pad_5))
    -           (portRef (member ra 6))
    +          (net (rename RAout_5 "RAout[5]") (joined
    +           (portRef O (instanceRef RAout_pad_5))
    +           (portRef (member raout 6))
               ))
    -          (net (rename RA_c_6 "RA_c[6]") (joined
    -           (portRef Q (instanceRef RA_0io_6))
    -           (portRef I (instanceRef RA_pad_6))
    +          (net (rename RAout_c_6 "RAout_c[6]") (joined
    +           (portRef Q (instanceRef RAout_0io_6))
    +           (portRef I (instanceRef RAout_pad_6))
               ))
    -          (net (rename RA_6 "RA[6]") (joined
    -           (portRef O (instanceRef RA_pad_6))
    -           (portRef (member ra 5))
    +          (net (rename RAout_6 "RAout[6]") (joined
    +           (portRef O (instanceRef RAout_pad_6))
    +           (portRef (member raout 5))
               ))
    -          (net (rename RA_c_7 "RA_c[7]") (joined
    -           (portRef Q (instanceRef RA_0io_7))
    -           (portRef I (instanceRef RA_pad_7))
    +          (net (rename RAout_c_7 "RAout_c[7]") (joined
    +           (portRef Q (instanceRef RAout_0io_7))
    +           (portRef I (instanceRef RAout_pad_7))
               ))
    -          (net (rename RA_7 "RA[7]") (joined
    -           (portRef O (instanceRef RA_pad_7))
    -           (portRef (member ra 4))
    +          (net (rename RAout_7 "RAout[7]") (joined
    +           (portRef O (instanceRef RAout_pad_7))
    +           (portRef (member raout 4))
               ))
    -          (net (rename RA_c_8 "RA_c[8]") (joined
    -           (portRef Q (instanceRef RA_0io_8))
    -           (portRef I (instanceRef RA_pad_8))
    +          (net (rename RAout_c_8 "RAout_c[8]") (joined
    +           (portRef Q (instanceRef RAout_0io_8))
    +           (portRef I (instanceRef RAout_pad_8))
               ))
    -          (net (rename RA_8 "RA[8]") (joined
    -           (portRef O (instanceRef RA_pad_8))
    -           (portRef (member ra 3))
    +          (net (rename RAout_8 "RAout[8]") (joined
    +           (portRef O (instanceRef RAout_pad_8))
    +           (portRef (member raout 3))
               ))
    -          (net (rename RA_c_9 "RA_c[9]") (joined
    -           (portRef Q (instanceRef RA_0io_9))
    -           (portRef I (instanceRef RA_pad_9))
    +          (net (rename RAout_c_9 "RAout_c[9]") (joined
    +           (portRef Q (instanceRef RAout_0io_9))
    +           (portRef I (instanceRef RAout_pad_9))
               ))
    -          (net (rename RA_9 "RA[9]") (joined
    -           (portRef O (instanceRef RA_pad_9))
    -           (portRef (member ra 2))
    +          (net (rename RAout_9 "RAout[9]") (joined
    +           (portRef O (instanceRef RAout_pad_9))
    +           (portRef (member raout 2))
               ))
    -          (net (rename RA_c_10 "RA_c[10]") (joined
    -           (portRef Q (instanceRef RA_0io_10))
    -           (portRef I (instanceRef RA_pad_10))
    +          (net (rename RAout_c_10 "RAout_c[10]") (joined
    +           (portRef Q (instanceRef RAout_0io_10))
    +           (portRef I (instanceRef RAout_pad_10))
               ))
    -          (net (rename RA_10 "RA[10]") (joined
    -           (portRef O (instanceRef RA_pad_10))
    -           (portRef (member ra 1))
    +          (net (rename RAout_10 "RAout[10]") (joined
    +           (portRef O (instanceRef RAout_pad_10))
    +           (portRef (member raout 1))
               ))
    -          (net (rename RA_c_11 "RA_c[11]") (joined
    -           (portRef Q (instanceRef RA_0io_11))
    -           (portRef I (instanceRef RA_pad_11))
    +          (net (rename RAout_c_11 "RAout_c[11]") (joined
    +           (portRef Q (instanceRef RAout_0io_11))
    +           (portRef I (instanceRef RAout_pad_11))
               ))
    -          (net (rename RA_11 "RA[11]") (joined
    -           (portRef O (instanceRef RA_pad_11))
    -           (portRef (member ra 0))
    -          ))
    -          (net (rename RD_in_0 "RD_in[0]") (joined
    -           (portRef O (instanceRef RD_pad_0))
    -           (portRef D (instanceRef Dout_0io_0))
    -           (portRef D (instanceRef Vout_0io_0))
    -          ))
    -          (net (rename RD_0 "RD[0]") (joined
    -           (portRef B (instanceRef RD_pad_0))
    -           (portRef (member rd 7))
    -          ))
    -          (net (rename RD_in_1 "RD_in[1]") (joined
    -           (portRef O (instanceRef RD_pad_1))
    -           (portRef D (instanceRef Dout_0io_1))
    -           (portRef D (instanceRef Vout_0io_1))
    -          ))
    -          (net (rename RD_1 "RD[1]") (joined
    -           (portRef B (instanceRef RD_pad_1))
    -           (portRef (member rd 6))
    -          ))
    -          (net (rename RD_in_2 "RD_in[2]") (joined
    -           (portRef O (instanceRef RD_pad_2))
    -           (portRef D (instanceRef Dout_0io_2))
    -           (portRef D (instanceRef Vout_0io_2))
    -          ))
    -          (net (rename RD_2 "RD[2]") (joined
    -           (portRef B (instanceRef RD_pad_2))
    -           (portRef (member rd 5))
    -          ))
    -          (net (rename RD_in_3 "RD_in[3]") (joined
    -           (portRef O (instanceRef RD_pad_3))
    -           (portRef D (instanceRef Dout_0io_3))
    -           (portRef D (instanceRef Vout_0io_3))
    -          ))
    -          (net (rename RD_3 "RD[3]") (joined
    -           (portRef B (instanceRef RD_pad_3))
    -           (portRef (member rd 4))
    -          ))
    -          (net (rename RD_in_4 "RD_in[4]") (joined
    -           (portRef O (instanceRef RD_pad_4))
    -           (portRef D (instanceRef Dout_0io_4))
    -           (portRef D (instanceRef Vout_0io_4))
    -          ))
    -          (net (rename RD_4 "RD[4]") (joined
    -           (portRef B (instanceRef RD_pad_4))
    -           (portRef (member rd 3))
    -          ))
    -          (net (rename RD_in_5 "RD_in[5]") (joined
    -           (portRef O (instanceRef RD_pad_5))
    -           (portRef D (instanceRef Dout_0io_5))
    -           (portRef D (instanceRef Vout_0io_5))
    -          ))
    -          (net (rename RD_5 "RD[5]") (joined
    -           (portRef B (instanceRef RD_pad_5))
    -           (portRef (member rd 2))
    -          ))
    -          (net (rename RD_in_6 "RD_in[6]") (joined
    -           (portRef O (instanceRef RD_pad_6))
    -           (portRef D (instanceRef Dout_0io_6))
    -           (portRef D (instanceRef Vout_0io_6))
    -          ))
    -          (net (rename RD_6 "RD[6]") (joined
    -           (portRef B (instanceRef RD_pad_6))
    -           (portRef (member rd 1))
    -          ))
    -          (net (rename RD_in_7 "RD_in[7]") (joined
    -           (portRef O (instanceRef RD_pad_7))
    -           (portRef D (instanceRef Dout_0io_7))
    -           (portRef D (instanceRef Vout_0io_7))
    -          ))
    -          (net (rename RD_7 "RD[7]") (joined
    -           (portRef B (instanceRef RD_pad_7))
    -           (portRef (member rd 0))
    +          (net (rename RAout_11 "RAout[11]") (joined
    +           (portRef O (instanceRef RAout_pad_11))
    +           (portRef (member raout 0))
               ))
               (net DQML_c (joined
                (portRef Q (instanceRef DQML_0io))
    @@ -4558,14 +5756,110 @@
                (portRef O (instanceRef DQMH_pad))
                (portRef DQMH)
               ))
    -          (net N_876_0 (joined
    +          (net (rename RD_in_0 "RD_in[0]") (joined
    +           (portRef O (instanceRef RD_pad_0))
    +           (portRef I (instanceRef Dout_pad_0))
    +           (portRef D (instanceRef Vout_0io_0))
    +          ))
    +          (net (rename RD_0 "RD[0]") (joined
    +           (portRef B (instanceRef RD_pad_0))
    +           (portRef (member rd 7))
    +          ))
    +          (net (rename RD_in_1 "RD_in[1]") (joined
    +           (portRef O (instanceRef RD_pad_1))
    +           (portRef I (instanceRef Dout_pad_1))
    +           (portRef D (instanceRef Vout_0io_1))
    +          ))
    +          (net (rename RD_1 "RD[1]") (joined
    +           (portRef B (instanceRef RD_pad_1))
    +           (portRef (member rd 6))
    +          ))
    +          (net (rename RD_in_2 "RD_in[2]") (joined
    +           (portRef O (instanceRef RD_pad_2))
    +           (portRef I (instanceRef Dout_pad_2))
    +           (portRef D (instanceRef Vout_0io_2))
    +          ))
    +          (net (rename RD_2 "RD[2]") (joined
    +           (portRef B (instanceRef RD_pad_2))
    +           (portRef (member rd 5))
    +          ))
    +          (net (rename RD_in_3 "RD_in[3]") (joined
    +           (portRef O (instanceRef RD_pad_3))
    +           (portRef I (instanceRef Dout_pad_3))
    +           (portRef D (instanceRef Vout_0io_3))
    +          ))
    +          (net (rename RD_3 "RD[3]") (joined
    +           (portRef B (instanceRef RD_pad_3))
    +           (portRef (member rd 4))
    +          ))
    +          (net (rename RD_in_4 "RD_in[4]") (joined
    +           (portRef O (instanceRef RD_pad_4))
    +           (portRef I (instanceRef Dout_pad_4))
    +           (portRef D (instanceRef Vout_0io_4))
    +          ))
    +          (net (rename RD_4 "RD[4]") (joined
    +           (portRef B (instanceRef RD_pad_4))
    +           (portRef (member rd 3))
    +          ))
    +          (net (rename RD_in_5 "RD_in[5]") (joined
    +           (portRef O (instanceRef RD_pad_5))
    +           (portRef I (instanceRef Dout_pad_5))
    +           (portRef D (instanceRef Vout_0io_5))
    +          ))
    +          (net (rename RD_5 "RD[5]") (joined
    +           (portRef B (instanceRef RD_pad_5))
    +           (portRef (member rd 2))
    +          ))
    +          (net (rename RD_in_6 "RD_in[6]") (joined
    +           (portRef O (instanceRef RD_pad_6))
    +           (portRef I (instanceRef Dout_pad_6))
    +           (portRef D (instanceRef Vout_0io_6))
    +          ))
    +          (net (rename RD_6 "RD[6]") (joined
    +           (portRef B (instanceRef RD_pad_6))
    +           (portRef (member rd 1))
    +          ))
    +          (net (rename RD_in_7 "RD_in[7]") (joined
    +           (portRef O (instanceRef RD_pad_7))
    +           (portRef I (instanceRef Dout_pad_7))
    +           (portRef D (instanceRef Vout_0io_7))
    +          ))
    +          (net (rename RD_7 "RD[7]") (joined
    +           (portRef B (instanceRef RD_pad_7))
    +           (portRef (member rd 0))
    +          ))
    +          (net N_1080_0 (joined
    +           (portRef Z (instanceRef DOEEN_RNO))
    +           (portRef CD (instanceRef DOEEN))
    +          ))
    +          (net N_1026_0 (joined
                (portRef Z (instanceRef Ready_RNO))
                (portRef D (instanceRef Ready))
               ))
    -          (net N_566_i (joined
    -           (portRef Z (instanceRef wb_reqc_1_RNIEO5C1))
    -           (portRef CD (instanceRef BA_0io_1))
    -           (portRef CD (instanceRef BA_0io_0))
    +          (net N_1078_0 (joined
    +           (portRef Z (instanceRef VOEEN_RNO))
    +           (portRef CD (instanceRef VOEEN))
    +          ))
    +          (net N_187_i (joined
    +           (portRef N_187_i_1z (instanceRef ram2e_ufm))
    +           (portRef SP (instanceRef CmdLEDGet))
    +           (portRef SP (instanceRef CmdLEDSet))
    +           (portRef SP (instanceRef CmdRWMaskSet))
    +           (portRef SP (instanceRef CmdSetRWBankFFLED))
    +           (portRef SP (instanceRef RWBank_7))
    +           (portRef SP (instanceRef RWBank_6))
    +           (portRef SP (instanceRef RWBank_5))
    +           (portRef SP (instanceRef RWBank_4))
    +           (portRef SP (instanceRef RWBank_3))
    +           (portRef SP (instanceRef RWBank_2))
    +           (portRef SP (instanceRef RWBank_1))
    +           (portRef SP (instanceRef RWBank_0))
    +          ))
    +          (net N_185_i (joined
    +           (portRef N_185_i (instanceRef ram2e_ufm))
    +           (portRef SP (instanceRef CmdTout_2))
    +           (portRef SP (instanceRef CmdTout_1))
    +           (portRef SP (instanceRef CmdTout_0))
               ))
               (net N_1 (joined
                (portRef CIN (instanceRef FS_cry_0_0))
    diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.jed b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.jed
    index 12342e4..73ece0b 100644
    --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.jed
    +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.jed
    @@ -2,7 +2,7 @@
     NOTE Diamond (64-bit) 3.12.1.454 JEDEC Compatible Fuse File.*
     NOTE Copyright (C), 1992-2010, Lattice Semiconductor Corporation.*
     NOTE All Rights Reserved.*
    -NOTE DATE CREATED:	Thu Sep 21 05:35:21 2023*
    +NOTE DATE CREATED:	Thu Dec 28 23:10:29 2023*
     NOTE DESIGN NAME:	RAM2E_LCMXO2_640HC_impl1.ncd*
     NOTE DEVICE NAME:	LCMXO2-640HC-4TQFP100*
     NOTE JEDEC FILE STATUS:	Final   Version 1.95*
    @@ -10,8 +10,6 @@ NOTE PIN ASSIGNMENTS*
     NOTE PINS RD[0] : 36 : inout*
     NOTE PINS LED : 35 : out*
     NOTE PINS C14M : 62 : in*
    -NOTE PINS DQMH : 49 : out*
    -NOTE PINS DQML : 48 : out*
     NOTE PINS RD[7] : 43 : inout*
     NOTE PINS RD[6] : 42 : inout*
     NOTE PINS RD[5] : 41 : inout*
    @@ -19,25 +17,27 @@ NOTE PINS RD[4] : 40 : inout*
     NOTE PINS RD[3] : 39 : inout*
     NOTE PINS RD[2] : 38 : inout*
     NOTE PINS RD[1] : 37 : inout*
    -NOTE PINS RA[11] : 59 : out*
    -NOTE PINS RA[10] : 64 : out*
    -NOTE PINS RA[9] : 63 : out*
    -NOTE PINS RA[8] : 65 : out*
    -NOTE PINS RA[7] : 67 : out*
    -NOTE PINS RA[6] : 69 : out*
    -NOTE PINS RA[5] : 71 : out*
    -NOTE PINS RA[4] : 75 : out*
    -NOTE PINS RA[3] : 74 : out*
    -NOTE PINS RA[2] : 70 : out*
    -NOTE PINS RA[1] : 68 : out*
    -NOTE PINS RA[0] : 66 : out*
    +NOTE PINS DQMH : 49 : out*
    +NOTE PINS DQML : 48 : out*
    +NOTE PINS RAout[11] : 59 : out*
    +NOTE PINS RAout[10] : 64 : out*
    +NOTE PINS RAout[9] : 63 : out*
    +NOTE PINS RAout[8] : 65 : out*
    +NOTE PINS RAout[7] : 67 : out*
    +NOTE PINS RAout[6] : 69 : out*
    +NOTE PINS RAout[5] : 71 : out*
    +NOTE PINS RAout[4] : 75 : out*
    +NOTE PINS RAout[3] : 74 : out*
    +NOTE PINS RAout[2] : 70 : out*
    +NOTE PINS RAout[1] : 68 : out*
    +NOTE PINS RAout[0] : 66 : out*
     NOTE PINS BA[1] : 60 : out*
     NOTE PINS BA[0] : 58 : out*
    -NOTE PINS nRWE : 51 : out*
    -NOTE PINS nCAS : 52 : out*
    -NOTE PINS nRAS : 54 : out*
    -NOTE PINS nCS : 57 : out*
    -NOTE PINS CKE : 53 : out*
    +NOTE PINS nRWEout : 51 : out*
    +NOTE PINS nCASout : 52 : out*
    +NOTE PINS nRASout : 54 : out*
    +NOTE PINS nCSout : 57 : out*
    +NOTE PINS CKEout : 53 : out*
     NOTE PINS nVOE : 10 : out*
     NOTE PINS Vout[7] : 12 : out*
     NOTE PINS Vout[6] : 14 : out*
    @@ -74,7 +74,6 @@ NOTE PINS Ain[1] : 2 : in*
     NOTE PINS Ain[0] : 3 : in*
     NOTE PINS nC07X : 34 : in*
     NOTE PINS nEN80 : 82 : in*
    -NOTE PINS nWE80 : 83 : in*
     NOTE PINS nWE : 29 : in*
     NOTE PINS PHI1 : 85 : in*
     QP100*
    @@ -82,421 +81,421 @@ QF171904*
     G0*
     F0*
     L000000
    -11111111111111111011110110110011111111111111111100111011000000000000000000000000000000100000000000000000000000000101000000110000
    -00010001110000000010100000000101010010001111111101000110000000000000000000000000101110001110000000000000110101110000000000000000
    +11111111111111111011110110110011111111111111111100111011000000000000000000000000000000100000000000000000000000000000011011000000
    +00001001001010000001000101010000010010001111111101000110000000000000000000000000101110001110000000000000110101110000000000000000
     00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    -00000000000000000000000000010001000000000000000000000000100010000000000000000000000001000100000000000000000000000000000000000000
    -00000000000000000000000000010010000000000000000000000000100100000000000000000000000001001000000000000000000000000000000000000000
    +00000000000000000000000000010001000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000
    +00000000000000000000000000010010000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000
     00000000000000000000000000000000000000000000000000000000000010001100000000000000000000000000000000000000000000000000000000000000
     00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    -00000000000000100110000000000000000000000000100011010011100010001000000000000000000000000000000000000000000010111100000000000000
    -00000000000000000000000000000000100001000000000100001000000100100001101000001010001100000000000000000000000000000000000000000000
    -00000000000000000000000000000000000000000000001000110001000011010110000000000000000000000101110001001000000000000000000000000000
    -00000000000000000000000000000000000000000000000000000000000000000000000000000010011100000100110000100110000000000000000000000000
    -00000000000000000000000000000000000000000000000010000010011100000000000000010001000000000000000000000010000011010000011001100011
    -00000011100000100110000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000100001
    -00100100000001001000010010100001010101001100000000000000000000000000000000000000000000000000000000000000000000000100101000000000
    -11000000110000001001110000000000010011101101000001000001001100000000010010100000000000000000000000000000000000000000000000000000
    -00000000000000000000000000000000000000000000000010001000000001000110101001000000000000000000000000000000000000000000000000000000
    -00000000000000000000000000000000010001000000100010000000000000000010000010000001100001100010000110011011010000010000000000000000
    -00000000000000000000000000000000000000000000000000000000000100001000000001010010000000000000000010011100100010000010000011000011
    -00000000000000000000000000000000000000000000000000000000000000000000000000101110000000000000000100111000001001110000000000010111
    -00001001000010010100100010000000000000000000000000000000000000000000000000000000000000000000000000000000000010011100000000000000
    -00000100010100111000100010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    -00000000000000000000010100110001110001001001110010010110001000000000000000000000000000000000000000000001000000000000000000000000
    -00001000010000000000000000000000000000000010010100000100101100000000010010000000000000000000000000000000000010011000000000001000
    -01000000000000000000000000100000000000000000000000000000000000011000100110000100011100110010011110001100010010000100000000000000
    -00000000000000000000100011000000000010000110011100000000000000000000000100111000000000000000000000010101000000000000000111001000
    -01001010000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000100001100001000000000
    -00010010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001000100000000000100000100
    -00000000000000100101000001001101001100010000100000000000000000000000000000000000000000000000000000000000000000000010000110011000
    -00000010011100000000000000000000001000111000110000000000000000000000000000000000000000000000000000000000000000000000000000000000
    -00000000000000000000000000000000000000000110011100011001110000001001110000000000000000000000000000000000000000000000000000000000
    -00100100000100001011100100000000010011100001000110000000000100001010011100000000000100101000011000010100000000000000000000000000
    -00000000000000000000000000000000000000000010011000001110000100100110000011100001000000000000000000100000100010000000000000001001
    -10001010011111001000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000001001100000000000
    -10000101001100000010011000000100011100001111000101010100100000000000000000000000000000000000000000000000000000000000000000000000
    -00000000000010000110000000000000000000001000000100011000001000110000000001001111001010000000000000000000000010001000000000000000
    -00000000000000000000000000000000000010001010011100010010100000000000000010010100100101001000111000111001001001010010101100000100
    -01101001010000000000001101001001101001000000000000000000000000000000000000000000000000000000000000000000100001100110000010000110
    -01100000110000011011010010010100011001100100010100110010010000100001110100100100001100100010110100100100000000000001000010000000
    -00110110000001001011001100000000000000000000000000000000000000000000000100010100110001001110010011000000100110000001001100100110
    -00010001101001000000000000010011100001110001000000000000000100110000000000100111010000000000000000000000000000000000000000000000
    -00000010110100100001001000000100100000100000000001000000010010000010000101001010001000011001100000010000100000100011000000000000
    -10010000000011000101000000000000000000000000000001001000000000000000000000000000000000001000011001010000000001100001001100100100
    -01110011000000000011001010100001000110100110000000000000000000000110011110000100001100110000000000000000000000000000000000000000
    -00000000000000000001000011001100100100001000011001100000010001101001100000100101100010000000001100100010100110000000000000000000
    -01100111100100111010010110011000000000000000000000000000100110000000000000000010101100000000010011010101000001001101000000000100
    -11000000000100100001001101001100100111001000110100111000010010100000000000000110000111100100001100111010101000000000000000000000
    -00000000000000000000000000000000010000000000100000000001000000000001000011001100001100011001000000100001000000000000000000000000
    -00000000000000000000000000000000000000000100111000000000100000001001101001110100001100111100101010101010101101000011001110010011
    -10000011101000000100100100011011100001000010001111000101011101101010100111001000111100010010100100100111010101010011001001101001
    -10000000000000000110011110010010000000100000000000000000000000000000000000010001000000000000100011100001010010010000000111000010
    -01000100000100111000000010000110010010010110000110011001001001111010001000010001000110010001010001111110000011011101000110100100
    -11010000011101000010000000010000000000000110011110000000000000000000000000000000000000000000000000000000100101001000010100001000
    -00010000100100001100111000011001000011010111100100101100011000110001100111000010100010000010000010011010000100001000001100011001
    -10100100100110000001000011001110001100001111000000000000000000000000000000000000000000000000000000010001010011010000010010110011
    -00001100011000000010001000000000001001010100001010011000100110001000111001111000100110000101010001110011110011010101001000110100
    -01100100100000000000000001100111100000000000000000000000000000001000101001110000000000000000000000000101001100001100101110110000
    -10010000110011100111010100001000100001001111000011110001000100001100001101001100111100000110000110011101000001001101100100101101
    -00110000111100010001000111001000001000111010011101000001010011100000000000011000011110000000000000000000000000000000010000010010
    -10000000000000100011000000000000000110000111010001100000000000001000011001010101011110000101010011010010010010110001101001100101
    -11010011101100010010100111100101110010001010010110000011010001001001110000000000000000000000000000000000000000000000010000100000
    -00000000010010100000000000100011001001001000011101000010000001000010010101010011101000010001000001010101001101001000010001110010
    -00010101101100001001100000100110100100100011101001101101100000110100101000000000000000000000000000000000000000000000001001110000
    -00000000000000000000010011000100011000000000000000100010011001001001001110011100000100110100000110000111100100000100011100110110
    -11000001001101100011000010001101000101000101001010000000000000000011110010000000000000010010000000000000000000000000000000000000
    -00000001001011010010110010000111010001001001110110101001011100001000111000010100010001001001110010001111000001101000001001110011
    -01000010111100001011100000010101011100101101011011100000011110000001101001001101000100111000011010100110011101000000010000100000
    -00110000111100000000000000000000000010001100000000100000100110111011000010001100000000000000000000000000100001010001110000110010
    -00100110010001110000000100110010001101001011100100100011110011001001000110010001010010010001110001100100011100101111000000110001
    -01001000001011010100101100101010001100000000000000000000001000011001110010010100000000000000100001001001100000000000000000000000
    -01000000010100110010010011010000110010001000110100110010010010001011100010000100110101001101010100000110010100101110001000010001
    -00101101111000001001000111000010101001011010010100101010001000011001111001000110010001000000100001100111000000000000000000000000
    -00001001010000000001001101001100000000000000000000000000000101010100011000100100010010100010010001001011000010010010110000001000
    -01001000111000110110100001001100001010100001110101000100001100000110101001100010000000100111000000110000111100000010110000110010
    -01000100101000000000000000000000000000000000000000000001001110010011100101001001000101001110101111100010011100001011010110010001
    -00100111010010101000101110000001010100111101000001000111000001001011001001011001001011000111001101101000100100110100110010001111
    -00100100111000000100000000000000000000000010011100001001110000000000000000000010010000000000000000000000010000010001100010010101
    -11010000001100111000010001100010011001000110001001100000110000110011001000011000101001101000010011001001001110000001100111001100
    -10001011000010011100000110100100000100000100011111010000000000000000000000000110010010001001110000000000000000000010010100000000
    -00000000000000100100000101111000100011110001001010011010000110010001000110100110001000101110001000001010010110010000110000001001
    -10010010001000010110100001010010011010001011000001101001001100110100011100100010100110010110010011100001001001110000000000010101
    -10000000001100011000000010010000000000000000000000000000000000000000000000000000000000010010001001010001001000100101100001001100
    -10010011010100011100011000001001100100010100011000010000010011100100110110000011011010000011001010000000000000000010011100000000
    -00000000000000000110101111100000000000000000000000000111111110010011010100010100001010001111111110110000100111101111111111111101
    -11100100101101111111111111111011010010011110111111111111110111100100101101111111111111111010100111101010101111111011011111001100
    -01010001111101110100001111110111010100001111011111101000100001110000101110100001100000011111110111110000111000010011111011111000
    -00000000000000000000000000000000000000000000000000000000000000000000000111100110010001110100011111111101001001110111111110011111
    -01100001101111011110011001111011110110000110111110011001111101100001101111011110011001111011110110000110111110011001111101000111
    -01000101000100100100110110010001010001111100011011111101110101110110010001011101111111000111111011111110111011110010001110111101
    -11000011001000001110101111100100011100111111000110000000000000000000000000000000000000000000111010000000000000000000000000000000
    -11110011001000111101111111010010110100011011111111100001101111011110011001111011110110000110111110011001111101100001101111011110
    -01100111101111011000011011111001100111111100011111101110110011110010001111011111010100011011100101011101100101110110110100101101
    -11111111111111101001001000000100010010100010010010000011111100100000000000000000000000000000000000000000000010100000000000000000
    -00000000000011111111001001101010001111001100011111111011111111100110100000111101111111111111101111000001011011111111111111110110
    -10000011110111111111111110111100000101101111111101000011111111011011001000011111111011101000011001111111101110010100001110010111
    -01100001111010100010100010000111000000111111001000010000011110111111000011100000011111111110100000000000000000000011110100000000
    -00000000000000000000001001110000000000000000000000000010110101000111011000100000111101001110010010001111110001001101101000100000
    -11110100111001001000111111000100110110100010000011110100010011100001000010000001000111111000100001000000011100001000011000000111
    -00100011100001000000000000000000000010001000000000000000000000000000000000000000000000000000000010001100000010000100000010010100
    -10010101001110000100000010101100100011011010000101100100010000000100100000000000010010110100100000001101000010100110000000000000
    -00000000000000000000000000000000000110000100100000000100101011001000101001101110001000000111000101010011001100011000001000011101
    -00110001000111001010001001110001100100010100110100011100100000000000000000001001000000000011011000000100110100110000000000000000
    -00000000000000000000000000001010110000001010010001000101001110010010001110001000100111000100110010010100100011001001011010010010
    -00101000110000011100010001000000001001100000000000000010011000000010011101010100000000000000000000000000000000000000000000000000
    -00000100001101011010001100000010000111001000010000000100111010001100000000010000000011000110010001001010000000000010010000000000
    -00001000100000000000000000000000000000000000000000000000001000010100000100100000000000010010100100101010000000000100100010010000
    -00000010001110010000001000100010011000000000000000000000011001111000000000000000000000000000000000000000000010001100000000000000
    -00000011001000101101000001010010000110000101010011011100000100001000111000100000000000000100110000100000100101000000000000001000
    -11000000011001111001001110100110100110000000000000000000010101100000000000000000100101000000000100010100010100111100101000000001
    -00011000010001110011010010100001001100010110000000000100000000001001100100010100100000000000000110000111100000000100001100111010
    -00001010100000000000000000000000000000000000000000000000000100010000000001000101100001010000011001000011000101001110001011010111
    -01000001000010000000000100101100011001100011001010011001001110001100000011000000000000000000000000000000000000000000000000000000
    -00000000000100100100010011001000101101100000001001011110000001110010001011010100011001000000010010010111110111100101010100110010
    -01101011011000101100000110011000010101000111000011000011001100001100001001100001100100100101000100001100011000000000000000000000
    -01100111100110001100000000100000000000000000000000001100100100000000000000000000000100001010000110001110010111000000111110011000
    -10000101001010101110001100000110110100100111100100010010001011001001101000010010001110001111000101001000011100001001100000100001
    -10010111100101000100101100011010011011011000001001011101000100100100001100011001110001100011011000000000001000000000001000110000
    -01100111100100111010001100000100001100111000000000000000000000000000000000000000101001001000100010011110011100110101001000010010
    -10110010001000100000010011010010100100111100000100100100000010001111100000100110111100010011010011000010110100010100111001001001
    -00110111000010010011100000000000101011110000111100001001010000000000000000000000001010110000000000000000000000010011110000010011
    -00100101100011110010101010010001001100010000010011010011110011000101011100100110100001011100010000110000001110001111110000101001
    -11101111100111011000101011000001000110010001111000001101110000100110110000010011101010101000001101000001010111000000000000000000
    -01100111100000000000000000000000000000000000000000000000000001001001100001010010111110010110011110010011001000011100101010100001
    -10001100110000100100111010000000010011110010111101000000101111011000100101110000101100110110110000010000110101010000110001110010
    -10010000110010001001111001110001001100000000000000110000111100000010111000000000000000000000000000100111000000000000000000000010
    -01011001101001110100100011100000111110000100010100110110000100110110100010011100000101001000011110010100110110000010010000101001
    -10010100010011111011000000111000100010010011100100000011100001101101000100100101100001011010001001100001001100100000000000000000
    -00100101000000000000000001010110000000100010000001000011001110000100001100111000000000001000001001100010001110010110001101101000
    -00100001001111100011000000100010010000100110000101011101000000100100001010100100100100110011100000011010011100011000100000111000
    -10001001001000111011110010011100100001100111000000001010010000000000000000000000000000000000000000000000000000000000010100101110
    -00100001001011000011001001010101100001100000110001100110000110011000100010100110010011000100101110000101000110011100011010100101
    -00000100011111000011000110010001001000011001111100001100100111100101011000101001100001010100100000000000000000000011110010000000
    -00000000010000000000000000001000100000000000000000000010011110100111010001001001111110001000000110000101010010111010000010100101
    -10000010011010000000010111111001001001000101110010101100001001010101010111001110010101010010010000100100101101010100010111001000
    -01010010001100101001100001000100010000000000011000001110000000000000000000000000000000100000000000000000000000000010000101000100
    -10010101011001011100001000011100001001010111100001110000010000010111010011110010011100010001001010101010110010010011010011000010
    -01111011011000000100000010011101011100000000000000000000000010000110011100000000001000010001010110000000001100010010000000000000
    -00000000010011010010010011110100110011101100001100100001100001100001000110000011010110010001100011000010100100110000100011011010
    -00010000101101110100000110000001110000100010000111100001101000111010111000100100011010001010011100000000000000000000000000000000
    -00000000000000000000000000000000000100110100011110000100100110000001110011010010111010001000110101100001001111000011110100000100
    -10010011110000010011001001101100001010100011010011001000001000001001001101000010010001011100001001000101110001000010110010001011
    -11000100010011101001100110001001011100001010000100111000000000110000011100101100000010011100000000000000000000010001100000000000
    -00000000000010000111010001001110001000000010101010011010000001000101100010100100101110110000010001101011110111100100011100100001
    -00111100101100100100010100010100110100101100101010000010000110100110110011001001000110100101010011101001100010001011001001001000
    -11000000000100010000000000100111001010110000000000010011100000000100000001001100000000000000000000000001000000010010101100110110
    -10011000100101011010000011100100010010010100111000001000100001001001011100100001100010100100001001100100101110000101000001110010
    -01100011010000011000011110100100100011000000000000000000000010011010100100000000001000010000000000000000000000000000000000000101
    -11110100110000101001100000001100001001110001100000010000110000101101000010001001011100001001100010100101100111000101010100001101
    -11100110100010111100100100100100100000101111000101100000000000000000000001011001001000100011001110011000000000000000000000010011
    -00110001010000000000000000000000000100001100000010000110011010001110101011010010101101110000000100111100001111001000000001000001
    -00011001100000011100101100001110110000010111011100100001001111010101101110000100111010010000011100100000100100011010001001100001
    -01010011100000000010011000100001000000000000000000000000000000000000001110111011000000000000000000001111101111110111111100111011
    -11110100000101000011000011111101110000011111011111111101111010100011111101110110000111111111100000101101111111000010011011010001
    -00111110111010000111001100111010000111110111111110111110111011111111110111010011110111111000011100001011111111110001101110111111
    -11011100110111111110011110011000000000000000000000000000000000000000000000000100100111001100100000000000000000000101000101000001
    -01000100011011111110111001000010001111111011101111001111100100110111011111111101101100001100111100110011110011001001011011011101
    -10011010001111101000001110101010100100111111000011111100001000111010001111111011100100111011111111110100001000111100001111101000
    -10010001110011000100011110000011111111011101011101010000100011000000000000000000000000000000000000000000000000111001100100000000
    -00000000000011000101011111011010001111001100001111011101010100011101111111000101011010100100101000010001011101010101101010101101
    -11011111011101101100110100011101000111111000010111011011001101111111011011000100111111101110010100011110010000110000011110100010
    -01001100001011111001100001111011111111110111010010111001100111011001000110000000000000000000000000000000000000000000001110111011
    -00000000000000000000110101010111011111000011110111010101101101000011111110111010000110011001110000110000111111100001110011000011
    -00110011111100110010000111111011101111001100100110111100111011101010101000011100110011101000011010111111010000111111011111111110
    -01011000011111111111101100001111011101111111111000101000111110111001111111011111111000010011000000000000000000000000000000000000
    -00000000000000000000000000000000001000000010011100100000001001110011000000111001000111000010000110000001110010010001110110000101
    -10101000111111000100001000000010011100100000001110000100001000000100011101100001001000000000000000000000000000000000000000000000
    -00000000000000000000000000000000000110000011001000110000100110000101011010010000010101100000000000001001100000000000000000000000
    -00000000000000000000100011000001000110000000000000000000000000000000000100110100101000100100100110001000011101000100000011000100
    -10100110100101000000000001001010100111000000000000000000000000000000100001100110000000000000001001010000010010100000000000000000
    -00000000000101001101001000001010010001001000000100110000001001100000001001110000010011110000100000000000000000000000000000000000
    -00000000000000000000000000000000000000000000000000000010001100010011010001100110010100101011000001011010100101000001000110000010
    -01000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001000010000100001100100000100000
    -00000001110010000000000000001000010000001001100000000000000000000000000000000000000000000000000010001100000000000100011000000000
    -00001000011101000001011000100100000000000100110000100100100010100101000010000100000100000100011100111000000000000000000000000000
    -00000000000000000000000000000000000000000000000000000000000110100010011100100000010000101010011000001001100001101000100000001001
    -10110100001010011000000000010001100100111000001001000000000000000000000000000000000000000000000000000000100101000000000001001010
    -00000000000010000000000111001100110000100001100101001010010000010110110000100000100000000001100001010110010010000000000000000000
    -00000000000000000000000010011000000000000000000000000000000000000011000010010110110000010011100110000100110010011010001001011000
    -10010001001000011000101000100011010011010011001011011000111110001001100010010001000100011101111100101100010100111110000100110000
    -11101001010000000000000000000000000000001001110000000100011000000000000000000000000000000000000100110100101010000010010010111010
    -01001101010010100100100100111001000010010111111001001000010010010101000010100101100100100011100001100000100011111011000111000000
    -11010011011010001010000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000011010010100100011
    -01001010000010001110110100011100001001011000010100101110100001010010110001010011100100010010011010010010011101001010111000100000
    -00000000000000000000000000000001000100000000000000000000000000000000000000000000001100010110100111001001111001111010101101000010
    -11100100000010001000001110010000010100110010111100010000110110000010001011001110011000001001110100000100011100100111000000110010
    -10100101011001001101101110001010011100000000000000000000000000010001000000000000000000000000000000000000000000000001000010010000
    -10100010100110100011010001011000000111010101100100001100001111010000010001000011010000101111001000001000111010101010101001101000
    -01100111011001000100100111000000000000000000000000000000000000010000000000000000000000000000000000000000000000000001001001000100
    -00111001001001110000100101110100100100111100100100101101001011010000100001000010001100100001010001110010011100100000100001100100
    -11010011100111101001001100001001100010100011000000000000000000000000000000000000000000000000000000000000000000000000000001100001
    -00110000100001010111110000001110000011010110110000110010010000110001010011111000001100010000010011010000111100001101001101000110
    -10011001100101001100110100111000110010001010011100000000000000000000000000000000000000000000000000000000000000000000000000000010
    -10100100111100000011000101101001111110010000100111010000110010010010011011000001001111100010100010000110011010001110011111010100
    -10100100110010010010011101001101010011001001100101010010010101100001100101010110011101010011100000000000000000000000000000000000
    -00000000000000000000000000000000000000000000100001000100001001100011000111000001011100001011010110100010100110010100110011000100
    -00010000111001001010001000110100110101101100101100010110011000101000011001010010010001001010000000000000000000000000000000000010
    -01110000000000000000000000000000000000000110011000100001110100000100100110100001010001010001100100000100011010001010010000010001
    -11001110001000010110000101110010101000001000101100010010100110001011001100011000110001001010011000000100010000000000000000000000
    -00000000000000000000000000000000000000000000000000000010001000010010110001111100010001001100001101000110100101001001001000101001
    -11110110000010010100100110100111100101100110100100001000101011000100100010001110000111100000010101011000000000000000000000000000
    -00000100010000000000000000000000000000000000000000010000100100101010000010000010010110000010011000110000101011100100001110000001
    -00100001010011000110001010011011001011001011001011001100010000010101011101100001001111001001010101000101000101110010010100111000
    -00100111000000000000000000000001000100000000000000000000000000000000000000000001000001001010100001100111100110001101010010111010
    -00011110000100110100010100110010110001100001010010011100100100010010110011101000101010011011010100111110010010000010011001100100
    -10000110010010000000000000000000000000000000000010011100000000000000000000000000000000000000000001001100010001101001010011001000
    -01000000010111000100010010011110011001011011001101001111110011110100001010011011000110001100100110100011000110100100111001000101
    -10110000000000000000000000000000000000000000000000000000000000000000000000000000000001100011001100111001001100110000100110001010
    -00110100010100000110100010010001110000100010010010010110010110010010001010010110101011000100101001101001110011001000011100001001
    -11100100001000000010101010101010011100000000000000000000000000000000000000000000000000000000000000000000000010010000111010000000
    -00100001111000100010000011010000101010010100000000011000010100110100010000100111000010010010001110001001000011001111001000100100
    -01001010000000000000000000000000000000000000000000000000000000000000000000000000000000101100111111001101010001111011111011010001
    -00111011001101111011111101000011000000110001110111011100001111101110111111111001001101111111110101000100001110000111110100000111
    -10010100111111001110100001010001111110000011011101111111111001000000000000000000000000000000000000000000000000000000000000000000
    -00000000000001001001011001100110001110000110011101110101101010101101110110100010011100000111000111010001111111100100100110000001
    -10000101110001000111111101110111010111110010011000011001111111100100011111010101010100010010011111110111100111111100011111101110
    -00100100101000111011100110001100000000000000000000000000000000000000000000000000000000000000000000000000000000101000111110111110
    -00101010101111010101100101101000111001000100101000100101111101111100001011100010001111111111001100001110101110110000110011001100
    -01100011111111011111101010000111111111010100001100001110111111011101110101111000000000000000000000000000000000000000000000000000
    -00000000000000000000000000000011110111111010000100000110101111101101000100111001100101000001101111111010100000011111101011000011
    -11111001111111011101001101111111110111110111010000111000011111111111001100110110111111111111111000101000111101110101010001010000
    -10100010011100000000000000000000000000000000000000000000000000000000000000000000000000001100000011100100100011101100001000000010
    -01100000100011111100010000101101010001111110001000010110100100111001000000010011100000000000000000000000000000000000000000000000
    -00000000000000000000000000000000000100011100110100101000000010000100101111000010000100000100011000000000000000000000010001110000
    -00010010000000000010011001000011001100000000000000010001100000000000000000000001011110000000000000000000000000011001000101001101
    -00100000000100101100011011001000101001100000000000000000000000110100001010100100100101000100111110110000000100110000000000000000
    -00000000000000000000000000000000001010011010010000000000000100100100110100111000010001001001001001010100100000000000000000000000
    -00100110100110001000001001110000001001110100000000000000000000100101000000000000000000000000000000000000001000011001001000110000
    -00001110000001110000011000000000000100000000000000000000000000011000010010001010111001100000000000000000000000000000000000000000
    -00000000000000000000100110100100000101010000100001010000100000010011010000000010001110011100000000000000000000010001100000000000
    -01100111000000000000000000000000001000111000110000010001100000000000000000000000000110000101110001100000000000000000100011000100
    -10001000110000000000000000000001101000010100110000110000111100000110011110010011100100110000000000000000000010010100000010010100
    -00000000000000000000000001101100000100110101001000001010010011010001000000001001111000010001101000001100110000000000000000000000
    -10011010011000011010011110000000010000110011101000000000000000000000000001001010000000000000000000000000000000010000000000000001
    -11000000100000001001000001011011000110000000000000000000000110000100100001101110000000000100001000001000101001110000000000000000
    -00000000000000000010001000000000100001000011100010001000001100001001110110001010000000000010011101011111000111100010010110100010
    -11010111001001100010100100000011010001001000110100011110001100111100000101101010010000001000010000000000000101111100011000000000
    -01100111000110001100000000100000000000000000000000000000000000000001001110000001000010100000011000000111011101001111001111101100
    -00001001110100011000100001010010001000011110000100100101001000001010011110000010011110010110010011010001100000000010001000000000
    -01000000100101000110000011011000011110000110011110010011100000000000000000101011000000000000000000000000000000100011100000100100
    -00000110000100110010011000110100010011100001001100010011010010001001101001011100101010110101000101001100010001101011110000000000
    -00000000000000011010011110000000000000000000000000000000000000000000000000000000000001000111001011011110110010001010001001010101
    -00111000000011011000000110100000110000010011100001000101110110000001001101000001101001001010011101011100010000000010011100000000
    -00000000011001111000000010001000000000000000000000000000000000101001000000000001001000000001000001000110000000100111001000001100
    -10101010011010001111101000001000101110001001110000011010100111101100001100100001100011110000100110000100000000000000000000100111
    -01001110001100001111000000101110000010000000000000000000000000000000000000000000000000010011100000100010110000100110010100010000
    -11001101000110111001000001100100100010001110011010001011011010001001101001011100001100100100001101100000111000010010001100000000
    -00000000000010001000011000001110001001010101001000000000000000000000000000000000000000000000001100100100010001000001001100000000
    -11010000100100011010010001101010101010011010010001101010001110100001001001100100001110100100110011000000000000000000001001000000
    -10000000001001110000000000000000000000000000000000000000000000000001000000000110001101011011000011001100001000011001100001001111
    -00010101101001100100001011000110010010110010001010011010001100000000000000000000000000000100010111100100000000000000100100000001
    -00100000000000000000000000000000000000101010001001010110001100011010100101000011110010000000010011000010001111000100100101011010
    -00101100010100001001100011000001101001001000111001110000000000000000000000010001010110000000000000000000000000000000000000000000
    -00000000000010011000000000111000100000010000110110010001101110100000011000100100100011001001001000001100001101101001100100000100
    -00011100010000000000000000000000000000000000000000000010010100000100101000000000000000000000000000000010010000000000110001001001
    -00111000000001101110000100110001000001101000100100110001110000100011000101001001011001100000000000000000000000000000000001000100
    -00000000000000000000000000000000000000000000000000011000010100100001010111011001001101100001001111000000110011010011001000000111
    -00010000001101001010100000000100110100001110100000111101000000110100001001101000010100101100010001011100000000000000000000000000
    -00101100000010010000000000000000000000000000000000000000000001000111000011100101010000001101000001100100000000000010000011001000
    -10100111100101000100001101001111100001000100011100111101111001001010000000000000000001000100110101100010000010110000000000100111
    -01000110010111100000000000000000000000000000000000000000001000001000000100101101001000100010100000100111000000010010101100100100
    -01000110011010001011100100100110010010010010100011011000011100100010100100100011000000000000000000001001000001001100000000000001
    -00111000000000000000000000000000000000000000000000100000100110010000100010010110010010011010011100001000000001000101001101001000
    -01000001000001000010101010011000010011100001100100110100110000000000000000000001001010000000000000001001101010110000000000000000
    -00000000000000000000000000000001101000100011100010000100110011001010011100101010101111100100100110101110000000101011101101100101
    -00100011101011100100100111100011100110001000001100000011001001000000000000000000001000100100111110000011000000000000000000000000
    -00000000000000000000000000000000000000111001100111100101100100000111111000001101111111110000111100110000101000001111001100111111
    -01111000011101010011111011111110011010100010100001010001010000101000101000010100011111110110000000000000000000000000100110000000
    -00000000000000000000000000000000000000000000000000000100100010100010001111000000110100100101000110001111110001100000011111011001
    -11111011110010010100010011001101111111111111011110010011110111111111001111100011111110111111010111111001001111111011101000100011
    -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100110110100001111101111001110010001101
    -00000111011001100000101101101110101000101100100011101000111110000001100010011110111111101100110001110000111010111010111011101111
    -11000000000000000000000000000000010110000000000000000000000000000000000000000000000000000001111001111110100010001110111111110101
    -01110111111001111110111110011111101110000100000111110111010000111011010011100111111100110111111011111111100000110011001111001111
    -11011001100111111101110100001110011001110100000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    -10000000100111000001001110010000000110100010000100000001001110010000001000111111000100000000000000000000000000001100000011101100
    -01001100100001000000000000000000000000000000000000000000000000000010001100010011010010010010000010010100000000000100110000000000
    -00000000000000000010010001001110000000000100111100110010000110011010010100000000000000000000000000000000000000000000000001001100
    -00100100000001001010000010010101000110110010001011010000011001000000000000000000000000000001100001010100110000000011011100000010
    -01100000000000000000000000000000000000000000000000000010100100010001010110010011000100110100111000000000100100000000000000000000
    -00000000000101011100110001000001001110000010011101101000001010011000000000000000000000000000000000000000000000000000001000101001
    -11000100000010010000000100110010011000100011000000000000000000000000000010000010001100010011000000100101100001001001100000000000
    -00000000000000000000000000010001100000000000110001100000000001000101000010010010100000000000000000000000000000000000001001000000
    -00000000011001111000010000110011010010000000000000000000000000000000000000001001100000000000010011000000000000000100001000011001
    -00010100110010011000100100100001000000010011000000000000000110000101010011000011000011110110011110010011100100110000000000000000
    -00000000000000000000000000000000000000000000110100001000100111000000010010000100100000100110000000010010000000000000000101011100
    -11000011010011110100001100111011010000011000101001110000000000000000000000000000000000000000000000000100101000000010110100100001
    -10001100010011100000000010110110000000000000000000000000001000000000110111000000010000100000000000000000000000100010000000000000
    -00000000000000000100111100110001000001101100000000111001000000101111000000010000101000101000011001011001100100000110000101010000
    -11001100000000000000000000000000000000000110011110011000110000000010000000000000000000000100111000000000000000000000000011001100
    -10100000100110010000000100010011100100000100011010000110011100000110000100100111001000001001001001111110000011110000001101001100
    -10111011001001001000110000010011000000000000100000100001000011000001101100001111011001111001001110000000000000000010101100000000
    -00000000000000000000000000100100000110100001001000110010010101001100000000000110010000110010000010001110000010000101001100000000
    -00000000000000000110100111100000000000000000000010101000000000000000000000000000000000000010011100100011001100011000010011100010
    -00000111000100000000001000000100010101010101111100011010001010010011001001001110100000100111000001000110000000000001000100000000
    -01100111100000000000000000000001001100000000000000000000000000000000000000100011010010000001001110100001100111101001000001000001
    -10000101001001001001010100000100001100011000000000000000000000000011000011110000001011100000000000000000000100011000000000000000
    -00000000000001001010000100111000110000100110000010010110010100010010000011000100100110101100010011010010001101100100011101000001
    -00101100001010011010011110100100000000000000000000000011000001110000000000100101100111000000000000000000000000000000000000000000
    -00000000000000100000100001000001110100000000010011010011010010010100100100110100100010011001000011010011001100000000000000000000
    -00000100000000000010011110010000000000000000000000000000000000000000000000000100100000110000011100000010101110010101000011001100
    -10111110111101000110100001100111010011110001110101011010000111010011000100100011000000000000000000000000000000000011111010000000
    -00000000000000000000000000000000000000000000000000000100011000010000101010010010010110001110011000001000001110001000100110010000
    -00100000000000000000000000000001001110011100001001011000000000001001110000000000000000000000000000000000000000000000000001000000
    -00100010010011100100001011001100100111001100001001011001101101100100110000100101000110001000101110001000000001000011001110000000
    -00010000110110001000100000000000000000010000100000000000000000000000000000000000000000000000000001100001001100010001000001000001
    -00110000010000110011000010001110001101001100000100000100110000000000000000000100100000000000000001000000000000000001011110000000
    -00000000000000000000000000000000000000001001110000101111010010010010010001001001111101010010000100000110001100010011010010001100
    -10010010011110010100000000000000000000000100010000000000001011000010001100000000000000000000000000000000000001000000000000010001
    -10010011000010000100100110000010011001000100000010001110001000100011100110000000000000000000000000010011010111000100000101100000
    -00100111001001010110110000000000000000000000000000000000000000100001000000100011001000001101100000100011000110100010010010111101
    -00000100100001000011001111001010000110000011000010000011000101011001011001111001000010000011001000100100011000000000000000000010
    -00111000100100110010011000100101001001100000000000000010101100000000000000000000000000000100101010101110000001110010000000110010
    -01100010011100010011010010000000001000010100000100100001110010000010000010100101001100000000000000000000100110001100011000000000
    -00110001100000100010011100010000000000000000000000000000000000000000000000010010100000101010010011111011000100001000000100111101
    -11110010000101101001001001001111100011000111000000100100100100111110010010011000010101011110000000000000000000010010010001001001
    -11110000011000000011100100000000000000000000000000000000000000000000000000000000111111011100000100101000001111111011010100010100
    -00000101000111010000001010001010000111111101010100001111111100110111111100000000000000000000000001001100000000000000000000000000
    -00000000000000000000000000000001101101111100011001001001000101101100110100011011010001000010100010001111111101111111111101000011
    -00111111100111100100110111111111110111011000111111111010111111110110010011111111001101111111100011000000000000000000000000000000
    -00000000000000000000000000000000000000000000000000000001010000000010100000101000100101011110110011000111101110111101000000100010
    -11011100000100100110011111111110111110111011111111000011001001011110011001101000000000000000000000000000000000000000000000000000
    -00000000000000000000000000000000000000011011001100000100000111101111100111101111100111111100110011010100011110111010000110111011
    -11100110011100001110001100111110111110101000111100110001010001111111011000000000000000000000000000000000001111010000000000000000
    -00000000000000000000000000000000000000000000000001000111011000010110100100111001100000011100100011100001000010000001000111011000
    -00000000000000000000000001100000011101100000000000000110000011000000000000000000000000000000000000110000011010000010100110100100
    -00000000101001100101000000000000000000001000000000000000000000000000000000001001000000000010011001000011001101001010000000000000
    -00000000000000000000001110000010000000000001001010100100000000000000000000011001100101001100110100000110010100000001100110010100
    -11001001011001100000000000000110010001010011000000000000001101100000001001100000000000000000000000000000000000001000110000000000
    -01001110000000000000000000001001001001100110001001010010000000001001001001100101111100100000000000000010010000001001110000111001
    -00000100000010011000000000000000000000000000000000000000000000000000000000000000000000010110110001100000000001011010010010000000
    -00000000000100110000000000000000000000000000000000000100011000000000000000000000000000000000000000000000000010010000000000000000
    -00000000000000000000000001100111100001000011001100000000000000000000000100001100110000000000000000000000000000000000000000000000
    -00000000000001100100010110100010000000000000000001100110010100110000110000111100011001111001001110010011000000000000000000000000
    -11010000010000000000000000000000000000000000000000000000000010010100000001001000000000000000000010010010011000011000011110000000
    -01000011001110100000000000000000000000000001000000000000000000000000000000000000000000000000000000010010110010000000000100000000
    -00000000000001011010000001000010000000000000000000000001001100000000000000000101001000000000001011110000001001001001110000000000
    -01000111000000001001000000000000000000000000000000000000011001111001100011000000001000000000000000000000000000010000000000000101
    -00100000000010001110011010100100000000000000000000010011110101100011000000110000000000000000000000011000000110000011000001101100
    -00111100011001111001001110000000000000000000000000000000000000010001001000110000000011100100001000100000000000000000000000000000
    -00000000000000000000000010011000001101001111000000000000000000000000000000100011000000000000001001000100111000000000100100011100
    -01000000000100101100100000000000000100001000100110000000000000000000000000010010000000000110011110000000000000000000000100010000
    -00000000000000000000000000010011100000000000000000000000000000000010011100000000000000000100111010011101000100110000111100101110
    -00000000000000000000000010011000000000000000000000000010001000000000100010000000000000000000000000000000000000000000001000100010
    -00001100000111000010010100000000000000000000000000000000000000000000000000000000001000000000000000000000000000000100100000000100
    -00110011100101011000000100100101111001010101000001100001110000000010011100000000000000000000100111000100011000000000000000000000
    -00000000000000010101100000000000101110000000000000000000000000000000000000000000001111001000000000000000000000000000000000000000
    -00100111010001000000000000000000000010000000000000000000000000000000000000000000100110000001000101011000001001000000000000000000
    -00000000000000000000010001000010011100000100011000000000000001001110010001100000000001000100000000000000000000000000000000000000
    -00000000000000000000000000000000000000000000000000100101101010000000000000100001000100101000000000010000000000000000000000000000
    -00000000000000000000000000000000000000000000000000000000000000000000000000000000001001010000000000000000000000000000000000000100
    -01100000000000000010110000101001000000000000000000000001000110000000000010010101000110000000100000010100101110001000000000000000
    -00000000100011100010011010110000000000001000110100110000000000000000101001010011010000010110000000100111001001100111001000000000
    -00000000000000001011100000000000100011110010010001001110000000000000000000000000000000000000000000001001010000000000000000010011
    -00100101010011000010010100100010010001100000000000000000000000000000001000101001011000100100011000000010001001000100100110000000
    -00000000000001010101001011001010000000000000100100000000000000000001110010000000001100011000000010011100000000000000000000010001
    -00000000000100111001001110000000001001010100100000000000000000000000001001110000000000010111100000000000000010001000110000011000
    -00111001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011000
    +00000000100110000001001100001000000000000000000000000000100110000110010010000000000000000000000000000000000000000000000000000000
    +00000000001000011001001001010000000000000010000100000001001000000000000100100000001001000011000010100010011000000000000000000000
    +00000000000000000000000000000000000000000000000000001000110001000011001011000110001001100100011000001000110010000100000000000000
    +00000001001001001110100011000000000000000000000000000000000000000000000000000000000000000000000000100110000100011000001001110000
    +01001110000000000010011000000100110000010010000000000000000000000000000000000000000000000000000000000000000000000000000010000000
    +00000000000000000000000000000000000010000011010000100000011010000010000000000000000000000000000000000000000000000000000000000000
    +01000010000000100100000000000000000000000000000000000000001001001101100000000100000100100100110000000000000000000000000000000000
    +00000000000000000000000000000000000000000000000000001011000000000000000000000000000010010100000000000000000000000000000000000000
    +00000000000000000000000000100111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    +00000000000000000000000000000000000100010000001000100000000000000000000100010000100000000100001100000000000000000000000000000000
    +00000000000000000000000000000000000000000000000000000000000000000000001001000000000001100000101100010000010010010011100000000000
    +00000000000000000000000000000000000000000000000000000000000000000000100111000001001110000000000000100000000110011000010000100000
    +00001001010000000000000000000000000000000000000000000000000000000000000000000000001010100000000000000000000011000001010000001001
    +10010000100000000000001001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000
    +00000000000010001001000010001000110000000000000000000000000000000000000000000000000000000000000000000001000010000000000000000000
    +01000101001110000100101001000010000000010001010000101101000001100100100010010011000000000000000000000000000000000000000000000000
    +00000000000000000000000010000000000000000001001010000011001100000001001110100011001000000000000001110010000100111100101100110100
    +00100001000000000000000000000000000000000000000000000000000000000000000001100000101000000100111000000010100100000100100000000000
    +00000010100101000101001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    +00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000101000000
    +00000010011000000100101000001001101001100010001100000000000000000000000000000000000000000000000000000000000101010000000000000001
    +00001100110000000000000010011100000000000100011000001000110000000000000000000000000000000000000000000000000000000000000000000000
    +00000000000000000000000010001000000000000000000000000010010011001110000000000000000000000000000000000000000000000000000000000000
    +00100000000000000000000000010011100000000000000010000110000100000010011000000100101010010101001111100001010000000000000000000000
    +00000000000000000000000000000000000000000000000000000000000001110000100000000000000000010000001001110000000000001000100010010110
    +10011111001000000000000000000000000000000000000000000000000000000000000000100010000000000001000010000000101010000000000000000001
    +01010000001000110000010001101110000010110100010010011100001000110000000000000000000000000000000000000000000000000000000000000000
    +00000010000000000100001000000000000000001000101110000100100111000000000000010001000100101000011110010000000000000000000000000000
    +00000000000000000000000000000000010001100000100101101001000000000000000000000000010010010110101001100000100101100101000000000000
    +00000101001100101000000000100110010000110011000000000000000000000000000000000000000000001001100010000110011001001000010000110011
    +00000000000110100011010011001000111000110000100011001000011010010100010001101000010110100101101001000000000000000000001001000000
    +00110110000000100110000000000000000000000000000000000000010110000010011000100111001001100100000000100110100010000100010100110010
    +00100001000110010010100100011110000010100000010010000100011010001100000000000000000010011010001100000000001001110100000000000000
    +00000000000000000000000000000000100000001000010010000000100001001000000010011100110010000100010011100100001010010100010000001001
    +01001000101000010010011100100000000000000000000000010010010011000000010001100000000000000000000000000000000000000000100010100100
    +00000010100100000000000000000000000000100010011100110000000001001010000000000000000000000110011110000100001100110000000000000000
    +00000000000000000000000001100101010110100000100001000011001100000100001100110000000000000000000101010000010001110011100011010000
    +10100110100011100100000000000000000000000110011110010011100110100000100000000000000000000000000000000000001011000010001110011001
    +00011111000100000100110000001001100111000010000100010100110100100000010011001000100001000110000100101100110000010001100000000000
    +00000000000110000111100001100000011100111010000000000000000000000000000000000000000000000101011010011011010000101001100100000000
    +00100000010000101001110110010000101001010001100101001000100111001000010000100000010011000000100101000000000000000000000001000010
    +00000000000000000000000000000000000000000010010101001100000000110000010100110101010000000100110010010011000001010110110000010000
    +10110100100111100000011010011000101100010010100001110010010111100101001001010110000101010100111100000101001110011000011001101000
    +00111001100101001100000000000000000000000110011110011000110000000010000000000000000000000000000000000000000001001111000101001000
    +00000010000000000100011100101100100100001111100001000010000010010001001000100000010111010010001110000001011001000011100010010011
    +00010011010011010010110001001100010010100111000010001000000000000000000001100111100100111000000000000000000000000000000000000000
    +00010100101001101000010000000001000000000000100000100011000111000101010110111000010100100000110010010110001111001000100100100011
    +00010100100010100100000100000100100100100100011100110010101000000000000000001100001111000000000000000000000000000000000000000000
    +00000001000110000000000000010011010001101000011000111001100010001000100110010001001000010111000100000110010000110000111010001101
    +01010010001101000101000001001101001000000010011101011000000000000000000001100111100000000000000000000000000000000000000000100111
    +00001000111100011001011000101001001110100101001000000000011000001010110001010010000111000010100000110100001010011101001001001010
    +01001011001100101010100001111000000110110011100001000001000001100011001111000000110001110011100000000000000000011000011110000000
    +00110011100000000000000000000000000000000000000001000100000100110000111001000000000100001000000001000001001011001010010010110010
    +01111000010110110000001001000110000001111100001000100011010010011001011001100100010101111011001100001001010011000110001001101001
    +10000000000000000000000000100101010000110011100000000000000000000000000000000000000000100010000010010010011100000000101001010011
    +11010010000000000001010111001101001000100001100010100111111010000000100001110001010000100100000000010011000000000000000000100111
    +00000000000000000000000000000000000000000010010110011010010111001010011001110010001000000000001001110100001100101001001011000101
    +10000101001001110010000111000110010010011010011101110000001100000001001011011000100001111001010000000000100100001000011001110000
    +00000000001111001000000000000000000000000000000000000000000000001100011000100111111000010011100000011001110100010011100001010100
    +10010001010001001110000101101001010101011010000100110000010100100100100010110010000111001001011001011001011100000101100110111000
    +01000101001010001111001100001110000010111001000000100011110000010110010010011100000000000000000001100001111000000000000000000000
    +00000000000000000000000000000001100010010000101100010011001000110111010000001001100100011000100011011011000000110010100111001000
    +10110010001001001101001000011010010101000001001100111110000011001000101011011000111000101100100010100100100101110110000010000010
    +01001000110000000000000000000000000010000110011100001000011001110010110000000000000000000000000000000011100100000100011100111110
    +01100000100100010001101001101000110111000000110001101001101010010010101110111011100100000010000010111010001011101000001000001001
    +11000110111000001100010101100000100011100010100110100100000110010010101001100000000000000000000000000000000000000000000000000000
    +00000000000000010000101001110100010010010001001011001100010010001001010001000110010000101000101000101100010010001001101000101110
    +00101011010010010000010000010001110011100110000101010010100000000000000000000110000111100011111000000011001001000100101000000000
    +00000000000000000000000000010000101010101000111000000001010101000100111000010110000001101010100100010010011110011110010110010001
    +00001010100110010111000101001100010010110010010010001110010110010111000100101100100010100101100111010010110010010011011000100101
    +00100100110010000011000010101000000100111000000000000000000000000010011100001001110000000000000000000000000000000000000000010001
    +10100101010011001000110101100010011000000100000100110110110000010000100100010110010000110011100010001110010101000011000011001011
    +10001100011001001000010000100111000110010011000000000000000000000000000000100101001000100000000000000000000000000000000000000000
    +01001011100001100100110100000111001000001000110100110000100011010000101001101001010110000110011100100000011001000011010111011111
    +10110000010010001100100001110010000111000100100100011100010011000001010100110100100100010100001110010101010000111000110100010101
    +00000000000000000000000000110001100000100110011100100000000000000000000000000000000000000000100101001000000000100100010010101000
    +00011100100000100101000010000100001000110001001110100010011100110001001100001000000000100101100101100111000000000000000000000000
    +00100111000000000000000000000000000000000000000000110101010111101000000101000111111111010110111101111111111111101111001001011011
    +11111111111111011010010011110111111111111110111100100101101111111111111111010100110100011111100000011111100001001101110101111111
    +11100001000011010001101110111010100011101010100101000111101111101111011110110000111110000110000011110011110110000001111111110101
    +00001110000001111111111010000000000000000000000000000000000000000000000000000000000000000000100010101011100111110011000011001100
    +11110011111011000011011110111100110011110111101100001101111100110011111011000011011110111100110011110111101100001101111100110011
    +11101000111101111111111010101010010101101100110100011101000110101010111001100001110011001101000100011110011111110100010010011011
    +00110101000100011101000111010101011001100000111100111111000011001000001111001000100100100000111001101010001100000000000000000000
    +00000000000000000000000000000000000000000000100010100000111000100010010111001111111101111111110000110111101111001100111101111011
    +00001101111100110011111011000011011110111100110011110111101100001101111100110011111110001111011111111101010101100101011000011111
    +00011110111001111001100111100110000110011001110100001101100111111111110101100001011111111110101100000111111100110011001100001000
    +00111101000010001001100100010100100100000111110111000000000000000000000000000000000000000000000000000000000000000000011000000111
    +11100110001100111111111111110011010000011110111111111111110111100000101101111111111111111011010000011110111111111111110111100000
    +10110111111110100001111110111111111000000111100110010011010100011111100001000011101100110111111110101111101111101000010100010100
    +00110111011111000011111000011100000011111101110101000001100100010100001110000001110100000000000000000000000000000011111100000000
    +00000000000000000000000000000000000001100000011100100100011101110010000011110100111001001000111111000100110110100010000011110100
    +11100100100011111100010011011010001000001111010001010001110111000101011010001111110001000010000000100111001000000100011111100010
    +00011000000111001000111000010000000000000000000000000000000000000000000000000000000000000000000000000000100110000010001000000010
    +11000000001001010001000110000000000010001110110000000000000001001000000000000010100100000010011001000011001100000000000000000000
    +00000000000000011010000101001100000001001010001100100110110100010100000000100101011001100101001100100100000001000110000001000110
    +00000000111010000000000000000000000000000011011000000010011000000000000000000000000000000000000000000010001100010001010011001000
    +11100011000000010001110011000100110001001101000101001010000001001010000000100110100110111000100010001000000000000000000100110100
    +11000000001001110100000000000000000000000000000000000000001000100000001000101110100000000101011100001010011010011000000100011010
    +10110001001000110001100110000010000000000000001000000000101101000100111000001001010000000000100100100100000000000000000000000000
    +00000000000000000000010001000000000010000000000101101000000100100010001100010110100000100000000110001100010000000000100001000000
    +10011000000000000000000000000000011001111000010000110011000000000000000000000000000000001000000011010000101001100000000000001000
    +11000000100010100110011001000101001101110001000000110011011011010001011000010001000011010010000010001010011110011000001000110000
    +00000000000000001000110001100111100100111001001100000000000000000000000000000000000000000011010000100101100000100000100111000000
    +01001110100100100011111000001000001101000010001000110100101100110000001000101000110000011101000000000000000000000000011000011110
    +01100000011100111010000010001010011100000000000000000000000000000000010001000000110001100100000010001100000010010100001000010000
    +10101110000000100110010110110001010011100000100111100011000000000000000000000000000000000100001000000000000000000000000000000000
    +00001000010011000010100111000100001101001010100000100001101001010000000100001100110100110010000010011111100001001001111000110100
    +01001001010001100001100100101001001100110000110011001001001001101001100011001001001000000011101000000100101101011100010100110111
    +00100000000000100000000000000000011001111001100011000000001000000000000000000000000000010000000000001100000011000100110010011011
    +00010100101001100010010011010000110001110001101100000011010000111010010011100010010010100101000111101001010100100001001011100100
    +00110111110111110000001110110000110010000110010101000111110100011001001101101100010110110000010001100100011110010001011000011100
    +00000000000000000010001101100111100100111000000000000010000110011100101100000000000000000000000010000100101101111000100010010110
    +01011001110100000100100010010000011001010101001011000110010010110110011001000011000100100011100010111000001011110000101001011101
    +01100000100010010010010010001000001000111100011000111001000010010000100000110101001010010000100011000001000010000000000110000111
    +10000000000000000000000000000000000000000000000100000001010110001000011100010010101101100111011001001000110100010010110111100100
    +00100011101001100010010000011100010001001100011100000010010011110001111101010001100010100100010110100011010011110010111000000111
    +00001011100001001010100100011100001100000101111101110010001110110001001111011100100101000000000000000000011001111000000000000000
    +00000000000000000000100111000100001100100001100000101001000011101001001011011100001001010110000110011100000101110000010100111101
    +11011000101000101101100000011101000001001011100101010110011000110000011100001001110100000100000110010010110010110000110000000010
    +01011000111000100011000101001000000011001100001100100010100111100011110100010010000100000000000000000001100001111000000000110011
    +10000000000000000000000000000000000100010000010010000100010000001100101001100010100111010011110001110001110001101101000001110000
    +01011101100000110010010011100100000110100010010000010001011100011001001011001001001010011000110001001110001000000110100000100010
    +01101101010010101001001110000010110010001011010000101001110000000000000000000000001001011001110000000000000000000000000000000000
    +01001101001010000100011100000100110100100100110100100111000100010010111000101001010111101010010100100100110000100001100100100110
    +01100000101110100000110011100010001001000011001111000000111000100001000110010010101100001010101010100100101001100000100000110000
    +01011110100000000000000000000000000000000010011110010000000000000000000000000000000000000110110000100100000000111010100010111111
    +10000010100010100111100101011000100101001100010001101011111110000101101010010101110100100100011011000010101100001100100111100000
    +11010110100100010100010100001110110000111011000001100110000001110001000001001010010110001001011001110000000101100000000000000000
    +00111100100000000000000000000000000000000000000001010011000101100001010000010101101000000001100001010100111011010000111001010100
    +01110000111101000001010100011010000011000011001100001010011000111101000111001000101100010110110100000110011111101000011001010110
    +10010101000010000011011010011010011001110000000000000000001100000111000000000000000000000000000000000000000001000001010100100001
    +10010000100000111010000010011010001001110000010010010101001101000001101100000010000101110010010101010000010001010011001001010110
    +00010111010111110001001100100011101000001110000001010001011000010101100011000010011101001101001101000001000011001101100100101010
    +00010000010001010011000000000000000000000000000000100001100111000000000000000000000000000000000010001110100110011111101000000100
    +11110001001001010100110001000001011001000111001000010011011100010001001001001000100101100001100110100011000100001100001000010010
    +01001010000101101100001000000000100110000000000000000000000000000000000000000000000000000000010001000011100101001010110010101010
    +01111000011001111001010101110100000110100101011000110101010011100011000101010100010110100010010000010011110010100110000101001110
    +01000000010001010000110011010011110001100111001000010100110011010010001011111100001101100101010101010001110010110010010101000000
    +01110001000010010000000000001100000111000011111000000010010001001100000000000000000000000000000000010101010001110000111000001011
    +00000100101101001110110000111001100001100011001100101100101111000010011000110011000001001100100101011000101101000101000111001110
    +10101011010000101010111001101110100000010010010001111100000011001100010000111100010100100011100101010010011001010100000101100110
    +10010100100101100111000000000000001001000000000000100111001000100100101000000000000000000000000000000000100100100010000010001011
    +00100001100111100100111000100001001000100100001000111001111000011001111100100001001100011000100111010101100110001001000010010101
    +00101110011001010011111100100001001011110000100010011001100001010100101001000101101001010000000000000000100011000000000000100101
    +00001010100000000000000000000000000000000001001011000110010000001011011001001000010100100001001000010011011001001000101111100001
    +01001000100011010000110100101001010100101100100010011001100010101100000111010001000110010000110110110001001001100001001100000000
    +00100001100111000000000001011101100011000001100100100000000000000000000000000000000000001000101000000010010000100001100110100000
    +00111000010111110001011110010000000100000110110000010101010001100100000100101110111000001001100110001010110010000000110010010001
    +00001100100010010011010000011110010000011000100101010011000011101000001100111000000000000000000100001000001001110000000000000000
    +00000000000000000000110101111100110110011000111111011111111111000101000111101100001011101110110111100001110001001111110011001001
    +10111111010110011101000001111110111011110111011111101100011111111011111110000100110110000010111101010100111100111111111100001001
    +10101000111010000001111001100101000011111100001110111111011111110011000010101000111111111001010001110001000100110000000000000000
    +00000000000000000000000000000000000000000000001000101110101010010010010100000101000111010100010010011111100111110011000100011111
    +11010101101010111100100011100010001000111100110001010010001010001100001100011110011001001001111111100111001000010001101100100010
    +11001100001110001001110110011011000011001101010101100111110011000011101010101110111011100011011110010011001001010001000011000111
    +10011111101001001111011011100111100011000000000000000000000000000000000000000000000000000000000000000010001011101011110100100111
    +11101100011111101111010001001011010001111001000011000101011111100000100100110001001111100010001000111101111111111011100001111001
    +11011111111001000100010010011000000111111110000110000110011000011111100100010100101100111111100011011000011001101110111010010111
    +11110111111001100101111010101111101110101100011001111101111101100000111110111111101111111011111001100010001100000000000000000000
    +00000000000000000000000000000000000000011101001010100001110110011000101000111011111101111111100111111100101100111111111110111010
    +00010111100110010011010100010100001111001110111101110101111001111111101010110000111001100111110010000100110110011001111101010100
    +01111000110100110110111011111101010000101000111001100001111011111111101110101100111111101000011011101111010000111111101111111111
    +00100110000000000000000000000000001111110000000000000000000000000000000000000001100000011100100010001000100000010001110111000100
    +00000111000010000101011001001110011000000111001000111000010000110001001110010010001110111000110001001110010010001110111000100000
    +00100111001000000010011100100100000000000000000000000000000000000000000000000000000000000000000000100110000001100000101000001011
    +00010000100010011100000000000100000000010000000000000000000000000000000000000000000000000000000000000000000000000001000000000100
    +00110011010001100000000100010000000000100111001100010010100110000000100101000110010001010011000000000000101101000000000000000000
    +00000000000010000110011000000000000000000000000000000100001000000100111100110000010010000010111010011000010000101001100010011100
    +10011000100110000100111000100100110100010000100111001000110100010100111000000000000000000000000000000000000000000000000000000000
    +00000000001001001001000000100110000101101010110000100111111000000110001110001010000100101011000100100000100010000110001100100100
    +00100010000100000100010100111000000000000000000000000000000000100010000000000000000000000000000100000000000000000010010100000110
    +00001010100100010011110000000101100001000111001010000010010100000000001000000000000000000000000000000000000000100000000000000000
    +10001100000000000000000000100001110100010010001000001000011000110001000010000010000110011001000100001011010000000000100100010010
    +10000100011100101000000000000110000001100000000000000000000000000000000000010010100000000000000000000001001111011100000100011000
    +00001001011000100000100111000100101000001001010000100110000001000111001100000000000000000000000000000000000000000000000000000000
    +00000000000100110000001000001000111001000000111010000000001001000000010001100000100001000000101100000101011100010111010000000001
    +00001000000000000000000000000000000000000000100110000000000000000000000000000000000010000111100100101001101101000010101001101110
    +01001000100101100110100101010011111000010100100110111100100010010110000110000110011010011100100111100010110011000010001011101000
    +00010000010101101011010010010101000011001110000010011100010000000000000000000000000000000000100011000000000000000000000000000100
    +00000000001110000001110001011010011000010010110111100100111100011110000001110010011001001000110000001100100011000100011100100110
    +10000101000001001011001001001001000011000101000001101100100100011011000000111100100110001110000011001010010100011010010100110000
    +11011110010000000000000000000000000000000000000000000000000000000000000000000000100101100001110000110010000100010011011100000010
    +10010000010011011000010100100101110000010111001000010100100000100011100100000101101100110100110110000001100010000110011111001000
    +10100110001000101001011001100000100101000000000000000000000000000000000000000000000000000000000000000001000010100010100000101010
    +10001100110001100110001111101101000011000000111100011010100000111000100000100000010010100010011010011010000110011001001111100100
    +01010110111010110101001111001100110000101110110011100011101110001000100100100001111010100011000100101100001010100011010010001000
    +01110010000110101010101000000000000000000000000000000000000000000000000000000000000000011000010101001111000000000011010001011100
    +10000111100010000001101001010100011111010000001101100000010000001010101001111001000110100010110101111001001011010011100100100100
    +01011000010101000011001100100001111001000010001101010010100001111000010010000010001110101000000000000000000000000000000000000000
    +00000000000000000000000000000000010001010101110001100010111010010001111011000111001010011010000101001001110000010001001100111010
    +10001001101000010010011010011001110000100001110110001100110100100110001100001100100010011011000101001100001000001010011000011001
    +00011100100000100100000000000000000000000000000000000000000000000000000000000000000000011101010000100000110010001010011000100011
    +01001011101001010100110100001110000110110110111010000011101100000100101000100010100110011101000000010011010011101010010010010110
    +01100010010101011111101100000000010000010011100000000000000000000000000000000000000000000000000000000000000000010010010011111011
    +00100110011000000011001001001100001010101111000100000010010001001001001011000101011001000001000110100100100100110000101010011010
    +10110100000011000110101100100101001100010100010110110011000001100010110110110010000001000110000000000000000000000000000000000000
    +00000000000000000000000000000000100010111000101010110010011000101100100101010011011010000101001011001001100100110100001101011010
    +00101000101000011001100010010110010010011010000110101000011000110011000111010100010010011000010101001000010001110001010101110000
    +00000101001000000000000000000000000000000000000000000000000000000000000000000000010010110000111001100000001011111110010010100100
    +10010010001101001111110000100110100011010000010010101000110110010010010000000110000101011011000000100011010000101000000000100101
    +11000001011110100100001110000001100010101100100111000100100000000000000000000000000000000000100110000000000000000000000000000000
    +00000011010000101001010100001001011001001101000100110001010011101000001100100101101001100111100111010000010000010111101000111010
    +10100101000100101010000001011101001110100111100101010011001010011011110110100001010000110010000000000000000000000000000000001001
    +00000000000000000000000000000000000000100011101011100111010011010100110000010101111100100001001111001111110101100010000010001110
    +00011010100110110100010010111100000011001100011001001101001001101100000001100101011110010101011000100101001010100110010000011010
    +00010101001111000000110011001000101110001000101010001100000101100011000000000000000000000000000000000000000000000000000000000000
    +10000010011000000010001010110110001011011000000001000000100010111000000111010000011100010100100000100101100000100110010010010001
    +11001111000111001000001101000101100101011101000001001001101000001100001110100001000100010100000010011000010001001001010000100000
    +10011100000000000000000000000000000000000000000000000000000000000000000000110010010100001011001101000101100111100011010000011000
    +11000100111110110001010010000110100000101110000001001101000010110110011001110100100100101100111010001011011010000010011010000011
    +10100100110010100110001100011101000001100100100100111001001111000011101100000000000000000000000000000000000000000000000000000000
    +00000000000000001000001101000001000000111000100011100001001001100110010010011001001001000100011100000011001011000011001110010010
    +01000001000110010010001001011000001000101001010110000010110001010000010011010010000100010100011000000100100000000000000000000000
    +00000000000000000000000000000000000000000110100010011100000011110010000100000100110010000010000111001100001001111001001000001000
    +00100001101001010101001100010100010001011001000010100011100000110010100110011110011110011000100000111000100101001100101100011010
    +00010100111001100100001100000111001000001001001011001000011010111101011000101010000000000000000000000000000000000000000000000000
    +00000000000000011101111111111110101011111101111010000111110111010111001111111110111111101101100110011101000011101110011001110011
    +00010011011110011001010000101000100111010100011100110011001101010001010000101000111100110001010001101111111010100011111100000111
    +10011001110111110011111011001010000101000000111000100000000000000000000000000000000000000000000000000000000000000000000101000101
    +00010010010100011011111111000111100100010110000110110010010100010100010001101111110111100100111111100100111100110011000100111001
    +00011111111010111111100001001001110111111101101100011111111111011110111111001001110111111110100110010001111011111111010001001001
    +10111011111101100001000111010001010001001001001101111001100100011111111101000011100010111000110000000000000000000000000000000000
    +00000000000000000000000000000001111111110101000100100101000101000001100010011011111110000011111111011100010100011101111111100110
    +11111000011101100110011110100010001101101111110110111110001111001100101101000100100100010101110001110100011000011100100101111000
    +10000110011111110100011001100001101111111111000100110001101111001111010100000110011111100011111011110000000000000000000000000000
    +00000000000000000000000000000001111111010111110111101111111110111001100001100100001111010000001111111000100111010100011001001100
    +10100011001100111001101010000010100011011011111001101100110011101010100001110011001111100110011001101100001011111110111001001011
    +00111011001111111111101111100001101000111001100110011011111101111101110111011110111111001110110011011100010100000000000000000000
    +00000000000000000000000000000000000000000000001100000011100100011100001000010000000100111001000000010011100100001001001110010000
    +00011100001000010101100100111001000000100011111100010000101011001001110010000000000000000000000000000000000000000000000000000000
    +00000000000000000010011000010001001001010000010011000010010000000001000000000010100100000000000010000100000000000000000000100101
    +10000000000000000010011001000011001100000000000000000000000000000000000001000111101011000000000100111011010000101001100010111001
    +01111100110000011001000101001101000010000000100010000010010100000000000000000000000000110000101010100100000000000100111110110000
    +00010011000000000000000000000000000000000000011100010001001100001001101001001000011001100100010100110100011100011010001100000010
    +01000000100001010011011100100000100000000010010000000100000000000000000000000001000111001100010000010011100000000010011101000000
    +00000000000000000000000000000000000000001010110101010010010001100010101001101000100100011100110000000000000110100000110111100000
    +00000000000000000000000000000110010000100001001100000000000001000110000000000000000000000000000000000001000000000010011010010000
    +00100101000010111110011011001001010000000000101001010011100100011000000000000000000000000000010010100000011001110000000000000000
    +00000000000000000000000100011010000111010000011000010000000000000000100010100011000000000011000011010000010110110010100000000000
    +00000000000000001100001010110100001000011000011110000000011001111001001110010011000000000000000000000000000000000110000010100001
    +01100000100011010011000001000110000010001100000000100001010011001000010001000000000000000000000000000000000100011110100000100011
    +01001111011000000111001110100000100000000000000000000000000000000000001001100010001100000100001100100000000000001110100000100011
    +00000000110100000110000110110000000100101000000000000000000000000000110010000100001010100100001000000000000001001100000000000000
    +00000000010011101000001101010010100011100101100111001000010000010101101011000010000000100101010010000100011000101101100000100110
    +01100001100001001000000000000000000000000000010101000000000000000110011100011000110000000010000000000001000110000000000000001000
    +00000000010011010001101001111001000011010000011101000100001001101001111100011000100111011000000111001000100011101111110111010010
    +00110011010010011110001101100111100011111011100001001101101000100001001101011001000101000011000101000000000000000000000000000010
    +00000000010111111000011110000000011001111001001110100001100111000000001011000000000000000000000000000001001101001010010000110001
    +11110001000100011000010010000110100100110000110010111010010011001110010010110110100101110110001100010011110001110010111000101001
    +01001010011111000110001001000000000000000000000000000000000011010011110000000000000000000000000000000000000000010010010001111000
    +10010110000101010011001001001000001000001000001001110110010001001101000101100000110000001100110011000100011101010000010011011100
    +00001110101001000100111110100001010101111010100010111000100001001001000010100111000000000000000000000000000000000110011110000000
    +00000000000000000000000000000000100001100111100100110010000111100000010001100011000010011000000100111100110100100010110110000101
    +00111010010011001001101011110100111010001010100111010001101001100010010101001001010100000000000000000000000000100111010011100011
    +00001111001100111000000000000000000000000000000000000000100100010111110001011000011000110001010101110010001111001001011000010110
    +01001101000101100100010100011100100100001100110100101101110100111011110100000010010111010010100111001010001000010110001010000010
    +01111000001001001001110000000000000000000000000100010000110000001100000000100101010100100000000000000000000000000000000001001010
    +10011001010100100101100010100010101001100110010001101001001010111010101010011011000100001101011100011001001011100100101100110100
    +11001000111000011001100000100010001000010000000000000000000000000100100000010000000000000010011110100100000000000000000000000000
    +00000000000100010110000010110001010011100100001100000110010001100111000100011000101101001000001000111100100100100100110110010001
    +00011000110000101101000001110000001111000011010011111100100001001110100011100001100110100111000000000000000000000000000000000000
    +01000101111001000010010000000000000000000000000000000000010011000110001100001100010100111010000010010100110100001010010001001011
    +10001001010011011010000011100100100111001000001000010001001111001010010011101001011011010101101110011101010011000100100101101110
    +00110001001000000000000000000000000000000001000101011100000010010100000000000000000000000000000000010010000100100100101101010100
    +10000111000010010001001000110100000100010010011010010100110001100110111110010110000100100001101101110111000010110111101000000100
    +10101100011000000100100100000010001100000000000000000000000000000000000000001000011001110000100001100111000000000000000000000000
    +00000100010100100100111010011001001010100111100110100110100101110001010001001011001000100000110010010011010010011001110010001011
    +00010010110011001001001001000100010010110011010000110010110010110000110010101001100000000000000000000000000000000000000001000100
    +00000000000000000000000000000000000000100000100000010000010011010001011001010101100000101110000001100010000111000011011001111001
    +10011001100001000011001101100000111001000001000100100111100110110100010001100100110100000110000001110101000101001110010001010011
    +10000000000000000000000000000000000000000011111000000010010101001100000000000000000000000000000000000111001000010011110010110010
    +10010000110011100010001000101010100101011000001011010101010101110000001101100111000001010001010101101001110011010000011100001100
    +11100000101001110010001110110100000000000000000000000000010001110001001101011000010111000010011101001000011001100101001110000000
    +00000000000000000000000001011111100100101010101100110001100111100010000100001100101100110001000110001001101000001001001000110100
    +00001001011000111101000001100101010001110000010010000011001100000100000000000000000001000100000000000010010110010000010011000000
    +00000100011000000000000000000000000000000000001100110001011000110001000001001000100010001000010100001110100010001001101001000010
    +00111001000100110110000010101100100001110000010110000110101111000101000100110010000101001110010000110000100000000000000000000000
    +00001001010000000000100110001001110000000000000000000000000000000110001001001000101000001001100001101000001111000100111101100000
    +10011010110110010011000010100100110100111110011000011000100101110000010111000010000110000010100100111001001111011111111000001111
    +00100001001111001100100011100100000000000000000010011100000000000100010010011110111100000000000000000000000000000000000000000001
    +01000111111001101100110000111111100101010001011100101000111001100110011011001111110100001111011101110100001110111111100010100001
    +11011111111101100110011110111111010000101000110000110001010001111101010010000110011110011001010110101000000000000000000000000000
    +00000000100110000000000000000000000000000000000000000001111101111101000100100111111000011001100111000111100110010101110100100111
    +11101101110010000100011011001100111001001100001011101000100011101000100010100100111110011011011000101000111111011111111111101110
    +01001111011111110000110010001111111111011111101110100100011111100000110000101010101110010011111110110000000000000000000000000000
    +00000000000000000000000000000000000000000000000111111110000111111100111101100110101000111111011101010001001111000111010001110111
    +01010010001010001000111100000011010010011111101101100100010011011111111010001001001110111011101000011000011111101000100100101110
    +01100111100111011100011011100010100101000000000000000000000000000000000000000000010111000000000000000000000000000000000000001111
    +11111011100010000101000101000011111111101111110000011101111111110010000100110111111110111101110111000011000001100001111100110110
    +00001111000011000011101101111001101110111110111111110000111101110111111111110011000010111110111111011011001101100110011100110110
    +00001011111011111011101110110000000000000000000000000000000000000000000000000000000000000000000000000011000000111001000100111001
    +00000001001110010101100111000010000101011001110000100001000000011100001000010000000100110001000000010011100000000000000000000000
    +00000000010000110111000001001100100001000000000000000000000000000000000000000000001100100010000010111100110001100000100000100001
    +10110000000000001001100000010000100000000000000000000000000010010101001110000000010011100110000101010011000000000000000000000000
    +00000000000001001010000000000000100110000010011100000000000010011100000000000000000000000000000001011111001100000000000000110111
    +00000010011000000000000000000000000000000000000010011100000101101001000010100110001001100010001010000110011100000000001000110000
    +00000000000000000000000000000100010100110001000001001110001001110111010000100000000000000000000000000000000000000000000000110100
    +00010000000000000000000010001000001000000000000000000000000000000001100100001100011000100110000000100101100001011000001010000000
    +00000000000000000000000000000001000110000100100000100010110001100001000110001001100000000000100001000000010010000000000000000000
    +00000000010010100000000001100111100001000111000010000000000000000000000000000000100001100111000101010010011000000001000011101000
    +00110011101010010000000100100100010000011001100101101000100100101000100100001001100000000000010011000000000000000101111100110000
    +11000011110000000110011110010011100110100000100000000000000000000000000000000000100110010110010011000001001010001001101001110100
    +10100010001110100100010011000001001001101000100100111000010011001100110000000000000001001001011000000000000000100010100110000110
    +10011110010000110011101110100001000000000000000000000000000000000001000000001001000000000100000000000110000010100001010111000000
    +00010101110000100001010110000000000000000000000000000011001000010000101010000000010000100000000000000100000000000000000001000101
    +00111000000001001010100110000010111010100100100001101101100001100110000000100111000100010100110000101101010011100000000000000000
    +00000000000000000000000001100111100110001100000000100000000000000000000000000001000000000000010110111010010101001011000110010000
    +00000111001000110010011110001001001001100001011001101000101001000110011001001101110000010000001001000100011000001100000011000000
    +00000100110000000000001000001100100010000010111111000011110000000110011110010011100000000000000000000000000000000000000101111100
    +00011000101001001100010000100010011110010110010110001110001010111000101100110010010010000110010100011010001001100010100000100101
    +11010000101001001011100000000000000000000000000000000000001101001111000000000000000000001000100000000000000000000000001001101010
    +01100111100111000001010101100100010010111110000000011001100001000001100100010000011001010011000010100111000011100100100000011000
    +00011101010000000000001000110000000000001100010100000000011001111000000000000000000000000000010100100100010100111000000110000110
    +01001111011010000000010010011000001010101100010011000000001000111001001100000101010011010011000100000100001100110000001000111001
    +00000000000000000000000000000000110000111100000000110011100000000000000000000000000000000000000000111001000010110110101010001100
    +00001001000100000110000010111000011000000101101111001001110010110000101001010110000110010010011000100100110110010010100110001100
    +00010100100000000000000000000000000000011000000110000000001001011001110000000000000000101100000000000000000000100101100010100101
    +11011000001001111001100000001010011001110100011010011100010010000100010110110000011000010101101010001101010100111100110000101010
    +10011001000100010011000000000000000000000000000000010000000000000001001000000000000000000000000000000000000010000110011110111111
    +00001010100100000000100110110110000001101000110111100001011011000000001000001101000001101010100011100111100011100101100110010101
    +01000011100000101101101110100100101001000100000100000100110010010100000000000000000000000000000000000000001111101000000010001000
    +00000000000000000000000000000100101100110010001110000000000001001011000011110000101001001110010001111001000101001110010010100100
    +11010011000011001001001010010010000010000101000110000000000000000000000000010010010011100111000010010111000000000000100011000100
    +11100000000000000000000000000000100001010001111001010011110000010110101001000000010001100100100100010111010000001000010111010000
    +11000111000100100011011000101011101110000001001011001001100001010101111000100110001110100000000000000001000011001110000000000010
    +01100110010010000000000000001100100010100111000000000000000000000000000000000010011010001010000011100001000000001001011000101101
    +00110010011110000111000100101010010100010010010000111001000010011110010110000001001000011010000101110000001100101001000110100110
    +00000000000000000000000001001000000000000100000000000000000000000000000000000000000011000100101000111100100010110011000010010010
    +01110000010000110000101100010110110110000010011001001000100001110100001010001110011101001001100001010011101100001000010100110110
    +00110000100110001000101000001001101101000010100111000000000000000000000000000010001000000010111000100101010011000000000000000000
    +00000000000000100101100001100000000000000010010001100010010010110110110001001101010010010001110010100010010110010101000001001111
    +00110000000111001000000000000000000000000000000110011000000010111000000000100111001100100100010001100000000000000000000000000000
    +01100110000010001110110011010010011110010000001001111000010100110100001100100111010000011010000100111010000010011000111001100110
    +00010000110010010001000101000110001110000110100100000001000010000000000000000000000000001000100100110010011000000000010001101001
    +00001000011001110000000000000000000000000001001101000001000100100010100001000101110100101100001001000101010100110100101001110000
    +10010000110000000010011101001110110001001100100001100001001110010000000000000000000000000000000000100110001100011000000000100100
    +00001001110000000000000000000000000000000010011011001000101101001010101010100111101010010000101010010101100001000001110100000010
    +01100100011110011000000100100111001001111001010010011101000001001101100000011010010000000001001100000000000000000000000000100100
    +10001001001111011110000000000000000000000000000000000000000000010000010011101111100110111011111100000111111010001111101111111111
    +01000101110110111111101010001101010101011111101111111111001010100011111110110110011001111111111000110111011111111111100111111101
    +11110011000001111011101000000000000000000000000000000000010011000000000000000000000000000000000000000001010000100100101110101000
    +10001100001111111100110000110011101010101010001100110000101110101000011010101011101110111100100101000111111100110001111000000111
    +01000100100101000111111110010001110100010100010010011111110110100011010100000000000000000000000000000000000000000000000000000000
    +00000000000000000000000111111111011111100000110011111111101111110000001000101110101110010010110100001000111101011111110001111101
    +10011001111111110010110011000011111011111001011100001111111111100110001010100010100010010111100111111111011101001111101111100101
    +00000000000000000000000000000000000000000000000000000000000000000000000000000001111111100110111000001100100110110011111100000111
    +00100000111011111011101000100110111001111111100001001101101011111110001111101100010011111111100100101000011000010111111111001011
    +01111111111111111001110011111111101110100101000000000000000000000000000000000000000000000000000000000000000000000000000000000010
    +00000100011101110000000001100000011100100100011101110001000000010011100100000010001110111000110000001110010010001110111000001000
    +11101110000000000000000000000000000000001000011011100000000000000000000000000000000000000000000000100000101001101001000000000010
    +10011001010000000000000000000010000000000000000000000000000000000000000000100110010000110011000000000000000000000000100010100101
    +00000000000100001000000000000010010000000000000000000000001001101001010000000000100101100110000000000000000000000011011000000010
    +01100000000000000000000000001001110000000000010001000000000000000000000000000000000000010101010010000000000001001001001000000000
    +00000000000100111000000000111001000001000000000000000000000000000000100111000000000001000000000000000000000000000000000000000100
    +01101001000000000000000000000000000000000000000000000000000000000000000000001100100100000000000000000000000000000000000100100000
    +00000000000000000000000000000000000000000000000001100111100001000011001100000000000000000000000100001100110000000000000100101000
    +00000001000011001010000000000000000000000000000000000100010000000000000000000000110000111100000001100111100100111001001100000000
    +00000000000000001001100000000000000100101000000000000000000100101000000000000000000000000000000000000000000000000110000111100000
    +01100000011100111010000000000000000000000000000110010010100000000000001001101001000000000000101110000000000000000000000000000000
    +00001000000000000000000000000000010000100000000000000000000000000000000000000010001101001100000000010001010001101001100010010100
    +00000000000000100100000000000000000000000000000000000000011001111001100011000000001000000000000000000000001000010000100000000000
    +00100101010010000000000010010101001000000010001000000000100110000010011110110000000000000000000000000000000000010000111000011110
    +01100111100100111000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000100
    +11000001100001111000000000000000000000000000000000010000100000000000001100100100011100100000000000011001100001110100100011100100
    +00001000110000000000010010000000000110000001100000000000000000000000000100100000000000000110011110000000000000000000000000000000
    +00000000000000000000000000000000000000000000000001001000000001001110000000000000000010011101001110100010011000011110000000110011
    +10000000000000000000000000000000000000000000000000000000010001100001000100000001000110000000000000000000000000000000000000100010
    +00100000000000000010010100000000000000000000000000000000000000000000000000000100101000010000000000000000010011100000000000010010
    +00000001000011001110010110000000010010010101000110000010100000000010011100000000000000000000000000000000000000000000000000000000
    +00000000010011000001000010011001100000001001010000000000000000000000000000000000001111001000000000000000000000000000000000000000
    +00000000000000000000000000100000000000000000000000000000000000000000000010001000010011010011000000100100000000000000000000000000
    +00000000000000000000000000000000000000000000000000000000000000000000000110011000000000000000000000000000000000000000000000000000
    +00000000000000000001100000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    +00000000000000000000000000000000001001110001100110000000000000000000000000000000000000000100111001000110001111100000010011000000
    +00000000000000000001000110000000000010011000000000010000001000110000000000000000000000000110101100000000000010001101001100000000
    +00000000001001100000000000100111010001111000110000111001100000000000000000000000000000000000011010001000111001100010011100000000
    +11011000000111011000000000000000010001100000000110010010000000000000100101000000000000000001000110000000001001010010001100000000
    +00000000000000010011000000000010001000000000000100010000000000000000000000000000000000001100000101000100100000000000000000100100
    +01110110000000000011000110000010010001001110000000000000000000001101000100000000000010011110001001110000100000000000100010010011
    +00000000000001001100000000000100111000000000001010100000000000000001010010010000100000000011100100000000000000000000000000000000
     00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    -00000000000000000000000000000000000000000010000100000000000000000000000100001000000000000000000000001000010000000000000000010000
    -10000000000000000000000010000100000000000000000000000110011001000000000000000000000000110011001000000000000000000000000110011001
    -00000000001111010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001
    -10000001110110000000000000000000000000000010000001001111100001001000000000000100101100001000000000010010110000100000000000000000
    -00111000010010001110011010010110000100000001110000100100011100110100101100001000000000000000000000000000000000000000000000000000
    -00010001111011000000000000000010011000000000000100110000000000000000000000011100000101010010010001000000001110000010111100100000
    -10001000000000000000000000000000000000000000000000000000000101101111000001010000000000000001001110000000000010011100000000000000
    -00000000001001011001100000000010000010000111001000011001100000000000000000000000000000000000000000000000001000000111000000110010
    -00000000000000000000000000000000000000000000001000100100110000000001000001000101001111001100000000000000000000000000000000000000
    -00000000000000000000010110111010000011001100000000110000011011000110001000001111111001111110000000000001100000110110001100010000
    -01111111001111110000000000000000000000001000101001001110011001111010000111101000000000000100010100100111001100111101000011110100
    -00000000000000000000000000000000000000000000000000000101101110100000110011000000001100000110110001100010000011111110011111100000
    -00000001100000110110001100010000011111110011111100000000000000000000000000111001100111111000011111100000000000001000001110011001
    -11111000011111100000000000000000000000000000000000000100111000000000000000001001110000000000000000000100001100011100011100111100
    -11101100000110110001100011000110011110000001100111000000000000011000001101100011000110001100111100000011001110000000000000000000
    -00000000000000000000000000000000000000000000000000000000000001000011000111000111001111001110110000011011000110001100011001111000
    -00011001110110000011011000110001100011001111000000110011100000000000001100000110110001100011000110011110000001100111000000000000
    -00000000000000000000000000000000000000000000000000000000000000000000000000000000010001100000000000000000000000000000000000000000
     00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    -00000000000000000000000000000000000000000000000000000000100000100011000000000000000000000000000000000000000000000000000000000000
    -00000000000000000000000000000000000000000000000000000000100001100011000000000000000000000000000000001001010000000000000001110001
    -01000000001100100110110111111111111111111111111111111111111111111111111111111111111111111100001010000000000000000000000000000000
    -00000000000000000000000000101010101001110010001000000000000000000000000001000000000000000000000000000000111111111111111111111111
    -11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111101011110000000000000000000000000
    +00100001000000000000000000000001000010000000000000000000000000000000000000000000000100001000000000000000000000001000010000000000
    +00000000000001100110010000000000000000000000001100110010000000000000000000000001000010000000000000111111000000000000000000000000
    +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000
    +01001111011010000000000001001011000010000000000100101100001000000000000000000000010010110000100000000001001011000010000000000000
    +00000000000000000000000000000000000100011110110000000000000000100110000000000001001100000000000000000000000010011000000000000100
    +11000000000000000000000000000000000000000000000000000000000101011111000001010000000000000001001110000000000010011100000000000000
    +00000000000000000000000000000000000000000000000000000000000000000000000000100000010011100000000000000000000000000000000000000000
    +00000000000000000000000000000000000000000000000000000000000000000000000000000101011110100000110011000000001011111100011000100000
    +11111110011111100000000000010111111000110001000001111111001111110000000000000000000000001000101001001100011001111010000111101000
    +00000000010001010010011000110011110100001111010000000000000000000000000000000000000000000000000000000101011110100000110011000000
    +00101111110001100010000011111110011111100000000000010111111000110001000001111111001111110000000000000000000000000011000110011111
    +10000111111000000000000001100011001111110000111111000000000000000000000000000000000000000000010011100000000000000000100111000000
    +00000000000000000001011111100011000110001100111100000011001110000000000000101111110001100011000110011110000001100111000000000000
    +00000000000000000000000000000000000000000000000000000000000000000000010000110001110001110011110011101011111100011000110001100111
    +10000001100111010111111000110001100011001111000000110011100000000000001011111100011000110001100111100000011001110000000000000000
    +00000000000000000000000000000000000000000000000000000000000000000000000001000110000000000000000000000000000000000000000000000000
    +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    +00000000000000000000000000000000000000000000000010000010001100000000000000000000000000000000000000000000000000000000000000000000
    +00000000000000000000000000000000000000000000000010000110001100000000000000000000000000000000100101000000000000000111000101000000
    +00010100101010001111111111111111111111111111111111111111111111111111111111111111110000101000000000000000000000000000000000000000
    +00000000000000000010101010100111001000100000000000000000000000000100000000000000000000000000000001011110000000000000000000000000
     11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
     *
     NOTE END CONFIG DATA*
    -L48896
    -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    -00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    +L52736
     00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
     00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
     00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
    @@ -1432,10 +1431,10 @@ L171648
     00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
     00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
     *
    -C91ED*
    +CA693*
     NOTE FEATURE_ROW*
     E0000000000000000000000000000000000000000000000000000000000000000
     0000010001100000*
     NOTE User Electronic Signature Data*
     UH00000000*
    -362B
    +4DD0
    diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.mrp b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.mrp
    index 9c54f98..fc44249 100644
    --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.mrp
    +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.mrp
    @@ -8,31 +8,31 @@ Design Information
     Command line:   map -a MachXO2 -p LCMXO2-640HC -t TQFP100 -s 4 -oc Commercial
          RAM2E_LCMXO2_640HC_impl1.ngd -o RAM2E_LCMXO2_640HC_impl1_map.ncd -pr
          RAM2E_LCMXO2_640HC_impl1.prf -mp RAM2E_LCMXO2_640HC_impl1.mrp -lpf //Mac/iC
    -     loud/Repos/RAM2E/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_synplify.
    -     lpf -lpf //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-LCMXO2.lpf -c 0 -gui -msgset
    -     //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/promote.xml 
    +     loud/Repos/ram2e/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_synplify.
    +     lpf -lpf //Mac/iCloud/Repos/ram2e/CPLD/RAM2E-LCMXO2.lpf -c 0 -gui -msgset
    +     //Mac/iCloud/Repos/ram2e/CPLD/LCMXO2-640HC/promote.xml 
     Target Vendor:  LATTICE
     Target Device:  LCMXO2-640HCTQFP100
     Target Performance:   4
     Mapper:  xo2c00,  version:  Diamond (64-bit) 3.12.1.454
    -Mapped on:  09/21/23  05:34:46
    +Mapped on:  12/28/23  23:09:57
     
     Design Summary
     --------------
     
    -   Number of registers:    111 out of   877 (13%)
    -      PFU registers:           75 out of   640 (12%)
    -      PIO registers:           36 out of   237 (15%)
    -   Number of SLICEs:       120 out of   320 (38%)
    -      SLICEs as Logic/ROM:    120 out of   320 (38%)
    +   Number of registers:    122 out of   877 (14%)
    +      PFU registers:           93 out of   640 (15%)
    +      PIO registers:           29 out of   237 (12%)
    +   Number of SLICEs:       148 out of   320 (46%)
    +      SLICEs as Logic/ROM:    148 out of   320 (46%)
           SLICEs as RAM:            0 out of   240 (0%)
           SLICEs as Carry:          9 out of   320 (3%)
    -   Number of LUT4s:        239 out of   640 (37%)
    -      Number used as logic LUTs:        221
    +   Number of LUT4s:        296 out of   640 (46%)
    +      Number used as logic LUTs:        278
           Number used as distributed RAM:     0
           Number used as ripple logic:       18
           Number used as shift registers:     0
    -   Number of PIO sites used: 70 + 4(JTAG) out of 79 (94%)
    +   Number of PIO sites used: 69 + 4(JTAG) out of 79 (92%)
        Number of block RAMs:  0 out of 2 (0%)
        Number of GSRs:        0 out of 1 (0%)
        EFB used :        Yes
    @@ -52,70 +52,90 @@ Design Summary
           2. Number of logic LUT4s does not include count of distributed RAM and
          ripple logic.
        Number of clocks:  1
    -     Net C14M_c: 84 loads, 68 rising, 16 falling (Driver: PIO C14M )
    -   Number of Clock Enables:  11
    -     Net wb_adr_0_sqmuxa_i: 1 loads, 1 LSLICEs
    -     Net N_576_i: 17 loads, 9 LSLICEs
    -     Net LEDEN13: 4 loads, 4 LSLICEs
    -     Net nCS61: 1 loads, 1 LSLICEs
    -     Net Vout3: 8 loads, 0 LSLICEs
    -     Net S_RNII9DO1_1[1]: 7 loads, 1 LSLICEs
    +     Net C14M_c: 89 loads, 73 rising, 16 falling (Driver: PIO C14M )
    +   Number of Clock Enables:  14
    +     Net N_225_i: 2 loads, 0 LSLICEs
    +     Net N_201_i: 2 loads, 0 LSLICEs
    +     Net N_187_i: 11 loads, 11 LSLICEs
    +     Net ram2e_ufm/wb_adr_0_sqmuxa_1_i: 1 loads, 1 LSLICEs
    +     Net RC12: 2 loads, 2 LSLICEs
    +     Net ram2e_ufm/CmdBitbangMXO2_RNINSM62: 8 loads, 8 LSLICEs
     
                                         Page 1
     
     
     
     
    -Design:  RAM2E                                         Date:  09/21/23  05:34:46
    +Design:  RAM2E                                         Date:  12/28/23  23:09:57
     
     Design Summary (cont)
     ---------------------
    -     Net un1_wb_cyc_stb_0_sqmuxa_1_i[0]: 1 loads, 1 LSLICEs
    -     Net un1_wb_adr_0_sqmuxa_2_i[0]: 8 loads, 8 LSLICEs
    -     Net N_104: 1 loads, 1 LSLICEs
    -     Net N_88: 4 loads, 4 LSLICEs
    -     Net un1_LEDEN_0_sqmuxa_1_i_0[0]: 1 loads, 1 LSLICEs
    -   Number of LSRs:  5
    +     Net ram2e_ufm/wb_we_RNO_0: 1 loads, 1 LSLICEs
    +     Net N_185_i: 2 loads, 2 LSLICEs
    +     Net ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0[0]: 1 loads, 1 LSLICEs
    +     Net ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0_0[0]: 4 loads, 4 LSLICEs
    +     Net ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0_0[0]: 1 loads, 1 LSLICEs
    +     Net N_126: 6 loads, 6 LSLICEs
    +     Net un9_VOEEN_0_a2_0_a3_0_a3: 1 loads, 1 LSLICEs
    +     Net Vout3: 8 loads, 0 LSLICEs
    +   Number of LSRs:  7
          Net un1_CS_0_sqmuxa_i: 2 loads, 2 LSLICEs
    +     Net BA_0_sqmuxa: 2 loads, 0 LSLICEs
          Net S[2]: 1 loads, 1 LSLICEs
    -     Net N_566_i: 2 loads, 0 LSLICEs
    -     Net wb_rst: 1 loads, 0 LSLICEs
    -     Net S_RNII9DO1[1]: 1 loads, 1 LSLICEs
    +     Net ram2e_ufm/wb_rst: 1 loads, 0 LSLICEs
    +     Net ram2e_ufm/wb_rst16_i: 1 loads, 1 LSLICEs
    +     Net N_1080_0: 1 loads, 1 LSLICEs
    +     Net N_1078_0: 1 loads, 1 LSLICEs
        Number of nets driven by tri-state buffers:  0
        Top 10 highest fanout non-clock nets:
    -     Net S[2]: 48 loads
    -     Net S[3]: 48 loads
    -     Net S[0]: 30 loads
    -     Net FS[12]: 22 loads
    -     Net FS[9]: 21 loads
    -     Net S[1]: 21 loads
    -     Net FS[10]: 20 loads
    -     Net FS[11]: 19 loads
    -     Net RWSel: 19 loads
    -     Net FS[13]: 17 loads
    +     Net S[2]: 50 loads
    +     Net S[3]: 45 loads
    +     Net S[0]: 37 loads
    +     Net S[1]: 34 loads
    +     Net FS[12]: 24 loads
    +     Net FS[11]: 22 loads
    +     Net FS[10]: 19 loads
    +     Net FS[13]: 19 loads
    +     Net FS[9]: 19 loads
    +     Net FS[8]: 18 loads
     
     
     
     
    -   Number of warnings:  1
    +   Number of warnings:  3
        Number of errors:    0
          
     
     Design Errors/Warnings
     ----------------------
     
    +WARNING - map: //Mac/iCloud/Repos/ram2e/CPLD/RAM2E-LCMXO2.lpf(93): Semantic
    +     error in "IOBUF PORT "nWE80" IO_TYPE=LVCMOS33 PULLMODE=NONE ;": Port
    +     "nWE80" does not exist in the design. This preference has been disabled.
     WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will
          temporarily disable certain features of the device including Power
          Controller, GSR, Hardened User SPI Port, Hardened Primary User I2C Port.
          Functionality is restored after the Flash Memory (UFM/Configuration)
          Interface is disabled using Disable Configuration Interface command 0x26
          followed by Bypass command 0xFF. 
    +WARNING - map: IO buffer missing for top level port nWE80...logic will be
    +     discarded.
     
     IO (PIO) Attributes
     -------------------
     
     +---------------------+-----------+-----------+------------+
     | IO Name             | Direction | Levelmode | IO         |
    +
    +                                    Page 2
    +
    +
    +
    +
    +Design:  RAM2E                                         Date:  12/28/23  23:09:57
    +
    +IO (PIO) Attributes (cont)
    +--------------------------
     |                     |           |  IO_TYPE  | Register   |
     +---------------------+-----------+-----------+------------+
     | RD[0]               | BIDIR     | LVCMOS33  |            |
    @@ -124,20 +144,6 @@ IO (PIO) Attributes
     +---------------------+-----------+-----------+------------+
     | C14M                | INPUT     | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
    -| DQMH                | OUTPUT    | LVCMOS33  | OUT        |
    -+---------------------+-----------+-----------+------------+
    -
    -                                    Page 2
    -
    -
    -
    -
    -Design:  RAM2E                                         Date:  09/21/23  05:34:46
    -
    -IO (PIO) Attributes (cont)
    ---------------------------
    -| DQML                | OUTPUT    | LVCMOS33  | OUT        |
    -+---------------------+-----------+-----------+------------+
     | RD[7]               | BIDIR     | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
     | RD[6]               | BIDIR     | LVCMOS33  |            |
    @@ -152,45 +158,39 @@ IO (PIO) Attributes (cont)
     +---------------------+-----------+-----------+------------+
     | RD[1]               | BIDIR     | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
    -| RA[11]              | OUTPUT    | LVCMOS33  | OUT        |
    +| DQMH                | OUTPUT    | LVCMOS33  | OUT        |
     +---------------------+-----------+-----------+------------+
    -| RA[10]              | OUTPUT    | LVCMOS33  | OUT        |
    +| DQML                | OUTPUT    | LVCMOS33  | OUT        |
     +---------------------+-----------+-----------+------------+
    -| RA[9]               | OUTPUT    | LVCMOS33  | OUT        |
    +| RAout[11]           | OUTPUT    | LVCMOS33  | OUT        |
     +---------------------+-----------+-----------+------------+
    -| RA[8]               | OUTPUT    | LVCMOS33  | OUT        |
    +| RAout[10]           | OUTPUT    | LVCMOS33  | OUT        |
     +---------------------+-----------+-----------+------------+
    -| RA[7]               | OUTPUT    | LVCMOS33  | OUT        |
    +| RAout[9]            | OUTPUT    | LVCMOS33  | OUT        |
     +---------------------+-----------+-----------+------------+
    -| RA[6]               | OUTPUT    | LVCMOS33  | OUT        |
    +| RAout[8]            | OUTPUT    | LVCMOS33  | OUT        |
     +---------------------+-----------+-----------+------------+
    -| RA[5]               | OUTPUT    | LVCMOS33  | OUT        |
    +| RAout[7]            | OUTPUT    | LVCMOS33  | OUT        |
     +---------------------+-----------+-----------+------------+
    -| RA[4]               | OUTPUT    | LVCMOS33  | OUT        |
    +| RAout[6]            | OUTPUT    | LVCMOS33  | OUT        |
     +---------------------+-----------+-----------+------------+
    -| RA[3]               | OUTPUT    | LVCMOS33  |            |
    +| RAout[5]            | OUTPUT    | LVCMOS33  | OUT        |
     +---------------------+-----------+-----------+------------+
    -| RA[2]               | OUTPUT    | LVCMOS33  | OUT        |
    +| RAout[4]            | OUTPUT    | LVCMOS33  | OUT        |
     +---------------------+-----------+-----------+------------+
    -| RA[1]               | OUTPUT    | LVCMOS33  | OUT        |
    +| RAout[3]            | OUTPUT    | LVCMOS33  | OUT        |
     +---------------------+-----------+-----------+------------+
    -| RA[0]               | OUTPUT    | LVCMOS33  |            |
    +| RAout[2]            | OUTPUT    | LVCMOS33  | OUT        |
    ++---------------------+-----------+-----------+------------+
    +| RAout[1]            | OUTPUT    | LVCMOS33  | OUT        |
    ++---------------------+-----------+-----------+------------+
    +| RAout[0]            | OUTPUT    | LVCMOS33  | OUT        |
     +---------------------+-----------+-----------+------------+
     | BA[1]               | OUTPUT    | LVCMOS33  | OUT        |
     +---------------------+-----------+-----------+------------+
     | BA[0]               | OUTPUT    | LVCMOS33  | OUT        |
     +---------------------+-----------+-----------+------------+
    -| nRWE                | OUTPUT    | LVCMOS33  | OUT        |
    -+---------------------+-----------+-----------+------------+
    -| nCAS                | OUTPUT    | LVCMOS33  | OUT        |
    -+---------------------+-----------+-----------+------------+
    -| nRAS                | OUTPUT    | LVCMOS33  | OUT        |
    -+---------------------+-----------+-----------+------------+
    -| nCS                 | OUTPUT    | LVCMOS33  | OUT        |
    -+---------------------+-----------+-----------+------------+
    -| CKE                 | OUTPUT    | LVCMOS33  | OUT        |
    -+---------------------+-----------+-----------+------------+
    -| nVOE                | OUTPUT    | LVCMOS33  |            |
    +| nRWEout             | OUTPUT    | LVCMOS33  | OUT        |
     +---------------------+-----------+-----------+------------+
     
                                         Page 3
    @@ -198,10 +198,20 @@ IO (PIO) Attributes (cont)
     
     
     
    -Design:  RAM2E                                         Date:  09/21/23  05:34:46
    +Design:  RAM2E                                         Date:  12/28/23  23:09:57
     
     IO (PIO) Attributes (cont)
     --------------------------
    +| nCASout             | OUTPUT    | LVCMOS33  | OUT        |
    ++---------------------+-----------+-----------+------------+
    +| nRASout             | OUTPUT    | LVCMOS33  | OUT        |
    ++---------------------+-----------+-----------+------------+
    +| nCSout              | OUTPUT    | LVCMOS33  |            |
    ++---------------------+-----------+-----------+------------+
    +| CKEout              | OUTPUT    | LVCMOS33  | OUT        |
    ++---------------------+-----------+-----------+------------+
    +| nVOE                | OUTPUT    | LVCMOS33  |            |
    ++---------------------+-----------+-----------+------------+
     | Vout[7]             | OUTPUT    | LVCMOS33  | OUT        |
     +---------------------+-----------+-----------+------------+
     | Vout[6]             | OUTPUT    | LVCMOS33  | OUT        |
    @@ -220,21 +230,21 @@ IO (PIO) Attributes (cont)
     +---------------------+-----------+-----------+------------+
     | nDOE                | OUTPUT    | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
    -| Dout[7]             | OUTPUT    | LVCMOS33  | OUT        |
    +| Dout[7]             | OUTPUT    | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
    -| Dout[6]             | OUTPUT    | LVCMOS33  | OUT        |
    +| Dout[6]             | OUTPUT    | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
    -| Dout[5]             | OUTPUT    | LVCMOS33  | OUT        |
    +| Dout[5]             | OUTPUT    | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
    -| Dout[4]             | OUTPUT    | LVCMOS33  | OUT        |
    +| Dout[4]             | OUTPUT    | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
    -| Dout[3]             | OUTPUT    | LVCMOS33  | OUT        |
    +| Dout[3]             | OUTPUT    | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
    -| Dout[2]             | OUTPUT    | LVCMOS33  | OUT        |
    +| Dout[2]             | OUTPUT    | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
    -| Dout[1]             | OUTPUT    | LVCMOS33  | OUT        |
    +| Dout[1]             | OUTPUT    | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
    -| Dout[0]             | OUTPUT    | LVCMOS33  | OUT        |
    +| Dout[0]             | OUTPUT    | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
     | Din[7]              | INPUT     | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
    @@ -248,6 +258,16 @@ IO (PIO) Attributes (cont)
     +---------------------+-----------+-----------+------------+
     | Din[2]              | INPUT     | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
    +
    +                                    Page 4
    +
    +
    +
    +
    +Design:  RAM2E                                         Date:  12/28/23  23:09:57
    +
    +IO (PIO) Attributes (cont)
    +--------------------------
     | Din[1]              | INPUT     | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
     | Din[0]              | INPUT     | LVCMOS33  |            |
    @@ -258,16 +278,6 @@ IO (PIO) Attributes (cont)
     +---------------------+-----------+-----------+------------+
     | Ain[5]              | INPUT     | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
    -
    -                                    Page 4
    -
    -
    -
    -
    -Design:  RAM2E                                         Date:  09/21/23  05:34:46
    -
    -IO (PIO) Attributes (cont)
    ---------------------------
     | Ain[4]              | INPUT     | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
     | Ain[3]              | INPUT     | LVCMOS33  |            |
    @@ -282,8 +292,6 @@ IO (PIO) Attributes (cont)
     +---------------------+-----------+-----------+------------+
     | nEN80               | INPUT     | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
    -| nWE80               | INPUT     | LVCMOS33  |            |
    -+---------------------+-----------+-----------+------------+
     | nWE                 | INPUT     | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
     | PHI1                | INPUT     | LVCMOS33  | IN         |
    @@ -293,77 +301,84 @@ Removed logic
     -------------
     
     Block GSR_INST undriven or does not drive anything - clipped.
    -Signal Dout_0_.CN was merged into signal C14M_c
    -Signal GND undriven or does not drive anything - clipped.
    -Signal ufmefb/VCC undriven or does not drive anything - clipped.
    -Signal ufmefb/GND undriven or does not drive anything - clipped.
    +Block ram2e_ufm/VCC undriven or does not drive anything - clipped.
    +Block ram2e_ufm/GND undriven or does not drive anything - clipped.
    +Signal CKEout.CN was merged into signal C14M_c
    +Signal ram2e_ufm/ufmefb/VCC undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/GND undriven or does not drive anything - clipped.
     Signal FS_s_0_S1[15] undriven or does not drive anything - clipped.
     Signal FS_s_0_COUT[15] undriven or does not drive anything - clipped.
    -Signal ufmefb/CFGSTDBY undriven or does not drive anything - clipped.
    -Signal ufmefb/CFGWAKE undriven or does not drive anything - clipped.
    -Signal ufmefb/wbc_ufm_irq undriven or does not drive anything - clipped.
    -Signal ufmefb/TCOC undriven or does not drive anything - clipped.
    -Signal ufmefb/TCINT undriven or does not drive anything - clipped.
    -Signal ufmefb/SPIIRQO undriven or does not drive anything - clipped.
    -Signal ufmefb/SPICSNEN undriven or does not drive anything - clipped.
    -Signal ufmefb/SPIMCSN7 undriven or does not drive anything - clipped.
    -Signal ufmefb/SPIMCSN6 undriven or does not drive anything - clipped.
    -Signal ufmefb/SPIMCSN5 undriven or does not drive anything - clipped.
    -Signal ufmefb/SPIMCSN4 undriven or does not drive anything - clipped.
    -Signal ufmefb/SPIMCSN3 undriven or does not drive anything - clipped.
    -Signal ufmefb/SPIMCSN2 undriven or does not drive anything - clipped.
    -Signal ufmefb/SPIMCSN1 undriven or does not drive anything - clipped.
    -Signal ufmefb/SPIMCSN0 undriven or does not drive anything - clipped.
    -Signal ufmefb/SPIMOSIEN undriven or does not drive anything - clipped.
    -Signal ufmefb/SPIMOSIO undriven or does not drive anything - clipped.
    -Signal ufmefb/SPIMISOEN undriven or does not drive anything - clipped.
    -Signal ufmefb/SPIMISOO undriven or does not drive anything - clipped.
    -Signal ufmefb/SPISCKEN undriven or does not drive anything - clipped.
    -Signal ufmefb/SPISCKO undriven or does not drive anything - clipped.
    -Signal ufmefb/I2C2IRQO undriven or does not drive anything - clipped.
    -Signal ufmefb/I2C1IRQO undriven or does not drive anything - clipped.
    -Signal ufmefb/I2C2SDAOEN undriven or does not drive anything - clipped.
    -Signal ufmefb/I2C2SDAO undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/CFGSTDBY undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/CFGWAKE undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/wbc_ufm_irq undriven or does not drive anything -
    +     clipped.
    +Signal ram2e_ufm/ufmefb/TCOC undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/TCINT undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/SPIIRQO undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/SPICSNEN undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/SPIMCSN7 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/SPIMCSN6 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/SPIMCSN5 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/SPIMCSN4 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/SPIMCSN3 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/SPIMCSN2 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/SPIMCSN1 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/SPIMCSN0 undriven or does not drive anything - clipped.
     
                                         Page 5
     
     
     
     
    -Design:  RAM2E                                         Date:  09/21/23  05:34:46
    +Design:  RAM2E                                         Date:  12/28/23  23:09:57
     
     Removed logic (cont)
     --------------------
    -Signal ufmefb/I2C2SCLOEN undriven or does not drive anything - clipped.
    -Signal ufmefb/I2C2SCLO undriven or does not drive anything - clipped.
    -Signal ufmefb/I2C1SDAOEN undriven or does not drive anything - clipped.
    -Signal ufmefb/I2C1SDAO undriven or does not drive anything - clipped.
    -Signal ufmefb/I2C1SCLOEN undriven or does not drive anything - clipped.
    -Signal ufmefb/I2C1SCLO undriven or does not drive anything - clipped.
    -Signal ufmefb/PLLDATO0 undriven or does not drive anything - clipped.
    -Signal ufmefb/PLLDATO1 undriven or does not drive anything - clipped.
    -Signal ufmefb/PLLDATO2 undriven or does not drive anything - clipped.
    -Signal ufmefb/PLLDATO3 undriven or does not drive anything - clipped.
    -Signal ufmefb/PLLDATO4 undriven or does not drive anything - clipped.
    -Signal ufmefb/PLLDATO5 undriven or does not drive anything - clipped.
    -Signal ufmefb/PLLDATO6 undriven or does not drive anything - clipped.
    -Signal ufmefb/PLLDATO7 undriven or does not drive anything - clipped.
    -Signal ufmefb/PLLADRO0 undriven or does not drive anything - clipped.
    -Signal ufmefb/PLLADRO1 undriven or does not drive anything - clipped.
    -Signal ufmefb/PLLADRO2 undriven or does not drive anything - clipped.
    -Signal ufmefb/PLLADRO3 undriven or does not drive anything - clipped.
    -Signal ufmefb/PLLADRO4 undriven or does not drive anything - clipped.
    -Signal ufmefb/PLLWEO undriven or does not drive anything - clipped.
    -Signal ufmefb/PLL1STBO undriven or does not drive anything - clipped.
    -Signal ufmefb/PLL0STBO undriven or does not drive anything - clipped.
    -Signal ufmefb/PLLRSTO undriven or does not drive anything - clipped.
    -Signal ufmefb/PLLCLKO undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/SPIMOSIEN undriven or does not drive anything - clipped.
    +     
    +Signal ram2e_ufm/ufmefb/SPIMOSIO undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/SPIMISOEN undriven or does not drive anything - clipped.
    +     
    +Signal ram2e_ufm/ufmefb/SPIMISOO undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/SPISCKEN undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/SPISCKO undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/I2C2IRQO undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/I2C1IRQO undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/I2C2SDAOEN undriven or does not drive anything -
    +     clipped.
    +Signal ram2e_ufm/ufmefb/I2C2SDAO undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/I2C2SCLOEN undriven or does not drive anything -
    +     clipped.
    +Signal ram2e_ufm/ufmefb/I2C2SCLO undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/I2C1SDAOEN undriven or does not drive anything -
    +     clipped.
    +Signal ram2e_ufm/ufmefb/I2C1SDAO undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/I2C1SCLOEN undriven or does not drive anything -
    +     clipped.
    +Signal ram2e_ufm/ufmefb/I2C1SCLO undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/PLLDATO0 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/PLLDATO1 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/PLLDATO2 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/PLLDATO3 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/PLLDATO4 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/PLLDATO5 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/PLLDATO6 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/PLLDATO7 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/PLLADRO0 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/PLLADRO1 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/PLLADRO2 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/PLLADRO3 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/PLLADRO4 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/PLLWEO undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/PLL1STBO undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/PLL0STBO undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/PLLRSTO undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/PLLCLKO undriven or does not drive anything - clipped.
     Signal FS_cry_0_S0[0] undriven or does not drive anything - clipped.
     Signal N_1 undriven or does not drive anything - clipped.
    -Block Vout_0_.CN was optimized away.
    -Block GND was optimized away.
    -Block ufmefb/VCC was optimized away.
    -Block ufmefb/GND was optimized away.
    +Block nCASout.CN was optimized away.
    +Block ram2e_ufm/ufmefb/VCC was optimized away.
    +Block ram2e_ufm/ufmefb/GND was optimized away.
     
          
     
    @@ -372,9 +387,19 @@ Embedded Functional Block Connection Summary
     
        Desired WISHBONE clock frequency: 14.4 MHz
        Clock source:                     C14M_c
    -   Reset source:                     wb_rst
    +   Reset source:                     ram2e_ufm/wb_rst
        Functions mode:
           I2C #1 (Primary) Function:     DISABLED
    +
    +                                    Page 6
    +
    +
    +
    +
    +Design:  RAM2E                                         Date:  12/28/23  23:09:57
    +
    +Embedded Functional Block Connection Summary (cont)
    +---------------------------------------------------
           I2C #2 (Secondary) Function:   DISABLED
           SPI Function:                  DISABLED
           Timer/Counter Function:        DISABLED
    @@ -390,16 +415,6 @@ Embedded Functional Block Connection Summary
           None
        Timer/Counter Function Summary:
        ------------------------------
    -
    -                                    Page 6
    -
    -
    -
    -
    -Design:  RAM2E                                         Date:  09/21/23  05:34:46
    -
    -Embedded Functional Block Connection Summary (cont)
    ----------------------------------------------------
           None
        UFM Function Summary:
        --------------------
    @@ -418,7 +433,7 @@ Embedded Functional Block Connection Summary (cont)
     ASIC Components
     ---------------
     
    -Instance Name: ufmefb/EFBInst_0
    +Instance Name: ram2e_ufm/ufmefb/EFBInst_0
              Type: EFB
     
     Run Time and Memory Usage
    @@ -426,7 +441,7 @@ Run Time and Memory Usage
     
        Total CPU Time: 0 secs  
        Total REAL Time: 0 secs  
    -   Peak Memory Usage: 58 MB
    +   Peak Memory Usage: 59 MB
             
     
     
    @@ -436,21 +451,6 @@ Run Time and Memory Usage
     
     
     
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
     
     
     
    diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.pad b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.pad
    index 938b7ba..9a74770 100644
    --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.pad
    +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.pad
    @@ -6,7 +6,7 @@ Performance Grade:      4
     PACKAGE:          TQFP100
     Package Status:                     Final          Version 1.39
     
    -Thu Sep 21 05:35:00 2023
    +Thu Dec 28 23:10:10 2023
     
     Pinout by Port Name:
     +-----------+----------+---------------+-------+-----------+-----------+------------------------------------------------------------+
    @@ -23,7 +23,7 @@ Pinout by Port Name:
     | BA[0]     | 58/1     | LVCMOS33_OUT  | PR6C  |           |           | DRIVE:4mA SLEW:SLOW                                        |
     | BA[1]     | 60/1     | LVCMOS33_OUT  | PR6A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
     | C14M      | 62/1     | LVCMOS33_IN   | PR5D  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
    -| CKE       | 53/1     | LVCMOS33_OUT  | PR7B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| CKEout    | 53/1     | LVCMOS33_OUT  | PR7B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
     | DQMH      | 49/2     | LVCMOS33_OUT  | PB14D |           |           | DRIVE:4mA SLEW:SLOW                                        |
     | DQML      | 48/2     | LVCMOS33_OUT  | PB14C |           |           | DRIVE:4mA SLEW:SLOW                                        |
     | Din[0]    | 96/0     | LVCMOS33_IN   | PT6D  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
    @@ -34,28 +34,28 @@ Pinout by Port Name:
     | Din[5]    | 99/0     | LVCMOS33_IN   | PT6A  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
     | Din[6]    | 88/0     | LVCMOS33_IN   | PT9A  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
     | Din[7]    | 87/0     | LVCMOS33_IN   | PT9B  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
    -| Dout[0]   | 30/2     | LVCMOS33_OUT  | PB4D  |           |           | DRIVE:4mA SLEW:FAST                                        |
    -| Dout[1]   | 27/2     | LVCMOS33_OUT  | PB4A  |           |           | DRIVE:4mA SLEW:FAST                                        |
    -| Dout[2]   | 25/3     | LVCMOS33_OUT  | PL7D  |           |           | DRIVE:4mA SLEW:FAST                                        |
    -| Dout[3]   | 28/2     | LVCMOS33_OUT  | PB4B  |           |           | DRIVE:4mA SLEW:FAST                                        |
    -| Dout[4]   | 24/3     | LVCMOS33_OUT  | PL7C  |           |           | DRIVE:4mA SLEW:FAST                                        |
    -| Dout[5]   | 21/3     | LVCMOS33_OUT  | PL7B  |           |           | DRIVE:4mA SLEW:FAST                                        |
    -| Dout[6]   | 31/2     | LVCMOS33_OUT  | PB6A  |           |           | DRIVE:4mA SLEW:FAST                                        |
    -| Dout[7]   | 32/2     | LVCMOS33_OUT  | PB6B  |           |           | DRIVE:4mA SLEW:FAST                                        |
    +| Dout[0]   | 30/2     | LVCMOS33_OUT  | PB4D  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| Dout[1]   | 27/2     | LVCMOS33_OUT  | PB4A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| Dout[2]   | 25/3     | LVCMOS33_OUT  | PL7D  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| Dout[3]   | 28/2     | LVCMOS33_OUT  | PB4B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| Dout[4]   | 24/3     | LVCMOS33_OUT  | PL7C  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| Dout[5]   | 21/3     | LVCMOS33_OUT  | PL7B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| Dout[6]   | 31/2     | LVCMOS33_OUT  | PB6A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| Dout[7]   | 32/2     | LVCMOS33_OUT  | PB6B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
     | LED       | 35/2     | LVCMOS33_OUT  | PB6D  |           |           | DRIVE:4mA SLEW:SLOW                                        |
     | PHI1      | 85/0     | LVCMOS33_IN   | PT9D  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
    -| RA[0]     | 66/1     | LVCMOS33_OUT  | PR3D  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    -| RA[10]    | 64/1     | LVCMOS33_OUT  | PR5B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    -| RA[11]    | 59/1     | LVCMOS33_OUT  | PR6B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    -| RA[1]     | 68/1     | LVCMOS33_OUT  | PR3B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    -| RA[2]     | 70/1     | LVCMOS33_OUT  | PR2D  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    -| RA[3]     | 74/1     | LVCMOS33_OUT  | PR2B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    -| RA[4]     | 75/1     | LVCMOS33_OUT  | PR2A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    -| RA[5]     | 71/1     | LVCMOS33_OUT  | PR2C  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    -| RA[6]     | 69/1     | LVCMOS33_OUT  | PR3A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    -| RA[7]     | 67/1     | LVCMOS33_OUT  | PR3C  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    -| RA[8]     | 65/1     | LVCMOS33_OUT  | PR5A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    -| RA[9]     | 63/1     | LVCMOS33_OUT  | PR5C  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RAout[0]  | 66/1     | LVCMOS33_OUT  | PR3D  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RAout[10] | 64/1     | LVCMOS33_OUT  | PR5B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RAout[11] | 59/1     | LVCMOS33_OUT  | PR6B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RAout[1]  | 68/1     | LVCMOS33_OUT  | PR3B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RAout[2]  | 70/1     | LVCMOS33_OUT  | PR2D  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RAout[3]  | 74/1     | LVCMOS33_OUT  | PR2B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RAout[4]  | 75/1     | LVCMOS33_OUT  | PR2A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RAout[5]  | 71/1     | LVCMOS33_OUT  | PR2C  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RAout[6]  | 69/1     | LVCMOS33_OUT  | PR3A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RAout[7]  | 67/1     | LVCMOS33_OUT  | PR3C  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RAout[8]  | 65/1     | LVCMOS33_OUT  | PR5A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RAout[9]  | 63/1     | LVCMOS33_OUT  | PR5C  |           |           | DRIVE:4mA SLEW:SLOW                                        |
     | RD[0]     | 36/2     | LVCMOS33_BIDI | PB10A |           |           | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW  |
     | RD[1]     | 37/2     | LVCMOS33_BIDI | PB10B |           |           | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW  |
     | RD[2]     | 38/2     | LVCMOS33_BIDI | PB10C |           |           | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW  |
    @@ -73,15 +73,14 @@ Pinout by Port Name:
     | Vout[6]   | 14/3     | LVCMOS33_OUT  | PL5C  |           |           | DRIVE:4mA SLEW:SLOW                                        |
     | Vout[7]   | 12/3     | LVCMOS33_OUT  | PL5A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
     | nC07X     | 34/2     | LVCMOS33_IN   | PB6C  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
    -| nCAS      | 52/1     | LVCMOS33_OUT  | PR7C  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    -| nCS       | 57/1     | LVCMOS33_OUT  | PR6D  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| nCASout   | 52/1     | LVCMOS33_OUT  | PR7C  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| nCSout    | 57/1     | LVCMOS33_OUT  | PR6D  |           |           | DRIVE:4mA SLEW:SLOW                                        |
     | nDOE      | 20/3     | LVCMOS33_OUT  | PL7A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
     | nEN80     | 82/0     | LVCMOS33_IN   | PT10C |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
    -| nRAS      | 54/1     | LVCMOS33_OUT  | PR7A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    -| nRWE      | 51/1     | LVCMOS33_OUT  | PR7D  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| nRASout   | 54/1     | LVCMOS33_OUT  | PR7A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| nRWEout   | 51/1     | LVCMOS33_OUT  | PR7D  |           |           | DRIVE:4mA SLEW:SLOW                                        |
     | nVOE      | 10/3     | LVCMOS33_OUT  | PL3D  |           |           | DRIVE:4mA SLEW:SLOW                                        |
     | nWE       | 29/2     | LVCMOS33_IN   | PB4C  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
    -| nWE80     | 83/0     | LVCMOS33_IN   | PT10B |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
     +-----------+----------+---------------+-------+-----------+-----------+------------------------------------------------------------+
     
     Vccio by Bank:
    @@ -144,32 +143,32 @@ Pinout by Pin Number:
     | 47/2     |     unused, PULL:DOWN |            |               | PB14B |               |           |           |
     | 48/2     | DQML                  | LOCATED    | LVCMOS33_OUT  | PB14C | SN            |           |           |
     | 49/2     | DQMH                  | LOCATED    | LVCMOS33_OUT  | PB14D | SI/SISPI      |           |           |
    -| 51/1     | nRWE                  | LOCATED    | LVCMOS33_OUT  | PR7D  |               |           |           |
    -| 52/1     | nCAS                  | LOCATED    | LVCMOS33_OUT  | PR7C  |               |           |           |
    -| 53/1     | CKE                   | LOCATED    | LVCMOS33_OUT  | PR7B  |               |           |           |
    -| 54/1     | nRAS                  | LOCATED    | LVCMOS33_OUT  | PR7A  |               |           |           |
    -| 57/1     | nCS                   | LOCATED    | LVCMOS33_OUT  | PR6D  |               |           |           |
    +| 51/1     | nRWEout               | LOCATED    | LVCMOS33_OUT  | PR7D  |               |           |           |
    +| 52/1     | nCASout               | LOCATED    | LVCMOS33_OUT  | PR7C  |               |           |           |
    +| 53/1     | CKEout                | LOCATED    | LVCMOS33_OUT  | PR7B  |               |           |           |
    +| 54/1     | nRASout               | LOCATED    | LVCMOS33_OUT  | PR7A  |               |           |           |
    +| 57/1     | nCSout                | LOCATED    | LVCMOS33_OUT  | PR6D  |               |           |           |
     | 58/1     | BA[0]                 | LOCATED    | LVCMOS33_OUT  | PR6C  |               |           |           |
    -| 59/1     | RA[11]                | LOCATED    | LVCMOS33_OUT  | PR6B  |               |           |           |
    +| 59/1     | RAout[11]             | LOCATED    | LVCMOS33_OUT  | PR6B  |               |           |           |
     | 60/1     | BA[1]                 | LOCATED    | LVCMOS33_OUT  | PR6A  |               |           |           |
     | 62/1     | C14M                  | LOCATED    | LVCMOS33_IN   | PR5D  | PCLKC1_0      |           |           |
    -| 63/1     | RA[9]                 | LOCATED    | LVCMOS33_OUT  | PR5C  | PCLKT1_0      |           |           |
    -| 64/1     | RA[10]                | LOCATED    | LVCMOS33_OUT  | PR5B  |               |           |           |
    -| 65/1     | RA[8]                 | LOCATED    | LVCMOS33_OUT  | PR5A  |               |           |           |
    -| 66/1     | RA[0]                 | LOCATED    | LVCMOS33_OUT  | PR3D  |               |           |           |
    -| 67/1     | RA[7]                 | LOCATED    | LVCMOS33_OUT  | PR3C  |               |           |           |
    -| 68/1     | RA[1]                 | LOCATED    | LVCMOS33_OUT  | PR3B  |               |           |           |
    -| 69/1     | RA[6]                 | LOCATED    | LVCMOS33_OUT  | PR3A  |               |           |           |
    -| 70/1     | RA[2]                 | LOCATED    | LVCMOS33_OUT  | PR2D  |               |           |           |
    -| 71/1     | RA[5]                 | LOCATED    | LVCMOS33_OUT  | PR2C  |               |           |           |
    -| 74/1     | RA[3]                 | LOCATED    | LVCMOS33_OUT  | PR2B  |               |           |           |
    -| 75/1     | RA[4]                 | LOCATED    | LVCMOS33_OUT  | PR2A  |               |           |           |
    +| 63/1     | RAout[9]              | LOCATED    | LVCMOS33_OUT  | PR5C  | PCLKT1_0      |           |           |
    +| 64/1     | RAout[10]             | LOCATED    | LVCMOS33_OUT  | PR5B  |               |           |           |
    +| 65/1     | RAout[8]              | LOCATED    | LVCMOS33_OUT  | PR5A  |               |           |           |
    +| 66/1     | RAout[0]              | LOCATED    | LVCMOS33_OUT  | PR3D  |               |           |           |
    +| 67/1     | RAout[7]              | LOCATED    | LVCMOS33_OUT  | PR3C  |               |           |           |
    +| 68/1     | RAout[1]              | LOCATED    | LVCMOS33_OUT  | PR3B  |               |           |           |
    +| 69/1     | RAout[6]              | LOCATED    | LVCMOS33_OUT  | PR3A  |               |           |           |
    +| 70/1     | RAout[2]              | LOCATED    | LVCMOS33_OUT  | PR2D  |               |           |           |
    +| 71/1     | RAout[5]              | LOCATED    | LVCMOS33_OUT  | PR2C  |               |           |           |
    +| 74/1     | RAout[3]              | LOCATED    | LVCMOS33_OUT  | PR2B  |               |           |           |
    +| 75/1     | RAout[4]              | LOCATED    | LVCMOS33_OUT  | PR2A  |               |           |           |
     | 76/0     |     unused, PULL:DOWN |            |               | PT11D | DONE          |           |           |
     | 77/0     |     unused, PULL:DOWN |            |               | PT11C | INITN         |           |           |
     | 78/0     | Ain[4]                | LOCATED    | LVCMOS33_IN   | PT11A |               |           |           |
     | 81/0     |     unused, PULL:DOWN |            |               | PT10D | PROGRAMN      |           |           |
     | 82/0     | nEN80                 | LOCATED    | LVCMOS33_IN   | PT10C | JTAGENB       |           |           |
    -| 83/0     | nWE80                 | LOCATED    | LVCMOS33_IN   | PT10B |               |           |           |
    +| 83/0     |     unused, PULL:DOWN |            |               | PT10B |               |           |           |
     | 84/0     | Ain[5]                | LOCATED    | LVCMOS33_IN   | PT10A |               |           |           |
     | 85/0     | PHI1                  | LOCATED    | LVCMOS33_IN   | PT9D  | SDA/PCLKC0_0  |           |           |
     | 86/0     | Ain[6]                | LOCATED    | LVCMOS33_IN   | PT9C  | SCL/PCLKT0_0  |           |           |
    @@ -213,7 +212,7 @@ LOCATE  COMP  "Ain[7]"  SITE  "8";
     LOCATE  COMP  "BA[0]"  SITE  "58";
     LOCATE  COMP  "BA[1]"  SITE  "60";
     LOCATE  COMP  "C14M"  SITE  "62";
    -LOCATE  COMP  "CKE"  SITE  "53";
    +LOCATE  COMP  "CKEout"  SITE  "53";
     LOCATE  COMP  "DQMH"  SITE  "49";
     LOCATE  COMP  "DQML"  SITE  "48";
     LOCATE  COMP  "Din[0]"  SITE  "96";
    @@ -234,18 +233,18 @@ LOCATE  COMP  "Dout[6]"  SITE  "31";
     LOCATE  COMP  "Dout[7]"  SITE  "32";
     LOCATE  COMP  "LED"  SITE  "35";
     LOCATE  COMP  "PHI1"  SITE  "85";
    -LOCATE  COMP  "RA[0]"  SITE  "66";
    -LOCATE  COMP  "RA[10]"  SITE  "64";
    -LOCATE  COMP  "RA[11]"  SITE  "59";
    -LOCATE  COMP  "RA[1]"  SITE  "68";
    -LOCATE  COMP  "RA[2]"  SITE  "70";
    -LOCATE  COMP  "RA[3]"  SITE  "74";
    -LOCATE  COMP  "RA[4]"  SITE  "75";
    -LOCATE  COMP  "RA[5]"  SITE  "71";
    -LOCATE  COMP  "RA[6]"  SITE  "69";
    -LOCATE  COMP  "RA[7]"  SITE  "67";
    -LOCATE  COMP  "RA[8]"  SITE  "65";
    -LOCATE  COMP  "RA[9]"  SITE  "63";
    +LOCATE  COMP  "RAout[0]"  SITE  "66";
    +LOCATE  COMP  "RAout[10]"  SITE  "64";
    +LOCATE  COMP  "RAout[11]"  SITE  "59";
    +LOCATE  COMP  "RAout[1]"  SITE  "68";
    +LOCATE  COMP  "RAout[2]"  SITE  "70";
    +LOCATE  COMP  "RAout[3]"  SITE  "74";
    +LOCATE  COMP  "RAout[4]"  SITE  "75";
    +LOCATE  COMP  "RAout[5]"  SITE  "71";
    +LOCATE  COMP  "RAout[6]"  SITE  "69";
    +LOCATE  COMP  "RAout[7]"  SITE  "67";
    +LOCATE  COMP  "RAout[8]"  SITE  "65";
    +LOCATE  COMP  "RAout[9]"  SITE  "63";
     LOCATE  COMP  "RD[0]"  SITE  "36";
     LOCATE  COMP  "RD[1]"  SITE  "37";
     LOCATE  COMP  "RD[2]"  SITE  "38";
    @@ -263,15 +262,14 @@ LOCATE  COMP  "Vout[5]"  SITE  "16";
     LOCATE  COMP  "Vout[6]"  SITE  "14";
     LOCATE  COMP  "Vout[7]"  SITE  "12";
     LOCATE  COMP  "nC07X"  SITE  "34";
    -LOCATE  COMP  "nCAS"  SITE  "52";
    -LOCATE  COMP  "nCS"  SITE  "57";
    +LOCATE  COMP  "nCASout"  SITE  "52";
    +LOCATE  COMP  "nCSout"  SITE  "57";
     LOCATE  COMP  "nDOE"  SITE  "20";
     LOCATE  COMP  "nEN80"  SITE  "82";
    -LOCATE  COMP  "nRAS"  SITE  "54";
    -LOCATE  COMP  "nRWE"  SITE  "51";
    +LOCATE  COMP  "nRASout"  SITE  "54";
    +LOCATE  COMP  "nRWEout"  SITE  "51";
     LOCATE  COMP  "nVOE"  SITE  "10";
     LOCATE  COMP  "nWE"  SITE  "29";
    -LOCATE  COMP  "nWE80"  SITE  "83";
     
     
     
    @@ -283,5 +281,5 @@ Copyright (c) 1995 AT&T Corp.   All rights reserved.
     Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
     Copyright (c) 2001 Agere Systems   All rights reserved.
     Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    -Thu Sep 21 05:35:04 2023
    +Thu Dec 28 23:10:13 2023
     
    diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.prf b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.prf
    index 77b562e..d59bddc 100644
    --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.prf
    +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.prf
    @@ -1,12 +1,10 @@
     SCHEMATIC START ;
    -# map:  version Diamond (64-bit) 3.12.1.454 -- WARNING: Map write only section -- Thu Sep 21 05:34:47 2023
    +# map:  version Diamond (64-bit) 3.12.1.454 -- WARNING: Map write only section -- Thu Dec 28 23:09:58 2023
     
     SYSCONFIG SDM_PORT=DISABLE SLAVE_SPI_PORT=DISABLE I2C_PORT=DISABLE MASTER_SPI_PORT=DISABLE COMPRESS_CONFIG=ON CONFIGURATION=CFG MY_ASSP=OFF ONE_TIME_PROGRAM=OFF CONFIG_SECURE=OFF MCCLK_FREQ=2.08 JTAG_PORT=ENABLE ENABLE_TRANSFR=DISABLE SHAREDEBRINIT=DISABLE MUX_CONFIGURATION_PORTS=DISABLE BACKGROUND_RECONFIG=OFF INBUF=ON ;
     LOCATE COMP "RD[0]" SITE "36" ;
     LOCATE COMP "LED" SITE "35" ;
     LOCATE COMP "C14M" SITE "62" ;
    -LOCATE COMP "DQMH" SITE "49" ;
    -LOCATE COMP "DQML" SITE "48" ;
     LOCATE COMP "RD[7]" SITE "43" ;
     LOCATE COMP "RD[6]" SITE "42" ;
     LOCATE COMP "RD[5]" SITE "41" ;
    @@ -14,25 +12,27 @@ LOCATE COMP "RD[4]" SITE "40" ;
     LOCATE COMP "RD[3]" SITE "39" ;
     LOCATE COMP "RD[2]" SITE "38" ;
     LOCATE COMP "RD[1]" SITE "37" ;
    -LOCATE COMP "RA[11]" SITE "59" ;
    -LOCATE COMP "RA[10]" SITE "64" ;
    -LOCATE COMP "RA[9]" SITE "63" ;
    -LOCATE COMP "RA[8]" SITE "65" ;
    -LOCATE COMP "RA[7]" SITE "67" ;
    -LOCATE COMP "RA[6]" SITE "69" ;
    -LOCATE COMP "RA[5]" SITE "71" ;
    -LOCATE COMP "RA[4]" SITE "75" ;
    -LOCATE COMP "RA[3]" SITE "74" ;
    -LOCATE COMP "RA[2]" SITE "70" ;
    -LOCATE COMP "RA[1]" SITE "68" ;
    -LOCATE COMP "RA[0]" SITE "66" ;
    +LOCATE COMP "DQMH" SITE "49" ;
    +LOCATE COMP "DQML" SITE "48" ;
    +LOCATE COMP "RAout[11]" SITE "59" ;
    +LOCATE COMP "RAout[10]" SITE "64" ;
    +LOCATE COMP "RAout[9]" SITE "63" ;
    +LOCATE COMP "RAout[8]" SITE "65" ;
    +LOCATE COMP "RAout[7]" SITE "67" ;
    +LOCATE COMP "RAout[6]" SITE "69" ;
    +LOCATE COMP "RAout[5]" SITE "71" ;
    +LOCATE COMP "RAout[4]" SITE "75" ;
    +LOCATE COMP "RAout[3]" SITE "74" ;
    +LOCATE COMP "RAout[2]" SITE "70" ;
    +LOCATE COMP "RAout[1]" SITE "68" ;
    +LOCATE COMP "RAout[0]" SITE "66" ;
     LOCATE COMP "BA[1]" SITE "60" ;
     LOCATE COMP "BA[0]" SITE "58" ;
    -LOCATE COMP "nRWE" SITE "51" ;
    -LOCATE COMP "nCAS" SITE "52" ;
    -LOCATE COMP "nRAS" SITE "54" ;
    -LOCATE COMP "nCS" SITE "57" ;
    -LOCATE COMP "CKE" SITE "53" ;
    +LOCATE COMP "nRWEout" SITE "51" ;
    +LOCATE COMP "nCASout" SITE "52" ;
    +LOCATE COMP "nRASout" SITE "54" ;
    +LOCATE COMP "nCSout" SITE "57" ;
    +LOCATE COMP "CKEout" SITE "53" ;
     LOCATE COMP "nVOE" SITE "10" ;
     LOCATE COMP "Vout[7]" SITE "12" ;
     LOCATE COMP "Vout[6]" SITE "14" ;
    @@ -69,7 +69,6 @@ LOCATE COMP "Ain[1]" SITE "2" ;
     LOCATE COMP "Ain[0]" SITE "3" ;
     LOCATE COMP "nC07X" SITE "34" ;
     LOCATE COMP "nEN80" SITE "82" ;
    -LOCATE COMP "nWE80" SITE "83" ;
     LOCATE COMP "nWE" SITE "29" ;
     LOCATE COMP "PHI1" SITE "85" ;
     FREQUENCY PORT "C14M" 14.300000 MHz ;
    @@ -79,7 +78,7 @@ BLOCK ASYNCPATHS ;
     OUTPUT PORT "LED" LOAD 100.000000 pF ;
     OUTPUT PORT "BA[1]" LOAD 5.000000 pF ;
     OUTPUT PORT "BA[0]" LOAD 5.000000 pF ;
    -OUTPUT PORT "CKE" LOAD 5.000000 pF ;
    +OUTPUT PORT "CKEout" LOAD 5.000000 pF ;
     OUTPUT PORT "DQMH" LOAD 5.000000 pF ;
     OUTPUT PORT "DQML" LOAD 5.000000 pF ;
     OUTPUT PORT "Dout[0]" LOAD 15.000000 pF ;
    @@ -90,18 +89,18 @@ OUTPUT PORT "Dout[4]" LOAD 15.000000 pF ;
     OUTPUT PORT "Dout[5]" LOAD 15.000000 pF ;
     OUTPUT PORT "Dout[6]" LOAD 15.000000 pF ;
     OUTPUT PORT "Dout[7]" LOAD 15.000000 pF ;
    -OUTPUT PORT "RA[0]" LOAD 5.000000 pF ;
    -OUTPUT PORT "RA[1]" LOAD 5.000000 pF ;
    -OUTPUT PORT "RA[2]" LOAD 5.000000 pF ;
    -OUTPUT PORT "RA[3]" LOAD 5.000000 pF ;
    -OUTPUT PORT "RA[4]" LOAD 5.000000 pF ;
    -OUTPUT PORT "RA[5]" LOAD 5.000000 pF ;
    -OUTPUT PORT "RA[6]" LOAD 5.000000 pF ;
    -OUTPUT PORT "RA[7]" LOAD 5.000000 pF ;
    -OUTPUT PORT "RA[8]" LOAD 5.000000 pF ;
    -OUTPUT PORT "RA[9]" LOAD 5.000000 pF ;
    -OUTPUT PORT "RA[10]" LOAD 5.000000 pF ;
    -OUTPUT PORT "RA[11]" LOAD 5.000000 pF ;
    +OUTPUT PORT "RAout[0]" LOAD 5.000000 pF ;
    +OUTPUT PORT "RAout[1]" LOAD 5.000000 pF ;
    +OUTPUT PORT "RAout[2]" LOAD 5.000000 pF ;
    +OUTPUT PORT "RAout[3]" LOAD 5.000000 pF ;
    +OUTPUT PORT "RAout[4]" LOAD 5.000000 pF ;
    +OUTPUT PORT "RAout[5]" LOAD 5.000000 pF ;
    +OUTPUT PORT "RAout[6]" LOAD 5.000000 pF ;
    +OUTPUT PORT "RAout[7]" LOAD 5.000000 pF ;
    +OUTPUT PORT "RAout[8]" LOAD 5.000000 pF ;
    +OUTPUT PORT "RAout[9]" LOAD 5.000000 pF ;
    +OUTPUT PORT "RAout[10]" LOAD 5.000000 pF ;
    +OUTPUT PORT "RAout[11]" LOAD 5.000000 pF ;
     OUTPUT PORT "Vout[0]" LOAD 15.000000 pF ;
     OUTPUT PORT "Vout[1]" LOAD 15.000000 pF ;
     OUTPUT PORT "Vout[2]" LOAD 15.000000 pF ;
    @@ -110,11 +109,11 @@ OUTPUT PORT "Vout[4]" LOAD 15.000000 pF ;
     OUTPUT PORT "Vout[5]" LOAD 15.000000 pF ;
     OUTPUT PORT "Vout[6]" LOAD 15.000000 pF ;
     OUTPUT PORT "Vout[7]" LOAD 15.000000 pF ;
    -OUTPUT PORT "nCAS" LOAD 5.000000 pF ;
    -OUTPUT PORT "nCS" LOAD 5.000000 pF ;
    +OUTPUT PORT "nCASout" LOAD 5.000000 pF ;
    +OUTPUT PORT "nCSout" LOAD 5.000000 pF ;
     OUTPUT PORT "nDOE" LOAD 10.000000 pF ;
    -OUTPUT PORT "nRAS" LOAD 5.000000 pF ;
    -OUTPUT PORT "nRWE" LOAD 5.000000 pF ;
    +OUTPUT PORT "nRASout" LOAD 5.000000 pF ;
    +OUTPUT PORT "nRWEout" LOAD 5.000000 pF ;
     OUTPUT PORT "nVOE" LOAD 10.000000 pF ;
     OUTPUT PORT "RD[0]" LOAD 9.000000 pF ;
     OUTPUT PORT "RD[1]" LOAD 9.000000 pF ;
    diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.srr b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.srr
    index dd1963b..229858a 100644
    --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.srr
    +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.srr
    @@ -3,7 +3,7 @@
     #OS: Windows 8 6.2
     #Hostname: ZANEMACWIN11
     
    -# Thu Sep 21 05:34:32 2023
    +# Thu Dec 28 23:09:44 2023
     
     #Implementation: impl1
     
    @@ -48,30 +48,35 @@ Synopsys Verilog Compiler, Version comp202103synp2, Build 093R, Built Aug 10 202
     @I::"C:\lscc\diamond\3.12\synpbase\lib\vlog\umr_capim.v" (library snps_haps)
     @I::"C:\lscc\diamond\3.12\synpbase\lib\vlog\scemi_objects.v" (library snps_haps)
     @I::"C:\lscc\diamond\3.12\synpbase\lib\vlog\scemi_pipes.svh" (library snps_haps)
    -@I::"\\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E-LCMXO2.v" (library work)
    -@I::"\\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\REFB.v" (library work)
    +@I::"\\Mac\iCloud\Repos\ram2e\CPLD\RAM2E.v" (library work)
    +@I::"\\Mac\iCloud\Repos\ram2e\CPLD\UFM-LCMXO2.v" (library work)
    +@I::"\\Mac\iCloud\Repos\ram2e\CPLD\LCMXO2-640HC\REFB.v" (library work)
     Verilog syntax check successful!
    -
    -Compiler output is up to date.  No re-compile necessary
    -
     Selecting top level module RAM2E
     @N: CG364 :"C:\lscc\diamond\3.12\synpbase\lib\lucent\machxo2.v":1120:7:1120:9|Synthesizing module VHI in library work.
     Running optimization stage 1 on VHI .......
    -Finished optimization stage 1 on VHI (CPU Time 0h:00m:00s, Memory Used current: 98MB peak: 99MB)
    +Finished optimization stage 1 on VHI (CPU Time 0h:00m:00s, Memory Used current: 98MB peak: 98MB)
     @N: CG364 :"C:\lscc\diamond\3.12\synpbase\lib\lucent\machxo2.v":1124:7:1124:9|Synthesizing module VLO in library work.
     Running optimization stage 1 on VLO .......
    -Finished optimization stage 1 on VLO (CPU Time 0h:00m:00s, Memory Used current: 98MB peak: 99MB)
    +Finished optimization stage 1 on VLO (CPU Time 0h:00m:00s, Memory Used current: 98MB peak: 98MB)
     @N: CG364 :"C:\lscc\diamond\3.12\synpbase\lib\lucent\machxo2.v":1800:7:1800:9|Synthesizing module EFB in library work.
     Running optimization stage 1 on EFB .......
    -Finished optimization stage 1 on EFB (CPU Time 0h:00m:00s, Memory Used current: 99MB peak: 99MB)
    -@N: CG364 :"\\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\REFB.v":8:7:8:10|Synthesizing module REFB in library work.
    +Finished optimization stage 1 on EFB (CPU Time 0h:00m:00s, Memory Used current: 98MB peak: 99MB)
    +@N: CG364 :"\\Mac\iCloud\Repos\ram2e\CPLD\LCMXO2-640HC\REFB.v":8:7:8:10|Synthesizing module REFB in library work.
     Running optimization stage 1 on REFB .......
    -Finished optimization stage 1 on REFB (CPU Time 0h:00m:00s, Memory Used current: 99MB peak: 99MB)
    -@N: CG364 :"\\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E-LCMXO2.v":1:7:1:11|Synthesizing module RAM2E in library work.
    +Finished optimization stage 1 on REFB (CPU Time 0h:00m:00s, Memory Used current: 98MB peak: 99MB)
    +@N: CG364 :"\\Mac\iCloud\Repos\ram2e\CPLD\UFM-LCMXO2.v":1:7:1:15|Synthesizing module RAM2E_UFM in library work.
    +Running optimization stage 1 on RAM2E_UFM .......
    +Finished optimization stage 1 on RAM2E_UFM (CPU Time 0h:00m:00s, Memory Used current: 100MB peak: 101MB)
    +@N: CG364 :"\\Mac\iCloud\Repos\ram2e\CPLD\RAM2E.v":1:7:1:11|Synthesizing module RAM2E in library work.
     Running optimization stage 1 on RAM2E .......
     Finished optimization stage 1 on RAM2E (CPU Time 0h:00m:00s, Memory Used current: 101MB peak: 101MB)
     Running optimization stage 2 on RAM2E .......
    -Finished optimization stage 2 on RAM2E (CPU Time 0h:00m:00s, Memory Used current: 101MB peak: 102MB)
    +@N: CL159 :"\\Mac\iCloud\Repos\ram2e\CPLD\RAM2E.v":11:15:11:19|Input nWE80 is unused.
    +Finished optimization stage 2 on RAM2E (CPU Time 0h:00m:00s, Memory Used current: 101MB peak: 101MB)
    +Running optimization stage 2 on RAM2E_UFM .......
    +@N: CL159 :"\\Mac\iCloud\Repos\ram2e\CPLD\UFM-LCMXO2.v":10:7:10:11|Input Ready is unused.
    +Finished optimization stage 2 on RAM2E_UFM (CPU Time 0h:00m:00s, Memory Used current: 101MB peak: 102MB)
     Running optimization stage 2 on REFB .......
     Finished optimization stage 2 on REFB (CPU Time 0h:00m:00s, Memory Used current: 101MB peak: 102MB)
     Running optimization stage 2 on EFB .......
    @@ -81,12 +86,12 @@ Finished optimization stage 2 on VLO (CPU Time 0h:00m:00s, Memory Used current:
     Running optimization stage 2 on VHI .......
     Finished optimization stage 2 on VHI (CPU Time 0h:00m:00s, Memory Used current: 101MB peak: 102MB)
     
    -At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 97MB peak: 98MB)
    +At c_ver Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 102MB peak: 102MB)
     
     Process took 0h:00m:01s realtime, 0h:00m:01s cputime
     
     Process completed successfully.
    -# Thu Sep 21 05:34:32 2023
    +# Thu Dec 28 23:09:45 2023
     
     ###########################################################]
     ###########################################################[
    @@ -108,58 +113,58 @@ Synopsys Synopsys Netlist Linker, Version comp202103synp2, Build 093R, Built Aug
     
     @N|Running in 64-bit mode
     
    -At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 98MB)
    -
    -Process took 0h:00m:01s realtime, 0h:00m:01s cputime
    -
    -Process completed successfully.
    -# Thu Sep 21 05:34:33 2023
    -
    -###########################################################]
    -
    -For a summary of runtime and memory usage for all design units, please see file:
    -==========================================================
    -@L: A:\\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\impl1\synwork\RAM2E_LCMXO2_640HC_impl1_comp.rt.csv
    -
    -@END
    -
    -At c_hdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 30MB peak: 31MB)
    -
    -Process took 0h:00m:01s realtime, 0h:00m:01s cputime
    -
    -Process completed successfully.
    -# Thu Sep 21 05:34:33 2023
    -
    -###########################################################]
    -###########################################################[
    -
    -Copyright (C) 1994-2021 Synopsys, Inc.
    -This Synopsys software and all associated documentation are proprietary to Synopsys, Inc.
    -and may only be used pursuant to the terms and conditions of a written license agreement
    -with Synopsys, Inc. All other use, reproduction, modification, or distribution of the
    -Synopsys software or the associated documentation is strictly prohibited.
    -Tool: Synplify Pro (R)
    -Build: R-2021.03L-SP1
    -Install: C:\lscc\diamond\3.12\synpbase
    -OS: Windows 6.2
    -
    -Hostname: ZANEMACWIN11
    -
    -Implementation : impl1
    -Synopsys Synopsys Netlist Linker, Version comp202103synp2, Build 093R, Built Aug 10 2021 09:15:36, @
    -
    -@N|Running in 64-bit mode
    -File \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\impl1\synwork\RAM2E_LCMXO2_640HC_impl1_comp.srs changed - recompiling
    -
     At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 99MB)
     
     Process took 0h:00m:01s realtime, 0h:00m:01s cputime
     
     Process completed successfully.
    -# Thu Sep 21 05:34:34 2023
    +# Thu Dec 28 23:09:45 2023
     
     ###########################################################]
    -# Thu Sep 21 05:34:34 2023
    +
    +For a summary of runtime and memory usage for all design units, please see file:
    +==========================================================
    +@L: A:\\Mac\iCloud\Repos\ram2e\CPLD\LCMXO2-640HC\impl1\synwork\RAM2E_LCMXO2_640HC_impl1_comp.rt.csv
    +
    +@END
    +
    +At c_hdl Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 30MB peak: 31MB)
    +
    +Process took 0h:00m:01s realtime, 0h:00m:01s cputime
    +
    +Process completed successfully.
    +# Thu Dec 28 23:09:46 2023
    +
    +###########################################################]
    +###########################################################[
    +
    +Copyright (C) 1994-2021 Synopsys, Inc.
    +This Synopsys software and all associated documentation are proprietary to Synopsys, Inc.
    +and may only be used pursuant to the terms and conditions of a written license agreement
    +with Synopsys, Inc. All other use, reproduction, modification, or distribution of the
    +Synopsys software or the associated documentation is strictly prohibited.
    +Tool: Synplify Pro (R)
    +Build: R-2021.03L-SP1
    +Install: C:\lscc\diamond\3.12\synpbase
    +OS: Windows 6.2
    +
    +Hostname: ZANEMACWIN11
    +
    +Implementation : impl1
    +Synopsys Synopsys Netlist Linker, Version comp202103synp2, Build 093R, Built Aug 10 2021 09:15:36, @
    +
    +@N|Running in 64-bit mode
    +File \\Mac\iCloud\Repos\ram2e\CPLD\LCMXO2-640HC\impl1\synwork\RAM2E_LCMXO2_640HC_impl1_comp.srs changed - recompiling
    +
    +At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 99MB peak: 99MB)
    +
    +Process took 0h:00m:01s realtime, 0h:00m:01s cputime
    +
    +Process completed successfully.
    +# Thu Dec 28 23:09:47 2023
    +
    +###########################################################]
    +# Thu Dec 28 23:09:47 2023
     
     
     Copyright (C) 1994-2021 Synopsys, Inc.
    @@ -178,14 +183,14 @@ Implementation : impl1
     Synopsys Lattice Technology Pre-mapping, Version map202103lat, Build 070R, Built Oct  6 2021 11:12:38, @
     
     
    -Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 128MB peak: 128MB)
    +Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 127MB peak: 127MB)
     
     
    -Done reading skeleton netlist (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 132MB peak: 140MB)
    +Done reading skeleton netlist (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 131MB peak: 140MB)
     
    -Reading constraint file: \\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E.sdc
    -@L: \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\impl1\RAM2E_LCMXO2_640HC_impl1_scck.rpt 
    -See clock summary report "\\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\impl1\RAM2E_LCMXO2_640HC_impl1_scck.rpt"
    +Reading constraint file: \\Mac\iCloud\Repos\ram2e\CPLD\RAM2E.sdc
    +@L: \\Mac\iCloud\Repos\ram2e\CPLD\LCMXO2-640HC\impl1\RAM2E_LCMXO2_640HC_impl1_scck.rpt 
    +See clock summary report "\\Mac\iCloud\Repos\ram2e\CPLD\LCMXO2-640HC\impl1\RAM2E_LCMXO2_640HC_impl1_scck.rpt"
     @N: MF916 |Option synthesis_strategy=base is enabled. 
     @N: MF248 |Running in 64-bit mode.
     @N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.)
    @@ -199,33 +204,32 @@ Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0
     Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 149MB peak: 149MB)
     
     
    -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 150MB peak: 151MB)
    +Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 150MB peak: 152MB)
     
    -@N: FX493 |Applying initial value "0" on instance PHI1reg.
    -@W: FX474 |User-specified initial value defined for some sequential elements which can prevent optimum synthesis results from being achieved. 
    -@N: FX493 |Applying initial value "0" on instance DOEEN.
    -@N: FX493 |Applying initial value "0" on instance RWSel.
    -@N: FX493 |Applying initial value "00000000" on instance RWBank[7:0].
    -@N: FX493 |Applying initial value "1" on instance DQMH.
    -@N: FX493 |Applying initial value "0" on instance Ready.
     @N: FX493 |Applying initial value "0" on instance CmdBitbangMXO2.
    +@W: FX474 |User-specified initial value defined for some sequential elements which can prevent optimum synthesis results from being achieved. 
     @N: FX493 |Applying initial value "0" on instance CmdExecMXO2.
    +@N: FX493 |Applying initial value "0" on instance PHI1r.
    +@N: FX493 |Applying initial value "0" on instance RWSel.
    +@N: FX493 |Applying initial value "0" on instance Ready.
    +@N: FX493 |Applying initial value "00000000" on instance RWBank[7:0].
     @N: FX493 |Applying initial value "0" on instance CmdLEDGet.
     @N: FX493 |Applying initial value "0" on instance CmdLEDSet.
     @N: FX493 |Applying initial value "0" on instance CmdRWMaskSet.
     @N: FX493 |Applying initial value "0" on instance CmdSetRWBankFFLED.
    -@N: FX493 |Applying initial value "0" on instance CmdSetRWBankFFMXO2.
    -@A: FX681 :"\\mac\icloud\repos\ram2e\cpld\ram2e-lcmxo2.v":375:4:375:9|Initial value on register nRWE is non-zero which can prevent the register from being packed into a block RAM or DSP.
    -@N: FX493 |Applying initial value "1" on instance nRWE.
    -@N: FX493 |Applying initial value "0" on instance LEDEN.
    -@N: FX493 |Applying initial value "00000000" on instance RWMask[7:0].
    -@A: FX681 :"\\mac\icloud\repos\ram2e\cpld\ram2e-lcmxo2.v":102:4:102:9|Initial value on register S[3:0] is non-zero which can prevent the register from being packed into a block RAM or DSP.
    -@N: FX493 |Applying initial value "0000" on instance S[3:0].
    +@A: FX681 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":163:4:163:9|Initial value on register DQMH is non-zero which can prevent the register from being packed into a block RAM or DSP.
    +@N: FX493 |Applying initial value "1" on instance DQMH.
    +@A: FX681 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":163:4:163:9|Initial value on register DQML is non-zero which can prevent the register from being packed into a block RAM or DSP.
     @N: FX493 |Applying initial value "1" on instance DQML.
    -@N: FX493 |Applying initial value "0" on instance CKE.
    -@N: FX493 |Applying initial value "1" on instance nCS.
    -@N: FX493 |Applying initial value "1" on instance nRAS.
    +@A: FX681 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":21:4:21:9|Initial value on register S[3:0] is non-zero which can prevent the register from being packed into a block RAM or DSP.
    +@N: FX493 |Applying initial value "0000" on instance S[3:0].
    +@N: FX493 |Applying initial value "1" on instance CKE.
    +@N: FX493 |Applying initial value "1" on instance nRWE.
    +@N: FX493 |Applying initial value "1" on instance nRWEout.
     @N: FX493 |Applying initial value "1" on instance nCAS.
    +@N: FX493 |Applying initial value "1" on instance nCASout.
    +@N: FX493 |Applying initial value "1" on instance nRAS.
    +@N: FX493 |Applying initial value "1" on instance nRASout.
     
     Starting clock optimization phase (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 181MB)
     
    @@ -236,11 +240,11 @@ Finished clock optimization phase (Real Time elapsed 0h:00m:01s; CPU Time elapse
     Starting clock optimization report phase (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 182MB peak: 182MB)
     
     
    -Finished clock optimization report phase (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 182MB peak: 182MB)
    +Finished clock optimization report phase (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 182MB peak: 182MB)
     
     @N: FX1184 |Applying syn_allowed_resources blockrams=2 on top level netlist RAM2E 
     
    -Finished netlist restructuring (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 182MB peak: 182MB)
    +Finished netlist restructuring (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 182MB peak: 182MB)
     
     
     
    @@ -250,7 +254,7 @@ Clock Summary
               Start      Requested     Requested     Clock        Clock                Clock
     Level     Clock      Frequency     Period        Type         Group                Load 
     ----------------------------------------------------------------------------------------
    -0 -       C14M       14.3 MHz      69.841        declared     default_clkgroup     111  
    +0 -       C14M       14.3 MHz      69.841        declared     default_clkgroup     122  
                                                                                             
     0 -       System     100.0 MHz     10.000        system       system_clkgroup      0    
     ========================================================================================
    @@ -263,7 +267,7 @@ Clock Load Summary
                Clock     Source         Clock Pin       Non-clock Pin     Non-clock Pin     
     Clock      Load      Pin            Seq Example     Seq Example       Comb Example      
     ----------------------------------------------------------------------------------------
    -C14M       111       C14M(port)     wb_rst.C        -                 un1_C14M.I[0](inv)
    +C14M       122       C14M(port)     DOEEN.C         -                 un1_C14M.I[0](inv)
                                                                                             
     System     0         -              -               -                 -                 
     ========================================================================================
    @@ -280,14 +284,14 @@ For details review file gcc_ICG_report.rpt
     
     #### START OF PREMAP CLOCK OPTIMIZATION REPORT #####[
     
    -1 non-gated/non-generated clock tree(s) driving 111 clock pin(s) of sequential element(s)
    +1 non-gated/non-generated clock tree(s) driving 122 clock pin(s) of sequential element(s)
     0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s)
     0 instances converted, 0 sequential instances remain driven by gated/generated clocks
     
     =========================== Non-Gated/Non-Generated Clocks ============================
     Clock Tree ID     Driving Element     Drive Element Type     Fanout     Sample Instance
     ---------------------------------------------------------------------------------------
    -@KP:ckid0_0       C14M                port                   111        nCAS           
    +@KP:ckid0_0       C14M                port                   122        nRAS           
     =======================================================================================
     
     
    @@ -296,23 +300,23 @@ Clock Tree ID     Driving Element     Drive Element Type     Fanout     Sample I
     @N: FX1143 |Skipping assigning INTERNAL_VREF to iobanks, because the table of mapping from pin to iobank is not initialized.
     Finished Pre Mapping Phase.
     
    -Starting constraint checker (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:01s; Memory used current: 182MB peak: 182MB)
    +Starting constraint checker (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 183MB peak: 183MB)
     
     
    -Finished constraint checker preprocessing (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:01s; Memory used current: 183MB peak: 183MB)
    +Finished constraint checker preprocessing (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 183MB peak: 183MB)
     
     
    -Finished constraint checker (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:01s; Memory used current: 183MB peak: 183MB)
    +Finished constraint checker (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 184MB peak: 184MB)
     
     Pre-mapping successful!
     
    -At Mapper Exit (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:01s; Memory used current: 99MB peak: 185MB)
    +At Mapper Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 99MB peak: 185MB)
     
    -Process took 0h:00m:02s realtime, 0h:00m:01s cputime
    -# Thu Sep 21 05:34:37 2023
    +Process took 0h:00m:01s realtime, 0h:00m:01s cputime
    +# Thu Dec 28 23:09:49 2023
     
     ###########################################################]
    -# Thu Sep 21 05:34:37 2023
    +# Thu Dec 28 23:09:49 2023
     
     
     Copyright (C) 1994-2021 Synopsys, Inc.
    @@ -331,97 +335,97 @@ Implementation : impl1
     Synopsys Lattice Technology Mapper, Version map202103lat, Build 070R, Built Oct  6 2021 11:12:38, @
     
     
    -Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 128MB peak: 128MB)
    +Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 127MB peak: 127MB)
     
     @N: MF916 |Option synthesis_strategy=base is enabled. 
     @N: MF248 |Running in 64-bit mode.
     @N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.)
     
    -Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 129MB peak: 140MB)
    +Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 128MB peak: 139MB)
     
     
    -Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 129MB peak: 140MB)
    +Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 128MB peak: 139MB)
     
     
     Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 143MB peak: 143MB)
     
     
    -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 147MB)
    +Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 144MB peak: 146MB)
     
     
     
    -Starting Optimization and Mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 179MB peak: 179MB)
    +Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 178MB peak: 178MB)
     
    -@W: FA239 :"\\mac\icloud\repos\ram2e\cpld\ram2e-lcmxo2.v":376:8:376:9|ROM DOEEN_2 (in view: work.RAM2E(verilog)) mapped in logic. To map to a technology ROM, apply attribute syn_romstyle on this instance.
    -@N: MO106 :"\\mac\icloud\repos\ram2e\cpld\ram2e-lcmxo2.v":376:8:376:9|Found ROM DOEEN_2 (in view: work.RAM2E(verilog)) with 16 words by 1 bit.
     
    -Finished RTL optimizations (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 183MB peak: 183MB)
    +Finished RTL optimizations (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 183MB peak: 183MB)
     
    -@N: MO231 :"\\mac\icloud\repos\ram2e\cpld\ram2e-lcmxo2.v":102:4:102:9|Found counter in view:work.RAM2E(verilog) instance FS[15:0] 
    +@N: MO231 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":14:23:14:28|Found counter in view:work.RAM2E(verilog) instance FS[15:0] 
     @W: FX474 |User-specified initial value defined for some sequential elements which can prevent optimum synthesis results from being achieved. 
     
     Starting factoring (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 186MB peak: 186MB)
     
     
    -Finished factoring (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 188MB peak: 188MB)
    +Finished factoring (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 190MB peak: 190MB)
     
     
     Available hyper_sources - for debug and ip models
     	None Found
     
     
    -Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 189MB peak: 189MB)
    +Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 190MB peak: 190MB)
     
     
    -Starting Early Timing Optimization (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 189MB peak: 189MB)
    +Starting Early Timing Optimization (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 190MB peak: 190MB)
     
     
    -Finished Early Timing Optimization (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:01s; Memory used current: 189MB peak: 189MB)
    +Finished Early Timing Optimization (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 190MB peak: 190MB)
     
     
    -Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:01s; Memory used current: 189MB peak: 189MB)
    +Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 190MB peak: 191MB)
     
     
    -Finished preparing to map (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:01s; Memory used current: 189MB peak: 189MB)
    +Finished preparing to map (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:01s; Memory used current: 191MB peak: 191MB)
     
     
    -Finished technology mapping (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 205MB peak: 205MB)
    +Finished technology mapping (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 211MB peak: 211MB)
     
     Pass		 CPU time		Worst Slack		Luts / Registers
     ------------------------------------------------------------
    -   1		0h:00m:02s		    29.35ns		 222 /       111
    +   1		0h:00m:02s		    33.71ns		 284 /       122
     
    -Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 205MB peak: 205MB)
    +Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 211MB peak: 211MB)
     
     @N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute.  
    +@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":163:4:163:9|Boundary register BA_1_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell. 
    +@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":163:4:163:9|Boundary register BA_0_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell. 
     
    -Finished restoring hierarchy (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 206MB peak: 206MB)
    +Finished restoring hierarchy (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 212MB peak: 212MB)
     
     
    -Start Writing Netlists (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 168MB peak: 206MB)
    +Start Writing Netlists (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 174MB peak: 212MB)
     
    -Writing Analyst data base \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\impl1\synwork\RAM2E_LCMXO2_640HC_impl1_m.srm
    +Writing Analyst data base \\Mac\iCloud\Repos\ram2e\CPLD\LCMXO2-640HC\impl1\synwork\RAM2E_LCMXO2_640HC_impl1_m.srm
     
    -Finished Writing Netlist Databases (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:03s; Memory used current: 206MB peak: 206MB)
    +Finished Writing Netlist Databases (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 212MB peak: 212MB)
     
     Writing EDIF Netlist and constraint files
    -@N: FX1056 |Writing EDF file: \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\impl1\RAM2E_LCMXO2_640HC_impl1.edi
    +@N: FX1056 |Writing EDF file: \\Mac\iCloud\Repos\ram2e\CPLD\LCMXO2-640HC\impl1\RAM2E_LCMXO2_640HC_impl1.edi
     @N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF 
     
    -Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:04s; Memory used current: 211MB peak: 211MB)
    +Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:03s; Memory used current: 217MB peak: 217MB)
     
     
    -Finished Writing Netlists (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:04s; Memory used current: 211MB peak: 211MB)
    +Finished Writing Netlists (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:03s; Memory used current: 217MB peak: 217MB)
     
     
    -Start final timing analysis (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:04s; Memory used current: 209MB peak: 211MB)
    +Start final timing analysis (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:04s; Memory used current: 215MB peak: 217MB)
     
     @W: MT246 :"\\mac\icloud\repos\ram2e\cpld\lcmxo2-640hc\refb.v":78:8:78:16|Blackbox EFB is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results)
     @N: MT615 |Found clock C14M with period 69.84ns 
     
     
     ##### START OF TIMING REPORT #####[
    -# Timing report written on Thu Sep 21 05:34:43 2023
    +# Timing report written on Thu Dec 28 23:09:54 2023
     #
     
     
    @@ -429,7 +433,7 @@ Top view:               RAM2E
     Requested Frequency:    14.3 MHz
     Wire load mode:         top
     Paths requested:        5
    -Constraint File(s):    \\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E.sdc
    +Constraint File(s):    \\Mac\iCloud\Repos\ram2e\CPLD\RAM2E.sdc
                            
     @N: MT320 |This timing report is an estimate of place and route data. For final timing results, use the FPGA vendor place and route report.
     
    @@ -441,12 +445,12 @@ Performance Summary
     *******************
     
     
    -Worst slack in design: 31.782
    +Worst slack in design: 33.707
     
                        Requested     Estimated     Requested     Estimated                Clock        Clock           
     Starting Clock     Frequency     Frequency     Period        Period        Slack      Type         Group           
     -------------------------------------------------------------------------------------------------------------------
    -C14M               14.3 MHz      131.4 MHz     69.841        7.610         31.782     declared     default_clkgroup
    +C14M               14.3 MHz      128.0 MHz     69.841        7.813         33.707     declared     default_clkgroup
     System             100.0 MHz     NA            10.000        NA            67.088     system       system_clkgroup 
     ===================================================================================================================
     Estimated period and frequency reported as NA means no slack depends directly on the clock waveform
    @@ -464,7 +468,7 @@ Starting  Ending  |  constraint  slack   |  constraint  slack  |  constraint  sl
     ----------------------------------------------------------------------------------------------------------
     System    C14M    |  69.841      67.088  |  No paths    -      |  No paths    -       |  No paths    -    
     C14M      System  |  69.841      68.797  |  No paths    -      |  No paths    -       |  No paths    -    
    -C14M      C14M    |  69.841      62.231  |  No paths    -      |  34.920      31.782  |  No paths    -    
    +C14M      C14M    |  69.841      62.028  |  No paths    -      |  34.920      33.707  |  No paths    -    
     ==========================================================================================================
      Note: 'No paths' indicates there are no paths in the design for that pair of clock edges.
            'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups.
    @@ -487,41 +491,41 @@ Detailed Report for Clock: C14M
     Starting Points with Worst Slack
     ********************************
     
    -             Starting                                     Arrival           
    -Instance     Reference     Type        Pin     Net        Time        Slack 
    -             Clock                                                          
    -----------------------------------------------------------------------------
    -S[2]         C14M          FD1S3AX     Q       S[2]       1.350       31.782
    -S[3]         C14M          FD1S3AX     Q       S[3]       1.350       31.782
    -S[0]         C14M          FD1S3AX     Q       S[0]       1.312       31.820
    -S[1]         C14M          FD1S3AX     Q       S[1]       1.280       31.852
    -FS[9]        C14M          FD1S3AX     Q       FS[9]      1.284       62.425
    -FS[11]       C14M          FD1S3AX     Q       FS[11]     1.276       62.433
    -FS[8]        C14M          FD1S3AX     Q       FS[8]      1.260       62.449
    -FS[12]       C14M          FD1S3AX     Q       FS[12]     1.288       62.525
    -FS[10]       C14M          FD1S3AX     Q       FS[10]     1.280       62.533
    -RWSel        C14M          FD1P3AX     Q       RWSel      1.276       63.482
    -============================================================================
    +             Starting                                    Arrival           
    +Instance     Reference     Type        Pin     Net       Time        Slack 
    +             Clock                                                         
    +---------------------------------------------------------------------------
    +RA[0]        C14M          FD1P3AX     Q       RA[0]     1.108       33.707
    +RA[3]        C14M          FD1P3AX     Q       RA[3]     1.108       33.707
    +RA[1]        C14M          FD1P3AX     Q       RA[1]     1.044       33.771
    +RA[2]        C14M          FD1P3AX     Q       RA[2]     1.044       33.771
    +RA[4]        C14M          FD1P3AX     Q       RA[4]     1.044       33.771
    +RA[5]        C14M          FD1P3AX     Q       RA[5]     1.044       33.771
    +RA[6]        C14M          FD1P3AX     Q       RA[6]     1.044       33.771
    +RA[7]        C14M          FD1P3AX     Q       RA[7]     1.044       33.771
    +RA[8]        C14M          FD1P3AX     Q       RA[8]     1.044       33.771
    +RA[9]        C14M          FD1P3AX     Q       RA[9]     1.044       33.771
    +===========================================================================
     
     
     Ending Points with Worst Slack
     ******************************
     
    -                Starting                                       Required           
    -Instance        Reference     Type         Pin     Net         Time         Slack 
    -                Clock                                                             
    -----------------------------------------------------------------------------------
    -Dout_0io[0]     C14M          OFS1P3DX     SP      N_576_i     34.449       31.782
    -Dout_0io[1]     C14M          OFS1P3DX     SP      N_576_i     34.449       31.782
    -Dout_0io[2]     C14M          OFS1P3DX     SP      N_576_i     34.449       31.782
    -Dout_0io[3]     C14M          OFS1P3DX     SP      N_576_i     34.449       31.782
    -Dout_0io[4]     C14M          OFS1P3DX     SP      N_576_i     34.449       31.782
    -Dout_0io[5]     C14M          OFS1P3DX     SP      N_576_i     34.449       31.782
    -Dout_0io[6]     C14M          OFS1P3DX     SP      N_576_i     34.449       31.782
    -Dout_0io[7]     C14M          OFS1P3DX     SP      N_576_i     34.449       31.782
    -Vout_0io[0]     C14M          OFS1P3DX     SP      Vout3       34.449       31.826
    -Vout_0io[1]     C14M          OFS1P3DX     SP      Vout3       34.449       31.826
    -==================================================================================
    +                 Starting                                     Required           
    +Instance         Reference     Type         Pin     Net       Time         Slack 
    +                 Clock                                                           
    +---------------------------------------------------------------------------------
    +RAout_0io[0]     C14M          OFS1P3DX     D       RA[0]     34.815       33.707
    +RAout_0io[3]     C14M          OFS1P3DX     D       RA[3]     34.815       33.707
    +RAout_0io[1]     C14M          OFS1P3DX     D       RA[1]     34.815       33.771
    +RAout_0io[2]     C14M          OFS1P3DX     D       RA[2]     34.815       33.771
    +RAout_0io[4]     C14M          OFS1P3DX     D       RA[4]     34.815       33.771
    +RAout_0io[5]     C14M          OFS1P3DX     D       RA[5]     34.815       33.771
    +RAout_0io[6]     C14M          OFS1P3DX     D       RA[6]     34.815       33.771
    +RAout_0io[7]     C14M          OFS1P3DX     D       RA[7]     34.815       33.771
    +RAout_0io[8]     C14M          OFS1P3DX     D       RA[8]     34.815       33.771
    +RAout_0io[9]     C14M          OFS1P3DX     D       RA[9]     34.815       33.771
    +=================================================================================
     
     
     
    @@ -531,30 +535,27 @@ Worst Path Information
     
     Path information for path number 1: 
           Requested Period:                      34.920
    -    - Setup time:                            0.472
    +    - Setup time:                            0.106
         + Clock delay at ending point:           0.000 (ideal)
    -    = Required time:                         34.449
    +    = Required time:                         34.815
     
    -    - Propagation time:                      2.667
    +    - Propagation time:                      1.108
         - Clock delay at starting point:         0.000 (ideal)
    -    = Slack (critical) :                     31.782
    +    = Slack (critical) :                     33.707
     
    -    Number of logic level(s):                1
    -    Starting point:                          S[2] / Q
    -    Ending point:                            Dout_0io[0] / SP
    +    Number of logic level(s):                0
    +    Starting point:                          RA[0] / Q
    +    Ending point:                            RAout_0io[0] / D
         The start point is clocked by            C14M [rising] (rise=0.000 fall=34.920 period=69.841) on pin CK
         The end   point is clocked by            C14M [falling] (rise=0.000 fall=34.920 period=69.841) on pin SCLK
     
    -Instance / Net                   Pin      Pin               Arrival     No. of    
    -Name                Type         Name     Dir     Delay     Time        Fan Out(s)
    -----------------------------------------------------------------------------------
    -S[2]                FD1S3AX      Q        Out     1.350     1.350 r     -         
    -S[2]                Net          -        -       -         -           48        
    -S_RNII9DO1_2[1]     ORCALUT4     B        In      0.000     1.350 r     -         
    -S_RNII9DO1_2[1]     ORCALUT4     Z        Out     1.317     2.667 r     -         
    -N_576_i             Net          -        -       -         -           18        
    -Dout_0io[0]         OFS1P3DX     SP       In      0.000     2.667 r     -         
    -==================================================================================
    +Instance / Net                  Pin      Pin               Arrival     No. of    
    +Name               Type         Name     Dir     Delay     Time        Fan Out(s)
    +---------------------------------------------------------------------------------
    +RA[0]              FD1P3AX      Q        Out     1.108     1.108 r     -         
    +RA[0]              Net          -        -       -         -           3         
    +RAout_0io[0]       OFS1P3DX     D        In      0.000     1.108 r     -         
    +=================================================================================
     
     
     
    @@ -568,40 +569,40 @@ Detailed Report for Clock: System
     Starting Points with Worst Slack
     ********************************
     
    -                     Starting                                          Arrival           
    -Instance             Reference     Type     Pin         Net            Time        Slack 
    -                     Clock                                                               
    ------------------------------------------------------------------------------------------
    -ufmefb.EFBInst_0     System        EFB      WBACKO      wb_ack         0.000       67.088
    -ufmefb.EFBInst_0     System        EFB      WBDATO0     wb_dato[0]     0.000       69.313
    -ufmefb.EFBInst_0     System        EFB      WBDATO1     wb_dato[1]     0.000       69.313
    -ufmefb.EFBInst_0     System        EFB      WBDATO2     wb_dato[2]     0.000       69.313
    -ufmefb.EFBInst_0     System        EFB      WBDATO3     wb_dato[3]     0.000       69.313
    -ufmefb.EFBInst_0     System        EFB      WBDATO4     wb_dato[4]     0.000       69.313
    -ufmefb.EFBInst_0     System        EFB      WBDATO5     wb_dato[5]     0.000       69.313
    -ufmefb.EFBInst_0     System        EFB      WBDATO6     wb_dato[6]     0.000       69.313
    -ufmefb.EFBInst_0     System        EFB      WBDATO7     wb_dato[7]     0.000       69.313
    -=========================================================================================
    +                               Starting                                          Arrival           
    +Instance                       Reference     Type     Pin         Net            Time        Slack 
    +                               Clock                                                               
    +---------------------------------------------------------------------------------------------------
    +ram2e_ufm.ufmefb.EFBInst_0     System        EFB      WBACKO      wb_ack         0.000       67.088
    +ram2e_ufm.ufmefb.EFBInst_0     System        EFB      WBDATO0     wb_dato[0]     0.000       69.313
    +ram2e_ufm.ufmefb.EFBInst_0     System        EFB      WBDATO1     wb_dato[1]     0.000       69.313
    +ram2e_ufm.ufmefb.EFBInst_0     System        EFB      WBDATO2     wb_dato[2]     0.000       69.313
    +ram2e_ufm.ufmefb.EFBInst_0     System        EFB      WBDATO3     wb_dato[3]     0.000       69.313
    +ram2e_ufm.ufmefb.EFBInst_0     System        EFB      WBDATO4     wb_dato[4]     0.000       69.313
    +ram2e_ufm.ufmefb.EFBInst_0     System        EFB      WBDATO5     wb_dato[5]     0.000       69.313
    +ram2e_ufm.ufmefb.EFBInst_0     System        EFB      WBDATO6     wb_dato[6]     0.000       69.313
    +ram2e_ufm.ufmefb.EFBInst_0     System        EFB      WBDATO7     wb_dato[7]     0.000       69.313
    +===================================================================================================
     
     
     Ending Points with Worst Slack
     ******************************
     
    -               Starting                                                          Required           
    -Instance       Reference     Type        Pin     Net                             Time         Slack 
    -               Clock                                                                                
    -----------------------------------------------------------------------------------------------------
    -RWMask[0]      System        FD1P3AX     SP      N_88                            69.369       67.088
    -RWMask[1]      System        FD1P3AX     SP      N_88                            69.369       67.088
    -RWMask[2]      System        FD1P3AX     SP      N_88                            69.369       67.088
    -RWMask[3]      System        FD1P3AX     SP      N_88                            69.369       67.088
    -RWMask[4]      System        FD1P3AX     SP      N_88                            69.369       67.088
    -RWMask[5]      System        FD1P3AX     SP      N_88                            69.369       67.088
    -RWMask[6]      System        FD1P3AX     SP      N_88                            69.369       67.088
    -RWMask[7]      System        FD1P3AX     SP      N_88                            69.369       67.088
    -LEDEN          System        FD1P3AX     SP      un1_LEDEN_0_sqmuxa_1_i_0[0]     69.369       67.736
    -wb_cyc_stb     System        FD1P3AX     SP      N_104                           69.369       67.736
    -====================================================================================================
    +                         Starting                                                                  Required           
    +Instance                 Reference     Type        Pin     Net                                     Time         Slack 
    +                         Clock                                                                                        
    +----------------------------------------------------------------------------------------------------------------------
    +ram2e_ufm.RWMask[0]      System        FD1P3AX     SP      un1_RWMask_0_sqmuxa_1_i_0_0[0]          69.369       67.088
    +ram2e_ufm.RWMask[1]      System        FD1P3AX     SP      un1_RWMask_0_sqmuxa_1_i_0_0[0]          69.369       67.088
    +ram2e_ufm.RWMask[2]      System        FD1P3AX     SP      un1_RWMask_0_sqmuxa_1_i_0_0[0]          69.369       67.088
    +ram2e_ufm.RWMask[3]      System        FD1P3AX     SP      un1_RWMask_0_sqmuxa_1_i_0_0[0]          69.369       67.088
    +ram2e_ufm.RWMask[4]      System        FD1P3AX     SP      un1_RWMask_0_sqmuxa_1_i_0_0[0]          69.369       67.088
    +ram2e_ufm.RWMask[5]      System        FD1P3AX     SP      un1_RWMask_0_sqmuxa_1_i_0_0[0]          69.369       67.088
    +ram2e_ufm.RWMask[6]      System        FD1P3AX     SP      un1_RWMask_0_sqmuxa_1_i_0_0[0]          69.369       67.088
    +ram2e_ufm.RWMask[7]      System        FD1P3AX     SP      un1_RWMask_0_sqmuxa_1_i_0_0[0]          69.369       67.088
    +ram2e_ufm.LEDEN          System        FD1P3AX     SP      un1_LEDEN_0_sqmuxa_1_i_0_0[0]           69.369       67.736
    +ram2e_ufm.wb_cyc_stb     System        FD1P3AX     SP      un1_CmdSetRWBankFFChip13_1_i_0_0[0]     69.369       67.736
    +======================================================================================================================
     
     
     
    @@ -621,24 +622,24 @@ Path information for path number 1:
         = Slack (non-critical) :                 67.088
     
         Number of logic level(s):                2
    -    Starting point:                          ufmefb.EFBInst_0 / WBACKO
    -    Ending point:                            RWMask[0] / SP
    +    Starting point:                          ram2e_ufm.ufmefb.EFBInst_0 / WBACKO
    +    Ending point:                            ram2e_ufm.RWMask[0] / SP
         The start point is clocked by            System [rising]
         The end   point is clocked by            C14M [rising] (rise=0.000 fall=34.920 period=69.841) on pin CK
     
    -Instance / Net                                     Pin        Pin               Arrival     No. of    
    -Name                                  Type         Name       Dir     Delay     Time        Fan Out(s)
    -------------------------------------------------------------------------------------------------------
    -ufmefb.EFBInst_0                      EFB          WBACKO     Out     0.000     0.000 r     -         
    -wb_ack                                Net          -          -       -         -           5         
    -un1_RWMask_0_sqmuxa_1_i_0_RNO[0]      ORCALUT4     A          In      0.000     0.000 r     -         
    -un1_RWMask_0_sqmuxa_1_i_0_RNO[0]      ORCALUT4     Z          Out     1.017     1.017 r     -         
    -un1_RWMask_0_sqmuxa_1_i_a2_0_1[0]     Net          -          -       -         -           1         
    -un1_RWMask_0_sqmuxa_1_i_0[0]          ORCALUT4     D          In      0.000     1.017 r     -         
    -un1_RWMask_0_sqmuxa_1_i_0[0]          ORCALUT4     Z          Out     1.265     2.282 r     -         
    -N_88                                  Net          -          -       -         -           8         
    -RWMask[0]                             FD1P3AX      SP         In      0.000     2.282 r     -         
    -======================================================================================================
    +Instance / Net                                                 Pin        Pin               Arrival     No. of    
    +Name                                              Type         Name       Dir     Delay     Time        Fan Out(s)
    +------------------------------------------------------------------------------------------------------------------
    +ram2e_ufm.ufmefb.EFBInst_0                        EFB          WBACKO     Out     0.000     0.000 r     -         
    +wb_ack                                            Net          -          -       -         -           5         
    +ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0_a3_0_0[0]     ORCALUT4     B          In      0.000     0.000 r     -         
    +ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0_a3_0_0[0]     ORCALUT4     Z          Out     1.017     1.017 r     -         
    +un1_RWMask_0_sqmuxa_1_i_0_a3_0_0[0]               Net          -          -       -         -           1         
    +ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0_0[0]          ORCALUT4     D          In      0.000     1.017 r     -         
    +ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0_0[0]          ORCALUT4     Z          Out     1.265     2.282 r     -         
    +un1_RWMask_0_sqmuxa_1_i_0_0[0]                    Net          -          -       -         -           8         
    +ram2e_ufm.RWMask[0]                               FD1P3AX      SP         In      0.000     2.282 r     -         
    +==================================================================================================================
     
     
     
    @@ -646,45 +647,47 @@ RWMask[0]                             FD1P3AX      SP         In      0.000
     
     Timing exceptions that could not be applied
     
    -Finished final timing analysis (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:04s; Memory used current: 210MB peak: 211MB)
    +Finished final timing analysis (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:04s; Memory used current: 216MB peak: 217MB)
     
     
    -Finished timing report (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:04s; Memory used current: 210MB peak: 211MB)
    +Finished timing report (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:04s; Memory used current: 216MB peak: 217MB)
     
     ---------------------------------------
     Resource Usage Report
     Part: lcmxo2_640hc-4
     
    -Register bits: 111 of 640 (17%)
    +Register bits: 122 of 640 (19%)
     PIC Latch:       0
    -I/O cells:       70
    +I/O cells:       69
     
     
     Details:
     BB:             8
     CCU2D:          9
     EFB:            1
    -FD1P3AX:        48
    +FD1P3AX:        61
     FD1P3IX:        1
    -FD1S3AX:        22
    -FD1S3IX:        4
    +FD1S3AX:        21
    +FD1S3AY:        4
    +FD1S3IX:        6
     GSR:            1
    -IB:             22
    +IB:             21
     IFS1P3DX:       1
     INV:            1
     OB:             40
    -OFS1P3BX:       6
    -OFS1P3DX:       27
    +OFS1P3BX:       5
    +OFS1P3DX:       21
     OFS1P3IX:       2
    -ORCALUT4:       221
    +ORCALUT4:       277
    +PFUMX:          3
     PUR:            1
    -VHI:            2
    -VLO:            2
    +VHI:            3
    +VLO:            3
     Mapper successful!
     
    -At Mapper Exit (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:04s; Memory used current: 77MB peak: 211MB)
    +At Mapper Exit (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:04s; Memory used current: 79MB peak: 217MB)
     
    -Process took 0h:00m:06s realtime, 0h:00m:04s cputime
    -# Thu Sep 21 05:34:44 2023
    +Process took 0h:00m:04s realtime, 0h:00m:04s cputime
    +# Thu Dec 28 23:09:54 2023
     
     ###########################################################]
    diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.tw1 b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.tw1
    index 9f9184a..02317af 100644
    --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.tw1
    +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.tw1
    @@ -13,7 +13,7 @@ Setup and Hold Report
     
     --------------------------------------------------------------------------------
     Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.1.454
    -Thu Sep 21 05:34:48 2023
    +Thu Dec 28 23:09:59 2023
     
     Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
     Copyright (c) 1995 AT&T Corp.   All rights reserved.
    @@ -23,7 +23,7 @@ Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
     
     Report Information
     ------------------
    -Command line:    trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2E_LCMXO2_640HC_impl1.tw1 -gui -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/promote.xml RAM2E_LCMXO2_640HC_impl1_map.ncd RAM2E_LCMXO2_640HC_impl1.prf 
    +Command line:    trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2E_LCMXO2_640HC_impl1.tw1 -gui -msgset //Mac/iCloud/Repos/ram2e/CPLD/LCMXO2-640HC/promote.xml RAM2E_LCMXO2_640HC_impl1_map.ncd RAM2E_LCMXO2_640HC_impl1.prf 
     Design file:     ram2e_lcmxo2_640hc_impl1_map.ncd
     Preference file: ram2e_lcmxo2_640hc_impl1.prf
     Device,speed:    LCMXO2-640HC,4
    @@ -38,48 +38,48 @@ BLOCK RESETPATHS
     
     ================================================================================
     Preference: FREQUENCY PORT "C14M" 14.300000 MHz ;
    -            1491 items scored, 0 timing errors detected.
    +            1611 items scored, 0 timing errors detected.
     --------------------------------------------------------------------------------
     
     
    -Passed: The following path meets requirements by 58.471ns
    +Passed: The following path meets requirements by 58.937ns
     
      Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
     
    -   Source:         FF         Q              FS[11]  (from C14M_c +)
    -   Destination:    FF         Data in        nRWE_0io  (to C14M_c +)
    +   Source:         FF         Q              S[2]  (from C14M_c +)
    +   Destination:    FF         Data in        ram2e_ufm/wb_adr[0]  (to C14M_c +)
     
    -   Delay:              11.306ns  (30.3% logic, 69.7% route), 7 logic levels.
    +   Delay:              10.827ns  (31.6% logic, 68.4% route), 7 logic levels.
     
      Constraint Details:
     
    -     11.306ns physical path delay SLICE_3 to nRWE_MGIOL meets
    +     10.827ns physical path delay SLICE_34 to ram2e_ufm/SLICE_47 meets
          69.930ns delay constraint less
    -      0.153ns DO_SET requirement (totaling 69.777ns) by 58.471ns
    +      0.166ns DIN_SET requirement (totaling 69.764ns) by 58.937ns
     
      Physical Path Details:
     
    -      Data path SLICE_3 to nRWE_MGIOL:
    +      Data path SLICE_34 to ram2e_ufm/SLICE_47:
     
        Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.452    SLICE_3.CLK to     SLICE_3.Q0 SLICE_3 (from C14M_c)
    -ROUTE        19   e 1.234     SLICE_3.Q0 to    SLICE_64.A1 FS[11]
    -CTOF_DEL    ---     0.495    SLICE_64.A1 to    SLICE_64.F1 SLICE_64
    -ROUTE         4   e 1.234    SLICE_64.F1 to    SLICE_97.D0 N_577
    -CTOF_DEL    ---     0.495    SLICE_97.D0 to    SLICE_97.F0 SLICE_97
    -ROUTE         3   e 1.234    SLICE_97.F0 to    SLICE_75.D1 N_489
    -CTOF_DEL    ---     0.495    SLICE_75.D1 to    SLICE_75.F1 SLICE_75
    -ROUTE         3   e 0.480    SLICE_75.F1 to    SLICE_75.A0 N_628
    -CTOF_DEL    ---     0.495    SLICE_75.A0 to    SLICE_75.F0 SLICE_75
    -ROUTE         2   e 1.234    SLICE_75.F0 to    SLICE_71.C1 N_640
    -CTOF_DEL    ---     0.495    SLICE_71.C1 to    SLICE_71.F1 SLICE_71
    -ROUTE         1   e 1.234    SLICE_71.F1 to   SLICE_115.A0 un1_nCS61_1_i
    -CTOF_DEL    ---     0.495   SLICE_115.A0 to   SLICE_115.F0 SLICE_115
    -ROUTE         1   e 1.234   SLICE_115.F0 to *WE_MGIOL.OPOS nRWE_r_0 (to C14M_c)
    +REG_DEL     ---     0.452   SLICE_34.CLK to    SLICE_34.Q0 SLICE_34 (from C14M_c)
    +ROUTE        50   e 1.234    SLICE_34.Q0 to    SLICE_35.A0 S[2]
    +CTOF_DEL    ---     0.495    SLICE_35.A0 to    SLICE_35.F0 SLICE_35
    +ROUTE         7   e 1.234    SLICE_35.F0 to *m/SLICE_80.D1 N_551
    +CTOF_DEL    ---     0.495 *m/SLICE_80.D1 to *m/SLICE_80.F1 ram2e_ufm/SLICE_80
    +ROUTE         8   e 1.234 *m/SLICE_80.F1 to *m/SLICE_98.B1 ram2e_ufm/N_777
    +CTOF_DEL    ---     0.495 *m/SLICE_98.B1 to *m/SLICE_98.F1 ram2e_ufm/SLICE_98
    +ROUTE         5   e 1.234 *m/SLICE_98.F1 to *m/SLICE_99.C0 ram2e_ufm/N_781
    +CTOF_DEL    ---     0.495 *m/SLICE_99.C0 to *m/SLICE_99.F0 ram2e_ufm/SLICE_99
    +ROUTE         1   e 1.234 *m/SLICE_99.F0 to *m/SLICE_86.C0 ram2e_ufm/wb_adr_7_i_i_1[0]
    +CTOF_DEL    ---     0.495 *m/SLICE_86.C0 to *m/SLICE_86.F0 ram2e_ufm/SLICE_86
    +ROUTE         1   e 1.234 *m/SLICE_86.F0 to *m/SLICE_47.C0 ram2e_ufm/wb_adr_7_i_i_4[0]
    +CTOF_DEL    ---     0.495 *m/SLICE_47.C0 to *m/SLICE_47.F0 ram2e_ufm/SLICE_47
    +ROUTE         1   e 0.001 *m/SLICE_47.F0 to */SLICE_47.DI0 ram2e_ufm/wb_adr_7_i_i[0] (to C14M_c)
                       --------
    -                   11.306   (30.3% logic, 69.7% route), 7 logic levels.
    +                   10.827   (31.6% logic, 68.4% route), 7 logic levels.
     
    -Report:   87.268MHz is the maximum frequency for this preference.
    +Report:   90.967MHz is the maximum frequency for this preference.
     
     Report Summary
     --------------
    @@ -87,7 +87,7 @@ Report Summary
     Preference                              |   Constraint|       Actual|Levels
     ----------------------------------------------------------------------------
                                             |             |             |
    -FREQUENCY PORT "C14M" 14.300000 MHz ;   |   14.300 MHz|   87.268 MHz|   7  
    +FREQUENCY PORT "C14M" 14.300000 MHz ;   |   14.300 MHz|   90.967 MHz|   7  
                                             |             |             |
     ----------------------------------------------------------------------------
     
    @@ -100,7 +100,7 @@ Clock Domains Analysis
     
     Found 1 clocks:
     
    -Clock Domain: C14M_c   Source: C14M.PAD   Loads: 84
    +Clock Domain: C14M_c   Source: C14M.PAD   Loads: 89
        Covered under: FREQUENCY PORT "C14M" 14.300000 MHz ;
     
     
    @@ -110,11 +110,11 @@ Timing summary (Setup):
     Timing errors: 0  Score: 0
     Cumulative negative slack: 0
     
    -Constraints cover 1491 paths, 1 nets, and 933 connections (82.86% coverage)
    +Constraints cover 1611 paths, 1 nets, and 1095 connections (82.33% coverage)
     
     --------------------------------------------------------------------------------
     Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.1.454
    -Thu Sep 21 05:34:48 2023
    +Thu Dec 28 23:09:59 2023
     
     Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
     Copyright (c) 1995 AT&T Corp.   All rights reserved.
    @@ -124,7 +124,7 @@ Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
     
     Report Information
     ------------------
    -Command line:    trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2E_LCMXO2_640HC_impl1.tw1 -gui -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/promote.xml RAM2E_LCMXO2_640HC_impl1_map.ncd RAM2E_LCMXO2_640HC_impl1.prf 
    +Command line:    trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2E_LCMXO2_640HC_impl1.tw1 -gui -msgset //Mac/iCloud/Repos/ram2e/CPLD/LCMXO2-640HC/promote.xml RAM2E_LCMXO2_640HC_impl1_map.ncd RAM2E_LCMXO2_640HC_impl1.prf 
     Design file:     ram2e_lcmxo2_640hc_impl1_map.ncd
     Preference file: ram2e_lcmxo2_640hc_impl1.prf
     Device,speed:    LCMXO2-640HC,M
    @@ -139,7 +139,7 @@ BLOCK RESETPATHS
     
     ================================================================================
     Preference: FREQUENCY PORT "C14M" 14.300000 MHz ;
    -            1491 items scored, 0 timing errors detected.
    +            1611 items scored, 0 timing errors detected.
     --------------------------------------------------------------------------------
     
     
    @@ -164,7 +164,7 @@ Passed: The following path meets requirements by 0.447ns
     
        Name    Fanout   Delay (ns)          Site               Resource
     REG_DEL     ---     0.133    SLICE_0.CLK to     SLICE_0.Q1 SLICE_0 (from C14M_c)
    -ROUTE         5   e 0.199     SLICE_0.Q1 to     SLICE_0.A1 FS[0]
    +ROUTE         6   e 0.199     SLICE_0.Q1 to     SLICE_0.A1 FS[0]
     CTOF_DEL    ---     0.101     SLICE_0.A1 to     SLICE_0.F1 SLICE_0
     ROUTE         1   e 0.001     SLICE_0.F1 to    SLICE_0.DI1 FS_s[0] (to C14M_c)
                       --------
    @@ -189,7 +189,7 @@ Clock Domains Analysis
     
     Found 1 clocks:
     
    -Clock Domain: C14M_c   Source: C14M.PAD   Loads: 84
    +Clock Domain: C14M_c   Source: C14M.PAD   Loads: 89
        Covered under: FREQUENCY PORT "C14M" 14.300000 MHz ;
     
     
    @@ -199,7 +199,7 @@ Timing summary (Hold):
     Timing errors: 0  Score: 0
     Cumulative negative slack: 0
     
    -Constraints cover 1491 paths, 1 nets, and 933 connections (82.86% coverage)
    +Constraints cover 1611 paths, 1 nets, and 1095 connections (82.33% coverage)
     
     
     
    diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.twr b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.twr
    index 8f602e5..48488ef 100644
    --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.twr
    +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.twr
    @@ -13,7 +13,7 @@ Setup and Hold Report
     
     --------------------------------------------------------------------------------
     Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.1.454
    -Thu Sep 21 05:35:07 2023
    +Thu Dec 28 23:10:16 2023
     
     Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
     Copyright (c) 1995 AT&T Corp.   All rights reserved.
    @@ -23,7 +23,7 @@ Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
     
     Report Information
     ------------------
    -Command line:    trce -v 10 -gt -sethld -sp 4 -sphld m -o RAM2E_LCMXO2_640HC_impl1.twr -gui -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/promote.xml RAM2E_LCMXO2_640HC_impl1.ncd RAM2E_LCMXO2_640HC_impl1.prf 
    +Command line:    trce -v 10 -gt -sethld -sp 4 -sphld m -o RAM2E_LCMXO2_640HC_impl1.twr -gui -msgset //Mac/iCloud/Repos/ram2e/CPLD/LCMXO2-640HC/promote.xml RAM2E_LCMXO2_640HC_impl1.ncd RAM2E_LCMXO2_640HC_impl1.prf 
     Design file:     ram2e_lcmxo2_640hc_impl1.ncd
     Preference file: ram2e_lcmxo2_640hc_impl1.prf
     Device,speed:    LCMXO2-640HC,4
    @@ -38,536 +38,550 @@ BLOCK RESETPATHS
     
     ================================================================================
     Preference: FREQUENCY PORT "C14M" 14.300000 MHz ;
    -            1491 items scored, 0 timing errors detected.
    +            1611 items scored, 0 timing errors detected.
     --------------------------------------------------------------------------------
     
     
    -Passed: The following path meets requirements by 57.366ns
    +Passed: The following path meets requirements by 57.938ns
     
      Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
     
    -   Source:         FF         Q              FS[11]  (from C14M_c +)
    -   Destination:    FF         Data in        nRWE_0io  (to C14M_c +)
    +   Source:         FF         Q              S[0]  (from C14M_c +)
    +   Destination:    FF         Data in        ram2e_ufm/wb_adr[0]  (to C14M_c +)
     
    -   Delay:              12.584ns  (27.2% logic, 72.8% route), 7 logic levels.
    +   Delay:              11.826ns  (24.8% logic, 75.2% route), 6 logic levels.
     
      Constraint Details:
     
    -     12.584ns physical path delay SLICE_3 to nRWE_MGIOL meets
    -     69.930ns delay constraint less
    -     -0.173ns skew and
    -      0.153ns DO_SET requirement (totaling 69.950ns) by 57.366ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_3 to nRWE_MGIOL:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.452      R2C8C.CLK to       R2C8C.Q0 SLICE_3 (from C14M_c)
    -ROUTE        19     2.765       R2C8C.Q0 to       R2C6A.A1 FS[11]
    -CTOF_DEL    ---     0.495       R2C6A.A1 to       R2C6A.F1 SLICE_64
    -ROUTE         4     0.791       R2C6A.F1 to       R3C6A.C0 N_577
    -CTOF_DEL    ---     0.495       R3C6A.C0 to       R3C6A.F0 SLICE_97
    -ROUTE         3     1.345       R3C6A.F0 to       R3C9C.B1 N_489
    -CTOF_DEL    ---     0.495       R3C9C.B1 to       R3C9C.F1 SLICE_75
    -ROUTE         3     0.453       R3C9C.F1 to       R3C9C.C0 N_628
    -CTOF_DEL    ---     0.495       R3C9C.C0 to       R3C9C.F0 SLICE_75
    -ROUTE         2     0.993       R3C9C.F0 to       R5C9A.A1 N_640
    -CTOF_DEL    ---     0.495       R5C9A.A1 to       R5C9A.F1 SLICE_71
    -ROUTE         1     0.623       R5C9A.F1 to      R5C10B.D0 un1_nCS61_1_i
    -CTOF_DEL    ---     0.495      R5C10B.D0 to      R5C10B.F0 SLICE_115
    -ROUTE         1     2.192      R5C10B.F0 to   IOL_R7D.OPOS nRWE_r_0 (to C14M_c)
    -                  --------
    -                   12.584   (27.2% logic, 72.8% route), 7 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path C14M to SLICE_3:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     3.070       62.PADDI to      R2C8C.CLK C14M_c
    -                  --------
    -                    3.070   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path C14M to nRWE_MGIOL:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     3.243       62.PADDI to    IOL_R7D.CLK C14M_c
    -                  --------
    -                    3.243   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -
    -Passed: The following path meets requirements by 57.494ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              FS[11]  (from C14M_c +)
    -   Destination:    FF         Data in        nRAS_0io  (to C14M_c +)
    -
    -   Delay:              12.456ns  (23.5% logic, 76.5% route), 6 logic levels.
    -
    - Constraint Details:
    -
    -     12.456ns physical path delay SLICE_3 to nRAS_MGIOL meets
    -     69.930ns delay constraint less
    -     -0.173ns skew and
    -      0.153ns DO_SET requirement (totaling 69.950ns) by 57.494ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_3 to nRAS_MGIOL:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.452      R2C8C.CLK to       R2C8C.Q0 SLICE_3 (from C14M_c)
    -ROUTE        19     2.765       R2C8C.Q0 to       R2C6A.A1 FS[11]
    -CTOF_DEL    ---     0.495       R2C6A.A1 to       R2C6A.F1 SLICE_64
    -ROUTE         4     0.791       R2C6A.F1 to       R3C6A.C0 N_577
    -CTOF_DEL    ---     0.495       R3C6A.C0 to       R3C6A.F0 SLICE_97
    -ROUTE         3     1.345       R3C6A.F0 to       R3C9C.B1 N_489
    -CTOF_DEL    ---     0.495       R3C9C.B1 to       R3C9C.F1 SLICE_75
    -ROUTE         3     1.003       R3C9C.F1 to      R3C10D.A0 N_628
    -CTOF_DEL    ---     0.495      R3C10D.A0 to      R3C10D.F0 SLICE_83
    -ROUTE         2     1.505      R3C10D.F0 to      R5C10A.A0 N_559_1
    -CTOF_DEL    ---     0.495      R5C10A.A0 to      R5C10A.F0 SLICE_80
    -ROUTE         1     2.120      R5C10A.F0 to   IOL_R7A.OPOS nRAS_2_iv_i (to C14M_c)
    -                  --------
    -                   12.456   (23.5% logic, 76.5% route), 6 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path C14M to SLICE_3:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     3.070       62.PADDI to      R2C8C.CLK C14M_c
    -                  --------
    -                    3.070   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path C14M to nRAS_MGIOL:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     3.243       62.PADDI to    IOL_R7A.CLK C14M_c
    -                  --------
    -                    3.243   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -
    -Passed: The following path meets requirements by 57.502ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              FS[11]  (from C14M_c +)
    -   Destination:    FF         Data in        nRWE_0io  (to C14M_c +)
    -
    -   Delay:              12.448ns  (23.5% logic, 76.5% route), 6 logic levels.
    -
    - Constraint Details:
    -
    -     12.448ns physical path delay SLICE_3 to nRWE_MGIOL meets
    -     69.930ns delay constraint less
    -     -0.173ns skew and
    -      0.153ns DO_SET requirement (totaling 69.950ns) by 57.502ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_3 to nRWE_MGIOL:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.452      R2C8C.CLK to       R2C8C.Q0 SLICE_3 (from C14M_c)
    -ROUTE        19     2.765       R2C8C.Q0 to       R2C6A.A1 FS[11]
    -CTOF_DEL    ---     0.495       R2C6A.A1 to       R2C6A.F1 SLICE_64
    -ROUTE         4     0.791       R2C6A.F1 to       R3C6A.C0 N_577
    -CTOF_DEL    ---     0.495       R3C6A.C0 to       R3C6A.F0 SLICE_97
    -ROUTE         3     1.345       R3C6A.F0 to       R3C9C.B1 N_489
    -CTOF_DEL    ---     0.495       R3C9C.B1 to       R3C9C.F1 SLICE_75
    -ROUTE         3     0.710       R3C9C.F1 to       R3C9B.B0 N_628
    -CTOF_DEL    ---     0.495       R3C9B.B0 to       R3C9B.F0 SLICE_76
    -ROUTE         3     1.718       R3C9B.F0 to      R5C10B.C0 nCAS_0_sqmuxa
    -CTOF_DEL    ---     0.495      R5C10B.C0 to      R5C10B.F0 SLICE_115
    -ROUTE         1     2.192      R5C10B.F0 to   IOL_R7D.OPOS nRWE_r_0 (to C14M_c)
    -                  --------
    -                   12.448   (23.5% logic, 76.5% route), 6 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path C14M to SLICE_3:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     3.070       62.PADDI to      R2C8C.CLK C14M_c
    -                  --------
    -                    3.070   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path C14M to nRWE_MGIOL:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     3.243       62.PADDI to    IOL_R7D.CLK C14M_c
    -                  --------
    -                    3.243   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -
    -Passed: The following path meets requirements by 57.921ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              FS[11]  (from C14M_c +)
    -   Destination:    FF         Data in        nCS_0io  (to C14M_c +)
    -
    -   Delay:              12.029ns  (24.3% logic, 75.7% route), 6 logic levels.
    -
    - Constraint Details:
    -
    -     12.029ns physical path delay SLICE_3 to nCS_MGIOL meets
    -     69.930ns delay constraint less
    -     -0.173ns skew and
    -      0.153ns DO_SET requirement (totaling 69.950ns) by 57.921ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_3 to nCS_MGIOL:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.452      R2C8C.CLK to       R2C8C.Q0 SLICE_3 (from C14M_c)
    -ROUTE        19     2.765       R2C8C.Q0 to       R2C6A.A1 FS[11]
    -CTOF_DEL    ---     0.495       R2C6A.A1 to       R2C6A.F1 SLICE_64
    -ROUTE         4     0.791       R2C6A.F1 to       R3C6A.C0 N_577
    -CTOF_DEL    ---     0.495       R3C6A.C0 to       R3C6A.F0 SLICE_97
    -ROUTE         3     1.345       R3C6A.F0 to       R3C9C.B1 N_489
    -CTOF_DEL    ---     0.495       R3C9C.B1 to       R3C9C.F1 SLICE_75
    -ROUTE         3     1.003       R3C9C.F1 to      R3C10D.A0 N_628
    -CTOF_DEL    ---     0.495      R3C10D.A0 to      R3C10D.F0 SLICE_83
    -ROUTE         2     1.505      R3C10D.F0 to      R6C10A.A0 N_559_1
    -CTOF_DEL    ---     0.495      R6C10A.A0 to      R6C10A.F0 SLICE_79
    -ROUTE         1     1.693      R6C10A.F0 to   IOL_R6D.OPOS N_559_i (to C14M_c)
    -                  --------
    -                   12.029   (24.3% logic, 75.7% route), 6 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path C14M to SLICE_3:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     3.070       62.PADDI to      R2C8C.CLK C14M_c
    -                  --------
    -                    3.070   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path C14M to nCS_MGIOL:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     3.243       62.PADDI to    IOL_R6D.CLK C14M_c
    -                  --------
    -                    3.243   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -
    -Passed: The following path meets requirements by 58.106ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              FS[11]  (from C14M_c +)
    -   Destination:    FF         Data in        nCAS_0io  (to C14M_c +)
    -
    -   Delay:              11.844ns  (24.7% logic, 75.3% route), 6 logic levels.
    -
    - Constraint Details:
    -
    -     11.844ns physical path delay SLICE_3 to nCAS_MGIOL meets
    -     69.930ns delay constraint less
    -     -0.173ns skew and
    -      0.153ns DO_SET requirement (totaling 69.950ns) by 58.106ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_3 to nCAS_MGIOL:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.452      R2C8C.CLK to       R2C8C.Q0 SLICE_3 (from C14M_c)
    -ROUTE        19     2.765       R2C8C.Q0 to       R2C6A.A1 FS[11]
    -CTOF_DEL    ---     0.495       R2C6A.A1 to       R2C6A.F1 SLICE_64
    -ROUTE         4     0.791       R2C6A.F1 to       R3C6A.C0 N_577
    -CTOF_DEL    ---     0.495       R3C6A.C0 to       R3C6A.F0 SLICE_97
    -ROUTE         3     1.345       R3C6A.F0 to       R3C9C.B1 N_489
    -CTOF_DEL    ---     0.495       R3C9C.B1 to       R3C9C.F1 SLICE_75
    -ROUTE         3     0.710       R3C9C.F1 to       R3C9B.B0 N_628
    -CTOF_DEL    ---     0.495       R3C9B.B0 to       R3C9B.F0 SLICE_76
    -ROUTE         3     1.511       R3C9B.F0 to       R5C9C.A0 nCAS_0_sqmuxa
    -CTOF_DEL    ---     0.495       R5C9C.A0 to       R5C9C.F0 SLICE_78
    -ROUTE         1     1.795       R5C9C.F0 to   IOL_R7C.OPOS N_561_i (to C14M_c)
    -                  --------
    -                   11.844   (24.7% logic, 75.3% route), 6 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path C14M to SLICE_3:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     3.070       62.PADDI to      R2C8C.CLK C14M_c
    -                  --------
    -                    3.070   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path C14M to nCAS_MGIOL:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     3.243       62.PADDI to    IOL_R7C.CLK C14M_c
    -                  --------
    -                    3.243   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -
    -Passed: The following path meets requirements by 58.447ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              FS[11]  (from C14M_c +)
    -   Destination:    FF         Data in        RA_0io[10]  (to C14M_c +)
    -
    -   Delay:              11.503ns  (25.4% logic, 74.6% route), 6 logic levels.
    -
    - Constraint Details:
    -
    -     11.503ns physical path delay SLICE_3 to RA[10]_MGIOL meets
    -     69.930ns delay constraint less
    -     -0.173ns skew and
    -      0.153ns DO_SET requirement (totaling 69.950ns) by 58.447ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_3 to RA[10]_MGIOL:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.452      R2C8C.CLK to       R2C8C.Q0 SLICE_3 (from C14M_c)
    -ROUTE        19     2.765       R2C8C.Q0 to       R2C6A.A1 FS[11]
    -CTOF_DEL    ---     0.495       R2C6A.A1 to       R2C6A.F1 SLICE_64
    -ROUTE         4     0.791       R2C6A.F1 to       R3C6A.C0 N_577
    -CTOF_DEL    ---     0.495       R3C6A.C0 to       R3C6A.F0 SLICE_97
    -ROUTE         3     1.345       R3C6A.F0 to       R3C9C.B1 N_489
    -CTOF_DEL    ---     0.495       R3C9C.B1 to       R3C9C.F1 SLICE_75
    -ROUTE         3     0.710       R3C9C.F1 to       R3C9B.B0 N_628
    -CTOF_DEL    ---     0.495       R3C9B.B0 to       R3C9B.F0 SLICE_76
    -ROUTE         3     1.170       R3C9B.F0 to       R5C9D.D1 nCAS_0_sqmuxa
    -CTOF_DEL    ---     0.495       R5C9D.D1 to       R5C9D.F1 SLICE_69
    -ROUTE         1     1.795       R5C9D.F1 to   IOL_R5B.OPOS RA_42[10] (to C14M_c)
    -                  --------
    -                   11.503   (25.4% logic, 74.6% route), 6 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path C14M to SLICE_3:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     3.070       62.PADDI to      R2C8C.CLK C14M_c
    -                  --------
    -                    3.070   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path C14M to RA[10]_MGIOL:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     3.243       62.PADDI to    IOL_R5B.CLK C14M_c
    -                  --------
    -                    3.243   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -
    -Passed: The following path meets requirements by 58.587ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              S[2]  (from C14M_c +)
    -   Destination:    FF         Data in        wb_adr[0]  (to C14M_c +)
    -
    -   Delay:              11.177ns  (26.2% logic, 73.8% route), 6 logic levels.
    -
    - Constraint Details:
    -
    -     11.177ns physical path delay SLICE_34 to SLICE_35 meets
    +     11.826ns physical path delay SLICE_33 to ram2e_ufm/SLICE_47 meets
          69.930ns delay constraint less
           0.000ns skew and
    -      0.166ns DIN_SET requirement (totaling 69.764ns) by 58.587ns
    +      0.166ns DIN_SET requirement (totaling 69.764ns) by 57.938ns
     
      Physical Path Details:
     
    -      Data path SLICE_34 to SLICE_35:
    +      Data path SLICE_33 to ram2e_ufm/SLICE_47:
     
        Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.452      R4C9C.CLK to       R4C9C.Q0 SLICE_34 (from C14M_c)
    -ROUTE        48     1.873       R4C9C.Q0 to       R6C9B.B0 S[2]
    -CTOF_DEL    ---     0.495       R6C9B.B0 to       R6C9B.F0 SLICE_47
    -ROUTE         7     2.435       R6C9B.F0 to       R4C5B.B0 S_RNII9DO1[1]
    -CTOF_DEL    ---     0.495       R4C5B.B0 to       R4C5B.F0 SLICE_73
    -ROUTE         8     1.931       R4C5B.F0 to       R4C4C.D0 N_455
    -CTOF_DEL    ---     0.495       R4C4C.D0 to       R4C4C.F0 SLICE_89
    -ROUTE         1     0.626       R4C4C.F0 to       R4C4A.D0 N_378
    -CTOF_DEL    ---     0.495       R4C4A.D0 to       R4C4A.F0 SLICE_85
    -ROUTE         1     1.385       R4C4A.F0 to       R2C4B.D0 wb_adr_7_0_4[0]
    -CTOF_DEL    ---     0.495       R2C4B.D0 to       R2C4B.F0 SLICE_35
    -ROUTE         1     0.000       R2C4B.F0 to      R2C4B.DI0 wb_adr_7[0] (to C14M_c)
    +REG_DEL     ---     0.452     R6C10C.CLK to      R6C10C.Q0 SLICE_33 (from C14M_c)
    +ROUTE        37     2.491      R6C10C.Q0 to       R6C9B.D1 S[0]
    +CTOF_DEL    ---     0.495       R6C9B.D1 to       R6C9B.F1 ram2e_ufm/SLICE_80
    +ROUTE         8     2.866       R6C9B.F1 to       R3C5B.B1 ram2e_ufm/N_777
    +CTOF_DEL    ---     0.495       R3C5B.B1 to       R3C5B.F1 ram2e_ufm/SLICE_89
    +ROUTE         6     1.040       R3C5B.F1 to       R4C5C.B1 ram2e_ufm/N_783
    +CTOF_DEL    ---     0.495       R4C5C.B1 to       R4C5C.F1 ram2e_ufm/SLICE_68
    +ROUTE         1     0.967       R4C5C.F1 to       R4C5A.A0 ram2e_ufm/wb_adr_7_i_i_3[0]
    +CTOF_DEL    ---     0.495       R4C5A.A0 to       R4C5A.F0 ram2e_ufm/SLICE_86
    +ROUTE         1     1.535       R4C5A.F0 to       R3C6C.B0 ram2e_ufm/wb_adr_7_i_i_4[0]
    +CTOF_DEL    ---     0.495       R3C6C.B0 to       R3C6C.F0 ram2e_ufm/SLICE_47
    +ROUTE         1     0.000       R3C6C.F0 to      R3C6C.DI0 ram2e_ufm/wb_adr_7_i_i[0] (to C14M_c)
                       --------
    -                   11.177   (26.2% logic, 73.8% route), 6 logic levels.
    +                   11.826   (24.8% logic, 75.2% route), 6 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path C14M to SLICE_33:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        89     3.070       62.PADDI to     R6C10C.CLK C14M_c
    +                  --------
    +                    3.070   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path C14M to ram2e_ufm/SLICE_47:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        89     3.070       62.PADDI to      R3C6C.CLK C14M_c
    +                  --------
    +                    3.070   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +
    +Passed: The following path meets requirements by 57.944ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              S[3]  (from C14M_c +)
    +   Destination:    FF         Data in        ram2e_ufm/wb_adr[0]  (to C14M_c +)
    +
    +   Delay:              11.820ns  (29.0% logic, 71.0% route), 7 logic levels.
    +
    + Constraint Details:
    +
    +     11.820ns physical path delay SLICE_34 to ram2e_ufm/SLICE_47 meets
    +     69.930ns delay constraint less
    +      0.000ns skew and
    +      0.166ns DIN_SET requirement (totaling 69.764ns) by 57.944ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_34 to ram2e_ufm/SLICE_47:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.452     R6C10D.CLK to      R6C10D.Q1 SLICE_34 (from C14M_c)
    +ROUTE        45     1.001      R6C10D.Q1 to      R6C10A.A0 S[3]
    +CTOF_DEL    ---     0.495      R6C10A.A0 to      R6C10A.F0 SLICE_35
    +ROUTE         7     0.989      R6C10A.F0 to       R6C9B.A1 N_551
    +CTOF_DEL    ---     0.495       R6C9B.A1 to       R6C9B.F1 ram2e_ufm/SLICE_80
    +ROUTE         8     2.866       R6C9B.F1 to       R3C5B.B1 ram2e_ufm/N_777
    +CTOF_DEL    ---     0.495       R3C5B.B1 to       R3C5B.F1 ram2e_ufm/SLICE_89
    +ROUTE         6     1.040       R3C5B.F1 to       R4C5C.B1 ram2e_ufm/N_783
    +CTOF_DEL    ---     0.495       R4C5C.B1 to       R4C5C.F1 ram2e_ufm/SLICE_68
    +ROUTE         1     0.967       R4C5C.F1 to       R4C5A.A0 ram2e_ufm/wb_adr_7_i_i_3[0]
    +CTOF_DEL    ---     0.495       R4C5A.A0 to       R4C5A.F0 ram2e_ufm/SLICE_86
    +ROUTE         1     1.535       R4C5A.F0 to       R3C6C.B0 ram2e_ufm/wb_adr_7_i_i_4[0]
    +CTOF_DEL    ---     0.495       R3C6C.B0 to       R3C6C.F0 ram2e_ufm/SLICE_47
    +ROUTE         1     0.000       R3C6C.F0 to      R3C6C.DI0 ram2e_ufm/wb_adr_7_i_i[0] (to C14M_c)
    +                  --------
    +                   11.820   (29.0% logic, 71.0% route), 7 logic levels.
     
      Clock Skew Details: 
     
           Source Clock Path C14M to SLICE_34:
     
        Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     3.070       62.PADDI to      R4C9C.CLK C14M_c
    +ROUTE        89     3.070       62.PADDI to     R6C10D.CLK C14M_c
                       --------
                         3.070   (0.0% logic, 100.0% route), 0 logic levels.
     
    -      Destination Clock Path C14M to SLICE_35:
    +      Destination Clock Path C14M to ram2e_ufm/SLICE_47:
     
        Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     3.070       62.PADDI to      R2C4B.CLK C14M_c
    +ROUTE        89     3.070       62.PADDI to      R3C6C.CLK C14M_c
                       --------
                         3.070   (0.0% logic, 100.0% route), 0 logic levels.
     
     
    -Passed: The following path meets requirements by 58.757ns
    +Passed: The following path meets requirements by 58.190ns
     
      Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
     
    -   Source:         FF         Q              FS[12]  (from C14M_c +)
    -   Destination:    FF         Data in        nRWE_0io  (to C14M_c +)
    +   Source:         FF         Q              FS[15]  (from C14M_c +)
    +   Destination:    FF         Data in        ram2e_ufm/wb_adr[0]  (to C14M_c +)
     
    -   Delay:              11.193ns  (30.6% logic, 69.4% route), 7 logic levels.
    +   Delay:              11.574ns  (25.3% logic, 74.7% route), 6 logic levels.
     
      Constraint Details:
     
    -     11.193ns physical path delay SLICE_3 to nRWE_MGIOL meets
    -     69.930ns delay constraint less
    -     -0.173ns skew and
    -      0.153ns DO_SET requirement (totaling 69.950ns) by 58.757ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_3 to nRWE_MGIOL:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.452      R2C8C.CLK to       R2C8C.Q1 SLICE_3 (from C14M_c)
    -ROUTE        22     1.463       R2C8C.Q1 to       R3C6A.A1 FS[12]
    -CTOF_DEL    ---     0.495       R3C6A.A1 to       R3C6A.F1 SLICE_97
    -ROUTE         2     0.702       R3C6A.F1 to       R3C6A.B0 N_456
    -CTOF_DEL    ---     0.495       R3C6A.B0 to       R3C6A.F0 SLICE_97
    -ROUTE         3     1.345       R3C6A.F0 to       R3C9C.B1 N_489
    -CTOF_DEL    ---     0.495       R3C9C.B1 to       R3C9C.F1 SLICE_75
    -ROUTE         3     0.453       R3C9C.F1 to       R3C9C.C0 N_628
    -CTOF_DEL    ---     0.495       R3C9C.C0 to       R3C9C.F0 SLICE_75
    -ROUTE         2     0.993       R3C9C.F0 to       R5C9A.A1 N_640
    -CTOF_DEL    ---     0.495       R5C9A.A1 to       R5C9A.F1 SLICE_71
    -ROUTE         1     0.623       R5C9A.F1 to      R5C10B.D0 un1_nCS61_1_i
    -CTOF_DEL    ---     0.495      R5C10B.D0 to      R5C10B.F0 SLICE_115
    -ROUTE         1     2.192      R5C10B.F0 to   IOL_R7D.OPOS nRWE_r_0 (to C14M_c)
    -                  --------
    -                   11.193   (30.6% logic, 69.4% route), 7 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path C14M to SLICE_3:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     3.070       62.PADDI to      R2C8C.CLK C14M_c
    -                  --------
    -                    3.070   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path C14M to nRWE_MGIOL:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     3.243       62.PADDI to    IOL_R7D.CLK C14M_c
    -                  --------
    -                    3.243   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -
    -Passed: The following path meets requirements by 58.784ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              S[0]  (from C14M_c +)
    -   Destination:    FF         Data in        wb_adr[0]  (to C14M_c +)
    -
    -   Delay:              10.980ns  (26.7% logic, 73.3% route), 6 logic levels.
    -
    - Constraint Details:
    -
    -     10.980ns physical path delay SLICE_33 to SLICE_35 meets
    +     11.574ns physical path delay SLICE_1 to ram2e_ufm/SLICE_47 meets
          69.930ns delay constraint less
           0.000ns skew and
    -      0.166ns DIN_SET requirement (totaling 69.764ns) by 58.784ns
    +      0.166ns DIN_SET requirement (totaling 69.764ns) by 58.190ns
     
      Physical Path Details:
     
    -      Data path SLICE_33 to SLICE_35:
    +      Data path SLICE_1 to ram2e_ufm/SLICE_47:
     
        Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.452      R4C9D.CLK to       R4C9D.Q0 SLICE_33 (from C14M_c)
    -ROUTE        30     1.676       R4C9D.Q0 to       R6C9B.C0 S[0]
    -CTOF_DEL    ---     0.495       R6C9B.C0 to       R6C9B.F0 SLICE_47
    -ROUTE         7     2.435       R6C9B.F0 to       R4C5B.B0 S_RNII9DO1[1]
    -CTOF_DEL    ---     0.495       R4C5B.B0 to       R4C5B.F0 SLICE_73
    -ROUTE         8     1.931       R4C5B.F0 to       R4C4C.D0 N_455
    -CTOF_DEL    ---     0.495       R4C4C.D0 to       R4C4C.F0 SLICE_89
    -ROUTE         1     0.626       R4C4C.F0 to       R4C4A.D0 N_378
    -CTOF_DEL    ---     0.495       R4C4A.D0 to       R4C4A.F0 SLICE_85
    -ROUTE         1     1.385       R4C4A.F0 to       R2C4B.D0 wb_adr_7_0_4[0]
    -CTOF_DEL    ---     0.495       R2C4B.D0 to       R2C4B.F0 SLICE_35
    -ROUTE         1     0.000       R2C4B.F0 to      R2C4B.DI0 wb_adr_7[0] (to C14M_c)
    +REG_DEL     ---     0.452     R2C11A.CLK to      R2C11A.Q0 SLICE_1 (from C14M_c)
    +ROUTE         9     2.239      R2C11A.Q0 to       R6C9B.C1 FS[15]
    +CTOF_DEL    ---     0.495       R6C9B.C1 to       R6C9B.F1 ram2e_ufm/SLICE_80
    +ROUTE         8     2.866       R6C9B.F1 to       R3C5B.B1 ram2e_ufm/N_777
    +CTOF_DEL    ---     0.495       R3C5B.B1 to       R3C5B.F1 ram2e_ufm/SLICE_89
    +ROUTE         6     1.040       R3C5B.F1 to       R4C5C.B1 ram2e_ufm/N_783
    +CTOF_DEL    ---     0.495       R4C5C.B1 to       R4C5C.F1 ram2e_ufm/SLICE_68
    +ROUTE         1     0.967       R4C5C.F1 to       R4C5A.A0 ram2e_ufm/wb_adr_7_i_i_3[0]
    +CTOF_DEL    ---     0.495       R4C5A.A0 to       R4C5A.F0 ram2e_ufm/SLICE_86
    +ROUTE         1     1.535       R4C5A.F0 to       R3C6C.B0 ram2e_ufm/wb_adr_7_i_i_4[0]
    +CTOF_DEL    ---     0.495       R3C6C.B0 to       R3C6C.F0 ram2e_ufm/SLICE_47
    +ROUTE         1     0.000       R3C6C.F0 to      R3C6C.DI0 ram2e_ufm/wb_adr_7_i_i[0] (to C14M_c)
                       --------
    -                   10.980   (26.7% logic, 73.3% route), 6 logic levels.
    +                   11.574   (25.3% logic, 74.7% route), 6 logic levels.
     
      Clock Skew Details: 
     
    -      Source Clock Path C14M to SLICE_33:
    +      Source Clock Path C14M to SLICE_1:
     
        Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     3.070       62.PADDI to      R4C9D.CLK C14M_c
    +ROUTE        89     3.070       62.PADDI to     R2C11A.CLK C14M_c
                       --------
                         3.070   (0.0% logic, 100.0% route), 0 logic levels.
     
    -      Destination Clock Path C14M to SLICE_35:
    +      Destination Clock Path C14M to ram2e_ufm/SLICE_47:
     
        Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     3.070       62.PADDI to      R2C4B.CLK C14M_c
    +ROUTE        89     3.070       62.PADDI to      R3C6C.CLK C14M_c
                       --------
                         3.070   (0.0% logic, 100.0% route), 0 logic levels.
     
     
    -Passed: The following path meets requirements by 29.415ns (weighted slack = 58.830ns)
    +Passed: The following path meets requirements by 58.271ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              S[2]  (from C14M_c +)
    +   Destination:    FF         Data in        ram2e_ufm/wb_adr[0]  (to C14M_c +)
    +
    +   Delay:              11.493ns  (29.8% logic, 70.2% route), 7 logic levels.
    +
    + Constraint Details:
    +
    +     11.493ns physical path delay SLICE_34 to ram2e_ufm/SLICE_47 meets
    +     69.930ns delay constraint less
    +      0.000ns skew and
    +      0.166ns DIN_SET requirement (totaling 69.764ns) by 58.271ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_34 to ram2e_ufm/SLICE_47:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.452     R6C10D.CLK to      R6C10D.Q0 SLICE_34 (from C14M_c)
    +ROUTE        50     0.674      R6C10D.Q0 to      R6C10A.D0 S[2]
    +CTOF_DEL    ---     0.495      R6C10A.D0 to      R6C10A.F0 SLICE_35
    +ROUTE         7     0.989      R6C10A.F0 to       R6C9B.A1 N_551
    +CTOF_DEL    ---     0.495       R6C9B.A1 to       R6C9B.F1 ram2e_ufm/SLICE_80
    +ROUTE         8     2.866       R6C9B.F1 to       R3C5B.B1 ram2e_ufm/N_777
    +CTOF_DEL    ---     0.495       R3C5B.B1 to       R3C5B.F1 ram2e_ufm/SLICE_89
    +ROUTE         6     1.040       R3C5B.F1 to       R4C5C.B1 ram2e_ufm/N_783
    +CTOF_DEL    ---     0.495       R4C5C.B1 to       R4C5C.F1 ram2e_ufm/SLICE_68
    +ROUTE         1     0.967       R4C5C.F1 to       R4C5A.A0 ram2e_ufm/wb_adr_7_i_i_3[0]
    +CTOF_DEL    ---     0.495       R4C5A.A0 to       R4C5A.F0 ram2e_ufm/SLICE_86
    +ROUTE         1     1.535       R4C5A.F0 to       R3C6C.B0 ram2e_ufm/wb_adr_7_i_i_4[0]
    +CTOF_DEL    ---     0.495       R3C6C.B0 to       R3C6C.F0 ram2e_ufm/SLICE_47
    +ROUTE         1     0.000       R3C6C.F0 to      R3C6C.DI0 ram2e_ufm/wb_adr_7_i_i[0] (to C14M_c)
    +                  --------
    +                   11.493   (29.8% logic, 70.2% route), 7 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path C14M to SLICE_34:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        89     3.070       62.PADDI to     R6C10D.CLK C14M_c
    +                  --------
    +                    3.070   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path C14M to ram2e_ufm/SLICE_47:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        89     3.070       62.PADDI to      R3C6C.CLK C14M_c
    +                  --------
    +                    3.070   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +
    +Passed: The following path meets requirements by 58.733ns
     
      Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
     
        Source:         FF         Q              S[0]  (from C14M_c +)
    -   Destination:    FF         Data in        Dout_0io[0]  (to C14M_c -)
    +   Destination:    FF         Data in        ram2e_ufm/wb_dati[2]  (to C14M_c +)
     
    -   Delay:               5.676ns  (16.7% logic, 83.3% route), 2 logic levels.
    +   Delay:              11.031ns  (26.5% logic, 73.5% route), 6 logic levels.
     
      Constraint Details:
     
    -      5.676ns physical path delay SLICE_33 to Dout[0]_MGIOL meets
    -     34.965ns delay constraint less
    -     -0.173ns skew and
    -      0.047ns CE_SET requirement (totaling 35.091ns) by 29.415ns
    +     11.031ns physical path delay SLICE_33 to ram2e_ufm/SLICE_53 meets
    +     69.930ns delay constraint less
    +      0.000ns skew and
    +      0.166ns DIN_SET requirement (totaling 69.764ns) by 58.733ns
     
      Physical Path Details:
     
    -      Data path SLICE_33 to Dout[0]_MGIOL:
    +      Data path SLICE_33 to ram2e_ufm/SLICE_53:
     
        Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.452      R4C9D.CLK to       R4C9D.Q0 SLICE_33 (from C14M_c)
    -ROUTE        30     1.881       R4C9D.Q0 to       R6C8A.A1 S[0]
    -CTOF_DEL    ---     0.495       R6C8A.A1 to       R6C8A.F1 SLICE_20
    -ROUTE        17     2.848       R6C8A.F1 to     IOL_B4D.CE N_576_i (to C14M_c)
    +REG_DEL     ---     0.452     R6C10C.CLK to      R6C10C.Q0 SLICE_33 (from C14M_c)
    +ROUTE        37     2.491      R6C10C.Q0 to       R6C9B.D1 S[0]
    +CTOF_DEL    ---     0.495       R6C9B.D1 to       R6C9B.F1 ram2e_ufm/SLICE_80
    +ROUTE         8     1.621       R6C9B.F1 to       R2C7D.D1 ram2e_ufm/N_777
    +CTOF_DEL    ---     0.495       R2C7D.D1 to       R2C7D.F1 ram2e_ufm/SLICE_70
    +ROUTE         3     0.981       R2C7D.F1 to       R2C6D.A1 ram2e_ufm/N_807
    +CTOF_DEL    ---     0.495       R2C6D.A1 to       R2C6D.F1 ram2e_ufm/SLICE_111
    +ROUTE         3     2.253       R2C6D.F1 to       R3C4C.A1 ram2e_ufm/N_611
    +CTOF_DEL    ---     0.495       R3C4C.A1 to       R3C4C.F1 ram2e_ufm/SLICE_73
    +ROUTE         2     0.758       R3C4C.F1 to       R2C4B.C0 ram2e_ufm/wb_dati_7_0_0_o3_0[2]
    +CTOF_DEL    ---     0.495       R2C4B.C0 to       R2C4B.F0 ram2e_ufm/SLICE_53
    +ROUTE         1     0.000       R2C4B.F0 to      R2C4B.DI0 ram2e_ufm/wb_dati_7[2] (to C14M_c)
                       --------
    -                    5.676   (16.7% logic, 83.3% route), 2 logic levels.
    +                   11.031   (26.5% logic, 73.5% route), 6 logic levels.
     
      Clock Skew Details: 
     
           Source Clock Path C14M to SLICE_33:
     
        Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     3.070       62.PADDI to      R4C9D.CLK C14M_c
    +ROUTE        89     3.070       62.PADDI to     R6C10C.CLK C14M_c
                       --------
                         3.070   (0.0% logic, 100.0% route), 0 logic levels.
     
    -      Destination Clock Path C14M to Dout[0]_MGIOL:
    +      Destination Clock Path C14M to ram2e_ufm/SLICE_53:
     
        Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     3.243       62.PADDI to    IOL_B4D.CLK C14M_c
    +ROUTE        89     3.070       62.PADDI to      R2C4B.CLK C14M_c
                       --------
    -                    3.243   (0.0% logic, 100.0% route), 0 logic levels.
    +                    3.070   (0.0% logic, 100.0% route), 0 logic levels.
     
    -Report:   79.592MHz is the maximum frequency for this preference.
    +
    +Passed: The following path meets requirements by 58.733ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              S[0]  (from C14M_c +)
    +   Destination:    FF         Data in        ram2e_ufm/wb_dati[5]  (to C14M_c +)
    +
    +   Delay:              11.031ns  (26.5% logic, 73.5% route), 6 logic levels.
    +
    + Constraint Details:
    +
    +     11.031ns physical path delay SLICE_33 to ram2e_ufm/SLICE_54 meets
    +     69.930ns delay constraint less
    +      0.000ns skew and
    +      0.166ns DIN_SET requirement (totaling 69.764ns) by 58.733ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_33 to ram2e_ufm/SLICE_54:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.452     R6C10C.CLK to      R6C10C.Q0 SLICE_33 (from C14M_c)
    +ROUTE        37     2.491      R6C10C.Q0 to       R6C9B.D1 S[0]
    +CTOF_DEL    ---     0.495       R6C9B.D1 to       R6C9B.F1 ram2e_ufm/SLICE_80
    +ROUTE         8     1.621       R6C9B.F1 to       R2C7D.D1 ram2e_ufm/N_777
    +CTOF_DEL    ---     0.495       R2C7D.D1 to       R2C7D.F1 ram2e_ufm/SLICE_70
    +ROUTE         3     0.981       R2C7D.F1 to       R2C6D.A1 ram2e_ufm/N_807
    +CTOF_DEL    ---     0.495       R2C6D.A1 to       R2C6D.F1 ram2e_ufm/SLICE_111
    +ROUTE         3     2.253       R2C6D.F1 to       R3C4C.A1 ram2e_ufm/N_611
    +CTOF_DEL    ---     0.495       R3C4C.A1 to       R3C4C.F1 ram2e_ufm/SLICE_73
    +ROUTE         2     0.758       R3C4C.F1 to       R2C4D.C1 ram2e_ufm/wb_dati_7_0_0_o3_0[2]
    +CTOF_DEL    ---     0.495       R2C4D.C1 to       R2C4D.F1 ram2e_ufm/SLICE_54
    +ROUTE         1     0.000       R2C4D.F1 to      R2C4D.DI1 ram2e_ufm/wb_dati_7[5] (to C14M_c)
    +                  --------
    +                   11.031   (26.5% logic, 73.5% route), 6 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path C14M to SLICE_33:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        89     3.070       62.PADDI to     R6C10C.CLK C14M_c
    +                  --------
    +                    3.070   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path C14M to ram2e_ufm/SLICE_54:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        89     3.070       62.PADDI to      R2C4D.CLK C14M_c
    +                  --------
    +                    3.070   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +
    +Passed: The following path meets requirements by 58.739ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              S[3]  (from C14M_c +)
    +   Destination:    FF         Data in        ram2e_ufm/wb_dati[5]  (to C14M_c +)
    +
    +   Delay:              11.025ns  (31.0% logic, 69.0% route), 7 logic levels.
    +
    + Constraint Details:
    +
    +     11.025ns physical path delay SLICE_34 to ram2e_ufm/SLICE_54 meets
    +     69.930ns delay constraint less
    +      0.000ns skew and
    +      0.166ns DIN_SET requirement (totaling 69.764ns) by 58.739ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_34 to ram2e_ufm/SLICE_54:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.452     R6C10D.CLK to      R6C10D.Q1 SLICE_34 (from C14M_c)
    +ROUTE        45     1.001      R6C10D.Q1 to      R6C10A.A0 S[3]
    +CTOF_DEL    ---     0.495      R6C10A.A0 to      R6C10A.F0 SLICE_35
    +ROUTE         7     0.989      R6C10A.F0 to       R6C9B.A1 N_551
    +CTOF_DEL    ---     0.495       R6C9B.A1 to       R6C9B.F1 ram2e_ufm/SLICE_80
    +ROUTE         8     1.621       R6C9B.F1 to       R2C7D.D1 ram2e_ufm/N_777
    +CTOF_DEL    ---     0.495       R2C7D.D1 to       R2C7D.F1 ram2e_ufm/SLICE_70
    +ROUTE         3     0.981       R2C7D.F1 to       R2C6D.A1 ram2e_ufm/N_807
    +CTOF_DEL    ---     0.495       R2C6D.A1 to       R2C6D.F1 ram2e_ufm/SLICE_111
    +ROUTE         3     2.253       R2C6D.F1 to       R3C4C.A1 ram2e_ufm/N_611
    +CTOF_DEL    ---     0.495       R3C4C.A1 to       R3C4C.F1 ram2e_ufm/SLICE_73
    +ROUTE         2     0.758       R3C4C.F1 to       R2C4D.C1 ram2e_ufm/wb_dati_7_0_0_o3_0[2]
    +CTOF_DEL    ---     0.495       R2C4D.C1 to       R2C4D.F1 ram2e_ufm/SLICE_54
    +ROUTE         1     0.000       R2C4D.F1 to      R2C4D.DI1 ram2e_ufm/wb_dati_7[5] (to C14M_c)
    +                  --------
    +                   11.025   (31.0% logic, 69.0% route), 7 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path C14M to SLICE_34:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        89     3.070       62.PADDI to     R6C10D.CLK C14M_c
    +                  --------
    +                    3.070   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path C14M to ram2e_ufm/SLICE_54:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        89     3.070       62.PADDI to      R2C4D.CLK C14M_c
    +                  --------
    +                    3.070   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +
    +Passed: The following path meets requirements by 58.739ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              S[3]  (from C14M_c +)
    +   Destination:    FF         Data in        ram2e_ufm/wb_dati[2]  (to C14M_c +)
    +
    +   Delay:              11.025ns  (31.0% logic, 69.0% route), 7 logic levels.
    +
    + Constraint Details:
    +
    +     11.025ns physical path delay SLICE_34 to ram2e_ufm/SLICE_53 meets
    +     69.930ns delay constraint less
    +      0.000ns skew and
    +      0.166ns DIN_SET requirement (totaling 69.764ns) by 58.739ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_34 to ram2e_ufm/SLICE_53:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.452     R6C10D.CLK to      R6C10D.Q1 SLICE_34 (from C14M_c)
    +ROUTE        45     1.001      R6C10D.Q1 to      R6C10A.A0 S[3]
    +CTOF_DEL    ---     0.495      R6C10A.A0 to      R6C10A.F0 SLICE_35
    +ROUTE         7     0.989      R6C10A.F0 to       R6C9B.A1 N_551
    +CTOF_DEL    ---     0.495       R6C9B.A1 to       R6C9B.F1 ram2e_ufm/SLICE_80
    +ROUTE         8     1.621       R6C9B.F1 to       R2C7D.D1 ram2e_ufm/N_777
    +CTOF_DEL    ---     0.495       R2C7D.D1 to       R2C7D.F1 ram2e_ufm/SLICE_70
    +ROUTE         3     0.981       R2C7D.F1 to       R2C6D.A1 ram2e_ufm/N_807
    +CTOF_DEL    ---     0.495       R2C6D.A1 to       R2C6D.F1 ram2e_ufm/SLICE_111
    +ROUTE         3     2.253       R2C6D.F1 to       R3C4C.A1 ram2e_ufm/N_611
    +CTOF_DEL    ---     0.495       R3C4C.A1 to       R3C4C.F1 ram2e_ufm/SLICE_73
    +ROUTE         2     0.758       R3C4C.F1 to       R2C4B.C0 ram2e_ufm/wb_dati_7_0_0_o3_0[2]
    +CTOF_DEL    ---     0.495       R2C4B.C0 to       R2C4B.F0 ram2e_ufm/SLICE_53
    +ROUTE         1     0.000       R2C4B.F0 to      R2C4B.DI0 ram2e_ufm/wb_dati_7[2] (to C14M_c)
    +                  --------
    +                   11.025   (31.0% logic, 69.0% route), 7 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path C14M to SLICE_34:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        89     3.070       62.PADDI to     R6C10D.CLK C14M_c
    +                  --------
    +                    3.070   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path C14M to ram2e_ufm/SLICE_53:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        89     3.070       62.PADDI to      R2C4B.CLK C14M_c
    +                  --------
    +                    3.070   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +
    +Passed: The following path meets requirements by 58.780ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              S[0]  (from C14M_c +)
    +   Destination:    FF         Data in        ram2e_ufm/wb_adr[0]  (to C14M_c +)
    +
    +   Delay:              10.984ns  (26.6% logic, 73.4% route), 6 logic levels.
    +
    + Constraint Details:
    +
    +     10.984ns physical path delay SLICE_33 to ram2e_ufm/SLICE_47 meets
    +     69.930ns delay constraint less
    +      0.000ns skew and
    +      0.166ns DIN_SET requirement (totaling 69.764ns) by 58.780ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_33 to ram2e_ufm/SLICE_47:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.452     R6C10C.CLK to      R6C10C.Q0 SLICE_33 (from C14M_c)
    +ROUTE        37     2.491      R6C10C.Q0 to       R6C9B.D1 S[0]
    +CTOF_DEL    ---     0.495       R6C9B.D1 to       R6C9B.F1 ram2e_ufm/SLICE_80
    +ROUTE         8     2.182       R6C9B.F1 to       R2C5A.C1 ram2e_ufm/N_777
    +CTOF_DEL    ---     0.495       R2C5A.C1 to       R2C5A.F1 ram2e_ufm/SLICE_98
    +ROUTE         5     1.413       R2C5A.F1 to       R4C5B.D0 ram2e_ufm/N_781
    +CTOF_DEL    ---     0.495       R4C5B.D0 to       R4C5B.F0 ram2e_ufm/SLICE_126
    +ROUTE         1     0.436       R4C5B.F0 to       R4C5A.C0 ram2e_ufm/N_753
    +CTOF_DEL    ---     0.495       R4C5A.C0 to       R4C5A.F0 ram2e_ufm/SLICE_86
    +ROUTE         1     1.535       R4C5A.F0 to       R3C6C.B0 ram2e_ufm/wb_adr_7_i_i_4[0]
    +CTOF_DEL    ---     0.495       R3C6C.B0 to       R3C6C.F0 ram2e_ufm/SLICE_47
    +ROUTE         1     0.000       R3C6C.F0 to      R3C6C.DI0 ram2e_ufm/wb_adr_7_i_i[0] (to C14M_c)
    +                  --------
    +                   10.984   (26.6% logic, 73.4% route), 6 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path C14M to SLICE_33:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        89     3.070       62.PADDI to     R6C10C.CLK C14M_c
    +                  --------
    +                    3.070   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path C14M to ram2e_ufm/SLICE_47:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        89     3.070       62.PADDI to      R3C6C.CLK C14M_c
    +                  --------
    +                    3.070   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +
    +Passed: The following path meets requirements by 58.786ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              S[3]  (from C14M_c +)
    +   Destination:    FF         Data in        ram2e_ufm/wb_adr[0]  (to C14M_c +)
    +
    +   Delay:              10.978ns  (31.2% logic, 68.8% route), 7 logic levels.
    +
    + Constraint Details:
    +
    +     10.978ns physical path delay SLICE_34 to ram2e_ufm/SLICE_47 meets
    +     69.930ns delay constraint less
    +      0.000ns skew and
    +      0.166ns DIN_SET requirement (totaling 69.764ns) by 58.786ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_34 to ram2e_ufm/SLICE_47:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.452     R6C10D.CLK to      R6C10D.Q1 SLICE_34 (from C14M_c)
    +ROUTE        45     1.001      R6C10D.Q1 to      R6C10A.A0 S[3]
    +CTOF_DEL    ---     0.495      R6C10A.A0 to      R6C10A.F0 SLICE_35
    +ROUTE         7     0.989      R6C10A.F0 to       R6C9B.A1 N_551
    +CTOF_DEL    ---     0.495       R6C9B.A1 to       R6C9B.F1 ram2e_ufm/SLICE_80
    +ROUTE         8     2.182       R6C9B.F1 to       R2C5A.C1 ram2e_ufm/N_777
    +CTOF_DEL    ---     0.495       R2C5A.C1 to       R2C5A.F1 ram2e_ufm/SLICE_98
    +ROUTE         5     1.413       R2C5A.F1 to       R4C5B.D0 ram2e_ufm/N_781
    +CTOF_DEL    ---     0.495       R4C5B.D0 to       R4C5B.F0 ram2e_ufm/SLICE_126
    +ROUTE         1     0.436       R4C5B.F0 to       R4C5A.C0 ram2e_ufm/N_753
    +CTOF_DEL    ---     0.495       R4C5A.C0 to       R4C5A.F0 ram2e_ufm/SLICE_86
    +ROUTE         1     1.535       R4C5A.F0 to       R3C6C.B0 ram2e_ufm/wb_adr_7_i_i_4[0]
    +CTOF_DEL    ---     0.495       R3C6C.B0 to       R3C6C.F0 ram2e_ufm/SLICE_47
    +ROUTE         1     0.000       R3C6C.F0 to      R3C6C.DI0 ram2e_ufm/wb_adr_7_i_i[0] (to C14M_c)
    +                  --------
    +                   10.978   (31.2% logic, 68.8% route), 7 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path C14M to SLICE_34:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        89     3.070       62.PADDI to     R6C10D.CLK C14M_c
    +                  --------
    +                    3.070   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path C14M to ram2e_ufm/SLICE_47:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        89     3.070       62.PADDI to      R3C6C.CLK C14M_c
    +                  --------
    +                    3.070   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +Report:   83.389MHz is the maximum frequency for this preference.
     
     Report Summary
     --------------
    @@ -575,7 +589,7 @@ Report Summary
     Preference                              |   Constraint|       Actual|Levels
     ----------------------------------------------------------------------------
                                             |             |             |
    -FREQUENCY PORT "C14M" 14.300000 MHz ;   |   14.300 MHz|   79.592 MHz|   7  
    +FREQUENCY PORT "C14M" 14.300000 MHz ;   |   14.300 MHz|   83.389 MHz|   6  
                                             |             |             |
     ----------------------------------------------------------------------------
     
    @@ -588,7 +602,7 @@ Clock Domains Analysis
     
     Found 1 clocks:
     
    -Clock Domain: C14M_c   Source: C14M.PAD   Loads: 84
    +Clock Domain: C14M_c   Source: C14M.PAD   Loads: 89
        Covered under: FREQUENCY PORT "C14M" 14.300000 MHz ;
     
     
    @@ -598,11 +612,11 @@ Timing summary (Setup):
     Timing errors: 0  Score: 0
     Cumulative negative slack: 0
     
    -Constraints cover 1491 paths, 1 nets, and 933 connections (82.86% coverage)
    +Constraints cover 1611 paths, 1 nets, and 1095 connections (82.33% coverage)
     
     --------------------------------------------------------------------------------
     Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.1.454
    -Thu Sep 21 05:35:07 2023
    +Thu Dec 28 23:10:16 2023
     
     Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
     Copyright (c) 1995 AT&T Corp.   All rights reserved.
    @@ -612,7 +626,7 @@ Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
     
     Report Information
     ------------------
    -Command line:    trce -v 10 -gt -sethld -sp 4 -sphld m -o RAM2E_LCMXO2_640HC_impl1.twr -gui -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/promote.xml RAM2E_LCMXO2_640HC_impl1.ncd RAM2E_LCMXO2_640HC_impl1.prf 
    +Command line:    trce -v 10 -gt -sethld -sp 4 -sphld m -o RAM2E_LCMXO2_640HC_impl1.twr -gui -msgset //Mac/iCloud/Repos/ram2e/CPLD/LCMXO2-640HC/promote.xml RAM2E_LCMXO2_640HC_impl1.ncd RAM2E_LCMXO2_640HC_impl1.prf 
     Design file:     ram2e_lcmxo2_640hc_impl1.ncd
     Preference file: ram2e_lcmxo2_640hc_impl1.prf
     Device,speed:    LCMXO2-640HC,m
    @@ -627,137 +641,51 @@ BLOCK RESETPATHS
     
     ================================================================================
     Preference: FREQUENCY PORT "C14M" 14.300000 MHz ;
    -            1491 items scored, 0 timing errors detected.
    +            1611 items scored, 0 timing errors detected.
     --------------------------------------------------------------------------------
     
     
    -Passed: The following path meets requirements by 0.346ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              wb_dati[3]  (from C14M_c +)
    -   Destination:    EFB        Port           ufmefb/EFBInst_0(ASIC)  (to C14M_c +)
    -
    -   Delay:               0.305ns  (43.6% logic, 56.4% route), 1 logic levels.
    -
    - Constraint Details:
    -
    -      0.305ns physical path delay SLICE_41 to ufmefb/EFBInst_0 meets
    -     -0.095ns WBDATI_HLD and
    -      0.000ns delay constraint less
    -     -0.054ns skew requirement (totaling -0.041ns) by 0.346ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_41 to ufmefb/EFBInst_0:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.133      R2C3B.CLK to       R2C3B.Q1 SLICE_41 (from C14M_c)
    -ROUTE         1     0.172       R2C3B.Q1 to    EFB.WBDATI3 wb_dati[3] (to C14M_c)
    -                  --------
    -                    0.305   (43.6% logic, 56.4% route), 1 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path C14M to SLICE_41:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     1.059       62.PADDI to      R2C3B.CLK C14M_c
    -                  --------
    -                    1.059   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path C14M to ufmefb/EFBInst_0:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     1.113       62.PADDI to     EFB.WBCLKI C14M_c
    -                  --------
    -                    1.113   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -
    -Passed: The following path meets requirements by 0.348ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              wb_dati[4]  (from C14M_c +)
    -   Destination:    EFB        Port           ufmefb/EFBInst_0(ASIC)  (to C14M_c +)
    -
    -   Delay:               0.305ns  (43.6% logic, 56.4% route), 1 logic levels.
    -
    - Constraint Details:
    -
    -      0.305ns physical path delay SLICE_42 to ufmefb/EFBInst_0 meets
    -     -0.097ns WBDATI_HLD and
    -      0.000ns delay constraint less
    -     -0.054ns skew requirement (totaling -0.043ns) by 0.348ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_42 to ufmefb/EFBInst_0:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.133      R2C3D.CLK to       R2C3D.Q0 SLICE_42 (from C14M_c)
    -ROUTE         1     0.172       R2C3D.Q0 to    EFB.WBDATI4 wb_dati[4] (to C14M_c)
    -                  --------
    -                    0.305   (43.6% logic, 56.4% route), 1 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path C14M to SLICE_42:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     1.059       62.PADDI to      R2C3D.CLK C14M_c
    -                  --------
    -                    1.059   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path C14M to ufmefb/EFBInst_0:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     1.113       62.PADDI to     EFB.WBCLKI C14M_c
    -                  --------
    -                    1.113   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -
     Passed: The following path meets requirements by 0.379ns
     
      Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
     
    -   Source:         FF         Q              FS[0]  (from C14M_c +)
    -   Destination:    FF         Data in        FS[0]  (to C14M_c +)
    +   Source:         FF         Q              FS[15]  (from C14M_c +)
    +   Destination:    FF         Data in        FS[15]  (to C14M_c +)
     
        Delay:               0.366ns  (63.9% logic, 36.1% route), 2 logic levels.
     
      Constraint Details:
     
    -      0.366ns physical path delay SLICE_0 to SLICE_0 meets
    +      0.366ns physical path delay SLICE_1 to SLICE_1 meets
          -0.013ns DIN_HLD and
           0.000ns delay constraint less
           0.000ns skew requirement (totaling -0.013ns) by 0.379ns
     
      Physical Path Details:
     
    -      Data path SLICE_0 to SLICE_0:
    +      Data path SLICE_1 to SLICE_1:
     
        Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.133      R2C7A.CLK to       R2C7A.Q1 SLICE_0 (from C14M_c)
    -ROUTE         5     0.132       R2C7A.Q1 to       R2C7A.A1 FS[0]
    -CTOF_DEL    ---     0.101       R2C7A.A1 to       R2C7A.F1 SLICE_0
    -ROUTE         1     0.000       R2C7A.F1 to      R2C7A.DI1 FS_s[0] (to C14M_c)
    +REG_DEL     ---     0.133     R2C11A.CLK to      R2C11A.Q0 SLICE_1 (from C14M_c)
    +ROUTE         9     0.132      R2C11A.Q0 to      R2C11A.A0 FS[15]
    +CTOF_DEL    ---     0.101      R2C11A.A0 to      R2C11A.F0 SLICE_1
    +ROUTE         1     0.000      R2C11A.F0 to     R2C11A.DI0 FS_s[15] (to C14M_c)
                       --------
                         0.366   (63.9% logic, 36.1% route), 2 logic levels.
     
      Clock Skew Details: 
     
    -      Source Clock Path C14M to SLICE_0:
    +      Source Clock Path C14M to SLICE_1:
     
        Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     1.059       62.PADDI to      R2C7A.CLK C14M_c
    +ROUTE        89     1.059       62.PADDI to     R2C11A.CLK C14M_c
                       --------
                         1.059   (0.0% logic, 100.0% route), 0 logic levels.
     
    -      Destination Clock Path C14M to SLICE_0:
    +      Destination Clock Path C14M to SLICE_1:
     
        Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     1.059       62.PADDI to      R2C7A.CLK C14M_c
    +ROUTE        89     1.059       62.PADDI to     R2C11A.CLK C14M_c
                       --------
                         1.059   (0.0% logic, 100.0% route), 0 logic levels.
     
    @@ -766,188 +694,8 @@ Passed: The following path meets requirements by 0.379ns
     
      Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
     
    -   Source:         FF         Q              CmdBitbangMXO2  (from C14M_c +)
    -   Destination:    FF         Data in        CmdBitbangMXO2  (to C14M_c +)
    -
    -   Delay:               0.366ns  (63.9% logic, 36.1% route), 2 logic levels.
    -
    - Constraint Details:
    -
    -      0.366ns physical path delay SLICE_12 to SLICE_12 meets
    -     -0.013ns DIN_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.013ns) by 0.379ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_12 to SLICE_12:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.133      R4C6C.CLK to       R4C6C.Q0 SLICE_12 (from C14M_c)
    -ROUTE         2     0.132       R4C6C.Q0 to       R4C6C.A0 CmdBitbangMXO2
    -CTOF_DEL    ---     0.101       R4C6C.A0 to       R4C6C.F0 SLICE_12
    -ROUTE         1     0.000       R4C6C.F0 to      R4C6C.DI0 CmdBitbangMXO2_4 (to C14M_c)
    -                  --------
    -                    0.366   (63.9% logic, 36.1% route), 2 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path C14M to SLICE_12:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     1.059       62.PADDI to      R4C6C.CLK C14M_c
    -                  --------
    -                    1.059   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path C14M to SLICE_12:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     1.059       62.PADDI to      R4C6C.CLK C14M_c
    -                  --------
    -                    1.059   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -
    -Passed: The following path meets requirements by 0.379ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              CmdLEDSet  (from C14M_c +)
    -   Destination:    FF         Data in        CmdLEDSet  (to C14M_c +)
    -
    -   Delay:               0.366ns  (63.9% logic, 36.1% route), 2 logic levels.
    -
    - Constraint Details:
    -
    -      0.366ns physical path delay SLICE_15 to SLICE_15 meets
    -     -0.013ns DIN_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.013ns) by 0.379ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_15 to SLICE_15:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.133      R5C5B.CLK to       R5C5B.Q0 SLICE_15 (from C14M_c)
    -ROUTE         2     0.132       R5C5B.Q0 to       R5C5B.A0 CmdLEDSet
    -CTOF_DEL    ---     0.101       R5C5B.A0 to       R5C5B.F0 SLICE_15
    -ROUTE         1     0.000       R5C5B.F0 to      R5C5B.DI0 CmdLEDSet_4 (to C14M_c)
    -                  --------
    -                    0.366   (63.9% logic, 36.1% route), 2 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path C14M to SLICE_15:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     1.059       62.PADDI to      R5C5B.CLK C14M_c
    -                  --------
    -                    1.059   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path C14M to SLICE_15:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     1.059       62.PADDI to      R5C5B.CLK C14M_c
    -                  --------
    -                    1.059   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -
    -Passed: The following path meets requirements by 0.379ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              CmdRWMaskSet  (from C14M_c +)
    -   Destination:    FF         Data in        CmdRWMaskSet  (to C14M_c +)
    -
    -   Delay:               0.366ns  (63.9% logic, 36.1% route), 2 logic levels.
    -
    - Constraint Details:
    -
    -      0.366ns physical path delay SLICE_16 to SLICE_16 meets
    -     -0.013ns DIN_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.013ns) by 0.379ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_16 to SLICE_16:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.133      R5C5C.CLK to       R5C5C.Q0 SLICE_16 (from C14M_c)
    -ROUTE         2     0.132       R5C5C.Q0 to       R5C5C.A0 CmdRWMaskSet
    -CTOF_DEL    ---     0.101       R5C5C.A0 to       R5C5C.F0 SLICE_16
    -ROUTE         1     0.000       R5C5C.F0 to      R5C5C.DI0 CmdRWMaskSet_4 (to C14M_c)
    -                  --------
    -                    0.366   (63.9% logic, 36.1% route), 2 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path C14M to SLICE_16:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     1.059       62.PADDI to      R5C5C.CLK C14M_c
    -                  --------
    -                    1.059   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path C14M to SLICE_16:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     1.059       62.PADDI to      R5C5C.CLK C14M_c
    -                  --------
    -                    1.059   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -
    -Passed: The following path meets requirements by 0.379ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              CmdSetRWBankFFLED  (from C14M_c +)
    -   Destination:    FF         Data in        CmdSetRWBankFFLED  (to C14M_c +)
    -
    -   Delay:               0.366ns  (63.9% logic, 36.1% route), 2 logic levels.
    -
    - Constraint Details:
    -
    -      0.366ns physical path delay SLICE_17 to SLICE_17 meets
    -     -0.013ns DIN_HLD and
    -      0.000ns delay constraint less
    -      0.000ns skew requirement (totaling -0.013ns) by 0.379ns
    -
    - Physical Path Details:
    -
    -      Data path SLICE_17 to SLICE_17:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.133      R6C5C.CLK to       R6C5C.Q0 SLICE_17 (from C14M_c)
    -ROUTE         2     0.132       R6C5C.Q0 to       R6C5C.A0 CmdSetRWBankFFLED
    -CTOF_DEL    ---     0.101       R6C5C.A0 to       R6C5C.F0 SLICE_17
    -ROUTE         1     0.000       R6C5C.F0 to      R6C5C.DI0 CmdSetRWBankFFLED_4 (to C14M_c)
    -                  --------
    -                    0.366   (63.9% logic, 36.1% route), 2 logic levels.
    -
    - Clock Skew Details: 
    -
    -      Source Clock Path C14M to SLICE_17:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     1.059       62.PADDI to      R6C5C.CLK C14M_c
    -                  --------
    -                    1.059   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -      Destination Clock Path C14M to SLICE_17:
    -
    -   Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     1.059       62.PADDI to      R6C5C.CLK C14M_c
    -                  --------
    -                    1.059   (0.0% logic, 100.0% route), 0 logic levels.
    -
    -
    -Passed: The following path meets requirements by 0.379ns
    -
    - Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    -
    -   Source:         FF         Q              CmdSetRWBankFFMXO2  (from C14M_c +)
    -   Destination:    FF         Data in        CmdSetRWBankFFMXO2  (to C14M_c +)
    +   Source:         FF         Q              CmdTout[2]  (from C14M_c +)
    +   Destination:    FF         Data in        CmdTout[2]  (to C14M_c +)
     
        Delay:               0.366ns  (63.9% logic, 36.1% route), 2 logic levels.
     
    @@ -963,10 +711,10 @@ Passed: The following path meets requirements by 0.379ns
           Data path SLICE_18 to SLICE_18:
     
        Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.133      R6C6D.CLK to       R6C6D.Q0 SLICE_18 (from C14M_c)
    -ROUTE         2     0.132       R6C6D.Q0 to       R6C6D.A0 CmdSetRWBankFFMXO2
    -CTOF_DEL    ---     0.101       R6C6D.A0 to       R6C6D.F0 SLICE_18
    -ROUTE         1     0.000       R6C6D.F0 to      R6C6D.DI0 CmdSetRWBankFFMXO2_4 (to C14M_c)
    +REG_DEL     ---     0.133      R5C9B.CLK to       R5C9B.Q1 SLICE_18 (from C14M_c)
    +ROUTE         2     0.132       R5C9B.Q1 to       R5C9B.A1 CmdTout[2]
    +CTOF_DEL    ---     0.101       R5C9B.A1 to       R5C9B.F1 SLICE_18
    +ROUTE         1     0.000       R5C9B.F1 to      R5C9B.DI1 N_369_i (to C14M_c)
                       --------
                         0.366   (63.9% logic, 36.1% route), 2 logic levels.
     
    @@ -975,14 +723,14 @@ ROUTE         1     0.000       R6C6D.F0 to      R6C6D.DI0 CmdSetRWBankFFMXO2_4
           Source Clock Path C14M to SLICE_18:
     
        Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     1.059       62.PADDI to      R6C6D.CLK C14M_c
    +ROUTE        89     1.059       62.PADDI to      R5C9B.CLK C14M_c
                       --------
                         1.059   (0.0% logic, 100.0% route), 0 logic levels.
     
           Destination Clock Path C14M to SLICE_18:
     
        Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     1.059       62.PADDI to      R6C6D.CLK C14M_c
    +ROUTE        89     1.059       62.PADDI to      R5C9B.CLK C14M_c
                       --------
                         1.059   (0.0% logic, 100.0% route), 0 logic levels.
     
    @@ -991,8 +739,188 @@ Passed: The following path meets requirements by 0.379ns
     
      Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
     
    -   Source:         FF         Q              FS[11]  (from C14M_c +)
    -   Destination:    FF         Data in        FS[11]  (to C14M_c +)
    +   Source:         FF         Q              CmdTout[1]  (from C14M_c +)
    +   Destination:    FF         Data in        CmdTout[1]  (to C14M_c +)
    +
    +   Delay:               0.366ns  (63.9% logic, 36.1% route), 2 logic levels.
    +
    + Constraint Details:
    +
    +      0.366ns physical path delay SLICE_18 to SLICE_18 meets
    +     -0.013ns DIN_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.013ns) by 0.379ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_18 to SLICE_18:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.133      R5C9B.CLK to       R5C9B.Q0 SLICE_18 (from C14M_c)
    +ROUTE         3     0.132       R5C9B.Q0 to       R5C9B.A0 CmdTout[1]
    +CTOF_DEL    ---     0.101       R5C9B.A0 to       R5C9B.F0 SLICE_18
    +ROUTE         1     0.000       R5C9B.F0 to      R5C9B.DI0 N_368_i (to C14M_c)
    +                  --------
    +                    0.366   (63.9% logic, 36.1% route), 2 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path C14M to SLICE_18:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        89     1.059       62.PADDI to      R5C9B.CLK C14M_c
    +                  --------
    +                    1.059   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path C14M to SLICE_18:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        89     1.059       62.PADDI to      R5C9B.CLK C14M_c
    +                  --------
    +                    1.059   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +
    +Passed: The following path meets requirements by 0.379ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              FS[13]  (from C14M_c +)
    +   Destination:    FF         Data in        FS[13]  (to C14M_c +)
    +
    +   Delay:               0.366ns  (63.9% logic, 36.1% route), 2 logic levels.
    +
    + Constraint Details:
    +
    +      0.366ns physical path delay SLICE_2 to SLICE_2 meets
    +     -0.013ns DIN_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.013ns) by 0.379ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_2 to SLICE_2:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.133     R2C10D.CLK to      R2C10D.Q0 SLICE_2 (from C14M_c)
    +ROUTE        19     0.132      R2C10D.Q0 to      R2C10D.A0 FS[13]
    +CTOF_DEL    ---     0.101      R2C10D.A0 to      R2C10D.F0 SLICE_2
    +ROUTE         1     0.000      R2C10D.F0 to     R2C10D.DI0 FS_s[13] (to C14M_c)
    +                  --------
    +                    0.366   (63.9% logic, 36.1% route), 2 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path C14M to SLICE_2:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        89     1.059       62.PADDI to     R2C10D.CLK C14M_c
    +                  --------
    +                    1.059   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path C14M to SLICE_2:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        89     1.059       62.PADDI to     R2C10D.CLK C14M_c
    +                  --------
    +                    1.059   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +
    +Passed: The following path meets requirements by 0.379ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              RA[9]  (from C14M_c +)
    +   Destination:    FF         Data in        RA[9]  (to C14M_c +)
    +
    +   Delay:               0.366ns  (63.9% logic, 36.1% route), 2 logic levels.
    +
    + Constraint Details:
    +
    +      0.366ns physical path delay SLICE_24 to SLICE_24 meets
    +     -0.013ns DIN_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.013ns) by 0.379ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_24 to SLICE_24:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.133     R5C11A.CLK to      R5C11A.Q1 SLICE_24 (from C14M_c)
    +ROUTE         2     0.132      R5C11A.Q1 to      R5C11A.A1 RA[9]
    +CTOF_DEL    ---     0.101      R5C11A.A1 to      R5C11A.F1 SLICE_24
    +ROUTE         1     0.000      R5C11A.F1 to     R5C11A.DI1 RA_35[9] (to C14M_c)
    +                  --------
    +                    0.366   (63.9% logic, 36.1% route), 2 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path C14M to SLICE_24:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        89     1.059       62.PADDI to     R5C11A.CLK C14M_c
    +                  --------
    +                    1.059   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path C14M to SLICE_24:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        89     1.059       62.PADDI to     R5C11A.CLK C14M_c
    +                  --------
    +                    1.059   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +
    +Passed: The following path meets requirements by 0.379ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              RA[11]  (from C14M_c +)
    +   Destination:    FF         Data in        RA[11]  (to C14M_c +)
    +
    +   Delay:               0.366ns  (63.9% logic, 36.1% route), 2 logic levels.
    +
    + Constraint Details:
    +
    +      0.366ns physical path delay SLICE_25 to SLICE_25 meets
    +     -0.013ns DIN_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.013ns) by 0.379ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_25 to SLICE_25:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.133     R5C10B.CLK to      R5C10B.Q1 SLICE_25 (from C14M_c)
    +ROUTE         2     0.132      R5C10B.Q1 to      R5C10B.A1 RA[11]
    +CTOF_DEL    ---     0.101      R5C10B.A1 to      R5C10B.F1 SLICE_25
    +ROUTE         1     0.000      R5C10B.F1 to     R5C10B.DI1 RA_35[11] (to C14M_c)
    +                  --------
    +                    0.366   (63.9% logic, 36.1% route), 2 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path C14M to SLICE_25:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        89     1.059       62.PADDI to     R5C10B.CLK C14M_c
    +                  --------
    +                    1.059   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path C14M to SLICE_25:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        89     1.059       62.PADDI to     R5C10B.CLK C14M_c
    +                  --------
    +                    1.059   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +
    +Passed: The following path meets requirements by 0.379ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              FS[12]  (from C14M_c +)
    +   Destination:    FF         Data in        FS[12]  (to C14M_c +)
     
        Delay:               0.366ns  (63.9% logic, 36.1% route), 2 logic levels.
     
    @@ -1008,10 +936,10 @@ Passed: The following path meets requirements by 0.379ns
           Data path SLICE_3 to SLICE_3:
     
        Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.133      R2C8C.CLK to       R2C8C.Q0 SLICE_3 (from C14M_c)
    -ROUTE        19     0.132       R2C8C.Q0 to       R2C8C.A0 FS[11]
    -CTOF_DEL    ---     0.101       R2C8C.A0 to       R2C8C.F0 SLICE_3
    -ROUTE         1     0.000       R2C8C.F0 to      R2C8C.DI0 FS_s[11] (to C14M_c)
    +REG_DEL     ---     0.133     R2C10C.CLK to      R2C10C.Q1 SLICE_3 (from C14M_c)
    +ROUTE        24     0.132      R2C10C.Q1 to      R2C10C.A1 FS[12]
    +CTOF_DEL    ---     0.101      R2C10C.A1 to      R2C10C.F1 SLICE_3
    +ROUTE         1     0.000      R2C10C.F1 to     R2C10C.DI1 FS_s[12] (to C14M_c)
                       --------
                         0.366   (63.9% logic, 36.1% route), 2 logic levels.
     
    @@ -1020,14 +948,14 @@ ROUTE         1     0.000       R2C8C.F0 to      R2C8C.DI0 FS_s[11] (to C14M_c)
           Source Clock Path C14M to SLICE_3:
     
        Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     1.059       62.PADDI to      R2C8C.CLK C14M_c
    +ROUTE        89     1.059       62.PADDI to     R2C10C.CLK C14M_c
                       --------
                         1.059   (0.0% logic, 100.0% route), 0 logic levels.
     
           Destination Clock Path C14M to SLICE_3:
     
        Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     1.059       62.PADDI to      R2C8C.CLK C14M_c
    +ROUTE        89     1.059       62.PADDI to     R2C10C.CLK C14M_c
                       --------
                         1.059   (0.0% logic, 100.0% route), 0 logic levels.
     
    @@ -1036,43 +964,133 @@ Passed: The following path meets requirements by 0.379ns
     
      Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
     
    -   Source:         FF         Q              Ready  (from C14M_c +)
    -   Destination:    FF         Data in        Ready  (to C14M_c +)
    +   Source:         FF         Q              FS[10]  (from C14M_c +)
    +   Destination:    FF         Data in        FS[10]  (to C14M_c +)
     
        Delay:               0.366ns  (63.9% logic, 36.1% route), 2 logic levels.
     
      Constraint Details:
     
    -      0.366ns physical path delay SLICE_32 to SLICE_32 meets
    +      0.366ns physical path delay SLICE_4 to SLICE_4 meets
          -0.013ns DIN_HLD and
           0.000ns delay constraint less
           0.000ns skew requirement (totaling -0.013ns) by 0.379ns
     
      Physical Path Details:
     
    -      Data path SLICE_32 to SLICE_32:
    +      Data path SLICE_4 to SLICE_4:
     
        Name    Fanout   Delay (ns)          Site               Resource
    -REG_DEL     ---     0.133      R2C9C.CLK to       R2C9C.Q0 SLICE_32 (from C14M_c)
    -ROUTE         2     0.132       R2C9C.Q0 to       R2C9C.A0 Ready
    -CTOF_DEL    ---     0.101       R2C9C.A0 to       R2C9C.F0 SLICE_32
    -ROUTE         1     0.000       R2C9C.F0 to      R2C9C.DI0 N_876_0 (to C14M_c)
    +REG_DEL     ---     0.133     R2C10B.CLK to      R2C10B.Q1 SLICE_4 (from C14M_c)
    +ROUTE        19     0.132      R2C10B.Q1 to      R2C10B.A1 FS[10]
    +CTOF_DEL    ---     0.101      R2C10B.A1 to      R2C10B.F1 SLICE_4
    +ROUTE         1     0.000      R2C10B.F1 to     R2C10B.DI1 FS_s[10] (to C14M_c)
                       --------
                         0.366   (63.9% logic, 36.1% route), 2 logic levels.
     
      Clock Skew Details: 
     
    -      Source Clock Path C14M to SLICE_32:
    +      Source Clock Path C14M to SLICE_4:
     
        Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     1.059       62.PADDI to      R2C9C.CLK C14M_c
    +ROUTE        89     1.059       62.PADDI to     R2C10B.CLK C14M_c
                       --------
                         1.059   (0.0% logic, 100.0% route), 0 logic levels.
     
    -      Destination Clock Path C14M to SLICE_32:
    +      Destination Clock Path C14M to SLICE_4:
     
        Name    Fanout   Delay (ns)          Site               Resource
    -ROUTE        84     1.059       62.PADDI to      R2C9C.CLK C14M_c
    +ROUTE        89     1.059       62.PADDI to     R2C10B.CLK C14M_c
    +                  --------
    +                    1.059   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +
    +Passed: The following path meets requirements by 0.379ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              FS[7]  (from C14M_c +)
    +   Destination:    FF         Data in        FS[7]  (to C14M_c +)
    +
    +   Delay:               0.366ns  (63.9% logic, 36.1% route), 2 logic levels.
    +
    + Constraint Details:
    +
    +      0.366ns physical path delay SLICE_5 to SLICE_5 meets
    +     -0.013ns DIN_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.013ns) by 0.379ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_5 to SLICE_5:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.133     R2C10A.CLK to      R2C10A.Q0 SLICE_5 (from C14M_c)
    +ROUTE         4     0.132      R2C10A.Q0 to      R2C10A.A0 FS[7]
    +CTOF_DEL    ---     0.101      R2C10A.A0 to      R2C10A.F0 SLICE_5
    +ROUTE         1     0.000      R2C10A.F0 to     R2C10A.DI0 FS_s[7] (to C14M_c)
    +                  --------
    +                    0.366   (63.9% logic, 36.1% route), 2 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path C14M to SLICE_5:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        89     1.059       62.PADDI to     R2C10A.CLK C14M_c
    +                  --------
    +                    1.059   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path C14M to SLICE_5:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        89     1.059       62.PADDI to     R2C10A.CLK C14M_c
    +                  --------
    +                    1.059   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +
    +Passed: The following path meets requirements by 0.379ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              FS[6]  (from C14M_c +)
    +   Destination:    FF         Data in        FS[6]  (to C14M_c +)
    +
    +   Delay:               0.366ns  (63.9% logic, 36.1% route), 2 logic levels.
    +
    + Constraint Details:
    +
    +      0.366ns physical path delay SLICE_6 to SLICE_6 meets
    +     -0.013ns DIN_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.013ns) by 0.379ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_6 to SLICE_6:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.133      R2C9D.CLK to       R2C9D.Q1 SLICE_6 (from C14M_c)
    +ROUTE         4     0.132       R2C9D.Q1 to       R2C9D.A1 FS[6]
    +CTOF_DEL    ---     0.101       R2C9D.A1 to       R2C9D.F1 SLICE_6
    +ROUTE         1     0.000       R2C9D.F1 to      R2C9D.DI1 FS_s[6] (to C14M_c)
    +                  --------
    +                    0.366   (63.9% logic, 36.1% route), 2 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path C14M to SLICE_6:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        89     1.059       62.PADDI to      R2C9D.CLK C14M_c
    +                  --------
    +                    1.059   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path C14M to SLICE_6:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        89     1.059       62.PADDI to      R2C9D.CLK C14M_c
                       --------
                         1.059   (0.0% logic, 100.0% route), 0 logic levels.
     
    @@ -1082,7 +1100,7 @@ Report Summary
     Preference(MIN Delays)                  |   Constraint|       Actual|Levels
     ----------------------------------------------------------------------------
                                             |             |             |
    -FREQUENCY PORT "C14M" 14.300000 MHz ;   |            -|            -|   1  
    +FREQUENCY PORT "C14M" 14.300000 MHz ;   |            -|            -|   2  
                                             |             |             |
     ----------------------------------------------------------------------------
     
    @@ -1095,7 +1113,7 @@ Clock Domains Analysis
     
     Found 1 clocks:
     
    -Clock Domain: C14M_c   Source: C14M.PAD   Loads: 84
    +Clock Domain: C14M_c   Source: C14M.PAD   Loads: 89
        Covered under: FREQUENCY PORT "C14M" 14.300000 MHz ;
     
     
    @@ -1105,7 +1123,7 @@ Timing summary (Hold):
     Timing errors: 0  Score: 0
     Cumulative negative slack: 0
     
    -Constraints cover 1491 paths, 1 nets, and 933 connections (82.86% coverage)
    +Constraints cover 1611 paths, 1 nets, and 1095 connections (82.33% coverage)
     
     
     
    diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_bgn.html b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_bgn.html
    index b99737b..d8cbb0f 100644
    --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_bgn.html
    +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_bgn.html
    @@ -12,10 +12,10 @@ Copyright (c) 1995 AT&T Corp.   All rights reserved.
     Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
     Copyright (c) 2001 Agere Systems   All rights reserved.
     Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    -Thu Sep 21 05:35:15 2023
    +Thu Dec 28 23:10:24 2023
     
     
    -Command: bitgen -g RamCfg:Reset -path //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC -w -gui -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/promote.xml RAM2E_LCMXO2_640HC_impl1.ncd RAM2E_LCMXO2_640HC_impl1.prf 
    +Command: bitgen -g RamCfg:Reset -path //Mac/iCloud/Repos/ram2e/CPLD/LCMXO2-640HC -w -gui -msgset //Mac/iCloud/Repos/ram2e/CPLD/LCMXO2-640HC/promote.xml RAM2E_LCMXO2_640HC_impl1.ncd RAM2E_LCMXO2_640HC_impl1.prf 
     
     Loading design for application Bitgen from file RAM2E_LCMXO2_640HC_impl1.ncd.
     Design name: RAM2E
    @@ -81,8 +81,8 @@ Creating bit map...
     Bitstream Status: Final           Version 1.95.
      
     Saving bit stream in "RAM2E_LCMXO2_640HC_impl1.bit".
    -Total CPU Time: 4 secs 
    -Total REAL Time: 5 secs 
    +Total CPU Time: 3 secs 
    +Total REAL Time: 4 secs 
     Peak Memory Usage: 267 MB
     
     
    diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_cck.rpt b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_cck.rpt
    index 0d70461..9464162 100644
    --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_cck.rpt
    +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_cck.rpt
    @@ -13,12 +13,12 @@ Hostname: ZANEMACWIN11
     
     Implementation : impl1
     
    -# Written on Thu Sep 21 05:34:37 2023
    +# Written on Thu Dec 28 23:09:49 2023
     
     ##### DESIGN INFO #######################################################
     
     Top View:                "RAM2E"
    -Constraint File(s):      "\\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E.sdc"
    +Constraint File(s):      "\\Mac\iCloud\Repos\ram2e\CPLD\RAM2E.sdc"
     
     
     
    @@ -58,7 +58,7 @@ p:Ain[6]
     p:Ain[7]
     p:BA[0]
     p:BA[1]
    -p:CKE
    +p:CKEout
     p:DQMH
     p:DQML
     p:Din[0]
    @@ -79,18 +79,18 @@ p:Dout[6]
     p:Dout[7]
     p:LED
     p:PHI1
    -p:RA[0]
    -p:RA[1]
    -p:RA[2]
    -p:RA[3]
    -p:RA[4]
    -p:RA[5]
    -p:RA[6]
    -p:RA[7]
    -p:RA[8]
    -p:RA[9]
    -p:RA[10]
    -p:RA[11]
    +p:RAout[0]
    +p:RAout[1]
    +p:RAout[2]
    +p:RAout[3]
    +p:RAout[4]
    +p:RAout[5]
    +p:RAout[6]
    +p:RAout[7]
    +p:RAout[8]
    +p:RAout[9]
    +p:RAout[10]
    +p:RAout[11]
     p:RD[0] (bidir end point)
     p:RD[0] (bidir start point)
     p:RD[1] (bidir end point)
    @@ -116,12 +116,12 @@ p:Vout[5]
     p:Vout[6]
     p:Vout[7]
     p:nC07X
    -p:nCAS
    -p:nCS
    +p:nCASout
    +p:nCSout
     p:nDOE
     p:nEN80
    -p:nRAS
    -p:nRWE
    +p:nRASout
    +p:nRWEout
     p:nVOE
     p:nWE
     p:nWE80
    diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_iotiming.html b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_iotiming.html
    index d93cff5..9f56fda 100644
    --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_iotiming.html
    +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_iotiming.html
    @@ -38,9 +38,9 @@ Performance Hardware Data Status:   Final          Version 34.4.
     // Package: TQFP100
     // ncd File: ram2e_lcmxo2_640hc_impl1.ncd
     // Version: Diamond (64-bit) 3.12.1.454
    -// Written on Thu Sep 21 05:35:10 2023
    +// Written on Thu Dec 28 23:10:19 2023
     // M: Minimum Performance Grade
    -// iotiming RAM2E_LCMXO2_640HC_impl1.ncd RAM2E_LCMXO2_640HC_impl1.prf -gui -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/promote.xml
    +// iotiming RAM2E_LCMXO2_640HC_impl1.ncd RAM2E_LCMXO2_640HC_impl1.prf -gui -msgset //Mac/iCloud/Repos/ram2e/CPLD/LCMXO2-640HC/promote.xml
     
     I/O Timing Report (All units are in ns)
     
    @@ -50,81 +50,82 @@ Worst Case Results across Performance Grades (M, 6, 5, 4):
     
     Port   Clock Edge  Setup Performance_Grade  Hold Performance_Grade
     ----------------------------------------------------------------------
    -Ain[0] C14M  R     0.502      4       0.868     4
    -Ain[1] C14M  R     2.364      4      -0.126     M
    -Ain[2] C14M  R     2.421      4      -0.129     M
    -Ain[3] C14M  R     0.574      4       0.786     4
    -Ain[4] C14M  R     1.452      4       0.140     M
    -Ain[5] C14M  R     2.076      4      -0.039     M
    -Ain[6] C14M  R     1.515      4       0.124     M
    -Ain[7] C14M  R     2.270      4      -0.095     M
    -Din[0] C14M  R     9.252      4       1.162     4
    -Din[1] C14M  R     8.868      4       0.657     4
    -Din[2] C14M  R     8.368      4       0.864     4
    -Din[3] C14M  R     8.749      4       1.339     4
    -Din[4] C14M  R     9.095      4       0.770     4
    -Din[5] C14M  R     8.195      4       1.176     4
    -Din[6] C14M  R     6.162      4       0.760     4
    -Din[7] C14M  R     7.060      4       1.093     4
    -PHI1   C14M  R     2.045      4       3.047     4
    -RD[0]  C14M  F     0.267      4       0.866     4
    -RD[1]  C14M  F     0.173      4       1.383     4
    -RD[2]  C14M  F     0.924      4       1.018     4
    -RD[3]  C14M  F     0.267      4       0.866     4
    -RD[4]  C14M  F     0.173      4       0.937     4
    -RD[5]  C14M  F     0.267      4       0.866     4
    -RD[6]  C14M  F     0.766      4       0.866     4
    -RD[7]  C14M  F     0.267      4       1.312     4
    -nC07X  C14M  R     0.077      4       1.144     4
    -nEN80  C14M  R     6.415      4      -0.286     M
    -nWE    C14M  R     0.691      4       0.684     4
    -nWE80  C14M  R     2.845      4      -0.260     M
    +Ain[0] C14M  R     2.463      4      -0.066     M
    +Ain[1] C14M  R     1.330      4       0.135     6
    +Ain[2] C14M  R     1.221      4       0.223     4
    +Ain[3] C14M  R     2.776      4      -0.165     M
    +Ain[4] C14M  R     1.603      4       0.140     M
    +Ain[5] C14M  R     0.021      6       1.287     4
    +Ain[6] C14M  R     1.444      4       0.205     M
    +Ain[7] C14M  R     1.816      4       0.114     M
    +Din[0] C14M  R     8.919      4       0.723     4
    +Din[1] C14M  R     8.410      4       1.156     4
    +Din[2] C14M  R     8.503      4       1.181     4
    +Din[3] C14M  R     8.783      4       0.110     M
    +Din[4] C14M  R    10.420      4       1.022     4
    +Din[5] C14M  R     8.001      4       0.566     4
    +Din[6] C14M  R     9.731      4       1.050     4
    +Din[7] C14M  R    10.052      4       0.862     4
    +PHI1   C14M  R     2.579      4       3.047     4
    +RD[0]  C14M  R     0.267      4       0.866     4
    +RD[1]  C14M  R     0.173      4       0.937     4
    +RD[2]  C14M  R     0.100      4       1.018     4
    +RD[3]  C14M  R     0.267      4       0.866     4
    +RD[4]  C14M  R     0.172      4       0.936     4
    +RD[5]  C14M  R     0.267      4       0.866     4
    +RD[6]  C14M  R     0.766      4       0.420     4
    +RD[7]  C14M  R     0.267      4       0.866     4
    +nC07X  C14M  R     0.998      4       0.405     6
    +nEN80  C14M  R     6.107      4       0.114     M
    +nWE    C14M  R     6.726      4       0.069     M
     
     
     // Clock to Output Delay
     
    -Port    Clock Edge  Max_Delay Performance_Grade  Min_Delay Performance_Grade
    +Port      Clock Edge  Max_Delay Performance_Grade  Min_Delay Performance_Grade
     ------------------------------------------------------------------------
    -BA[0]   C14M  R     8.629         4        2.885          M
    -BA[1]   C14M  R     8.629         4        2.885          M
    -CKE     C14M  R     8.629         4        2.885          M
    -DQMH    C14M  R     8.609         4        2.892          M
    -DQML    C14M  R     8.609         4        2.892          M
    -Dout[0] C14M  F     8.955         4        3.164          M
    -Dout[1] C14M  F     8.955         4        3.164          M
    -Dout[2] C14M  F     8.944         4        3.158          M
    -Dout[3] C14M  F     8.955         4        3.164          M
    -Dout[4] C14M  F     8.944         4        3.158          M
    -Dout[5] C14M  F     8.944         4        3.158          M
    -Dout[6] C14M  F     8.955         4        3.164          M
    -Dout[7] C14M  F     8.955         4        3.164          M
    -LED     C14M  R    19.941         4        8.191          M
    -RA[0]   C14M  R    10.013         4        3.186          M
    -RA[10]  C14M  R     8.629         4        2.885          M
    -RA[11]  C14M  R     8.629         4        2.885          M
    -RA[1]   C14M  R     8.695         4        2.890          M
    -RA[2]   C14M  R     8.695         4        2.890          M
    -RA[3]   C14M  R    10.013         4        3.186          M
    -RA[4]   C14M  R     8.695         4        2.890          M
    -RA[5]   C14M  R     8.695         4        2.890          M
    -RA[6]   C14M  R     8.695         4        2.890          M
    -RA[7]   C14M  R     8.695         4        2.890          M
    -RA[8]   C14M  R     8.629         4        2.885          M
    -RA[9]   C14M  R     8.629         4        2.885          M
    -Vout[0] C14M  F     9.553         4        3.402          M
    -Vout[1] C14M  F     9.553         4        3.402          M
    -Vout[2] C14M  F     9.553         4        3.402          M
    -Vout[3] C14M  F     9.553         4        3.402          M
    -Vout[4] C14M  F     9.553         4        3.402          M
    -Vout[5] C14M  F     9.553         4        3.402          M
    -Vout[6] C14M  F     9.553         4        3.402          M
    -Vout[7] C14M  F     9.553         4        3.402          M
    -nCAS    C14M  R     8.629         4        2.885          M
    -nCS     C14M  R     8.629         4        2.885          M
    -nDOE    C14M  R    11.976         4        3.776          M
    -nRAS    C14M  R     8.629         4        2.885          M
    -nRWE    C14M  R     8.629         4        2.885          M
    +BA[0]     C14M  R     8.629         4        2.885          M
    +BA[1]     C14M  R     8.629         4        2.885          M
    +CKEout    C14M  F     8.629         4        2.885          M
    +DQMH      C14M  R     8.609         4        2.892          M
    +DQML      C14M  R     8.609         4        2.892          M
    +LED       C14M  R    19.935         4        8.161          M
    +RAout[0]  C14M  F     8.695         4        2.890          M
    +RAout[10] C14M  F     8.629         4        2.885          M
    +RAout[11] C14M  F     8.629         4        2.885          M
    +RAout[1]  C14M  F     8.695         4        2.890          M
    +RAout[2]  C14M  F     8.695         4        2.890          M
    +RAout[3]  C14M  F     8.695         4        2.890          M
    +RAout[4]  C14M  F     8.695         4        2.890          M
    +RAout[5]  C14M  F     8.695         4        2.890          M
    +RAout[6]  C14M  F     8.695         4        2.890          M
    +RAout[7]  C14M  F     8.695         4        2.890          M
    +RAout[8]  C14M  F     8.629         4        2.885          M
    +RAout[9]  C14M  F     8.629         4        2.885          M
    +RD[0]     C14M  R    11.414         4        3.265          M
    +RD[1]     C14M  R    11.811         4        3.265          M
    +RD[2]     C14M  R    11.925         4        3.265          M
    +RD[3]     C14M  R    11.384         4        3.265          M
    +RD[4]     C14M  R    12.301         4        3.371          M
    +RD[5]     C14M  R    12.767         4        3.371          M
    +RD[6]     C14M  R    12.010         4        3.371          M
    +RD[7]     C14M  R    12.313         4        3.371          M
    +Vout[0]   C14M  R     9.553         4        3.402          M
    +Vout[1]   C14M  R     9.553         4        3.402          M
    +Vout[2]   C14M  R     9.553         4        3.402          M
    +Vout[3]   C14M  R     9.553         4        3.402          M
    +Vout[4]   C14M  R     9.553         4        3.402          M
    +Vout[5]   C14M  R     9.553         4        3.402          M
    +Vout[6]   C14M  R     9.553         4        3.402          M
    +Vout[7]   C14M  R     9.553         4        3.402          M
    +nCASout   C14M  F     8.629         4        2.885          M
    +nDOE      C14M  R    12.048         4        3.811          M
    +nRASout   C14M  F     8.629         4        2.885          M
    +nRWEout   C14M  F     8.629         4        2.885          M
    +nVOE      C14M  R    12.164         4        3.783          M
     WARNING: you must also run trce with hold speed: 4
    +WARNING: you must also run trce with setup speed: 6
    +WARNING: you must also run trce with hold speed: 6
     
     
     
    diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_mapvo.sdf b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_mapvo.sdf
    index 85e4f67..492a1c7 100644
    --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_mapvo.sdf
    +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_mapvo.sdf
    @@ -1,7 +1,7 @@
     (DELAYFILE
       (SDFVERSION "3.0")
       (DESIGN "RAM2E")
    -  (DATE "Thu Sep 21 05:34:50 2023")
    +  (DATE "Thu Dec 28 23:10:01 2023")
       (VENDOR "Lattice")
       (PROGRAM "ldbanno")
       (VERSION "Diamond (64-bit) 3.12.1.454")
    @@ -233,9 +233,30 @@
         (INSTANCE SLICE_9)
         (DELAY
           (ABSOLUTE
    +        (IOPATH D1 F1 (367:431:495)(367:431:495))
             (IOPATH C1 F1 (367:431:495)(367:431:495))
             (IOPATH B1 F1 (367:431:495)(367:431:495))
             (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +        (IOPATH CLK Q0 (392:422:452)(392:422:452))
    +      )
    +    )
    +    (TIMINGCHECK
    +      (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
    +    )
    +    (TIMINGCHECK
    +      (WIDTH (posedge CLK) (1250:1250:1250))
    +      (WIDTH (negedge CLK) (1250:1250:1250))
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "SLICE_10")
    +    (INSTANCE SLICE_10)
    +    (DELAY
    +      (ABSOLUTE
             (IOPATH B0 F0 (367:431:495)(367:431:495))
             (IOPATH A0 F0 (367:431:495)(367:431:495))
             (IOPATH CLK Q0 (392:422:452)(392:422:452))
    @@ -251,14 +272,37 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_10")
    -    (INSTANCE SLICE_10)
    +    (CELLTYPE "SLICE_11")
    +    (INSTANCE SLICE_11)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +        (IOPATH CLK Q0 (392:422:452)(392:422:452))
    +      )
    +    )
    +    (TIMINGCHECK
    +      (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
    +      (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
    +    )
    +    (TIMINGCHECK
    +      (WIDTH (posedge CLK) (1250:1250:1250))
    +      (WIDTH (negedge CLK) (1250:1250:1250))
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "SLICE_12")
    +    (INSTANCE SLICE_12)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
             (IOPATH C1 F1 (367:431:495)(367:431:495))
             (IOPATH B1 F1 (367:431:495)(367:431:495))
             (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
             (IOPATH C0 F0 (367:431:495)(367:431:495))
             (IOPATH B0 F0 (367:431:495)(367:431:495))
             (IOPATH A0 F0 (367:431:495)(367:431:495))
    @@ -279,13 +323,15 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_11")
    -    (INSTANCE SLICE_11)
    +    (CELLTYPE "SLICE_13")
    +    (INSTANCE SLICE_13)
         (DELAY
           (ABSOLUTE
    +        (IOPATH D1 F1 (367:431:495)(367:431:495))
             (IOPATH C1 F1 (367:431:495)(367:431:495))
             (IOPATH B1 F1 (367:431:495)(367:431:495))
             (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
             (IOPATH C0 F0 (367:431:495)(367:431:495))
             (IOPATH B0 F0 (367:431:495)(367:431:495))
             (IOPATH A0 F0 (367:431:495)(367:431:495))
    @@ -303,60 +349,11 @@
           (WIDTH (negedge CLK) (1250:1250:1250))
         )
       )
    -  (CELL
    -    (CELLTYPE "SLICE_12")
    -    (INSTANCE SLICE_12)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH C1 F1 (367:431:495)(367:431:495))
    -        (IOPATH B1 F1 (367:431:495)(367:431:495))
    -        (IOPATH A1 F1 (367:431:495)(367:431:495))
    -        (IOPATH D0 F0 (367:431:495)(367:431:495))
    -        (IOPATH C0 F0 (367:431:495)(367:431:495))
    -        (IOPATH B0 F0 (367:431:495)(367:431:495))
    -        (IOPATH A0 F0 (367:431:495)(367:431:495))
    -        (IOPATH CLK Q0 (392:422:452)(392:422:452))
    -      )
    -    )
    -    (TIMINGCHECK
    -      (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
    -      (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
    -    )
    -    (TIMINGCHECK
    -      (WIDTH (posedge CLK) (1250:1250:1250))
    -      (WIDTH (negedge CLK) (1250:1250:1250))
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "SLICE_13")
    -    (INSTANCE SLICE_13)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH C1 F1 (367:431:495)(367:431:495))
    -        (IOPATH B1 F1 (367:431:495)(367:431:495))
    -        (IOPATH A1 F1 (367:431:495)(367:431:495))
    -        (IOPATH D0 F0 (367:431:495)(367:431:495))
    -        (IOPATH C0 F0 (367:431:495)(367:431:495))
    -        (IOPATH B0 F0 (367:431:495)(367:431:495))
    -        (IOPATH A0 F0 (367:431:495)(367:431:495))
    -        (IOPATH CLK Q0 (392:422:452)(392:422:452))
    -      )
    -    )
    -    (TIMINGCHECK
    -      (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
    -      (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
    -    )
    -    (TIMINGCHECK
    -      (WIDTH (posedge CLK) (1250:1250:1250))
    -      (WIDTH (negedge CLK) (1250:1250:1250))
    -    )
    -  )
       (CELL
         (CELLTYPE "SLICE_14")
         (INSTANCE SLICE_14)
         (DELAY
           (ABSOLUTE
    -        (IOPATH D1 F1 (367:431:495)(367:431:495))
             (IOPATH C1 F1 (367:431:495)(367:431:495))
             (IOPATH B1 F1 (367:431:495)(367:431:495))
             (IOPATH A1 F1 (367:431:495)(367:431:495))
    @@ -381,10 +378,10 @@
         (INSTANCE SLICE_15)
         (DELAY
           (ABSOLUTE
    -        (IOPATH D1 F1 (367:431:495)(367:431:495))
             (IOPATH C1 F1 (367:431:495)(367:431:495))
             (IOPATH B1 F1 (367:431:495)(367:431:495))
             (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
             (IOPATH C0 F0 (367:431:495)(367:431:495))
             (IOPATH B0 F0 (367:431:495)(367:431:495))
             (IOPATH A0 F0 (367:431:495)(367:431:495))
    @@ -405,6 +402,7 @@
         (INSTANCE SLICE_16)
         (DELAY
           (ABSOLUTE
    +        (IOPATH D1 F1 (367:431:495)(367:431:495))
             (IOPATH C1 F1 (367:431:495)(367:431:495))
             (IOPATH B1 F1 (367:431:495)(367:431:495))
             (IOPATH A1 F1 (367:431:495)(367:431:495))
    @@ -427,30 +425,6 @@
       (CELL
         (CELLTYPE "SLICE_17")
         (INSTANCE SLICE_17)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH D1 F1 (367:431:495)(367:431:495))
    -        (IOPATH C1 F1 (367:431:495)(367:431:495))
    -        (IOPATH B1 F1 (367:431:495)(367:431:495))
    -        (IOPATH A1 F1 (367:431:495)(367:431:495))
    -        (IOPATH C0 F0 (367:431:495)(367:431:495))
    -        (IOPATH B0 F0 (367:431:495)(367:431:495))
    -        (IOPATH A0 F0 (367:431:495)(367:431:495))
    -        (IOPATH CLK Q0 (392:422:452)(392:422:452))
    -      )
    -    )
    -    (TIMINGCHECK
    -      (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
    -      (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
    -    )
    -    (TIMINGCHECK
    -      (WIDTH (posedge CLK) (1250:1250:1250))
    -      (WIDTH (negedge CLK) (1250:1250:1250))
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "SLICE_18")
    -    (INSTANCE SLICE_18)
         (DELAY
           (ABSOLUTE
             (IOPATH C1 F1 (367:431:495)(367:431:495))
    @@ -473,8 +447,8 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_19")
    -    (INSTANCE SLICE_19)
    +    (CELLTYPE "SLICE_18")
    +    (INSTANCE SLICE_18)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
    @@ -498,6 +472,29 @@
           (WIDTH (negedge CLK) (1250:1250:1250))
         )
       )
    +  (CELL
    +    (CELLTYPE "SLICE_19")
    +    (INSTANCE SLICE_19)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +        (IOPATH CLK Q0 (392:422:452)(392:422:452))
    +      )
    +    )
    +    (TIMINGCHECK
    +      (SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37))
    +      (SETUPHOLD LSR (posedge CLK) (225:249:274)(-225:-183:-141))
    +    )
    +    (TIMINGCHECK
    +      (WIDTH (posedge LSR) (4000:4000:4000))
    +      (WIDTH (negedge LSR) (4000:4000:4000))
    +      (WIDTH (posedge CLK) (1250:1250:1250))
    +      (WIDTH (negedge CLK) (1250:1250:1250))
    +    )
    +  )
       (CELL
         (CELLTYPE "SLICE_20")
         (INSTANCE SLICE_20)
    @@ -512,10 +509,13 @@
             (IOPATH B0 F0 (367:431:495)(367:431:495))
             (IOPATH A0 F0 (367:431:495)(367:431:495))
             (IOPATH CLK Q0 (392:422:452)(392:422:452))
    +        (IOPATH CLK Q1 (392:422:452)(392:422:452))
           )
         )
         (TIMINGCHECK
    +      (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
           (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
    +      (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
         )
         (TIMINGCHECK
           (WIDTH (posedge CLK) (1250:1250:1250))
    @@ -530,13 +530,16 @@
             (IOPATH C1 F1 (367:431:495)(367:431:495))
             (IOPATH B1 F1 (367:431:495)(367:431:495))
             (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
             (IOPATH C0 F0 (367:431:495)(367:431:495))
             (IOPATH B0 F0 (367:431:495)(367:431:495))
             (IOPATH A0 F0 (367:431:495)(367:431:495))
             (IOPATH CLK Q0 (392:422:452)(392:422:452))
    +        (IOPATH CLK Q1 (392:422:452)(392:422:452))
           )
         )
         (TIMINGCHECK
    +      (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
           (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
           (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
         )
    @@ -550,6 +553,7 @@
         (INSTANCE SLICE_22)
         (DELAY
           (ABSOLUTE
    +        (IOPATH D1 F1 (367:431:495)(367:431:495))
             (IOPATH C1 F1 (367:431:495)(367:431:495))
             (IOPATH B1 F1 (367:431:495)(367:431:495))
             (IOPATH A1 F1 (367:431:495)(367:431:495))
    @@ -603,6 +607,7 @@
             (IOPATH C1 F1 (367:431:495)(367:431:495))
             (IOPATH B1 F1 (367:431:495)(367:431:495))
             (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
             (IOPATH C0 F0 (367:431:495)(367:431:495))
             (IOPATH B0 F0 (367:431:495)(367:431:495))
             (IOPATH A0 F0 (367:431:495)(367:431:495))
    @@ -625,9 +630,11 @@
         (INSTANCE SLICE_25)
         (DELAY
           (ABSOLUTE
    +        (IOPATH D1 F1 (367:431:495)(367:431:495))
             (IOPATH C1 F1 (367:431:495)(367:431:495))
             (IOPATH B1 F1 (367:431:495)(367:431:495))
             (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
             (IOPATH C0 F0 (367:431:495)(367:431:495))
             (IOPATH B0 F0 (367:431:495)(367:431:495))
             (IOPATH A0 F0 (367:431:495)(367:431:495))
    @@ -775,6 +782,7 @@
         (INSTANCE SLICE_31)
         (DELAY
           (ABSOLUTE
    +        (IOPATH D1 F1 (367:431:495)(367:431:495))
             (IOPATH C1 F1 (367:431:495)(367:431:495))
             (IOPATH B1 F1 (367:431:495)(367:431:495))
             (IOPATH A1 F1 (367:431:495)(367:431:495))
    @@ -877,20 +885,18 @@
             (IOPATH C1 F1 (367:431:495)(367:431:495))
             (IOPATH B1 F1 (367:431:495)(367:431:495))
             (IOPATH A1 F1 (367:431:495)(367:431:495))
    -        (IOPATH D0 F0 (367:431:495)(367:431:495))
    -        (IOPATH C0 F0 (367:431:495)(367:431:495))
             (IOPATH B0 F0 (367:431:495)(367:431:495))
             (IOPATH A0 F0 (367:431:495)(367:431:495))
             (IOPATH CLK Q0 (392:422:452)(392:422:452))
    -        (IOPATH CLK Q1 (392:422:452)(392:422:452))
           )
         )
         (TIMINGCHECK
    -      (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
           (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
    -      (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
    +      (SETUPHOLD LSR (posedge CLK) (225:249:274)(-225:-183:-141))
         )
         (TIMINGCHECK
    +      (WIDTH (posedge LSR) (4000:4000:4000))
    +      (WIDTH (negedge LSR) (4000:4000:4000))
           (WIDTH (posedge CLK) (1250:1250:1250))
           (WIDTH (negedge CLK) (1250:1250:1250))
         )
    @@ -900,18 +906,19 @@
         (INSTANCE SLICE_36)
         (DELAY
           (ABSOLUTE
    +        (IOPATH D1 F1 (367:431:495)(367:431:495))
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
             (IOPATH B1 F1 (367:431:495)(367:431:495))
             (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
             (IOPATH B0 F0 (367:431:495)(367:431:495))
             (IOPATH A0 F0 (367:431:495)(367:431:495))
             (IOPATH CLK Q0 (392:422:452)(392:422:452))
    -        (IOPATH CLK Q1 (392:422:452)(392:422:452))
           )
         )
         (TIMINGCHECK
    -      (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
           (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
    -      (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
         )
         (TIMINGCHECK
           (WIDTH (posedge CLK) (1250:1250:1250))
    @@ -923,20 +930,19 @@
         (INSTANCE SLICE_37)
         (DELAY
           (ABSOLUTE
    +        (IOPATH D1 F1 (367:431:495)(367:431:495))
             (IOPATH C1 F1 (367:431:495)(367:431:495))
             (IOPATH B1 F1 (367:431:495)(367:431:495))
             (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
             (IOPATH C0 F0 (367:431:495)(367:431:495))
             (IOPATH B0 F0 (367:431:495)(367:431:495))
             (IOPATH A0 F0 (367:431:495)(367:431:495))
             (IOPATH CLK Q0 (392:422:452)(392:422:452))
    -        (IOPATH CLK Q1 (392:422:452)(392:422:452))
           )
         )
         (TIMINGCHECK
    -      (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
           (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
    -      (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
         )
         (TIMINGCHECK
           (WIDTH (posedge CLK) (1250:1250:1250))
    @@ -948,6 +954,127 @@
         (INSTANCE SLICE_38)
         (DELAY
           (ABSOLUTE
    +        (IOPATH D1 F1 (367:431:495)(367:431:495))
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +        (IOPATH CLK Q0 (392:422:452)(392:422:452))
    +      )
    +    )
    +    (TIMINGCHECK
    +      (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
    +    )
    +    (TIMINGCHECK
    +      (WIDTH (posedge CLK) (1250:1250:1250))
    +      (WIDTH (negedge CLK) (1250:1250:1250))
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_39")
    +    (INSTANCE ram2e_ufm\/SLICE_39)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH D1 F1 (367:431:495)(367:431:495))
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +        (IOPATH CLK Q0 (392:422:452)(392:422:452))
    +      )
    +    )
    +    (TIMINGCHECK
    +      (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
    +      (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
    +    )
    +    (TIMINGCHECK
    +      (WIDTH (posedge CLK) (1250:1250:1250))
    +      (WIDTH (negedge CLK) (1250:1250:1250))
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_40")
    +    (INSTANCE ram2e_ufm\/SLICE_40)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH D1 F1 (367:431:495)(367:431:495))
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +        (IOPATH CLK Q0 (392:422:452)(392:422:452))
    +      )
    +    )
    +    (TIMINGCHECK
    +      (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
    +      (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
    +    )
    +    (TIMINGCHECK
    +      (WIDTH (posedge CLK) (1250:1250:1250))
    +      (WIDTH (negedge CLK) (1250:1250:1250))
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_41")
    +    (INSTANCE ram2e_ufm\/SLICE_41)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH D1 F1 (367:431:495)(367:431:495))
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +        (IOPATH CLK Q0 (392:422:452)(392:422:452))
    +      )
    +    )
    +    (TIMINGCHECK
    +      (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
    +      (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
    +    )
    +    (TIMINGCHECK
    +      (WIDTH (posedge CLK) (1250:1250:1250))
    +      (WIDTH (negedge CLK) (1250:1250:1250))
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_42")
    +    (INSTANCE ram2e_ufm\/SLICE_42)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +        (IOPATH CLK Q0 (392:422:452)(392:422:452))
    +      )
    +    )
    +    (TIMINGCHECK
    +      (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
    +      (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
    +    )
    +    (TIMINGCHECK
    +      (WIDTH (posedge CLK) (1250:1250:1250))
    +      (WIDTH (negedge CLK) (1250:1250:1250))
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_43")
    +    (INSTANCE ram2e_ufm\/SLICE_43)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
             (IOPATH B1 F1 (367:431:495)(367:431:495))
             (IOPATH A1 F1 (367:431:495)(367:431:495))
             (IOPATH C0 F0 (367:431:495)(367:431:495))
    @@ -968,11 +1095,10 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_39")
    -    (INSTANCE SLICE_39)
    +    (CELLTYPE "ram2e_ufm_SLICE_44")
    +    (INSTANCE ram2e_ufm\/SLICE_44)
         (DELAY
           (ABSOLUTE
    -        (IOPATH D1 F1 (367:431:495)(367:431:495))
             (IOPATH C1 F1 (367:431:495)(367:431:495))
             (IOPATH B1 F1 (367:431:495)(367:431:495))
             (IOPATH A1 F1 (367:431:495)(367:431:495))
    @@ -980,9 +1106,11 @@
             (IOPATH B0 F0 (367:431:495)(367:431:495))
             (IOPATH A0 F0 (367:431:495)(367:431:495))
             (IOPATH CLK Q0 (392:422:452)(392:422:452))
    +        (IOPATH CLK Q1 (392:422:452)(392:422:452))
           )
         )
         (TIMINGCHECK
    +      (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
           (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
           (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
         )
    @@ -992,8 +1120,58 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_40")
    -    (INSTANCE SLICE_40)
    +    (CELLTYPE "ram2e_ufm_SLICE_45")
    +    (INSTANCE ram2e_ufm\/SLICE_45)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +        (IOPATH CLK Q0 (392:422:452)(392:422:452))
    +        (IOPATH CLK Q1 (392:422:452)(392:422:452))
    +      )
    +    )
    +    (TIMINGCHECK
    +      (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
    +      (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
    +      (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
    +    )
    +    (TIMINGCHECK
    +      (WIDTH (posedge CLK) (1250:1250:1250))
    +      (WIDTH (negedge CLK) (1250:1250:1250))
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_46")
    +    (INSTANCE ram2e_ufm\/SLICE_46)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +        (IOPATH CLK Q0 (392:422:452)(392:422:452))
    +        (IOPATH CLK Q1 (392:422:452)(392:422:452))
    +      )
    +    )
    +    (TIMINGCHECK
    +      (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
    +      (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
    +      (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
    +    )
    +    (TIMINGCHECK
    +      (WIDTH (posedge CLK) (1250:1250:1250))
    +      (WIDTH (negedge CLK) (1250:1250:1250))
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_47")
    +    (INSTANCE ram2e_ufm\/SLICE_47)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
    @@ -1019,14 +1197,36 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_41")
    -    (INSTANCE SLICE_41)
    +    (CELLTYPE "ram2e_ufm_SLICE_48")
    +    (INSTANCE ram2e_ufm\/SLICE_48)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +        (IOPATH CLK Q0 (392:422:452)(392:422:452))
    +        (IOPATH CLK Q1 (392:422:452)(392:422:452))
    +      )
    +    )
    +    (TIMINGCHECK
    +      (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
    +      (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
    +      (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
    +    )
    +    (TIMINGCHECK
    +      (WIDTH (posedge CLK) (1250:1250:1250))
    +      (WIDTH (negedge CLK) (1250:1250:1250))
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_49")
    +    (INSTANCE ram2e_ufm\/SLICE_49)
         (DELAY
           (ABSOLUTE
             (IOPATH C1 F1 (367:431:495)(367:431:495))
             (IOPATH B1 F1 (367:431:495)(367:431:495))
             (IOPATH A1 F1 (367:431:495)(367:431:495))
    -        (IOPATH D0 F0 (367:431:495)(367:431:495))
             (IOPATH C0 F0 (367:431:495)(367:431:495))
             (IOPATH B0 F0 (367:431:495)(367:431:495))
             (IOPATH A0 F0 (367:431:495)(367:431:495))
    @@ -1045,8 +1245,56 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_42")
    -    (INSTANCE SLICE_42)
    +    (CELLTYPE "ram2e_ufm_SLICE_50")
    +    (INSTANCE ram2e_ufm\/SLICE_50)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +        (IOPATH CLK Q0 (392:422:452)(392:422:452))
    +        (IOPATH CLK Q1 (392:422:452)(392:422:452))
    +      )
    +    )
    +    (TIMINGCHECK
    +      (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
    +      (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
    +      (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
    +    )
    +    (TIMINGCHECK
    +      (WIDTH (posedge CLK) (1250:1250:1250))
    +      (WIDTH (negedge CLK) (1250:1250:1250))
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_51")
    +    (INSTANCE ram2e_ufm\/SLICE_51)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH D1 F1 (367:431:495)(367:431:495))
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +        (IOPATH CLK Q0 (392:422:452)(392:422:452))
    +      )
    +    )
    +    (TIMINGCHECK
    +      (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
    +      (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
    +    )
    +    (TIMINGCHECK
    +      (WIDTH (posedge CLK) (1250:1250:1250))
    +      (WIDTH (negedge CLK) (1250:1250:1250))
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_52")
    +    (INSTANCE ram2e_ufm\/SLICE_52)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
    @@ -1072,14 +1320,15 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_43")
    -    (INSTANCE SLICE_43)
    +    (CELLTYPE "ram2e_ufm_SLICE_53")
    +    (INSTANCE ram2e_ufm\/SLICE_53)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
             (IOPATH C1 F1 (367:431:495)(367:431:495))
             (IOPATH B1 F1 (367:431:495)(367:431:495))
             (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
             (IOPATH C0 F0 (367:431:495)(367:431:495))
             (IOPATH B0 F0 (367:431:495)(367:431:495))
             (IOPATH A0 F0 (367:431:495)(367:431:495))
    @@ -1098,10 +1347,65 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_44")
    -    (INSTANCE SLICE_44)
    +    (CELLTYPE "ram2e_ufm_SLICE_54")
    +    (INSTANCE ram2e_ufm\/SLICE_54)
         (DELAY
           (ABSOLUTE
    +        (IOPATH D1 F1 (367:431:495)(367:431:495))
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +        (IOPATH CLK Q0 (392:422:452)(392:422:452))
    +        (IOPATH CLK Q1 (392:422:452)(392:422:452))
    +      )
    +    )
    +    (TIMINGCHECK
    +      (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
    +      (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
    +      (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
    +    )
    +    (TIMINGCHECK
    +      (WIDTH (posedge CLK) (1250:1250:1250))
    +      (WIDTH (negedge CLK) (1250:1250:1250))
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_55")
    +    (INSTANCE ram2e_ufm\/SLICE_55)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH D1 F1 (367:431:495)(367:431:495))
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +        (IOPATH CLK Q0 (392:422:452)(392:422:452))
    +        (IOPATH CLK Q1 (392:422:452)(392:422:452))
    +      )
    +    )
    +    (TIMINGCHECK
    +      (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
    +      (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
    +      (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
    +    )
    +    (TIMINGCHECK
    +      (WIDTH (posedge CLK) (1250:1250:1250))
    +      (WIDTH (negedge CLK) (1250:1250:1250))
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_56")
    +    (INSTANCE ram2e_ufm\/SLICE_56)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH D1 F1 (367:431:495)(367:431:495))
             (IOPATH C1 F1 (367:431:495)(367:431:495))
             (IOPATH B1 F1 (367:431:495)(367:431:495))
             (IOPATH A1 F1 (367:431:495)(367:431:495))
    @@ -1125,10 +1429,12 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_45")
    -    (INSTANCE SLICE_45)
    +    (CELLTYPE "ram2e_ufm_SLICE_57")
    +    (INSTANCE ram2e_ufm\/SLICE_57)
         (DELAY
           (ABSOLUTE
    +        (IOPATH D1 F1 (367:431:495)(367:431:495))
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
             (IOPATH B1 F1 (367:431:495)(367:431:495))
             (IOPATH A1 F1 (367:431:495)(367:431:495))
             (IOPATH B0 F0 (367:431:495)(367:431:495))
    @@ -1148,8 +1454,8 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_46")
    -    (INSTANCE SLICE_46)
    +    (CELLTYPE "ram2e_ufm_SLICE_58")
    +    (INSTANCE ram2e_ufm\/SLICE_58)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
    @@ -1173,8 +1479,54 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_47")
    -    (INSTANCE SLICE_47)
    +    (CELLTYPE "ram2e_ufm_SUM0_i_m3_0_SLICE_59")
    +    (INSTANCE ram2e_ufm\/SUM0_i_m3_0\/SLICE_59)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH C1 OFX0 (457:589:721)(457:589:721))
    +        (IOPATH B1 OFX0 (457:589:721)(457:589:721))
    +        (IOPATH A1 OFX0 (457:589:721)(457:589:721))
    +        (IOPATH C0 OFX0 (457:589:721)(457:589:721))
    +        (IOPATH B0 OFX0 (457:589:721)(457:589:721))
    +        (IOPATH A0 OFX0 (457:589:721)(457:589:721))
    +        (IOPATH M0 OFX0 (322:349:376)(322:349:376))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_un1_CS_0_sqmuxa_0_0_0_SLICE_60")
    +    (INSTANCE ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH D1 OFX0 (457:589:721)(457:589:721))
    +        (IOPATH C1 OFX0 (457:589:721)(457:589:721))
    +        (IOPATH B1 OFX0 (457:589:721)(457:589:721))
    +        (IOPATH A1 OFX0 (457:589:721)(457:589:721))
    +        (IOPATH C0 OFX0 (457:589:721)(457:589:721))
    +        (IOPATH B0 OFX0 (457:589:721)(457:589:721))
    +        (IOPATH A0 OFX0 (457:589:721)(457:589:721))
    +        (IOPATH M0 OFX0 (322:349:376)(322:349:376))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_CKE_7_SLICE_61")
    +    (INSTANCE ram2e_ufm\/CKE_7\/SLICE_61)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH C1 OFX0 (457:589:721)(457:589:721))
    +        (IOPATH B1 OFX0 (457:589:721)(457:589:721))
    +        (IOPATH A1 OFX0 (457:589:721)(457:589:721))
    +        (IOPATH C0 OFX0 (457:589:721)(457:589:721))
    +        (IOPATH B0 OFX0 (457:589:721)(457:589:721))
    +        (IOPATH A0 OFX0 (457:589:721)(457:589:721))
    +        (IOPATH M0 OFX0 (322:349:376)(322:349:376))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_62")
    +    (INSTANCE ram2e_ufm\/SLICE_62)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
    @@ -1189,8 +1541,8 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_48")
    -    (INSTANCE SLICE_48)
    +    (CELLTYPE "ram2e_ufm_SLICE_63")
    +    (INSTANCE ram2e_ufm\/SLICE_63)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
    @@ -1205,8 +1557,23 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_49")
    -    (INSTANCE SLICE_49)
    +    (CELLTYPE "ram2e_ufm_SLICE_64")
    +    (INSTANCE ram2e_ufm\/SLICE_64)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_65")
    +    (INSTANCE ram2e_ufm\/SLICE_65)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
    @@ -1221,8 +1588,8 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_50")
    -    (INSTANCE SLICE_50)
    +    (CELLTYPE "ram2e_ufm_SLICE_66")
    +    (INSTANCE ram2e_ufm\/SLICE_66)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
    @@ -1237,8 +1604,23 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_51")
    -    (INSTANCE SLICE_51)
    +    (CELLTYPE "ram2e_ufm_SLICE_67")
    +    (INSTANCE ram2e_ufm\/SLICE_67)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_68")
    +    (INSTANCE ram2e_ufm\/SLICE_68)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
    @@ -1253,8 +1635,8 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_52")
    -    (INSTANCE SLICE_52)
    +    (CELLTYPE "ram2e_ufm_SLICE_69")
    +    (INSTANCE ram2e_ufm\/SLICE_69)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
    @@ -1269,8 +1651,52 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_53")
    -    (INSTANCE SLICE_53)
    +    (CELLTYPE "ram2e_ufm_SLICE_70")
    +    (INSTANCE ram2e_ufm\/SLICE_70)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_71")
    +    (INSTANCE ram2e_ufm\/SLICE_71)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_72")
    +    (INSTANCE ram2e_ufm\/SLICE_72)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH D1 F1 (367:431:495)(367:431:495))
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_73")
    +    (INSTANCE ram2e_ufm\/SLICE_73)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
    @@ -1285,8 +1711,81 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_54")
    -    (INSTANCE SLICE_54)
    +    (CELLTYPE "ram2e_ufm_SLICE_74")
    +    (INSTANCE ram2e_ufm\/SLICE_74)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_75")
    +    (INSTANCE ram2e_ufm\/SLICE_75)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_76")
    +    (INSTANCE ram2e_ufm\/SLICE_76)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_77")
    +    (INSTANCE ram2e_ufm\/SLICE_77)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_78")
    +    (INSTANCE ram2e_ufm\/SLICE_78)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_79")
    +    (INSTANCE ram2e_ufm\/SLICE_79)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
    @@ -1301,8 +1800,8 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_55")
    -    (INSTANCE SLICE_55)
    +    (CELLTYPE "ram2e_ufm_SLICE_80")
    +    (INSTANCE ram2e_ufm\/SLICE_80)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
    @@ -1317,22 +1816,8 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_56")
    -    (INSTANCE SLICE_56)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH C1 F1 (367:431:495)(367:431:495))
    -        (IOPATH B1 F1 (367:431:495)(367:431:495))
    -        (IOPATH A1 F1 (367:431:495)(367:431:495))
    -        (IOPATH C0 F0 (367:431:495)(367:431:495))
    -        (IOPATH B0 F0 (367:431:495)(367:431:495))
    -        (IOPATH A0 F0 (367:431:495)(367:431:495))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "SLICE_57")
    -    (INSTANCE SLICE_57)
    +    (CELLTYPE "ram2e_ufm_SLICE_81")
    +    (INSTANCE ram2e_ufm\/SLICE_81)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
    @@ -1347,8 +1832,8 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_58")
    -    (INSTANCE SLICE_58)
    +    (CELLTYPE "ram2e_ufm_SLICE_82")
    +    (INSTANCE ram2e_ufm\/SLICE_82)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
    @@ -1363,8 +1848,23 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_59")
    -    (INSTANCE SLICE_59)
    +    (CELLTYPE "ram2e_ufm_SLICE_83")
    +    (INSTANCE ram2e_ufm\/SLICE_83)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_84")
    +    (INSTANCE ram2e_ufm\/SLICE_84)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
    @@ -1379,126 +1879,8 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_60")
    -    (INSTANCE SLICE_60)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH D1 F1 (367:431:495)(367:431:495))
    -        (IOPATH C1 F1 (367:431:495)(367:431:495))
    -        (IOPATH B1 F1 (367:431:495)(367:431:495))
    -        (IOPATH A1 F1 (367:431:495)(367:431:495))
    -        (IOPATH C0 F0 (367:431:495)(367:431:495))
    -        (IOPATH B0 F0 (367:431:495)(367:431:495))
    -        (IOPATH A0 F0 (367:431:495)(367:431:495))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "SLICE_61")
    -    (INSTANCE SLICE_61)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH C1 F1 (367:431:495)(367:431:495))
    -        (IOPATH B1 F1 (367:431:495)(367:431:495))
    -        (IOPATH A1 F1 (367:431:495)(367:431:495))
    -        (IOPATH D0 F0 (367:431:495)(367:431:495))
    -        (IOPATH C0 F0 (367:431:495)(367:431:495))
    -        (IOPATH B0 F0 (367:431:495)(367:431:495))
    -        (IOPATH A0 F0 (367:431:495)(367:431:495))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "SLICE_62")
    -    (INSTANCE SLICE_62)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH D1 F1 (367:431:495)(367:431:495))
    -        (IOPATH C1 F1 (367:431:495)(367:431:495))
    -        (IOPATH B1 F1 (367:431:495)(367:431:495))
    -        (IOPATH A1 F1 (367:431:495)(367:431:495))
    -        (IOPATH C0 F0 (367:431:495)(367:431:495))
    -        (IOPATH B0 F0 (367:431:495)(367:431:495))
    -        (IOPATH A0 F0 (367:431:495)(367:431:495))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "SLICE_63")
    -    (INSTANCE SLICE_63)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH D1 F1 (367:431:495)(367:431:495))
    -        (IOPATH C1 F1 (367:431:495)(367:431:495))
    -        (IOPATH B1 F1 (367:431:495)(367:431:495))
    -        (IOPATH A1 F1 (367:431:495)(367:431:495))
    -        (IOPATH C0 F0 (367:431:495)(367:431:495))
    -        (IOPATH B0 F0 (367:431:495)(367:431:495))
    -        (IOPATH A0 F0 (367:431:495)(367:431:495))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "SLICE_64")
    -    (INSTANCE SLICE_64)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH C1 F1 (367:431:495)(367:431:495))
    -        (IOPATH B1 F1 (367:431:495)(367:431:495))
    -        (IOPATH A1 F1 (367:431:495)(367:431:495))
    -        (IOPATH D0 F0 (367:431:495)(367:431:495))
    -        (IOPATH C0 F0 (367:431:495)(367:431:495))
    -        (IOPATH B0 F0 (367:431:495)(367:431:495))
    -        (IOPATH A0 F0 (367:431:495)(367:431:495))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "SLICE_65")
    -    (INSTANCE SLICE_65)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH C1 F1 (367:431:495)(367:431:495))
    -        (IOPATH B1 F1 (367:431:495)(367:431:495))
    -        (IOPATH A1 F1 (367:431:495)(367:431:495))
    -        (IOPATH D0 F0 (367:431:495)(367:431:495))
    -        (IOPATH C0 F0 (367:431:495)(367:431:495))
    -        (IOPATH B0 F0 (367:431:495)(367:431:495))
    -        (IOPATH A0 F0 (367:431:495)(367:431:495))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "SLICE_66")
    -    (INSTANCE SLICE_66)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH B1 F1 (367:431:495)(367:431:495))
    -        (IOPATH A1 F1 (367:431:495)(367:431:495))
    -        (IOPATH D0 F0 (367:431:495)(367:431:495))
    -        (IOPATH C0 F0 (367:431:495)(367:431:495))
    -        (IOPATH B0 F0 (367:431:495)(367:431:495))
    -        (IOPATH A0 F0 (367:431:495)(367:431:495))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "SLICE_67")
    -    (INSTANCE SLICE_67)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH B1 F1 (367:431:495)(367:431:495))
    -        (IOPATH A1 F1 (367:431:495)(367:431:495))
    -        (IOPATH D0 F0 (367:431:495)(367:431:495))
    -        (IOPATH C0 F0 (367:431:495)(367:431:495))
    -        (IOPATH B0 F0 (367:431:495)(367:431:495))
    -        (IOPATH A0 F0 (367:431:495)(367:431:495))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "SLICE_68")
    -    (INSTANCE SLICE_68)
    +    (CELLTYPE "ram2e_ufm_SLICE_85")
    +    (INSTANCE ram2e_ufm\/SLICE_85)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
    @@ -1513,8 +1895,66 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_69")
    -    (INSTANCE SLICE_69)
    +    (CELLTYPE "ram2e_ufm_SLICE_86")
    +    (INSTANCE ram2e_ufm\/SLICE_86)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_87")
    +    (INSTANCE ram2e_ufm\/SLICE_87)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH D1 F1 (367:431:495)(367:431:495))
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_88")
    +    (INSTANCE ram2e_ufm\/SLICE_88)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_89")
    +    (INSTANCE ram2e_ufm\/SLICE_89)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_90")
    +    (INSTANCE ram2e_ufm\/SLICE_90)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
    @@ -1529,8 +1969,8 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_70")
    -    (INSTANCE SLICE_70)
    +    (CELLTYPE "ram2e_ufm_SLICE_91")
    +    (INSTANCE ram2e_ufm\/SLICE_91)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
    @@ -1545,8 +1985,8 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_71")
    -    (INSTANCE SLICE_71)
    +    (CELLTYPE "ram2e_ufm_SLICE_92")
    +    (INSTANCE ram2e_ufm\/SLICE_92)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
    @@ -1561,8 +2001,37 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_72")
    -    (INSTANCE SLICE_72)
    +    (CELLTYPE "ram2e_ufm_SLICE_93")
    +    (INSTANCE ram2e_ufm\/SLICE_93)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH D1 F1 (367:431:495)(367:431:495))
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_94")
    +    (INSTANCE ram2e_ufm\/SLICE_94)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_95")
    +    (INSTANCE ram2e_ufm\/SLICE_95)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
    @@ -1577,8 +2046,8 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_73")
    -    (INSTANCE SLICE_73)
    +    (CELLTYPE "ram2e_ufm_SLICE_96")
    +    (INSTANCE ram2e_ufm\/SLICE_96)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
    @@ -1593,8 +2062,8 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_74")
    -    (INSTANCE SLICE_74)
    +    (CELLTYPE "ram2e_ufm_SLICE_97")
    +    (INSTANCE ram2e_ufm\/SLICE_97)
         (DELAY
           (ABSOLUTE
             (IOPATH C1 F1 (367:431:495)(367:431:495))
    @@ -1608,23 +2077,8 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_75")
    -    (INSTANCE SLICE_75)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH D1 F1 (367:431:495)(367:431:495))
    -        (IOPATH C1 F1 (367:431:495)(367:431:495))
    -        (IOPATH B1 F1 (367:431:495)(367:431:495))
    -        (IOPATH A1 F1 (367:431:495)(367:431:495))
    -        (IOPATH C0 F0 (367:431:495)(367:431:495))
    -        (IOPATH B0 F0 (367:431:495)(367:431:495))
    -        (IOPATH A0 F0 (367:431:495)(367:431:495))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "SLICE_76")
    -    (INSTANCE SLICE_76)
    +    (CELLTYPE "ram2e_ufm_SLICE_98")
    +    (INSTANCE ram2e_ufm\/SLICE_98)
         (DELAY
           (ABSOLUTE
             (IOPATH B1 F1 (367:431:495)(367:431:495))
    @@ -1637,8 +2091,8 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_77")
    -    (INSTANCE SLICE_77)
    +    (CELLTYPE "ram2e_ufm_SLICE_99")
    +    (INSTANCE ram2e_ufm\/SLICE_99)
         (DELAY
           (ABSOLUTE
             (IOPATH C1 F1 (367:431:495)(367:431:495))
    @@ -1652,50 +2106,8 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_78")
    -    (INSTANCE SLICE_78)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH B1 F1 (367:431:495)(367:431:495))
    -        (IOPATH A1 F1 (367:431:495)(367:431:495))
    -        (IOPATH D0 F0 (367:431:495)(367:431:495))
    -        (IOPATH C0 F0 (367:431:495)(367:431:495))
    -        (IOPATH B0 F0 (367:431:495)(367:431:495))
    -        (IOPATH A0 F0 (367:431:495)(367:431:495))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "SLICE_79")
    -    (INSTANCE SLICE_79)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH D1 F1 (367:431:495)(367:431:495))
    -        (IOPATH C1 F1 (367:431:495)(367:431:495))
    -        (IOPATH B1 F1 (367:431:495)(367:431:495))
    -        (IOPATH A1 F1 (367:431:495)(367:431:495))
    -        (IOPATH B0 F0 (367:431:495)(367:431:495))
    -        (IOPATH A0 F0 (367:431:495)(367:431:495))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "SLICE_80")
    -    (INSTANCE SLICE_80)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH C1 F1 (367:431:495)(367:431:495))
    -        (IOPATH B1 F1 (367:431:495)(367:431:495))
    -        (IOPATH A1 F1 (367:431:495)(367:431:495))
    -        (IOPATH C0 F0 (367:431:495)(367:431:495))
    -        (IOPATH B0 F0 (367:431:495)(367:431:495))
    -        (IOPATH A0 F0 (367:431:495)(367:431:495))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "SLICE_81")
    -    (INSTANCE SLICE_81)
    +    (CELLTYPE "ram2e_ufm_SLICE_100")
    +    (INSTANCE ram2e_ufm\/SLICE_100)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
    @@ -1710,8 +2122,93 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_82")
    -    (INSTANCE SLICE_82)
    +    (CELLTYPE "ram2e_ufm_SLICE_101")
    +    (INSTANCE ram2e_ufm\/SLICE_101)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_102")
    +    (INSTANCE ram2e_ufm\/SLICE_102)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_103")
    +    (INSTANCE ram2e_ufm\/SLICE_103)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_104")
    +    (INSTANCE ram2e_ufm\/SLICE_104)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH D1 F1 (367:431:495)(367:431:495))
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_105")
    +    (INSTANCE ram2e_ufm\/SLICE_105)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH D1 F1 (367:431:495)(367:431:495))
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_106")
    +    (INSTANCE ram2e_ufm\/SLICE_106)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_107")
    +    (INSTANCE ram2e_ufm\/SLICE_107)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
    @@ -1726,8 +2223,140 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_83")
    -    (INSTANCE SLICE_83)
    +    (CELLTYPE "ram2e_ufm_SLICE_108")
    +    (INSTANCE ram2e_ufm\/SLICE_108)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH D1 F1 (367:431:495)(367:431:495))
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_109")
    +    (INSTANCE ram2e_ufm\/SLICE_109)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_110")
    +    (INSTANCE ram2e_ufm\/SLICE_110)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH D1 F1 (367:431:495)(367:431:495))
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_111")
    +    (INSTANCE ram2e_ufm\/SLICE_111)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_112")
    +    (INSTANCE ram2e_ufm\/SLICE_112)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_113")
    +    (INSTANCE ram2e_ufm\/SLICE_113)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_114")
    +    (INSTANCE ram2e_ufm\/SLICE_114)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_115")
    +    (INSTANCE ram2e_ufm\/SLICE_115)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_116")
    +    (INSTANCE ram2e_ufm\/SLICE_116)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH D1 F1 (367:431:495)(367:431:495))
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_117")
    +    (INSTANCE ram2e_ufm\/SLICE_117)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
    @@ -1742,8 +2371,8 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_84")
    -    (INSTANCE SLICE_84)
    +    (CELLTYPE "ram2e_ufm_SLICE_118")
    +    (INSTANCE ram2e_ufm\/SLICE_118)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
    @@ -1758,8 +2387,8 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_85")
    -    (INSTANCE SLICE_85)
    +    (CELLTYPE "ram2e_ufm_SLICE_119")
    +    (INSTANCE ram2e_ufm\/SLICE_119)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
    @@ -1774,8 +2403,8 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_86")
    -    (INSTANCE SLICE_86)
    +    (CELLTYPE "ram2e_ufm_SLICE_120")
    +    (INSTANCE ram2e_ufm\/SLICE_120)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
    @@ -1790,81 +2419,8 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_87")
    -    (INSTANCE SLICE_87)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH D1 F1 (367:431:495)(367:431:495))
    -        (IOPATH C1 F1 (367:431:495)(367:431:495))
    -        (IOPATH B1 F1 (367:431:495)(367:431:495))
    -        (IOPATH A1 F1 (367:431:495)(367:431:495))
    -        (IOPATH C0 F0 (367:431:495)(367:431:495))
    -        (IOPATH B0 F0 (367:431:495)(367:431:495))
    -        (IOPATH A0 F0 (367:431:495)(367:431:495))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "SLICE_88")
    -    (INSTANCE SLICE_88)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH B1 F1 (367:431:495)(367:431:495))
    -        (IOPATH A1 F1 (367:431:495)(367:431:495))
    -        (IOPATH D0 F0 (367:431:495)(367:431:495))
    -        (IOPATH C0 F0 (367:431:495)(367:431:495))
    -        (IOPATH B0 F0 (367:431:495)(367:431:495))
    -        (IOPATH A0 F0 (367:431:495)(367:431:495))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "SLICE_89")
    -    (INSTANCE SLICE_89)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH B1 F1 (367:431:495)(367:431:495))
    -        (IOPATH A1 F1 (367:431:495)(367:431:495))
    -        (IOPATH D0 F0 (367:431:495)(367:431:495))
    -        (IOPATH C0 F0 (367:431:495)(367:431:495))
    -        (IOPATH B0 F0 (367:431:495)(367:431:495))
    -        (IOPATH A0 F0 (367:431:495)(367:431:495))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "SLICE_90")
    -    (INSTANCE SLICE_90)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH D1 F1 (367:431:495)(367:431:495))
    -        (IOPATH C1 F1 (367:431:495)(367:431:495))
    -        (IOPATH B1 F1 (367:431:495)(367:431:495))
    -        (IOPATH A1 F1 (367:431:495)(367:431:495))
    -        (IOPATH C0 F0 (367:431:495)(367:431:495))
    -        (IOPATH B0 F0 (367:431:495)(367:431:495))
    -        (IOPATH A0 F0 (367:431:495)(367:431:495))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "SLICE_91")
    -    (INSTANCE SLICE_91)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH D1 F1 (367:431:495)(367:431:495))
    -        (IOPATH C1 F1 (367:431:495)(367:431:495))
    -        (IOPATH B1 F1 (367:431:495)(367:431:495))
    -        (IOPATH A1 F1 (367:431:495)(367:431:495))
    -        (IOPATH C0 F0 (367:431:495)(367:431:495))
    -        (IOPATH B0 F0 (367:431:495)(367:431:495))
    -        (IOPATH A0 F0 (367:431:495)(367:431:495))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "SLICE_92")
    -    (INSTANCE SLICE_92)
    +    (CELLTYPE "ram2e_ufm_SLICE_121")
    +    (INSTANCE ram2e_ufm\/SLICE_121)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
    @@ -1879,8 +2435,8 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_93")
    -    (INSTANCE SLICE_93)
    +    (CELLTYPE "ram2e_ufm_SLICE_122")
    +    (INSTANCE ram2e_ufm\/SLICE_122)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
    @@ -1895,8 +2451,23 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_94")
    -    (INSTANCE SLICE_94)
    +    (CELLTYPE "ram2e_ufm_SLICE_123")
    +    (INSTANCE ram2e_ufm\/SLICE_123)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_124")
    +    (INSTANCE ram2e_ufm\/SLICE_124)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
    @@ -1911,8 +2482,8 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_95")
    -    (INSTANCE SLICE_95)
    +    (CELLTYPE "ram2e_ufm_SLICE_125")
    +    (INSTANCE ram2e_ufm\/SLICE_125)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
    @@ -1927,80 +2498,8 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_96")
    -    (INSTANCE SLICE_96)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH C1 F1 (367:431:495)(367:431:495))
    -        (IOPATH B1 F1 (367:431:495)(367:431:495))
    -        (IOPATH A1 F1 (367:431:495)(367:431:495))
    -        (IOPATH D0 F0 (367:431:495)(367:431:495))
    -        (IOPATH C0 F0 (367:431:495)(367:431:495))
    -        (IOPATH B0 F0 (367:431:495)(367:431:495))
    -        (IOPATH A0 F0 (367:431:495)(367:431:495))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "SLICE_97")
    -    (INSTANCE SLICE_97)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH B1 F1 (367:431:495)(367:431:495))
    -        (IOPATH A1 F1 (367:431:495)(367:431:495))
    -        (IOPATH D0 F0 (367:431:495)(367:431:495))
    -        (IOPATH C0 F0 (367:431:495)(367:431:495))
    -        (IOPATH B0 F0 (367:431:495)(367:431:495))
    -        (IOPATH A0 F0 (367:431:495)(367:431:495))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "SLICE_98")
    -    (INSTANCE SLICE_98)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH C1 F1 (367:431:495)(367:431:495))
    -        (IOPATH B1 F1 (367:431:495)(367:431:495))
    -        (IOPATH A1 F1 (367:431:495)(367:431:495))
    -        (IOPATH D0 F0 (367:431:495)(367:431:495))
    -        (IOPATH C0 F0 (367:431:495)(367:431:495))
    -        (IOPATH B0 F0 (367:431:495)(367:431:495))
    -        (IOPATH A0 F0 (367:431:495)(367:431:495))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "SLICE_99")
    -    (INSTANCE SLICE_99)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH B1 F1 (367:431:495)(367:431:495))
    -        (IOPATH A1 F1 (367:431:495)(367:431:495))
    -        (IOPATH D0 F0 (367:431:495)(367:431:495))
    -        (IOPATH C0 F0 (367:431:495)(367:431:495))
    -        (IOPATH B0 F0 (367:431:495)(367:431:495))
    -        (IOPATH A0 F0 (367:431:495)(367:431:495))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "SLICE_100")
    -    (INSTANCE SLICE_100)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH C1 F1 (367:431:495)(367:431:495))
    -        (IOPATH B1 F1 (367:431:495)(367:431:495))
    -        (IOPATH A1 F1 (367:431:495)(367:431:495))
    -        (IOPATH C0 F0 (367:431:495)(367:431:495))
    -        (IOPATH B0 F0 (367:431:495)(367:431:495))
    -        (IOPATH A0 F0 (367:431:495)(367:431:495))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "SLICE_101")
    -    (INSTANCE SLICE_101)
    +    (CELLTYPE "ram2e_ufm_SLICE_126")
    +    (INSTANCE ram2e_ufm\/SLICE_126)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
    @@ -2015,8 +2514,8 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_102")
    -    (INSTANCE SLICE_102)
    +    (CELLTYPE "ram2e_ufm_SLICE_127")
    +    (INSTANCE ram2e_ufm\/SLICE_127)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
    @@ -2031,8 +2530,23 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_103")
    -    (INSTANCE SLICE_103)
    +    (CELLTYPE "ram2e_ufm_SLICE_128")
    +    (INSTANCE ram2e_ufm\/SLICE_128)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH D1 F1 (367:431:495)(367:431:495))
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_129")
    +    (INSTANCE ram2e_ufm\/SLICE_129)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
    @@ -2047,8 +2561,22 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_104")
    -    (INSTANCE SLICE_104)
    +    (CELLTYPE "ram2e_ufm_SLICE_130")
    +    (INSTANCE ram2e_ufm\/SLICE_130)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_131")
    +    (INSTANCE ram2e_ufm\/SLICE_131)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
    @@ -2063,8 +2591,8 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_105")
    -    (INSTANCE SLICE_105)
    +    (CELLTYPE "ram2e_ufm_SLICE_132")
    +    (INSTANCE ram2e_ufm\/SLICE_132)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
    @@ -2079,41 +2607,14 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_106")
    -    (INSTANCE SLICE_106)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH B1 F1 (367:431:495)(367:431:495))
    -        (IOPATH A1 F1 (367:431:495)(367:431:495))
    -        (IOPATH C0 F0 (367:431:495)(367:431:495))
    -        (IOPATH B0 F0 (367:431:495)(367:431:495))
    -        (IOPATH A0 F0 (367:431:495)(367:431:495))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "SLICE_107")
    -    (INSTANCE SLICE_107)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH B1 F1 (367:431:495)(367:431:495))
    -        (IOPATH A1 F1 (367:431:495)(367:431:495))
    -        (IOPATH D0 F0 (367:431:495)(367:431:495))
    -        (IOPATH C0 F0 (367:431:495)(367:431:495))
    -        (IOPATH B0 F0 (367:431:495)(367:431:495))
    -        (IOPATH A0 F0 (367:431:495)(367:431:495))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "SLICE_108")
    -    (INSTANCE SLICE_108)
    +    (CELLTYPE "ram2e_ufm_SLICE_133")
    +    (INSTANCE ram2e_ufm\/SLICE_133)
         (DELAY
           (ABSOLUTE
    +        (IOPATH D1 F1 (367:431:495)(367:431:495))
             (IOPATH C1 F1 (367:431:495)(367:431:495))
             (IOPATH B1 F1 (367:431:495)(367:431:495))
             (IOPATH A1 F1 (367:431:495)(367:431:495))
    -        (IOPATH D0 F0 (367:431:495)(367:431:495))
             (IOPATH C0 F0 (367:431:495)(367:431:495))
             (IOPATH B0 F0 (367:431:495)(367:431:495))
             (IOPATH A0 F0 (367:431:495)(367:431:495))
    @@ -2121,8 +2622,8 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_109")
    -    (INSTANCE SLICE_109)
    +    (CELLTYPE "ram2e_ufm_SLICE_134")
    +    (INSTANCE ram2e_ufm\/SLICE_134)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
    @@ -2137,8 +2638,152 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_110")
    -    (INSTANCE SLICE_110)
    +    (CELLTYPE "ram2e_ufm_SLICE_135")
    +    (INSTANCE ram2e_ufm\/SLICE_135)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH D0 F0 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_136")
    +    (INSTANCE ram2e_ufm\/SLICE_136)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_137")
    +    (INSTANCE ram2e_ufm\/SLICE_137)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "SLICE_138")
    +    (INSTANCE SLICE_138)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "SLICE_139")
    +    (INSTANCE SLICE_139)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH C0 F0 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_140")
    +    (INSTANCE ram2e_ufm\/SLICE_140)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_141")
    +    (INSTANCE ram2e_ufm\/SLICE_141)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_142")
    +    (INSTANCE ram2e_ufm\/SLICE_142)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_143")
    +    (INSTANCE ram2e_ufm\/SLICE_143)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_144")
    +    (INSTANCE ram2e_ufm\/SLICE_144)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_145")
    +    (INSTANCE ram2e_ufm\/SLICE_145)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH D1 F1 (367:431:495)(367:431:495))
    +        (IOPATH C1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B1 F1 (367:431:495)(367:431:495))
    +        (IOPATH A1 F1 (367:431:495)(367:431:495))
    +        (IOPATH B0 F0 (367:431:495)(367:431:495))
    +        (IOPATH A0 F0 (367:431:495)(367:431:495))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "ram2e_ufm_SLICE_146")
    +    (INSTANCE ram2e_ufm\/SLICE_146)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
    @@ -2153,125 +2798,14 @@
         )
       )
       (CELL
    -    (CELLTYPE "SLICE_111")
    -    (INSTANCE SLICE_111)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH C1 F1 (367:431:495)(367:431:495))
    -        (IOPATH B1 F1 (367:431:495)(367:431:495))
    -        (IOPATH A1 F1 (367:431:495)(367:431:495))
    -        (IOPATH C0 F0 (367:431:495)(367:431:495))
    -        (IOPATH B0 F0 (367:431:495)(367:431:495))
    -        (IOPATH A0 F0 (367:431:495)(367:431:495))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "SLICE_112")
    -    (INSTANCE SLICE_112)
    +    (CELLTYPE "ram2e_ufm_SLICE_147")
    +    (INSTANCE ram2e_ufm\/SLICE_147)
         (DELAY
           (ABSOLUTE
             (IOPATH D1 F1 (367:431:495)(367:431:495))
             (IOPATH C1 F1 (367:431:495)(367:431:495))
             (IOPATH B1 F1 (367:431:495)(367:431:495))
             (IOPATH A1 F1 (367:431:495)(367:431:495))
    -        (IOPATH C0 F0 (367:431:495)(367:431:495))
    -        (IOPATH B0 F0 (367:431:495)(367:431:495))
    -        (IOPATH A0 F0 (367:431:495)(367:431:495))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "SLICE_113")
    -    (INSTANCE SLICE_113)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH C1 F1 (367:431:495)(367:431:495))
    -        (IOPATH B1 F1 (367:431:495)(367:431:495))
    -        (IOPATH A1 F1 (367:431:495)(367:431:495))
    -        (IOPATH C0 F0 (367:431:495)(367:431:495))
    -        (IOPATH B0 F0 (367:431:495)(367:431:495))
    -        (IOPATH A0 F0 (367:431:495)(367:431:495))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "SLICE_114")
    -    (INSTANCE SLICE_114)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH D1 F1 (367:431:495)(367:431:495))
    -        (IOPATH C1 F1 (367:431:495)(367:431:495))
    -        (IOPATH B1 F1 (367:431:495)(367:431:495))
    -        (IOPATH A1 F1 (367:431:495)(367:431:495))
    -        (IOPATH D0 F0 (367:431:495)(367:431:495))
    -        (IOPATH C0 F0 (367:431:495)(367:431:495))
    -        (IOPATH B0 F0 (367:431:495)(367:431:495))
    -        (IOPATH A0 F0 (367:431:495)(367:431:495))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "SLICE_115")
    -    (INSTANCE SLICE_115)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH B1 F1 (367:431:495)(367:431:495))
    -        (IOPATH A1 F1 (367:431:495)(367:431:495))
    -        (IOPATH D0 F0 (367:431:495)(367:431:495))
    -        (IOPATH C0 F0 (367:431:495)(367:431:495))
    -        (IOPATH B0 F0 (367:431:495)(367:431:495))
    -        (IOPATH A0 F0 (367:431:495)(367:431:495))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "SLICE_116")
    -    (INSTANCE SLICE_116)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH B1 F1 (367:431:495)(367:431:495))
    -        (IOPATH A1 F1 (367:431:495)(367:431:495))
    -        (IOPATH D0 F0 (367:431:495)(367:431:495))
    -        (IOPATH C0 F0 (367:431:495)(367:431:495))
    -        (IOPATH B0 F0 (367:431:495)(367:431:495))
    -        (IOPATH A0 F0 (367:431:495)(367:431:495))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "SLICE_117")
    -    (INSTANCE SLICE_117)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH D1 F1 (367:431:495)(367:431:495))
    -        (IOPATH C1 F1 (367:431:495)(367:431:495))
    -        (IOPATH B1 F1 (367:431:495)(367:431:495))
    -        (IOPATH A1 F1 (367:431:495)(367:431:495))
    -        (IOPATH B0 F0 (367:431:495)(367:431:495))
    -        (IOPATH A0 F0 (367:431:495)(367:431:495))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "SLICE_118")
    -    (INSTANCE SLICE_118)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH B1 F1 (367:431:495)(367:431:495))
    -        (IOPATH A1 F1 (367:431:495)(367:431:495))
    -        (IOPATH B0 F0 (367:431:495)(367:431:495))
    -        (IOPATH A0 F0 (367:431:495)(367:431:495))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "SLICE_119")
    -    (INSTANCE SLICE_119)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH D0 F0 (367:431:495)(367:431:495))
    -        (IOPATH C0 F0 (367:431:495)(367:431:495))
             (IOPATH B0 F0 (367:431:495)(367:431:495))
             (IOPATH A0 F0 (367:431:495)(367:431:495))
           )
    @@ -2315,56 +2849,6 @@
           (WIDTH (negedge C14M) (3330:3330:3330))
         )
       )
    -  (CELL
    -    (CELLTYPE "DQMH")
    -    (INSTANCE DQMH_I)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH IOLDO DQMH (2927:3031:3136)(2927:3031:3136))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "DQMH_MGIOL")
    -    (INSTANCE DQMH_MGIOL)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH CLK IOLDO (546:556:567)(546:556:567))
    -      )
    -    )
    -    (TIMINGCHECK
    -      (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
    -    )
    -    (TIMINGCHECK
    -      (WIDTH (posedge CLK) (1855:1855:1855))
    -      (WIDTH (negedge CLK) (1855:1855:1855))
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "DQML")
    -    (INSTANCE DQML_I)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH IOLDO DQML (2927:3031:3136)(2927:3031:3136))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "DQML_MGIOL")
    -    (INSTANCE DQML_MGIOL)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH CLK IOLDO (546:556:567)(546:556:567))
    -      )
    -    )
    -    (TIMINGCHECK
    -      (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
    -    )
    -    (TIMINGCHECK
    -      (WIDTH (posedge CLK) (1855:1855:1855))
    -      (WIDTH (negedge CLK) (1855:1855:1855))
    -    )
    -  )
       (CELL
         (CELLTYPE "RD_7_")
         (INSTANCE RD\[7\]_I)
    @@ -2478,117 +2962,17 @@
         )
       )
       (CELL
    -    (CELLTYPE "RA_11_")
    -    (INSTANCE RA\[11\]_I)
    +    (CELLTYPE "DQMH")
    +    (INSTANCE DQMH_I)
         (DELAY
           (ABSOLUTE
    -        (IOPATH IOLDO RA11 (2927:3031:3136)(2927:3031:3136))
    +        (IOPATH IOLDO DQMH (2927:3031:3136)(2927:3031:3136))
           )
         )
       )
       (CELL
    -    (CELLTYPE "RA_11__MGIOL")
    -    (INSTANCE RA\[11\]_MGIOL)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH CLK IOLDO (546:556:567)(546:556:567))
    -      )
    -    )
    -    (TIMINGCHECK
    -      (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
    -    )
    -    (TIMINGCHECK
    -      (WIDTH (posedge CLK) (1855:1855:1855))
    -      (WIDTH (negedge CLK) (1855:1855:1855))
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "RA_10_")
    -    (INSTANCE RA\[10\]_I)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH IOLDO RA10 (2927:3031:3136)(2927:3031:3136))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "RA_10__MGIOL")
    -    (INSTANCE RA\[10\]_MGIOL)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH CLK IOLDO (546:556:567)(546:556:567))
    -      )
    -    )
    -    (TIMINGCHECK
    -      (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
    -    )
    -    (TIMINGCHECK
    -      (WIDTH (posedge CLK) (1855:1855:1855))
    -      (WIDTH (negedge CLK) (1855:1855:1855))
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "RA_9_")
    -    (INSTANCE RA\[9\]_I)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH IOLDO RA9 (2927:3031:3136)(2927:3031:3136))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "RA_9__MGIOL")
    -    (INSTANCE RA\[9\]_MGIOL)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH CLK IOLDO (546:556:567)(546:556:567))
    -      )
    -    )
    -    (TIMINGCHECK
    -      (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
    -    )
    -    (TIMINGCHECK
    -      (WIDTH (posedge CLK) (1855:1855:1855))
    -      (WIDTH (negedge CLK) (1855:1855:1855))
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "RA_8_")
    -    (INSTANCE RA\[8\]_I)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH IOLDO RA8 (2927:3031:3136)(2927:3031:3136))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "RA_8__MGIOL")
    -    (INSTANCE RA\[8\]_MGIOL)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH CLK IOLDO (546:556:567)(546:556:567))
    -      )
    -    )
    -    (TIMINGCHECK
    -      (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
    -    )
    -    (TIMINGCHECK
    -      (WIDTH (posedge CLK) (1855:1855:1855))
    -      (WIDTH (negedge CLK) (1855:1855:1855))
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "RA_7_")
    -    (INSTANCE RA\[7\]_I)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH IOLDO RA7 (2927:3031:3136)(2927:3031:3136))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "RA_7__MGIOL")
    -    (INSTANCE RA\[7\]_MGIOL)
    +    (CELLTYPE "DQMH_MGIOL")
    +    (INSTANCE DQMH_MGIOL)
         (DELAY
           (ABSOLUTE
             (IOPATH CLK IOLDO (546:556:567)(546:556:567))
    @@ -2604,17 +2988,17 @@
         )
       )
       (CELL
    -    (CELLTYPE "RA_6_")
    -    (INSTANCE RA\[6\]_I)
    +    (CELLTYPE "DQML")
    +    (INSTANCE DQML_I)
         (DELAY
           (ABSOLUTE
    -        (IOPATH IOLDO RA6 (2927:3031:3136)(2927:3031:3136))
    +        (IOPATH IOLDO DQML (2927:3031:3136)(2927:3031:3136))
           )
         )
       )
       (CELL
    -    (CELLTYPE "RA_6__MGIOL")
    -    (INSTANCE RA\[6\]_MGIOL)
    +    (CELLTYPE "DQML_MGIOL")
    +    (INSTANCE DQML_MGIOL)
         (DELAY
           (ABSOLUTE
             (IOPATH CLK IOLDO (546:556:567)(546:556:567))
    @@ -2630,127 +3014,305 @@
         )
       )
       (CELL
    -    (CELLTYPE "RA_5_")
    -    (INSTANCE RA\[5\]_I)
    +    (CELLTYPE "RAout_11_")
    +    (INSTANCE RAout\[11\]_I)
         (DELAY
           (ABSOLUTE
    -        (IOPATH IOLDO RA5 (2927:3031:3136)(2927:3031:3136))
    +        (IOPATH IOLDO RAout11 (2927:3031:3136)(2927:3031:3136))
           )
         )
       )
       (CELL
    -    (CELLTYPE "RA_5__MGIOL")
    -    (INSTANCE RA\[5\]_MGIOL)
    +    (CELLTYPE "RAout_11__MGIOL")
    +    (INSTANCE RAout\[11\]_MGIOL)
         (DELAY
           (ABSOLUTE
             (IOPATH CLK IOLDO (546:556:567)(546:556:567))
           )
         )
    -    (TIMINGCHECK
    -      (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
    -      (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36))
    -    )
         (TIMINGCHECK
           (WIDTH (posedge CLK) (1855:1855:1855))
           (WIDTH (negedge CLK) (1855:1855:1855))
         )
    +    (TIMINGCHECK
    +      (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
    +    )
       )
       (CELL
    -    (CELLTYPE "RA_4_")
    -    (INSTANCE RA\[4\]_I)
    +    (CELLTYPE "RAout_10_")
    +    (INSTANCE RAout\[10\]_I)
         (DELAY
           (ABSOLUTE
    -        (IOPATH IOLDO RA4 (2927:3031:3136)(2927:3031:3136))
    +        (IOPATH IOLDO RAout10 (2927:3031:3136)(2927:3031:3136))
           )
         )
       )
       (CELL
    -    (CELLTYPE "RA_4__MGIOL")
    -    (INSTANCE RA\[4\]_MGIOL)
    +    (CELLTYPE "RAout_10__MGIOL")
    +    (INSTANCE RAout\[10\]_MGIOL)
         (DELAY
           (ABSOLUTE
             (IOPATH CLK IOLDO (546:556:567)(546:556:567))
           )
         )
    -    (TIMINGCHECK
    -      (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
    -      (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36))
    -    )
         (TIMINGCHECK
           (WIDTH (posedge CLK) (1855:1855:1855))
           (WIDTH (negedge CLK) (1855:1855:1855))
         )
    +    (TIMINGCHECK
    +      (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
    +    )
       )
       (CELL
    -    (CELLTYPE "RA_3_")
    -    (INSTANCE RA\[3\]_I)
    +    (CELLTYPE "RAout_9_")
    +    (INSTANCE RAout\[9\]_I)
         (DELAY
           (ABSOLUTE
    -        (IOPATH PADDO RA3 (2927:3031:3136)(2927:3031:3136))
    +        (IOPATH IOLDO RAout9 (2927:3031:3136)(2927:3031:3136))
           )
         )
       )
       (CELL
    -    (CELLTYPE "RA_2_")
    -    (INSTANCE RA\[2\]_I)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH IOLDO RA2 (2927:3031:3136)(2927:3031:3136))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "RA_2__MGIOL")
    -    (INSTANCE RA\[2\]_MGIOL)
    +    (CELLTYPE "RAout_9__MGIOL")
    +    (INSTANCE RAout\[9\]_MGIOL)
         (DELAY
           (ABSOLUTE
             (IOPATH CLK IOLDO (546:556:567)(546:556:567))
           )
         )
    -    (TIMINGCHECK
    -      (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
    -      (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36))
    -    )
         (TIMINGCHECK
           (WIDTH (posedge CLK) (1855:1855:1855))
           (WIDTH (negedge CLK) (1855:1855:1855))
         )
    +    (TIMINGCHECK
    +      (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
    +    )
       )
       (CELL
    -    (CELLTYPE "RA_1_")
    -    (INSTANCE RA\[1\]_I)
    +    (CELLTYPE "RAout_8_")
    +    (INSTANCE RAout\[8\]_I)
         (DELAY
           (ABSOLUTE
    -        (IOPATH IOLDO RA1 (2927:3031:3136)(2927:3031:3136))
    +        (IOPATH IOLDO RAout8 (2927:3031:3136)(2927:3031:3136))
           )
         )
       )
       (CELL
    -    (CELLTYPE "RA_1__MGIOL")
    -    (INSTANCE RA\[1\]_MGIOL)
    +    (CELLTYPE "RAout_8__MGIOL")
    +    (INSTANCE RAout\[8\]_MGIOL)
         (DELAY
           (ABSOLUTE
             (IOPATH CLK IOLDO (546:556:567)(546:556:567))
           )
         )
         (TIMINGCHECK
    -      (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
    -      (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36))
    +      (WIDTH (posedge CLK) (1855:1855:1855))
    +      (WIDTH (negedge CLK) (1855:1855:1855))
    +    )
    +    (TIMINGCHECK
    +      (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "RAout_7_")
    +    (INSTANCE RAout\[7\]_I)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH IOLDO RAout7 (2927:3031:3136)(2927:3031:3136))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "RAout_7__MGIOL")
    +    (INSTANCE RAout\[7\]_MGIOL)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH CLK IOLDO (546:556:567)(546:556:567))
    +      )
         )
         (TIMINGCHECK
           (WIDTH (posedge CLK) (1855:1855:1855))
           (WIDTH (negedge CLK) (1855:1855:1855))
         )
    +    (TIMINGCHECK
    +      (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
    +    )
       )
       (CELL
    -    (CELLTYPE "RA_0_")
    -    (INSTANCE RA\[0\]_I)
    +    (CELLTYPE "RAout_6_")
    +    (INSTANCE RAout\[6\]_I)
         (DELAY
           (ABSOLUTE
    -        (IOPATH PADDO RA0 (2927:3031:3136)(2927:3031:3136))
    +        (IOPATH IOLDO RAout6 (2927:3031:3136)(2927:3031:3136))
           )
         )
       )
    +  (CELL
    +    (CELLTYPE "RAout_6__MGIOL")
    +    (INSTANCE RAout\[6\]_MGIOL)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH CLK IOLDO (546:556:567)(546:556:567))
    +      )
    +    )
    +    (TIMINGCHECK
    +      (WIDTH (posedge CLK) (1855:1855:1855))
    +      (WIDTH (negedge CLK) (1855:1855:1855))
    +    )
    +    (TIMINGCHECK
    +      (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "RAout_5_")
    +    (INSTANCE RAout\[5\]_I)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH IOLDO RAout5 (2927:3031:3136)(2927:3031:3136))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "RAout_5__MGIOL")
    +    (INSTANCE RAout\[5\]_MGIOL)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH CLK IOLDO (546:556:567)(546:556:567))
    +      )
    +    )
    +    (TIMINGCHECK
    +      (WIDTH (posedge CLK) (1855:1855:1855))
    +      (WIDTH (negedge CLK) (1855:1855:1855))
    +    )
    +    (TIMINGCHECK
    +      (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "RAout_4_")
    +    (INSTANCE RAout\[4\]_I)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH IOLDO RAout4 (2927:3031:3136)(2927:3031:3136))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "RAout_4__MGIOL")
    +    (INSTANCE RAout\[4\]_MGIOL)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH CLK IOLDO (546:556:567)(546:556:567))
    +      )
    +    )
    +    (TIMINGCHECK
    +      (WIDTH (posedge CLK) (1855:1855:1855))
    +      (WIDTH (negedge CLK) (1855:1855:1855))
    +    )
    +    (TIMINGCHECK
    +      (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "RAout_3_")
    +    (INSTANCE RAout\[3\]_I)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH IOLDO RAout3 (2927:3031:3136)(2927:3031:3136))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "RAout_3__MGIOL")
    +    (INSTANCE RAout\[3\]_MGIOL)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH CLK IOLDO (546:556:567)(546:556:567))
    +      )
    +    )
    +    (TIMINGCHECK
    +      (WIDTH (posedge CLK) (1855:1855:1855))
    +      (WIDTH (negedge CLK) (1855:1855:1855))
    +    )
    +    (TIMINGCHECK
    +      (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "RAout_2_")
    +    (INSTANCE RAout\[2\]_I)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH IOLDO RAout2 (2927:3031:3136)(2927:3031:3136))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "RAout_2__MGIOL")
    +    (INSTANCE RAout\[2\]_MGIOL)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH CLK IOLDO (546:556:567)(546:556:567))
    +      )
    +    )
    +    (TIMINGCHECK
    +      (WIDTH (posedge CLK) (1855:1855:1855))
    +      (WIDTH (negedge CLK) (1855:1855:1855))
    +    )
    +    (TIMINGCHECK
    +      (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "RAout_1_")
    +    (INSTANCE RAout\[1\]_I)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH IOLDO RAout1 (2927:3031:3136)(2927:3031:3136))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "RAout_1__MGIOL")
    +    (INSTANCE RAout\[1\]_MGIOL)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH CLK IOLDO (546:556:567)(546:556:567))
    +      )
    +    )
    +    (TIMINGCHECK
    +      (WIDTH (posedge CLK) (1855:1855:1855))
    +      (WIDTH (negedge CLK) (1855:1855:1855))
    +    )
    +    (TIMINGCHECK
    +      (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "RAout_0_")
    +    (INSTANCE RAout\[0\]_I)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH IOLDO RAout0 (2927:3031:3136)(2927:3031:3136))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "RAout_0__MGIOL")
    +    (INSTANCE RAout\[0\]_MGIOL)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH CLK IOLDO (546:556:567)(546:556:567))
    +      )
    +    )
    +    (TIMINGCHECK
    +      (WIDTH (posedge CLK) (1855:1855:1855))
    +      (WIDTH (negedge CLK) (1855:1855:1855))
    +    )
    +    (TIMINGCHECK
    +      (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
    +    )
    +  )
       (CELL
         (CELLTYPE "BA_1_")
         (INSTANCE BA\[1\]_I)
    @@ -2770,6 +3332,7 @@
         )
         (TIMINGCHECK
           (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
    +      (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36))
           (SETUPHOLD LSR (posedge CLK) (100:100:100)(-90:-90:-90))
         )
         (TIMINGCHECK
    @@ -2796,6 +3359,7 @@
         )
         (TIMINGCHECK
           (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
    +      (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36))
           (SETUPHOLD LSR (posedge CLK) (100:100:100)(-90:-90:-90))
         )
         (TIMINGCHECK
    @@ -2804,128 +3368,112 @@
         )
       )
       (CELL
    -    (CELLTYPE "nRWE")
    -    (INSTANCE nRWE_I)
    +    (CELLTYPE "nRWEout")
    +    (INSTANCE nRWEout_I)
         (DELAY
           (ABSOLUTE
    -        (IOPATH IOLDO nRWE (2927:3031:3136)(2927:3031:3136))
    +        (IOPATH IOLDO nRWEout (2927:3031:3136)(2927:3031:3136))
           )
         )
       )
       (CELL
    -    (CELLTYPE "nRWE_MGIOL")
    -    (INSTANCE nRWE_MGIOL)
    +    (CELLTYPE "nRWEout_MGIOL")
    +    (INSTANCE nRWEout_MGIOL)
         (DELAY
           (ABSOLUTE
             (IOPATH CLK IOLDO (546:556:567)(546:556:567))
           )
         )
    -    (TIMINGCHECK
    -      (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
    -    )
         (TIMINGCHECK
           (WIDTH (posedge CLK) (1855:1855:1855))
           (WIDTH (negedge CLK) (1855:1855:1855))
         )
    +    (TIMINGCHECK
    +      (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
    +    )
       )
       (CELL
    -    (CELLTYPE "nCAS")
    -    (INSTANCE nCAS_I)
    +    (CELLTYPE "nCASout")
    +    (INSTANCE nCASout_I)
         (DELAY
           (ABSOLUTE
    -        (IOPATH IOLDO nCAS (2927:3031:3136)(2927:3031:3136))
    +        (IOPATH IOLDO nCASout (2927:3031:3136)(2927:3031:3136))
           )
         )
       )
       (CELL
    -    (CELLTYPE "nCAS_MGIOL")
    -    (INSTANCE nCAS_MGIOL)
    +    (CELLTYPE "nCASout_MGIOL")
    +    (INSTANCE nCASout_MGIOL)
         (DELAY
           (ABSOLUTE
             (IOPATH CLK IOLDO (546:556:567)(546:556:567))
           )
         )
    -    (TIMINGCHECK
    -      (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
    -    )
         (TIMINGCHECK
           (WIDTH (posedge CLK) (1855:1855:1855))
           (WIDTH (negedge CLK) (1855:1855:1855))
         )
    +    (TIMINGCHECK
    +      (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
    +    )
       )
       (CELL
    -    (CELLTYPE "nRAS")
    -    (INSTANCE nRAS_I)
    +    (CELLTYPE "nRASout")
    +    (INSTANCE nRASout_I)
         (DELAY
           (ABSOLUTE
    -        (IOPATH IOLDO nRAS (2927:3031:3136)(2927:3031:3136))
    +        (IOPATH IOLDO nRASout (2927:3031:3136)(2927:3031:3136))
           )
         )
       )
       (CELL
    -    (CELLTYPE "nRAS_MGIOL")
    -    (INSTANCE nRAS_MGIOL)
    +    (CELLTYPE "nRASout_MGIOL")
    +    (INSTANCE nRASout_MGIOL)
         (DELAY
           (ABSOLUTE
             (IOPATH CLK IOLDO (546:556:567)(546:556:567))
           )
         )
    -    (TIMINGCHECK
    -      (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
    -    )
         (TIMINGCHECK
           (WIDTH (posedge CLK) (1855:1855:1855))
           (WIDTH (negedge CLK) (1855:1855:1855))
         )
    +    (TIMINGCHECK
    +      (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
    +    )
       )
       (CELL
    -    (CELLTYPE "nCS")
    -    (INSTANCE nCS_I)
    +    (CELLTYPE "nCSout")
    +    (INSTANCE nCSout_I)
         (DELAY
           (ABSOLUTE
    -        (IOPATH IOLDO nCS (2927:3031:3136)(2927:3031:3136))
    +        (IOPATH PADDO nCSout (2927:3031:3136)(2927:3031:3136))
           )
         )
       )
       (CELL
    -    (CELLTYPE "nCS_MGIOL")
    -    (INSTANCE nCS_MGIOL)
    +    (CELLTYPE "CKEout")
    +    (INSTANCE CKEout_I)
    +    (DELAY
    +      (ABSOLUTE
    +        (IOPATH IOLDO CKEout (2927:3031:3136)(2927:3031:3136))
    +      )
    +    )
    +  )
    +  (CELL
    +    (CELLTYPE "CKEout_MGIOL")
    +    (INSTANCE CKEout_MGIOL)
         (DELAY
           (ABSOLUTE
             (IOPATH CLK IOLDO (546:556:567)(546:556:567))
           )
         )
    -    (TIMINGCHECK
    -      (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
    -    )
         (TIMINGCHECK
           (WIDTH (posedge CLK) (1855:1855:1855))
           (WIDTH (negedge CLK) (1855:1855:1855))
         )
    -  )
    -  (CELL
    -    (CELLTYPE "CKE")
    -    (INSTANCE CKE_I)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH IOLDO CKE (2927:3031:3136)(2927:3031:3136))
    -      )
    -    )
    -  )
    -  (CELL
    -    (CELLTYPE "CKE_MGIOL")
    -    (INSTANCE CKE_MGIOL)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH CLK IOLDO (546:556:567)(546:556:567))
    -      )
    -    )
         (TIMINGCHECK
    -      (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
    -    )
    -    (TIMINGCHECK
    -      (WIDTH (posedge CLK) (1855:1855:1855))
    -      (WIDTH (negedge CLK) (1855:1855:1855))
    +      (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
         )
       )
       (CELL
    @@ -2955,12 +3503,12 @@
           )
         )
         (TIMINGCHECK
    -      (WIDTH (posedge CLK) (1855:1855:1855))
    -      (WIDTH (negedge CLK) (1855:1855:1855))
    +      (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
    +      (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36))
         )
         (TIMINGCHECK
    -      (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
    -      (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36))
    +      (WIDTH (posedge CLK) (1855:1855:1855))
    +      (WIDTH (negedge CLK) (1855:1855:1855))
         )
       )
       (CELL
    @@ -2981,12 +3529,12 @@
           )
         )
         (TIMINGCHECK
    -      (WIDTH (posedge CLK) (1855:1855:1855))
    -      (WIDTH (negedge CLK) (1855:1855:1855))
    +      (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
    +      (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36))
         )
         (TIMINGCHECK
    -      (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
    -      (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36))
    +      (WIDTH (posedge CLK) (1855:1855:1855))
    +      (WIDTH (negedge CLK) (1855:1855:1855))
         )
       )
       (CELL
    @@ -3007,12 +3555,12 @@
           )
         )
         (TIMINGCHECK
    -      (WIDTH (posedge CLK) (1855:1855:1855))
    -      (WIDTH (negedge CLK) (1855:1855:1855))
    +      (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
    +      (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36))
         )
         (TIMINGCHECK
    -      (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
    -      (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36))
    +      (WIDTH (posedge CLK) (1855:1855:1855))
    +      (WIDTH (negedge CLK) (1855:1855:1855))
         )
       )
       (CELL
    @@ -3033,12 +3581,12 @@
           )
         )
         (TIMINGCHECK
    -      (WIDTH (posedge CLK) (1855:1855:1855))
    -      (WIDTH (negedge CLK) (1855:1855:1855))
    +      (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
    +      (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36))
         )
         (TIMINGCHECK
    -      (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
    -      (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36))
    +      (WIDTH (posedge CLK) (1855:1855:1855))
    +      (WIDTH (negedge CLK) (1855:1855:1855))
         )
       )
       (CELL
    @@ -3059,12 +3607,12 @@
           )
         )
         (TIMINGCHECK
    -      (WIDTH (posedge CLK) (1855:1855:1855))
    -      (WIDTH (negedge CLK) (1855:1855:1855))
    +      (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
    +      (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36))
         )
         (TIMINGCHECK
    -      (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
    -      (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36))
    +      (WIDTH (posedge CLK) (1855:1855:1855))
    +      (WIDTH (negedge CLK) (1855:1855:1855))
         )
       )
       (CELL
    @@ -3085,12 +3633,12 @@
           )
         )
         (TIMINGCHECK
    -      (WIDTH (posedge CLK) (1855:1855:1855))
    -      (WIDTH (negedge CLK) (1855:1855:1855))
    +      (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
    +      (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36))
         )
         (TIMINGCHECK
    -      (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
    -      (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36))
    +      (WIDTH (posedge CLK) (1855:1855:1855))
    +      (WIDTH (negedge CLK) (1855:1855:1855))
         )
       )
       (CELL
    @@ -3111,12 +3659,12 @@
           )
         )
         (TIMINGCHECK
    -      (WIDTH (posedge CLK) (1855:1855:1855))
    -      (WIDTH (negedge CLK) (1855:1855:1855))
    +      (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
    +      (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36))
         )
         (TIMINGCHECK
    -      (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
    -      (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36))
    +      (WIDTH (posedge CLK) (1855:1855:1855))
    +      (WIDTH (negedge CLK) (1855:1855:1855))
         )
       )
       (CELL
    @@ -3137,12 +3685,12 @@
           )
         )
         (TIMINGCHECK
    -      (WIDTH (posedge CLK) (1855:1855:1855))
    -      (WIDTH (negedge CLK) (1855:1855:1855))
    +      (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
    +      (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36))
         )
         (TIMINGCHECK
    -      (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
    -      (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36))
    +      (WIDTH (posedge CLK) (1855:1855:1855))
    +      (WIDTH (negedge CLK) (1855:1855:1855))
         )
       )
       (CELL
    @@ -3159,209 +3707,73 @@
         (INSTANCE Dout\[7\]_I)
         (DELAY
           (ABSOLUTE
    -        (IOPATH IOLDO Dout7 (2293:2420:2548)(2293:2420:2548))
    +        (IOPATH PADDO Dout7 (2927:3031:3136)(2927:3031:3136))
           )
         )
       )
    -  (CELL
    -    (CELLTYPE "Dout_7__MGIOL")
    -    (INSTANCE Dout\[7\]_MGIOL)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH CLK IOLDO (546:556:567)(546:556:567))
    -      )
    -    )
    -    (TIMINGCHECK
    -      (WIDTH (posedge CLK) (1855:1855:1855))
    -      (WIDTH (negedge CLK) (1855:1855:1855))
    -    )
    -    (TIMINGCHECK
    -      (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
    -      (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36))
    -    )
    -  )
       (CELL
         (CELLTYPE "Dout_6_")
         (INSTANCE Dout\[6\]_I)
         (DELAY
           (ABSOLUTE
    -        (IOPATH IOLDO Dout6 (2293:2420:2548)(2293:2420:2548))
    +        (IOPATH PADDO Dout6 (2927:3031:3136)(2927:3031:3136))
           )
         )
       )
    -  (CELL
    -    (CELLTYPE "Dout_6__MGIOL")
    -    (INSTANCE Dout\[6\]_MGIOL)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH CLK IOLDO (546:556:567)(546:556:567))
    -      )
    -    )
    -    (TIMINGCHECK
    -      (WIDTH (posedge CLK) (1855:1855:1855))
    -      (WIDTH (negedge CLK) (1855:1855:1855))
    -    )
    -    (TIMINGCHECK
    -      (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
    -      (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36))
    -    )
    -  )
       (CELL
         (CELLTYPE "Dout_5_")
         (INSTANCE Dout\[5\]_I)
         (DELAY
           (ABSOLUTE
    -        (IOPATH IOLDO Dout5 (2293:2420:2548)(2293:2420:2548))
    +        (IOPATH PADDO Dout5 (2927:3031:3136)(2927:3031:3136))
           )
         )
       )
    -  (CELL
    -    (CELLTYPE "Dout_5__MGIOL")
    -    (INSTANCE Dout\[5\]_MGIOL)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH CLK IOLDO (546:556:567)(546:556:567))
    -      )
    -    )
    -    (TIMINGCHECK
    -      (WIDTH (posedge CLK) (1855:1855:1855))
    -      (WIDTH (negedge CLK) (1855:1855:1855))
    -    )
    -    (TIMINGCHECK
    -      (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
    -      (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36))
    -    )
    -  )
       (CELL
         (CELLTYPE "Dout_4_")
         (INSTANCE Dout\[4\]_I)
         (DELAY
           (ABSOLUTE
    -        (IOPATH IOLDO Dout4 (2293:2420:2548)(2293:2420:2548))
    +        (IOPATH PADDO Dout4 (2927:3031:3136)(2927:3031:3136))
           )
         )
       )
    -  (CELL
    -    (CELLTYPE "Dout_4__MGIOL")
    -    (INSTANCE Dout\[4\]_MGIOL)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH CLK IOLDO (546:556:567)(546:556:567))
    -      )
    -    )
    -    (TIMINGCHECK
    -      (WIDTH (posedge CLK) (1855:1855:1855))
    -      (WIDTH (negedge CLK) (1855:1855:1855))
    -    )
    -    (TIMINGCHECK
    -      (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
    -      (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36))
    -    )
    -  )
       (CELL
         (CELLTYPE "Dout_3_")
         (INSTANCE Dout\[3\]_I)
         (DELAY
           (ABSOLUTE
    -        (IOPATH IOLDO Dout3 (2293:2420:2548)(2293:2420:2548))
    +        (IOPATH PADDO Dout3 (2927:3031:3136)(2927:3031:3136))
           )
         )
       )
    -  (CELL
    -    (CELLTYPE "Dout_3__MGIOL")
    -    (INSTANCE Dout\[3\]_MGIOL)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH CLK IOLDO (546:556:567)(546:556:567))
    -      )
    -    )
    -    (TIMINGCHECK
    -      (WIDTH (posedge CLK) (1855:1855:1855))
    -      (WIDTH (negedge CLK) (1855:1855:1855))
    -    )
    -    (TIMINGCHECK
    -      (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
    -      (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36))
    -    )
    -  )
       (CELL
         (CELLTYPE "Dout_2_")
         (INSTANCE Dout\[2\]_I)
         (DELAY
           (ABSOLUTE
    -        (IOPATH IOLDO Dout2 (2293:2420:2548)(2293:2420:2548))
    +        (IOPATH PADDO Dout2 (2927:3031:3136)(2927:3031:3136))
           )
         )
       )
    -  (CELL
    -    (CELLTYPE "Dout_2__MGIOL")
    -    (INSTANCE Dout\[2\]_MGIOL)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH CLK IOLDO (546:556:567)(546:556:567))
    -      )
    -    )
    -    (TIMINGCHECK
    -      (WIDTH (posedge CLK) (1855:1855:1855))
    -      (WIDTH (negedge CLK) (1855:1855:1855))
    -    )
    -    (TIMINGCHECK
    -      (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
    -      (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36))
    -    )
    -  )
       (CELL
         (CELLTYPE "Dout_1_")
         (INSTANCE Dout\[1\]_I)
         (DELAY
           (ABSOLUTE
    -        (IOPATH IOLDO Dout1 (2293:2420:2548)(2293:2420:2548))
    +        (IOPATH PADDO Dout1 (2927:3031:3136)(2927:3031:3136))
           )
         )
       )
    -  (CELL
    -    (CELLTYPE "Dout_1__MGIOL")
    -    (INSTANCE Dout\[1\]_MGIOL)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH CLK IOLDO (546:556:567)(546:556:567))
    -      )
    -    )
    -    (TIMINGCHECK
    -      (WIDTH (posedge CLK) (1855:1855:1855))
    -      (WIDTH (negedge CLK) (1855:1855:1855))
    -    )
    -    (TIMINGCHECK
    -      (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
    -      (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36))
    -    )
    -  )
       (CELL
         (CELLTYPE "Dout_0_")
         (INSTANCE Dout\[0\]_I)
         (DELAY
           (ABSOLUTE
    -        (IOPATH IOLDO Dout0 (2293:2420:2548)(2293:2420:2548))
    +        (IOPATH PADDO Dout0 (2927:3031:3136)(2927:3031:3136))
           )
         )
       )
    -  (CELL
    -    (CELLTYPE "Dout_0__MGIOL")
    -    (INSTANCE Dout\[0\]_MGIOL)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH CLK IOLDO (546:556:567)(546:556:567))
    -      )
    -    )
    -    (TIMINGCHECK
    -      (WIDTH (posedge CLK) (1855:1855:1855))
    -      (WIDTH (negedge CLK) (1855:1855:1855))
    -    )
    -    (TIMINGCHECK
    -      (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
    -      (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36))
    -    )
    -  )
       (CELL
         (CELLTYPE "Din_7_")
         (INSTANCE Din\[7\]_I)
    @@ -3596,19 +4008,6 @@
           (WIDTH (negedge nEN80) (3330:3330:3330))
         )
       )
    -  (CELL
    -    (CELLTYPE "nWE80")
    -    (INSTANCE nWE80_I)
    -    (DELAY
    -      (ABSOLUTE
    -        (IOPATH nWE80 PADDI (1007:1069:1132)(1007:1069:1132))
    -      )
    -    )
    -    (TIMINGCHECK
    -      (WIDTH (posedge nWE80) (3330:3330:3330))
    -      (WIDTH (negedge nWE80) (3330:3330:3330))
    -    )
    -  )
       (CELL
         (CELLTYPE "nWE")
         (INSTANCE nWE_I)
    @@ -3653,7 +4052,7 @@
       )
       (CELL
         (CELLTYPE "EFB_Buffer_Block")
    -    (INSTANCE ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20)
    +    (INSTANCE ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20)
         (DELAY
           (ABSOLUTE
             (IOPATH WBCLKIin WBDATO0out (978:3628:6278)(978:3628:6278))
    @@ -3700,10 +4099,11 @@
         (DELAY
           (ABSOLUTE
             (INTERCONNECT SLICE_0/Q1 SLICE_0/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_0/Q1 SLICE_39/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_0/Q1 SLICE_52/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_0/Q1 SLICE_75/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_0/Q1 SLICE_119/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_0/Q1 ram2e_ufm\/SLICE_51/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_0/Q1 ram2e_ufm\/SLICE_69/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_0/Q1 ram2e_ufm\/SLICE_105/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_0/Q1 ram2e_ufm\/SLICE_108/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_0/Q1 ram2e_ufm\/SLICE_146/A0 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_0/F1 SLICE_0/DI1 (0:0:0)(0:0:0))
             (INTERCONNECT C14M_I/PADDI SLICE_0/CLK (0:0:0)(0:0:0))
             (INTERCONNECT C14M_I/PADDI SLICE_1/CLK (0:0:0)(0:0:0))
    @@ -3744,33 +4144,46 @@
             (INTERCONNECT C14M_I/PADDI SLICE_36/CLK (0:0:0)(0:0:0))
             (INTERCONNECT C14M_I/PADDI SLICE_37/CLK (0:0:0)(0:0:0))
             (INTERCONNECT C14M_I/PADDI SLICE_38/CLK (0:0:0)(0:0:0))
    -        (INTERCONNECT C14M_I/PADDI SLICE_39/CLK (0:0:0)(0:0:0))
    -        (INTERCONNECT C14M_I/PADDI SLICE_40/CLK (0:0:0)(0:0:0))
    -        (INTERCONNECT C14M_I/PADDI SLICE_41/CLK (0:0:0)(0:0:0))
    -        (INTERCONNECT C14M_I/PADDI SLICE_42/CLK (0:0:0)(0:0:0))
    -        (INTERCONNECT C14M_I/PADDI SLICE_43/CLK (0:0:0)(0:0:0))
    -        (INTERCONNECT C14M_I/PADDI SLICE_44/CLK (0:0:0)(0:0:0))
    -        (INTERCONNECT C14M_I/PADDI SLICE_45/CLK (0:0:0)(0:0:0))
    -        (INTERCONNECT C14M_I/PADDI SLICE_46/CLK (0:0:0)(0:0:0))
    +        (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_39/CLK (0:0:0)(0:0:0))
    +        (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_40/CLK (0:0:0)(0:0:0))
    +        (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_41/CLK (0:0:0)(0:0:0))
    +        (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_42/CLK (0:0:0)(0:0:0))
    +        (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_43/CLK (0:0:0)(0:0:0))
    +        (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_44/CLK (0:0:0)(0:0:0))
    +        (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_45/CLK (0:0:0)(0:0:0))
    +        (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_46/CLK (0:0:0)(0:0:0))
    +        (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_47/CLK (0:0:0)(0:0:0))
    +        (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_48/CLK (0:0:0)(0:0:0))
    +        (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_49/CLK (0:0:0)(0:0:0))
    +        (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_50/CLK (0:0:0)(0:0:0))
    +        (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_51/CLK (0:0:0)(0:0:0))
    +        (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_52/CLK (0:0:0)(0:0:0))
    +        (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_53/CLK (0:0:0)(0:0:0))
    +        (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_54/CLK (0:0:0)(0:0:0))
    +        (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_55/CLK (0:0:0)(0:0:0))
    +        (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_56/CLK (0:0:0)(0:0:0))
    +        (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_57/CLK (0:0:0)(0:0:0))
    +        (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_58/CLK (0:0:0)(0:0:0))
             (INTERCONNECT C14M_I/PADDI DQMH_MGIOL/CLK (0:0:0)(0:0:0))
             (INTERCONNECT C14M_I/PADDI DQML_MGIOL/CLK (0:0:0)(0:0:0))
    -        (INTERCONNECT C14M_I/PADDI RA\[11\]_MGIOL/CLK (0:0:0)(0:0:0))
    -        (INTERCONNECT C14M_I/PADDI RA\[10\]_MGIOL/CLK (0:0:0)(0:0:0))
    -        (INTERCONNECT C14M_I/PADDI RA\[9\]_MGIOL/CLK (0:0:0)(0:0:0))
    -        (INTERCONNECT C14M_I/PADDI RA\[8\]_MGIOL/CLK (0:0:0)(0:0:0))
    -        (INTERCONNECT C14M_I/PADDI RA\[7\]_MGIOL/CLK (0:0:0)(0:0:0))
    -        (INTERCONNECT C14M_I/PADDI RA\[6\]_MGIOL/CLK (0:0:0)(0:0:0))
    -        (INTERCONNECT C14M_I/PADDI RA\[5\]_MGIOL/CLK (0:0:0)(0:0:0))
    -        (INTERCONNECT C14M_I/PADDI RA\[4\]_MGIOL/CLK (0:0:0)(0:0:0))
    -        (INTERCONNECT C14M_I/PADDI RA\[2\]_MGIOL/CLK (0:0:0)(0:0:0))
    -        (INTERCONNECT C14M_I/PADDI RA\[1\]_MGIOL/CLK (0:0:0)(0:0:0))
    +        (INTERCONNECT C14M_I/PADDI RAout\[11\]_MGIOL/CLK (0:0:0)(0:0:0))
    +        (INTERCONNECT C14M_I/PADDI RAout\[10\]_MGIOL/CLK (0:0:0)(0:0:0))
    +        (INTERCONNECT C14M_I/PADDI RAout\[9\]_MGIOL/CLK (0:0:0)(0:0:0))
    +        (INTERCONNECT C14M_I/PADDI RAout\[8\]_MGIOL/CLK (0:0:0)(0:0:0))
    +        (INTERCONNECT C14M_I/PADDI RAout\[7\]_MGIOL/CLK (0:0:0)(0:0:0))
    +        (INTERCONNECT C14M_I/PADDI RAout\[6\]_MGIOL/CLK (0:0:0)(0:0:0))
    +        (INTERCONNECT C14M_I/PADDI RAout\[5\]_MGIOL/CLK (0:0:0)(0:0:0))
    +        (INTERCONNECT C14M_I/PADDI RAout\[4\]_MGIOL/CLK (0:0:0)(0:0:0))
    +        (INTERCONNECT C14M_I/PADDI RAout\[3\]_MGIOL/CLK (0:0:0)(0:0:0))
    +        (INTERCONNECT C14M_I/PADDI RAout\[2\]_MGIOL/CLK (0:0:0)(0:0:0))
    +        (INTERCONNECT C14M_I/PADDI RAout\[1\]_MGIOL/CLK (0:0:0)(0:0:0))
    +        (INTERCONNECT C14M_I/PADDI RAout\[0\]_MGIOL/CLK (0:0:0)(0:0:0))
             (INTERCONNECT C14M_I/PADDI BA\[1\]_MGIOL/CLK (0:0:0)(0:0:0))
             (INTERCONNECT C14M_I/PADDI BA\[0\]_MGIOL/CLK (0:0:0)(0:0:0))
    -        (INTERCONNECT C14M_I/PADDI nRWE_MGIOL/CLK (0:0:0)(0:0:0))
    -        (INTERCONNECT C14M_I/PADDI nCAS_MGIOL/CLK (0:0:0)(0:0:0))
    -        (INTERCONNECT C14M_I/PADDI nRAS_MGIOL/CLK (0:0:0)(0:0:0))
    -        (INTERCONNECT C14M_I/PADDI nCS_MGIOL/CLK (0:0:0)(0:0:0))
    -        (INTERCONNECT C14M_I/PADDI CKE_MGIOL/CLK (0:0:0)(0:0:0))
    +        (INTERCONNECT C14M_I/PADDI nRWEout_MGIOL/CLK (0:0:0)(0:0:0))
    +        (INTERCONNECT C14M_I/PADDI nCASout_MGIOL/CLK (0:0:0)(0:0:0))
    +        (INTERCONNECT C14M_I/PADDI nRASout_MGIOL/CLK (0:0:0)(0:0:0))
    +        (INTERCONNECT C14M_I/PADDI CKEout_MGIOL/CLK (0:0:0)(0:0:0))
             (INTERCONNECT C14M_I/PADDI Vout\[7\]_MGIOL/CLK (0:0:0)(0:0:0))
             (INTERCONNECT C14M_I/PADDI Vout\[6\]_MGIOL/CLK (0:0:0)(0:0:0))
             (INTERCONNECT C14M_I/PADDI Vout\[5\]_MGIOL/CLK (0:0:0)(0:0:0))
    @@ -3779,1057 +4192,1300 @@
             (INTERCONNECT C14M_I/PADDI Vout\[2\]_MGIOL/CLK (0:0:0)(0:0:0))
             (INTERCONNECT C14M_I/PADDI Vout\[1\]_MGIOL/CLK (0:0:0)(0:0:0))
             (INTERCONNECT C14M_I/PADDI Vout\[0\]_MGIOL/CLK (0:0:0)(0:0:0))
    -        (INTERCONNECT C14M_I/PADDI Dout\[7\]_MGIOL/CLK (0:0:0)(0:0:0))
    -        (INTERCONNECT C14M_I/PADDI Dout\[6\]_MGIOL/CLK (0:0:0)(0:0:0))
    -        (INTERCONNECT C14M_I/PADDI Dout\[5\]_MGIOL/CLK (0:0:0)(0:0:0))
    -        (INTERCONNECT C14M_I/PADDI Dout\[4\]_MGIOL/CLK (0:0:0)(0:0:0))
    -        (INTERCONNECT C14M_I/PADDI Dout\[3\]_MGIOL/CLK (0:0:0)(0:0:0))
    -        (INTERCONNECT C14M_I/PADDI Dout\[2\]_MGIOL/CLK (0:0:0)(0:0:0))
    -        (INTERCONNECT C14M_I/PADDI Dout\[1\]_MGIOL/CLK (0:0:0)(0:0:0))
    -        (INTERCONNECT C14M_I/PADDI Dout\[0\]_MGIOL/CLK (0:0:0)(0:0:0))
             (INTERCONNECT C14M_I/PADDI PHI1_MGIOL/CLK (0:0:0)(0:0:0))
             (INTERCONNECT C14M_I/PADDI 
    -          ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBCLKIin (0:0:0)(0:0:0))
    +          ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBCLKIin 
    +          (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_0/FCO SLICE_8/FCI (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_1/Q0 SLICE_1/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_1/Q0 SLICE_45/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_1/Q0 SLICE_51/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_1/Q0 SLICE_53/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_1/Q0 SLICE_56/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_1/Q0 SLICE_72/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_1/Q0 SLICE_73/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_1/Q0 SLICE_75/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_1/Q0 SLICE_83/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_1/Q0 SLICE_86/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_1/Q0 SLICE_103/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_1/Q0 SLICE_9/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_1/Q0 ram2e_ufm\/SLICE_57/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_1/Q0 ram2e_ufm\/SLICE_57/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_1/Q0 ram2e_ufm\/SLICE_69/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_1/Q0 ram2e_ufm\/SLICE_80/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_1/Q0 ram2e_ufm\/SLICE_105/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_1/Q0 ram2e_ufm\/SLICE_120/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_1/Q0 ram2e_ufm\/SLICE_120/A0 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_1/F0 SLICE_1/DI0 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_2/FCO SLICE_1/FCI (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_2/Q1 SLICE_2/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_2/Q1 SLICE_37/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_2/Q1 SLICE_37/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_2/Q1 SLICE_38/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_2/Q1 SLICE_39/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_2/Q1 SLICE_44/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_2/Q1 SLICE_45/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_2/Q1 SLICE_45/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_2/Q1 SLICE_56/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_2/Q1 SLICE_66/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_2/Q1 SLICE_73/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_2/Q1 SLICE_86/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_2/Q1 SLICE_97/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_2/Q1 SLICE_23/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_49/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_49/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_50/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_51/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_56/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_57/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_57/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_66/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_70/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_81/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_85/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_89/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_98/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_109/A1 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_2/Q0 SLICE_2/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_2/Q0 SLICE_35/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_2/Q0 SLICE_44/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_2/Q0 SLICE_46/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_2/Q0 SLICE_56/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_2/Q0 SLICE_60/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_2/Q0 SLICE_61/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_2/Q0 SLICE_65/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_2/Q0 SLICE_66/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_2/Q0 SLICE_73/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_2/Q0 SLICE_74/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_2/Q0 SLICE_88/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_2/Q0 SLICE_90/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_2/Q0 SLICE_92/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_2/Q0 SLICE_97/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_2/Q0 SLICE_108/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_2/Q0 SLICE_116/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_2/Q0 SLICE_23/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_56/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_58/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_64/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_70/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_75/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_76/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_81/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_85/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_89/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_97/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_103/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_111/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_125/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_128/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_128/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_131/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_131/B0 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_2/F1 SLICE_2/DI1 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_2/F0 SLICE_2/DI0 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_3/FCO SLICE_2/FCI (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_3/Q1 SLICE_3/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_3/Q1 SLICE_44/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_3/Q1 SLICE_46/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_3/Q1 SLICE_56/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_3/Q1 SLICE_58/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_3/Q1 SLICE_60/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_3/Q1 SLICE_61/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_3/Q1 SLICE_62/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_3/Q1 SLICE_64/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_3/Q1 SLICE_65/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_3/Q1 SLICE_66/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_3/Q1 SLICE_70/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_3/Q1 SLICE_73/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_3/Q1 SLICE_74/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_3/Q1 SLICE_84/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_3/Q1 SLICE_88/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_3/Q1 SLICE_90/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_3/Q1 SLICE_92/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_3/Q1 SLICE_95/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_3/Q1 SLICE_97/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_3/Q1 SLICE_108/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_3/Q1 SLICE_108/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_56/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_58/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_68/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_70/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_75/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_76/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_81/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_85/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_90/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_93/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_97/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_99/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_103/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_104/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_111/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_114/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_124/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_126/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_126/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_128/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_128/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_131/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_131/A0 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_3/Q0 SLICE_3/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_3/Q0 SLICE_44/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_3/Q0 SLICE_50/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_3/Q0 SLICE_58/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_3/Q0 SLICE_61/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_3/Q0 SLICE_62/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_3/Q0 SLICE_64/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_3/Q0 SLICE_65/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_3/Q0 SLICE_66/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_3/Q0 SLICE_68/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_3/Q0 SLICE_70/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_3/Q0 SLICE_73/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_3/Q0 SLICE_74/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_3/Q0 SLICE_89/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_3/Q0 SLICE_90/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_3/Q0 SLICE_92/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_3/Q0 SLICE_104/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_3/Q0 SLICE_104/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_3/Q0 SLICE_116/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q0 SLICE_22/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_56/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_64/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_64/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_68/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_73/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_75/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_76/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_88/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_90/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_93/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_99/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_104/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_109/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_110/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_114/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_123/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_123/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_125/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_125/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_128/B1 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_3/F1 SLICE_3/DI1 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_3/F0 SLICE_3/DI0 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_4/FCO SLICE_3/FCI (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_4/Q1 SLICE_4/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_4/Q1 SLICE_46/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_4/Q1 SLICE_50/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_4/Q1 SLICE_58/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_4/Q1 SLICE_60/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_4/Q1 SLICE_61/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_4/Q1 SLICE_62/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_4/Q1 SLICE_64/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_4/Q1 SLICE_65/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_4/Q1 SLICE_68/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_4/Q1 SLICE_70/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_4/Q1 SLICE_74/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_4/Q1 SLICE_84/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_4/Q1 SLICE_89/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_4/Q1 SLICE_90/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_4/Q1 SLICE_95/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_4/Q1 SLICE_97/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_4/Q1 SLICE_104/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_4/Q1 SLICE_108/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_4/Q1 SLICE_108/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_4/Q1 SLICE_21/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_47/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_68/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_73/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_75/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_76/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_88/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_90/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_93/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_99/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_104/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_110/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_114/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_123/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_126/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_126/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_128/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_128/A0 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_4/Q0 SLICE_4/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_4/Q0 SLICE_46/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_4/Q0 SLICE_50/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_4/Q0 SLICE_50/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_4/Q0 SLICE_58/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_4/Q0 SLICE_61/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_4/Q0 SLICE_62/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_4/Q0 SLICE_64/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_4/Q0 SLICE_65/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_4/Q0 SLICE_68/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_4/Q0 SLICE_70/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_4/Q0 SLICE_74/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_4/Q0 SLICE_89/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_4/Q0 SLICE_90/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_4/Q0 SLICE_104/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_4/Q0 SLICE_104/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_4/Q0 SLICE_105/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_4/Q0 SLICE_105/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_4/Q0 SLICE_108/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_4/Q0 SLICE_116/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_4/Q0 SLICE_116/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_64/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_68/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_73/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_75/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_81/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_93/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_104/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_110/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_111/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_114/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_123/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_123/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_125/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_125/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_126/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_126/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_134/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_145/B0 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_4/F1 SLICE_4/DI1 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_4/F0 SLICE_4/DI0 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_5/FCO SLICE_4/FCI (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_5/Q1 SLICE_5/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_5/Q1 SLICE_46/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_5/Q1 SLICE_50/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_5/Q1 SLICE_58/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_5/Q1 SLICE_61/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_5/Q1 SLICE_62/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_5/Q1 SLICE_64/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_5/Q1 SLICE_65/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_5/Q1 SLICE_68/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_5/Q1 SLICE_70/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_5/Q1 SLICE_85/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_5/Q1 SLICE_86/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_5/Q1 SLICE_89/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_5/Q1 SLICE_104/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_5/Q1 SLICE_116/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_68/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_68/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_70/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_73/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_81/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_93/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_99/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_104/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_107/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_110/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_114/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_123/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_123/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_125/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_125/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_126/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_145/A0 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_5/Q0 SLICE_5/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_5/Q0 SLICE_32/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_5/Q0 SLICE_63/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_5/Q0 SLICE_75/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_5/Q0 SLICE_20/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_5/Q0 ram2e_ufm\/SLICE_108/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_5/Q0 ram2e_ufm\/SLICE_146/D0 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_5/F1 SLICE_5/DI1 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_5/F0 SLICE_5/DI0 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_6/FCO SLICE_5/FCI (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_6/Q1 SLICE_6/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_6/Q1 SLICE_32/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_6/Q1 SLICE_63/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_6/Q1 SLICE_75/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_6/Q1 ram2e_ufm\/SLICE_134/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_6/Q1 ram2e_ufm\/SLICE_134/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_6/Q1 ram2e_ufm\/SLICE_146/C0 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_6/Q0 SLICE_6/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_6/Q0 SLICE_55/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_6/Q0 SLICE_55/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_6/Q0 SLICE_72/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_6/Q0 SLICE_76/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_6/Q0 ram2e_ufm\/SLICE_107/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_6/Q0 ram2e_ufm\/SLICE_108/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_6/Q0 ram2e_ufm\/SLICE_146/B0 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_6/F1 SLICE_6/DI1 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_6/F0 SLICE_6/DI0 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_7/FCO SLICE_6/FCI (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_7/Q1 SLICE_7/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_7/Q1 SLICE_55/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_7/Q1 SLICE_71/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_7/Q1 SLICE_76/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_7/Q1 SLICE_78/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_7/Q1 SLICE_119/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_7/Q1 SLICE_35/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_57/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_67/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_71/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_72/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_107/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_108/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_122/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_122/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_124/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_124/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_129/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_129/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_146/A1 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_7/Q0 SLICE_7/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_7/Q0 SLICE_55/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_7/Q0 SLICE_63/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_7/Q0 SLICE_72/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_67/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_67/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_71/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_107/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_122/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_122/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_124/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_124/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_131/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_134/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_134/A0 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_7/F1 SLICE_7/DI1 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_7/F0 SLICE_7/DI0 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_8/FCO SLICE_7/FCI (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_8/Q1 SLICE_8/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_8/Q1 SLICE_55/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_8/Q1 SLICE_63/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_8/Q1 SLICE_119/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_8/Q1 ram2e_ufm\/SLICE_57/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_8/Q1 ram2e_ufm\/SLICE_67/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_8/Q1 ram2e_ufm\/SLICE_122/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_8/Q1 ram2e_ufm\/SLICE_122/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_8/Q1 ram2e_ufm\/SLICE_134/B1 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_8/Q0 SLICE_8/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_8/Q0 SLICE_55/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_8/Q0 SLICE_63/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_8/Q0 SLICE_119/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_8/Q0 ram2e_ufm\/SLICE_67/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_8/Q0 ram2e_ufm\/SLICE_122/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_8/Q0 ram2e_ufm\/SLICE_122/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_8/Q0 ram2e_ufm\/SLICE_124/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_8/Q0 ram2e_ufm\/SLICE_129/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_8/Q0 ram2e_ufm\/SLICE_131/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_8/Q0 ram2e_ufm\/SLICE_134/A1 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_8/F1 SLICE_8/DI1 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_8/F0 SLICE_8/DI0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_32/Q0 SLICE_9/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_32/Q0 SLICE_32/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT PHI1_MGIOL/IN SLICE_9/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT PHI1_I/PADDI SLICE_9/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT PHI1_I/PADDI nVOE_I/PADDO (0:0:0)(0:0:0))
    -        (INTERCONNECT PHI1_I/PADDI PHI1_MGIOL/DI (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_31/Q0 SLICE_9/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_31/Q0 SLICE_12/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_31/Q0 SLICE_13/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_31/Q0 SLICE_13/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_31/Q0 SLICE_14/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_31/Q0 SLICE_15/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_31/Q0 SLICE_16/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_31/Q0 SLICE_17/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_31/Q0 SLICE_18/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_31/Q0 SLICE_19/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_31/Q0 SLICE_19/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_31/Q0 SLICE_49/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_31/Q0 SLICE_49/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_31/Q0 SLICE_53/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_31/Q0 SLICE_57/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_31/Q0 SLICE_67/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_31/Q0 SLICE_82/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_31/Q0 SLICE_87/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_31/Q0 SLICE_91/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_9/Q0 SLICE_9/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_9/Q0 SLICE_19/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_9/Q0 SLICE_19/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_9/Q0 SLICE_82/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_35/F0 SLICE_9/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_35/F0 SLICE_9/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_35/F0 SLICE_35/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_35/F0 SLICE_35/DI0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_35/F0 ram2e_ufm\/SLICE_80/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_35/F0 ram2e_ufm\/SLICE_92/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_35/F0 ram2e_ufm\/SLICE_129/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q1 SLICE_9/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q1 SLICE_9/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q1 SLICE_33/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q1 SLICE_35/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q1 SLICE_36/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q1 SLICE_37/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_56/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/CKE_7\/SLICE_61/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_67/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_69/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_72/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_74/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_77/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_78/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_80/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_92/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_95/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_96/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_102/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_106/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_112/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_113/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_115/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_117/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_117/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_118/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_118/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_119/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_119/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_121/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_121/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_127/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q1 SLICE_138/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q1 SLICE_138/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q0 SLICE_9/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q0 SLICE_9/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q0 SLICE_35/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q0 SLICE_36/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q0 SLICE_37/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_56/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_69/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_71/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_72/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_74/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_77/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_78/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_80/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_92/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_95/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_96/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_106/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_107/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_112/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_113/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_115/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_117/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_117/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_118/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_118/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_119/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_119/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_120/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_120/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_121/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_121/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_127/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_127/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_129/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_129/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q0 SLICE_138/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_33/Q0 SLICE_138/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/CKE_7\/SLICE_61/OFX0 SLICE_9/A0 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_9/F0 SLICE_9/DI0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_20/F1 SLICE_9/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_20/F1 SLICE_12/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_20/F1 SLICE_13/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_20/F1 SLICE_14/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_20/F1 SLICE_15/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_20/F1 SLICE_16/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_20/F1 SLICE_17/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_20/F1 SLICE_18/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_20/F1 SLICE_19/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_20/F1 Dout\[7\]_MGIOL/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_20/F1 Dout\[6\]_MGIOL/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_20/F1 Dout\[5\]_MGIOL/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_20/F1 Dout\[4\]_MGIOL/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_20/F1 Dout\[3\]_MGIOL/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_20/F1 Dout\[2\]_MGIOL/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_20/F1 Dout\[1\]_MGIOL/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_20/F1 Dout\[0\]_MGIOL/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_9/F1 SLICE_33/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_9/F1 SLICE_33/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_9/F1 SLICE_34/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_9/F1 SLICE_34/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_10/Q0 SLICE_10/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_9/Q0 CKEout_MGIOL/OPOS (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_9/F1 ram2e_ufm\/SLICE_56/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_31/Q0 SLICE_10/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_31/Q0 SLICE_18/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_31/Q0 SLICE_18/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_31/Q0 ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60/M0 (0:0:0)
    +          (0:0:0))
    +        (INTERCONNECT SLICE_31/Q0 ram2e_ufm\/SLICE_77/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_31/Q0 ram2e_ufm\/SLICE_79/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_31/Q0 ram2e_ufm\/SLICE_80/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_31/Q0 ram2e_ufm\/SLICE_83/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_31/Q0 ram2e_ufm\/SLICE_130/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_31/Q0 ram2e_ufm\/SLICE_130/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_31/Q0 ram2e_ufm\/SLICE_133/D1 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_10/Q0 SLICE_10/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_10/Q0 SLICE_11/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_10/Q0 SLICE_49/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_10/Q0 SLICE_77/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_10/Q0 SLICE_81/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_10/Q0 SLICE_87/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_10/Q0 SLICE_91/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_82/F1 SLICE_10/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_82/F1 SLICE_10/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_82/F1 SLICE_11/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_82/F1 SLICE_82/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_57/F0 SLICE_10/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_57/F0 SLICE_10/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_57/F0 SLICE_11/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_57/F0 SLICE_57/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_57/F0 SLICE_82/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_10/Q1 SLICE_10/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_10/Q1 SLICE_11/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_10/Q1 SLICE_18/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_10/Q1 SLICE_49/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_10/Q1 SLICE_54/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_10/Q1 SLICE_87/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_10/Q1 SLICE_93/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_10/Q1 SLICE_94/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_10/F1 SLICE_10/DI1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_10/Q0 SLICE_18/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_10/Q0 SLICE_18/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_10/Q0 ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60/C0 (0:0:0)
    +          (0:0:0))
             (INTERCONNECT SLICE_10/F0 SLICE_10/DI0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_77/F0 SLICE_10/LSR (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_77/F0 SLICE_11/LSR (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_11/F1 SLICE_11/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_11/Q0 SLICE_11/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_11/Q0 SLICE_18/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_11/Q0 SLICE_49/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_11/Q0 SLICE_54/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_11/Q0 SLICE_87/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_11/Q0 SLICE_91/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_11/Q0 SLICE_94/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_119/F1 SLICE_10/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_119/F1 SLICE_18/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_10/F1 nCSout_I/PADDO (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_26/Q1 SLICE_11/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_26/Q1 SLICE_11/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_26/Q1 SLICE_26/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_26/Q1 SLICE_26/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_26/Q1 ram2e_ufm\/SLICE_91/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_11/Q0 SLICE_11/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_11/Q0 SLICE_11/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_11/Q0 SLICE_26/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_11/Q0 SLICE_26/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_11/Q0 ram2e_ufm\/SLICE_91/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_26/Q0 SLICE_11/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_26/Q0 SLICE_26/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_26/Q0 SLICE_26/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_26/Q0 ram2e_ufm\/CKE_7\/SLICE_61/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_26/Q0 ram2e_ufm\/SLICE_91/A1 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_11/F0 SLICE_11/DI0 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[3\]_I/PADDI SLICE_12/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[3\]_I/PADDI SLICE_24/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[3\]_I/PADDI SLICE_28/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[3\]_I/PADDI SLICE_36/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[3\]_I/PADDI SLICE_81/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[3\]_I/PADDI SLICE_93/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[3\]_I/PADDI SLICE_94/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[3\]_I/PADDI SLICE_98/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[3\]_I/PADDI SLICE_99/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[3\]_I/PADDI SLICE_100/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[3\]_I/PADDI RD\[3\]_I/PADDO (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[2\]_I/PADDI SLICE_12/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[2\]_I/PADDI SLICE_24/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[2\]_I/PADDI SLICE_28/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[2\]_I/PADDI SLICE_36/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[2\]_I/PADDI SLICE_54/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[2\]_I/PADDI SLICE_94/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[2\]_I/PADDI SLICE_98/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[2\]_I/PADDI SLICE_99/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[2\]_I/PADDI SLICE_100/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[2\]_I/PADDI SLICE_118/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[2\]_I/PADDI RD\[2\]_I/PADDO (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[0\]_I/PADDI SLICE_12/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[0\]_I/PADDI SLICE_14/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[0\]_I/PADDI SLICE_21/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[0\]_I/PADDI SLICE_23/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[0\]_I/PADDI SLICE_27/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[0\]_I/PADDI SLICE_54/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[0\]_I/PADDI SLICE_92/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[0\]_I/PADDI SLICE_94/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[0\]_I/PADDI SLICE_95/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[0\]_I/PADDI SLICE_98/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[0\]_I/PADDI SLICE_99/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[0\]_I/PADDI SLICE_100/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[0\]_I/PADDI SLICE_118/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[0\]_I/PADDI RD\[0\]_I/PADDO (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_16/F1 SLICE_12/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_16/F1 SLICE_13/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_16/F1 SLICE_16/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_12/F1 SLICE_12/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_12/Q0 SLICE_12/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_12/Q0 SLICE_110/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_118/F1 SLICE_11/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_118/F1 SLICE_26/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_11/F1 ram2e_ufm\/CKE_7\/SLICE_61/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_13/F1 SLICE_12/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_62/F1 SLICE_12/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_62/F1 ram2e_ufm\/SLICE_62/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_84/F1 SLICE_12/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_84/F1 ram2e_ufm\/SLICE_84/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_84/F1 ram2e_ufm\/SLICE_87/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_77/F1 SLICE_12/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_77/F1 SLICE_12/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_77/F1 SLICE_13/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_77/F1 SLICE_13/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_63/F0 SLICE_12/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_13/Q0 SLICE_12/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_13/Q0 SLICE_13/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_13/Q0 SLICE_13/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_13/Q0 SLICE_15/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_13/Q0 SLICE_19/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_13/Q0 ram2e_ufm\/SLICE_40/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_13/Q0 ram2e_ufm\/SLICE_62/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_13/Q0 ram2e_ufm\/SLICE_62/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_13/Q0 ram2e_ufm\/SLICE_63/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_13/Q0 ram2e_ufm\/SLICE_83/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_13/Q0 ram2e_ufm\/SLICE_84/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_13/Q0 ram2e_ufm\/SLICE_94/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_13/Q0 ram2e_ufm\/SLICE_100/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_12/Q1 SLICE_12/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_12/Q1 SLICE_13/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_12/Q1 SLICE_13/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_12/Q1 SLICE_19/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_12/Q1 ram2e_ufm\/SLICE_40/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_12/Q1 ram2e_ufm\/SUM0_i_m3_0\/SLICE_59/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_12/Q1 ram2e_ufm\/SLICE_62/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_12/Q1 ram2e_ufm\/SLICE_62/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_12/Q1 ram2e_ufm\/SLICE_65/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_12/Q1 ram2e_ufm\/SLICE_84/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_12/Q1 ram2e_ufm\/SLICE_87/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_12/Q1 ram2e_ufm\/SLICE_101/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_12/F1 SLICE_12/DI1 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_12/F0 SLICE_12/DI0 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[7\]_I/PADDI SLICE_13/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[7\]_I/PADDI SLICE_26/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[7\]_I/PADDI SLICE_30/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[7\]_I/PADDI SLICE_38/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[7\]_I/PADDI SLICE_91/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[7\]_I/PADDI SLICE_93/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[7\]_I/PADDI SLICE_98/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[7\]_I/PADDI RD\[7\]_I/PADDO (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[5\]_I/PADDI SLICE_13/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[5\]_I/PADDI SLICE_25/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[5\]_I/PADDI SLICE_29/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[5\]_I/PADDI SLICE_37/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[5\]_I/PADDI SLICE_93/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[5\]_I/PADDI SLICE_98/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[5\]_I/PADDI SLICE_99/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[5\]_I/PADDI RD\[5\]_I/PADDO (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_100/F1 SLICE_13/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_100/F1 SLICE_100/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_13/Q0 SLICE_13/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_13/Q0 SLICE_39/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_13/Q0 SLICE_53/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_13/Q0 SLICE_57/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_82/F0 SLICE_12/LSR (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_82/F0 SLICE_13/LSR (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_12/Q0 ram2e_ufm\/SLICE_40/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_12/Q0 ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60/D1 (0:0:0)
    +          (0:0:0))
    +        (INTERCONNECT SLICE_12/Q0 ram2e_ufm\/SLICE_63/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_12/Q0 ram2e_ufm\/SLICE_63/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_12/Q0 ram2e_ufm\/SLICE_65/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_12/Q0 ram2e_ufm\/SLICE_65/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_12/Q0 ram2e_ufm\/SLICE_77/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_12/Q0 ram2e_ufm\/SLICE_82/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_12/Q0 ram2e_ufm\/SLICE_83/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_87/F1 SLICE_13/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_87/F1 SLICE_13/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_87/F1 ram2e_ufm\/SLICE_62/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_87/F1 ram2e_ufm\/SLICE_87/B0 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_13/F0 SLICE_13/DI0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_13/F1 SLICE_77/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_13/F1 SLICE_81/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_99/F1 SLICE_14/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_99/F1 SLICE_99/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[4\]_I/PADDI SLICE_14/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[4\]_I/PADDI SLICE_15/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[4\]_I/PADDI SLICE_16/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[4\]_I/PADDI SLICE_17/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[4\]_I/PADDI SLICE_25/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[4\]_I/PADDI SLICE_29/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[4\]_I/PADDI SLICE_37/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[4\]_I/PADDI SLICE_81/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[4\]_I/PADDI SLICE_93/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[4\]_I/PADDI SLICE_94/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[4\]_I/PADDI SLICE_100/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[4\]_I/PADDI SLICE_118/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[4\]_I/PADDI RD\[4\]_I/PADDO (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[1\]_I/PADDI SLICE_14/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[1\]_I/PADDI SLICE_15/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[1\]_I/PADDI SLICE_16/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[1\]_I/PADDI SLICE_17/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[1\]_I/PADDI SLICE_23/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_40/F1 SLICE_14/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_40/F1 ram2e_ufm\/SLICE_39/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_40/F1 ram2e_ufm\/SLICE_40/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_40/F1 ram2e_ufm\/SLICE_41/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[5\]_I/PADDI SLICE_14/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[5\]_I/PADDI SLICE_16/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[5\]_I/PADDI SLICE_29/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[5\]_I/PADDI ram2e_ufm\/SLICE_39/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[5\]_I/PADDI ram2e_ufm\/SLICE_45/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[5\]_I/PADDI ram2e_ufm\/SLICE_49/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[5\]_I/PADDI ram2e_ufm\/SUM0_i_m3_0\/SLICE_59/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[5\]_I/PADDI ram2e_ufm\/SUM0_i_m3_0\/SLICE_59/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[5\]_I/PADDI ram2e_ufm\/SLICE_100/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[5\]_I/PADDI ram2e_ufm\/SLICE_101/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[5\]_I/PADDI ram2e_ufm\/SLICE_116/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[5\]_I/PADDI ram2e_ufm\/SLICE_147/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[3\]_I/PADDI SLICE_14/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[3\]_I/PADDI SLICE_16/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[3\]_I/PADDI SLICE_28/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[3\]_I/PADDI ram2e_ufm\/SLICE_39/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[3\]_I/PADDI ram2e_ufm\/SLICE_44/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[3\]_I/PADDI ram2e_ufm\/SLICE_48/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[3\]_I/PADDI ram2e_ufm\/SUM0_i_m3_0\/SLICE_59/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[3\]_I/PADDI ram2e_ufm\/SUM0_i_m3_0\/SLICE_59/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[3\]_I/PADDI ram2e_ufm\/SLICE_100/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[3\]_I/PADDI ram2e_ufm\/SLICE_101/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[3\]_I/PADDI ram2e_ufm\/SLICE_116/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[3\]_I/PADDI ram2e_ufm\/SLICE_141/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_142/F1 SLICE_14/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_14/F1 SLICE_14/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_14/F1 SLICE_17/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[2\]_I/PADDI SLICE_14/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[2\]_I/PADDI SLICE_17/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[2\]_I/PADDI SLICE_28/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_39/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_41/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_42/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_44/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_48/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_100/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_116/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_133/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_133/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_144/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[1\]_I/PADDI SLICE_14/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[1\]_I/PADDI SLICE_15/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[1\]_I/PADDI SLICE_15/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[1\]_I/PADDI SLICE_16/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[1\]_I/PADDI SLICE_16/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[1\]_I/PADDI SLICE_17/A0 (0:0:0)(0:0:0))
             (INTERCONNECT Din\[1\]_I/PADDI SLICE_27/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[1\]_I/PADDI SLICE_35/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[1\]_I/PADDI SLICE_54/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[1\]_I/PADDI SLICE_54/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[1\]_I/PADDI SLICE_93/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[1\]_I/PADDI SLICE_94/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[1\]_I/PADDI SLICE_100/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[1\]_I/PADDI SLICE_118/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[1\]_I/PADDI RD\[1\]_I/PADDO (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_18/F1 SLICE_14/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_18/F1 SLICE_15/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_18/F1 SLICE_16/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_18/F1 SLICE_17/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_18/F1 SLICE_18/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_14/F1 SLICE_14/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_14/Q0 SLICE_14/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_14/Q0 SLICE_117/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[1\]_I/PADDI ram2e_ufm\/SLICE_39/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[1\]_I/PADDI ram2e_ufm\/SLICE_41/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[1\]_I/PADDI ram2e_ufm\/SLICE_43/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[1\]_I/PADDI ram2e_ufm\/SLICE_47/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[1\]_I/PADDI ram2e_ufm\/SUM0_i_m3_0\/SLICE_59/M0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[1\]_I/PADDI ram2e_ufm\/SLICE_87/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[1\]_I/PADDI ram2e_ufm\/SLICE_100/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[1\]_I/PADDI ram2e_ufm\/SLICE_116/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[1\]_I/PADDI ram2e_ufm\/SLICE_143/A1 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_14/F0 SLICE_14/DI0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_98/F1 SLICE_15/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_98/F1 SLICE_16/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_98/F1 SLICE_17/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_98/F1 SLICE_98/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_15/F1 SLICE_15/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_15/Q0 SLICE_15/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_15/Q0 SLICE_86/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_14/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_15/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_16/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_17/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_27/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_28/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_29/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_30/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_130/F1 ram2e_ufm\/SLICE_39/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_130/F1 ram2e_ufm\/SLICE_40/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_130/F1 ram2e_ufm\/SLICE_41/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_14/Q0 ram2e_ufm\/SLICE_147/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[7\]_I/PADDI SLICE_15/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[7\]_I/PADDI SLICE_15/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[7\]_I/PADDI SLICE_16/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[7\]_I/PADDI SLICE_16/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[7\]_I/PADDI SLICE_17/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[7\]_I/PADDI SLICE_30/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[7\]_I/PADDI ram2e_ufm\/SLICE_41/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[7\]_I/PADDI ram2e_ufm\/SLICE_46/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[7\]_I/PADDI ram2e_ufm\/SLICE_50/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[7\]_I/PADDI ram2e_ufm\/SUM0_i_m3_0\/SLICE_59/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[7\]_I/PADDI ram2e_ufm\/SLICE_94/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[7\]_I/PADDI ram2e_ufm\/SLICE_100/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[7\]_I/PADDI ram2e_ufm\/SLICE_142/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[7\]_I/PADDI ram2e_ufm\/SLICE_143/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[4\]_I/PADDI SLICE_15/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[4\]_I/PADDI SLICE_16/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[4\]_I/PADDI SLICE_17/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[4\]_I/PADDI SLICE_29/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_41/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_45/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_49/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_84/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_94/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_100/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_133/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_133/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_142/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_142/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_17/F1 SLICE_15/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_17/F1 SLICE_16/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_17/F1 SLICE_17/D0 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_15/F0 SLICE_15/DI0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_16/Q0 SLICE_16/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_16/Q0 SLICE_114/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_15/Q0 ram2e_ufm\/SLICE_79/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_15/F1 ram2e_ufm\/SLICE_101/D0 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_16/F0 SLICE_16/DI0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_17/F1 SLICE_17/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_17/Q0 SLICE_17/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_17/Q0 SLICE_117/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_16/Q0 ram2e_ufm\/SLICE_85/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_16/F1 ram2e_ufm\/SLICE_84/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_16/F1 ram2e_ufm\/SLICE_101/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[0\]_I/PADDI SLICE_17/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[0\]_I/PADDI SLICE_27/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_39/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_41/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_42/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_42/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_43/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_65/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_86/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_94/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_116/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_133/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_141/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_142/A1 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_17/F0 SLICE_17/DI0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_77/F1 SLICE_18/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_77/F1 SLICE_77/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_100/F0 SLICE_18/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_18/Q0 SLICE_18/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_18/Q0 SLICE_117/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_17/Q0 ram2e_ufm\/SLICE_147/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_18/Q1 SLICE_18/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_18/Q1 ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60/A0 (0:0:0)
    +          (0:0:0))
    +        (INTERCONNECT SLICE_18/Q0 SLICE_18/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_18/Q0 SLICE_18/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_18/Q0 ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60/B0 (0:0:0)
    +          (0:0:0))
    +        (INTERCONNECT SLICE_18/F1 SLICE_18/DI1 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_18/F0 SLICE_18/DI0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_19/Q0 SLICE_19/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_19/Q0 SLICE_19/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_19/Q0 SLICE_82/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_19/Q1 SLICE_19/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_19/Q1 SLICE_82/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_19/F1 SLICE_19/DI1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_19/F0 SLICE_19/DI0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q0 SLICE_20/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q0 SLICE_20/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q0 SLICE_21/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q0 SLICE_22/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q0 SLICE_22/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q0 SLICE_33/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q0 SLICE_47/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q0 SLICE_47/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q0 SLICE_48/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q0 SLICE_48/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q0 SLICE_57/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q0 SLICE_59/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q0 SLICE_67/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q0 SLICE_69/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q0 SLICE_71/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q0 SLICE_80/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q0 SLICE_83/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q0 SLICE_96/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q0 SLICE_101/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q0 SLICE_101/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q0 SLICE_102/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q0 SLICE_106/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q0 SLICE_106/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q0 SLICE_111/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q0 SLICE_111/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q0 SLICE_112/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q0 SLICE_112/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q0 SLICE_113/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q0 SLICE_113/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q0 SLICE_115/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q1 SLICE_20/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q1 SLICE_20/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q1 SLICE_33/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q1 SLICE_47/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q1 SLICE_48/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q1 SLICE_57/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q1 SLICE_59/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q1 SLICE_67/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q1 SLICE_69/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q1 SLICE_71/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q1 SLICE_79/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q1 SLICE_80/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q1 SLICE_96/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q1 SLICE_101/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q1 SLICE_101/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q1 SLICE_102/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q1 SLICE_102/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q1 SLICE_106/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q1 SLICE_106/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q1 SLICE_107/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_33/Q1 SLICE_112/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_20/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_20/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_34/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_34/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_35/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_36/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_36/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_37/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_37/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_38/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_38/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_40/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_41/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_42/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_44/LSR (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_47/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_48/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_51/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_53/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_53/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_57/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_59/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_66/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_67/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_68/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_69/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_71/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_72/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_79/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_80/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_83/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_84/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_92/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_95/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_96/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_101/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_101/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_102/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_102/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_103/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_103/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_107/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_107/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_109/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_109/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_110/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_110/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q0 SLICE_114/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_20/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_20/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_21/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_21/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_22/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_22/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_27/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_27/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_28/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_28/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_29/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_29/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_30/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_30/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_34/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_20/Q1 SLICE_19/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_20/Q1 RAout\[1\]_MGIOL/OPOS (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_96/F1 SLICE_19/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_96/F1 SLICE_31/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_96/F1 ram2e_ufm\/SLICE_95/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_96/F1 ram2e_ufm\/SLICE_96/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_96/F1 ram2e_ufm\/SLICE_132/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_96/F1 ram2e_ufm\/SLICE_132/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_96/F1 ram2e_ufm\/SLICE_140/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_96/F1 ram2e_ufm\/SLICE_140/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 SLICE_19/M0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 SLICE_34/A1 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_34/Q1 SLICE_34/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_39/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_44/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_47/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_48/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_51/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_51/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_53/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_57/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_59/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_67/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_69/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_71/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_72/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_79/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_80/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_83/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_96/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_101/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_101/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_102/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_102/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_103/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_103/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_107/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_107/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_110/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_111/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_111/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_112/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_112/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_113/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_34/Q1 SLICE_113/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 SLICE_35/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_42/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_43/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_43/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_44/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_44/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_45/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_45/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_46/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_46/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_51/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_56/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_69/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_71/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_72/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_74/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_77/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_78/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_79/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_95/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_96/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_102/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_105/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_107/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_113/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_115/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_117/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_117/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_118/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_118/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_119/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_119/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_120/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_120/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_121/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_121/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_127/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_127/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_135/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_135/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_136/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q1 SLICE_138/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_138/F0 SLICE_19/LSR (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_19/F0 SLICE_20/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_19/Q0 ram2e_ufm\/SLICE_136/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_19/F1 ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60/A1 (0:0:0)
    +          (0:0:0))
    +        (INTERCONNECT SLICE_19/F1 ram2e_ufm\/SLICE_65/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_107/F0 SLICE_20/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_95/F1 SLICE_20/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_95/F1 SLICE_21/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_95/F1 SLICE_22/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_95/F1 SLICE_31/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_95/F1 ram2e_ufm\/SLICE_95/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_95/F1 ram2e_ufm\/SLICE_96/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_95/F1 ram2e_ufm\/SLICE_132/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_95/F1 ram2e_ufm\/SLICE_132/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Ain\[1\]_I/PADDI SLICE_20/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_132/F1 SLICE_20/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_71/F1 SLICE_20/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_71/F1 SLICE_21/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_71/F1 SLICE_22/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_71/F1 SLICE_23/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_71/F1 SLICE_23/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_124/F0 SLICE_20/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_20/F1 SLICE_20/DI1 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_20/F0 SLICE_20/DI0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_20/Q0 SLICE_31/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Ain\[1\]_I/PADDI SLICE_21/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT ufmefb\/EFBInst_0/WBDATO0 SLICE_21/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT ufmefb\/EFBInst_0/WBDATO0 SLICE_27/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_20/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_21/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_22/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_23/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_24/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_25/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_20/Q0 SLICE_31/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_20/Q0 ram2e_ufm\/SLICE_132/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_20/Q0 RAout\[0\]_MGIOL/OPOS (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_31/F1 SLICE_21/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_140/F1 SLICE_21/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_134/F0 SLICE_21/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Ain\[2\]_I/PADDI SLICE_21/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_21/F1 SLICE_21/DI1 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_21/F0 SLICE_21/DI0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_86/F0 SLICE_21/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_21/Q0 SLICE_117/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_21/Q0 SLICE_117/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_21/F1 RA\[1\]_MGIOL/OPOS (0:0:0)(0:0:0))
    -        (INTERCONNECT Ain\[3\]_I/PADDI SLICE_22/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Ain\[0\]_I/PADDI SLICE_22/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_21/Q0 ram2e_ufm\/SLICE_140/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_21/Q0 RAout\[2\]_MGIOL/OPOS (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_21/Q1 SLICE_31/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_21/Q1 SLICE_31/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_21/Q1 RAout\[3\]_MGIOL/OPOS (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_124/F1 SLICE_22/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_140/F0 SLICE_22/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Ain\[5\]_I/PADDI SLICE_22/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_95/F0 SLICE_22/C0 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_22/F1 SLICE_22/DI1 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_22/F0 SLICE_22/DI0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_101/F0 SLICE_22/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_101/F0 RA\[7\]_MGIOL/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_101/F0 RA\[6\]_MGIOL/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_101/F0 RA\[5\]_MGIOL/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_101/F0 RA\[4\]_MGIOL/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_101/F0 RA\[2\]_MGIOL/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_101/F0 RA\[1\]_MGIOL/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_22/Q0 SLICE_31/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_22/Q0 RA\[0\]_I/PADDO (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_22/Q1 SLICE_31/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_22/Q1 RA\[3\]_I/PADDO (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_27/Q1 SLICE_23/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_117/F1 SLICE_23/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_117/F1 SLICE_23/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_117/F1 SLICE_24/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_117/F1 SLICE_24/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_117/F1 SLICE_25/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_117/F1 SLICE_25/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_117/F1 SLICE_26/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_117/F1 SLICE_26/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_27/Q0 SLICE_23/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_22/Q0 ram2e_ufm\/SLICE_95/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_22/Q0 RAout\[4\]_MGIOL/OPOS (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_22/Q1 ram2e_ufm\/SLICE_140/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_22/Q1 RAout\[5\]_MGIOL/OPOS (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_132/F0 SLICE_23/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_96/F0 SLICE_23/C0 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_23/F1 SLICE_23/DI1 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_23/F0 SLICE_23/DI0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_67/F0 SLICE_23/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_67/F0 SLICE_24/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_67/F0 SLICE_25/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_67/F0 SLICE_26/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_67/F0 SLICE_86/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_67/F0 SLICE_114/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_23/Q0 SLICE_96/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_23/Q1 SLICE_110/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_28/Q1 SLICE_24/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_28/Q0 SLICE_24/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_23/Q0 ram2e_ufm\/SLICE_96/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_23/Q0 RAout\[6\]_MGIOL/OPOS (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_23/Q1 ram2e_ufm\/SLICE_132/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_23/Q1 RAout\[7\]_MGIOL/OPOS (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_146/F1 SLICE_24/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_24/Q1 SLICE_24/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_24/Q1 RAout\[9\]_MGIOL/OPOS (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_121/F0 SLICE_24/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_121/F0 SLICE_25/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_24/Q0 SLICE_24/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_24/Q0 RAout\[8\]_MGIOL/OPOS (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_78/F0 SLICE_24/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_127/F0 SLICE_24/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_115/F1 SLICE_24/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_115/F1 ram2e_ufm\/SLICE_115/A0 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_24/F1 SLICE_24/DI1 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_24/F0 SLICE_24/DI0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_24/Q0 SLICE_69/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_24/Q1 SLICE_103/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_29/Q1 SLICE_25/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_29/Q0 SLICE_25/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_29/Q0 SLICE_25/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_25/Q1 SLICE_25/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_25/Q1 RAout\[11\]_MGIOL/OPOS (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_74/F0 SLICE_25/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_74/F0 ram2e_ufm\/SLICE_74/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_74/F0 ram2e_ufm\/SLICE_146/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_74/F1 SLICE_25/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_113/F0 SLICE_25/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_129/F0 SLICE_25/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_106/F0 SLICE_25/A0 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_25/F1 SLICE_25/DI1 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_25/F0 SLICE_25/DI0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_25/Q0 SLICE_102/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_25/Q1 SLICE_107/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_30/Q1 SLICE_26/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_30/Q0 SLICE_26/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[6\]_I/PADDI SLICE_26/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[6\]_I/PADDI SLICE_30/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[6\]_I/PADDI SLICE_38/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[6\]_I/PADDI SLICE_49/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[6\]_I/PADDI SLICE_77/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[6\]_I/PADDI SLICE_81/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[6\]_I/PADDI SLICE_87/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[6\]_I/PADDI SLICE_91/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Din\[6\]_I/PADDI RD\[6\]_I/PADDO (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_25/Q0 ram2e_ufm\/SLICE_106/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_25/Q0 RAout\[10\]_MGIOL/OPOS (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_26/F1 SLICE_26/DI1 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_26/F0 SLICE_26/DI0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_26/Q0 SLICE_47/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_26/Q0 SLICE_59/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_26/Q1 SLICE_96/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT ufmefb\/EFBInst_0/WBDATO1 SLICE_27/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_43/Q1 SLICE_27/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_147/F1 SLICE_27/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_147/F1 SLICE_27/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_147/F1 SLICE_28/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_147/F1 SLICE_28/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_147/F1 SLICE_29/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_147/F1 SLICE_29/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_147/F1 SLICE_30/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_147/F1 SLICE_30/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_43/Q0 SLICE_27/C0 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_27/F1 SLICE_27/DI1 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_27/F0 SLICE_27/DI0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_114/F0 SLICE_27/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_114/F0 SLICE_28/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_114/F0 SLICE_29/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_114/F0 SLICE_30/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT ufmefb\/EFBInst_0/WBDATO3 SLICE_28/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT ufmefb\/EFBInst_0/WBDATO2 SLICE_28/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_27/Q0 ram2e_ufm\/SLICE_120/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_27/Q0 ram2e_ufm\/SLICE_120/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_27/Q1 ram2e_ufm\/SLICE_78/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_44/Q1 SLICE_28/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_44/Q0 SLICE_28/C0 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_28/F1 SLICE_28/DI1 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_28/F0 SLICE_28/DI0 (0:0:0)(0:0:0))
    -        (INTERCONNECT ufmefb\/EFBInst_0/WBDATO5 SLICE_29/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT ufmefb\/EFBInst_0/WBDATO4 SLICE_29/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_28/Q0 ram2e_ufm\/SLICE_146/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_28/Q1 ram2e_ufm\/SLICE_74/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_45/Q1 SLICE_29/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_45/Q0 SLICE_29/C0 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_29/F1 SLICE_29/DI1 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_29/F0 SLICE_29/DI0 (0:0:0)(0:0:0))
    -        (INTERCONNECT ufmefb\/EFBInst_0/WBDATO7 SLICE_30/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT ufmefb\/EFBInst_0/WBDATO6 SLICE_30/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_29/Q1 ram2e_ufm\/SLICE_72/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_46/Q1 SLICE_30/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_46/Q0 SLICE_30/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[6\]_I/PADDI SLICE_30/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/SLICE_40/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/SLICE_42/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/SLICE_46/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/SLICE_50/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60/C1 
    +          (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/SLICE_62/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/SLICE_65/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/SLICE_82/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/SLICE_83/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/SLICE_144/A0 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_30/F1 SLICE_30/DI1 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_30/F0 SLICE_30/DI0 (0:0:0)(0:0:0))
    -        (INTERCONNECT nWE_I/PADDI SLICE_31/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_30/Q0 ram2e_ufm\/SLICE_129/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_30/Q1 ram2e_ufm\/SLICE_127/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Ain\[3\]_I/PADDI SLICE_31/A1 (0:0:0)(0:0:0))
             (INTERCONNECT nWE_I/PADDI SLICE_31/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT nEN80_I/PADDI SLICE_31/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT nEN80_I/PADDI SLICE_79/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT nEN80_I/PADDI SLICE_106/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT nEN80_I/PADDI SLICE_115/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT nEN80_I/PADDI SLICE_117/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT nWE_I/PADDI SLICE_36/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT nWE_I/PADDI ram2e_ufm\/CKE_7\/SLICE_61/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT nWE_I/PADDI ram2e_ufm\/SLICE_92/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT nWE_I/PADDI ram2e_ufm\/SLICE_112/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT nWE_I/PADDI ram2e_ufm\/SLICE_113/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT nWE_I/PADDI ram2e_ufm\/SLICE_136/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT nWE_I/PADDI ram2e_ufm\/SLICE_136/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT nWE_I/PADDI ram2e_ufm\/SLICE_137/C1 (0:0:0)(0:0:0))
             (INTERCONNECT nC07X_I/PADDI SLICE_31/C0 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_31/F0 SLICE_31/DI0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_71/F0 SLICE_31/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_71/F0 SLICE_59/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_71/F0 SLICE_71/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_31/F1 nDOE_I/PADDO (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_72/F1 SLICE_32/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_97/F0 SLICE_32/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_97/F0 SLICE_51/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_97/F0 SLICE_75/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_127/F1 SLICE_31/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_131/F1 SLICE_32/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_57/F1 SLICE_32/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_146/F0 SLICE_32/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_110/F1 SLICE_32/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_110/F1 ram2e_ufm\/SLICE_58/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_110/F1 ram2e_ufm\/SLICE_110/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_32/Q0 SLICE_32/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_137/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_137/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_32/Q0 SLICE_139/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_141/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_141/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_142/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_143/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_143/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_144/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_144/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_147/B0 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_32/F1 SLICE_32/A0 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_32/F0 SLICE_32/DI0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_67/F1 SLICE_33/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_67/F1 SLICE_44/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_67/F1 SLICE_51/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_67/F1 SLICE_53/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_67/F1 SLICE_67/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_67/F1 SLICE_72/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_67/F1 SLICE_103/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_67/F1 SLICE_103/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_67/F1 SLICE_110/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_67/F1 SLICE_110/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_106/F1 SLICE_33/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_106/F1 SLICE_34/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_106/F1 SLICE_34/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_107/F1 SLICE_33/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_102/F1 SLICE_33/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_78/F1 SLICE_33/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_78/F1 SLICE_38/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_78/F1 ram2e_ufm\/SLICE_78/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_78/F1 ram2e_ufm\/SLICE_79/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_78/F1 ram2e_ufm\/SLICE_105/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_78/F1 ram2e_ufm\/SLICE_106/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_78/F1 ram2e_ufm\/SLICE_135/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_135/F1 SLICE_33/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_135/F1 SLICE_33/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_106/F1 SLICE_33/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_106/F1 SLICE_34/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_106/F1 SLICE_34/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_106/F1 ram2e_ufm\/SLICE_106/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_139/F0 SLICE_33/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_139/F0 SLICE_33/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_139/F0 SLICE_34/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_139/F0 SLICE_34/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_117/F1 SLICE_33/C0 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_33/F1 SLICE_33/DI1 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_33/F0 SLICE_33/DI0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 SLICE_34/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 SLICE_34/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 SLICE_35/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 SLICE_38/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_47/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_48/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_48/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_49/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_49/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_50/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_50/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_52/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_53/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_54/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_56/LSR (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_69/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_71/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_72/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_74/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_77/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_78/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_79/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_86/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_89/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_90/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_95/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_96/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_97/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_98/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_102/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_106/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_107/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_109/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_112/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_113/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_115/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_117/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_117/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_118/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_118/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_119/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_119/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_121/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_121/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_127/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_127/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_135/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_135/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 SLICE_138/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_145/C1 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_34/F1 SLICE_34/DI1 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_34/F0 SLICE_34/DI0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_60/F1 SLICE_35/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_62/F1 SLICE_35/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_85/F0 SLICE_35/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_74/F1 SLICE_35/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_74/F1 SLICE_74/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_74/F1 SLICE_108/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_104/F0 SLICE_35/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_35/F1 SLICE_35/DI1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_35/F0 SLICE_35/DI0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_110/F0 SLICE_35/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_110/F0 SLICE_36/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_110/F0 SLICE_37/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_110/F0 SLICE_38/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_110/F0 SLICE_40/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_110/F0 SLICE_41/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_110/F0 SLICE_42/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_110/F0 SLICE_43/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_35/Q0 SLICE_40/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_35/Q0 
    -          ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI0in (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_35/Q1 SLICE_109/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_35/Q1 
    -          ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI1in (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_36/F1 SLICE_36/DI1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_138/F1 SLICE_35/LSR (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_35/Q0 SLICE_139/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_35/F1 BA\[1\]_MGIOL/LSR (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_35/F1 BA\[0\]_MGIOL/LSR (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_105/F0 SLICE_36/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_105/F0 SLICE_37/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_105/F0 SLICE_38/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_105/F0 ram2e_ufm\/SLICE_91/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_105/F0 ram2e_ufm\/SLICE_92/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_105/F0 ram2e_ufm\/SLICE_105/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_105/F0 ram2e_ufm\/SLICE_112/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_113/F1 SLICE_36/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_113/F1 SLICE_37/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_113/F1 SLICE_38/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_113/F1 ram2e_ufm\/CKE_7\/SLICE_61/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_113/F1 ram2e_ufm\/SLICE_92/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_113/F1 ram2e_ufm\/SLICE_113/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_36/F1 SLICE_36/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_69/F1 SLICE_36/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_92/F0 SLICE_36/A0 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_36/F0 SLICE_36/DI0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_36/Q0 SLICE_41/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_36/Q0 
    -          ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI2in (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_36/Q1 SLICE_68/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_36/Q1 
    -          ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI3in (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_37/F1 SLICE_37/DI1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_36/Q0 nCASout_MGIOL/OPOS (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_91/F0 SLICE_37/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_37/F1 SLICE_37/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_112/F0 SLICE_37/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_38/F1 SLICE_37/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_38/F1 SLICE_38/A0 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_37/F0 SLICE_37/DI0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_37/Q0 SLICE_114/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_37/Q0 
    -          ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI4in (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_37/Q1 SLICE_42/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_37/Q1 
    -          ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI5in (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_38/F1 SLICE_38/DI1 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_37/Q0 nRASout_MGIOL/OPOS (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_136/F1 SLICE_38/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_112/F1 SLICE_38/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_112/F1 ram2e_ufm\/SLICE_112/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_105/F1 SLICE_38/B0 (0:0:0)(0:0:0))
             (INTERCONNECT SLICE_38/F0 SLICE_38/DI0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_38/Q0 SLICE_84/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_38/Q0 
    -          ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI6in (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_38/Q1 SLICE_109/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_38/Q1 
    -          ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI7in (0:0:0)(0:0:0))
    -        (INTERCONNECT ufmefb\/EFBInst_0/WBACKO SLICE_39/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT ufmefb\/EFBInst_0/WBACKO SLICE_45/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT ufmefb\/EFBInst_0/WBACKO SLICE_57/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT ufmefb\/EFBInst_0/WBACKO SLICE_64/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT ufmefb\/EFBInst_0/WBACKO SLICE_105/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_63/F1 SLICE_39/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_63/F1 SLICE_52/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_39/F1 SLICE_39/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_39/F0 SLICE_39/DI0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_52/F0 SLICE_39/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_39/Q0 ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBCYCIin 
    +        (INTERCONNECT SLICE_38/Q0 nRWEout_MGIOL/OPOS (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_94/F1 ram2e_ufm\/SLICE_39/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_94/F1 ram2e_ufm\/SLICE_87/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_94/F1 ram2e_ufm\/SLICE_94/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_94/F1 ram2e_ufm\/SLICE_116/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_39/F1 ram2e_ufm\/SLICE_39/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_39/F0 ram2e_ufm\/SLICE_39/DI0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_39/Q0 ram2e_ufm\/SLICE_80/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_116/F1 ram2e_ufm\/SLICE_40/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_116/F1 ram2e_ufm\/SLICE_62/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_116/F1 ram2e_ufm\/SLICE_83/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_40/F0 ram2e_ufm\/SLICE_40/DI0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_40/Q0 ram2e_ufm\/SLICE_51/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_40/Q0 ram2e_ufm\/SLICE_66/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_40/Q0 ram2e_ufm\/SLICE_130/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_41/F1 ram2e_ufm\/SLICE_41/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_101/F1 ram2e_ufm\/SLICE_41/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_101/F1 ram2e_ufm\/SLICE_87/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_101/F1 ram2e_ufm\/SLICE_101/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_41/F0 ram2e_ufm\/SLICE_41/DI0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_41/Q0 ram2e_ufm\/SLICE_147/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBDATO0 ram2e_ufm\/SLICE_42/C0 (0:0:0)
    +          (0:0:0))
    +        (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBDATO0 ram2e_ufm\/SLICE_43/C0 (0:0:0)
    +          (0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_42/F0 ram2e_ufm\/SLICE_42/DI0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_79/F1 ram2e_ufm\/SLICE_42/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_42/Q0 ram2e_ufm\/SLICE_137/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_42/Q0 ram2e_ufm\/SLICE_147/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_42/F1 ram2e_ufm\/SLICE_84/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_42/F1 ram2e_ufm\/SLICE_87/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBDATO1 ram2e_ufm\/SLICE_43/C1 (0:0:0)
    +          (0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_43/F1 ram2e_ufm\/SLICE_43/DI1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_43/F0 ram2e_ufm\/SLICE_43/DI0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_85/F0 ram2e_ufm\/SLICE_43/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_85/F0 ram2e_ufm\/SLICE_44/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_85/F0 ram2e_ufm\/SLICE_45/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_85/F0 ram2e_ufm\/SLICE_46/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBDATO3 ram2e_ufm\/SLICE_44/C1 (0:0:0)
    +          (0:0:0))
    +        (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBDATO2 ram2e_ufm\/SLICE_44/C0 (0:0:0)
    +          (0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_44/F1 ram2e_ufm\/SLICE_44/DI1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_44/F0 ram2e_ufm\/SLICE_44/DI0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBDATO5 ram2e_ufm\/SLICE_45/C1 (0:0:0)
    +          (0:0:0))
    +        (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBDATO4 ram2e_ufm\/SLICE_45/C0 (0:0:0)
    +          (0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_45/F1 ram2e_ufm\/SLICE_45/DI1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_45/F0 ram2e_ufm\/SLICE_45/DI0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBDATO7 ram2e_ufm\/SLICE_46/C1 (0:0:0)
    +          (0:0:0))
    +        (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBDATO6 ram2e_ufm\/SLICE_46/C0 (0:0:0)
    +          (0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_46/F1 ram2e_ufm\/SLICE_46/DI1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_46/F0 ram2e_ufm\/SLICE_46/DI0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_103/F0 ram2e_ufm\/SLICE_47/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_114/F0 ram2e_ufm\/SLICE_47/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_64/F0 ram2e_ufm\/SLICE_47/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_86/F0 ram2e_ufm\/SLICE_47/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_85/F1 ram2e_ufm\/SLICE_47/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_85/F1 ram2e_ufm\/SLICE_52/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_85/F1 ram2e_ufm\/SLICE_55/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_85/F1 ram2e_ufm\/SLICE_64/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_85/F1 ram2e_ufm\/SLICE_85/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_85/F1 ram2e_ufm\/SLICE_88/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_85/F1 ram2e_ufm\/SLICE_125/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_47/F1 ram2e_ufm\/SLICE_47/DI1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_47/F0 ram2e_ufm\/SLICE_47/DI0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_47/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_48/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_49/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_50/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_52/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_53/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_54/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_55/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_47/Q0 ram2e_ufm\/SLICE_52/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_47/Q0 
    +          ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBADRI0in 
               (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_39/Q0 ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBSTBIin 
    +        (INTERCONNECT ram2e_ufm\/SLICE_47/Q1 ram2e_ufm\/SLICE_97/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_47/Q1 
    +          ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBADRI1in 
               (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_109/F0 SLICE_40/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_68/F0 SLICE_40/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_68/F0 SLICE_43/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_68/F0 SLICE_68/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_68/F0 SLICE_70/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_56/F0 SLICE_40/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_56/F0 SLICE_43/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_56/F0 SLICE_50/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_56/F0 SLICE_104/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_56/F0 SLICE_104/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_90/F0 SLICE_40/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_90/F0 SLICE_41/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_90/F0 SLICE_88/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_116/F0 SLICE_40/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_86/F1 SLICE_40/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_86/F1 SLICE_74/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_86/F1 SLICE_86/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_86/F1 SLICE_90/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_86/F1 SLICE_105/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_40/F1 SLICE_40/DI1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_40/F0 SLICE_40/DI0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_40/Q0 
    -          ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI0in (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_40/Q1 
    -          ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI1in (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_58/F1 SLICE_41/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_68/F1 SLICE_41/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_88/F0 SLICE_41/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_88/F0 SLICE_42/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_70/F1 SLICE_41/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_70/F1 SLICE_42/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_70/F1 SLICE_42/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_41/F1 SLICE_41/DI1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_41/F0 SLICE_41/DI0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_41/Q0 
    -          ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI2in (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_41/Q1 
    -          ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI3in (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_114/F1 SLICE_42/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_104/F1 SLICE_42/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_74/F0 SLICE_42/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_74/F0 SLICE_84/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_42/F1 SLICE_42/DI1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_42/F0 SLICE_42/DI0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_42/Q0 
    -          ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI4in (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_42/Q1 
    -          ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI5in (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_109/F1 SLICE_43/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_50/F0 SLICE_43/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_105/F0 SLICE_43/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_108/F0 SLICE_43/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_84/F0 SLICE_43/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_43/F1 SLICE_43/DI1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_43/F0 SLICE_43/DI0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_43/Q0 
    -          ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI6in (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_43/Q1 
    -          ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI7in (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_44/F1 SLICE_44/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_44/F0 SLICE_44/DI0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_103/F0 SLICE_44/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_44/Q0 SLICE_52/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_45/F0 SLICE_45/DI0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_47/F0 SLICE_45/LSR (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_47/F0 SLICE_47/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_47/F0 SLICE_56/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_47/F0 SLICE_59/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_47/F0 SLICE_73/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_47/F0 SLICE_75/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_47/F0 SLICE_86/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_45/Q0 ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBRSTIin 
    +        (INTERCONNECT ram2e_ufm\/SLICE_48/F1 ram2e_ufm\/SLICE_48/DI1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_48/F0 ram2e_ufm\/SLICE_48/DI0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_48/Q0 ram2e_ufm\/SLICE_53/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_48/Q0 
    +          ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBADRI2in 
               (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_45/F1 SLICE_52/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_95/F0 SLICE_46/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_46/F1 SLICE_46/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_66/F1 SLICE_46/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_66/F1 SLICE_60/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_66/F1 SLICE_62/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_66/F1 SLICE_66/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_66/F1 SLICE_95/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_46/F0 SLICE_46/DI0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_53/F0 SLICE_46/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_46/Q0 ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBWEIin 
    +        (INTERCONNECT ram2e_ufm\/SLICE_48/Q1 ram2e_ufm\/SLICE_89/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_48/Q1 
    +          ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBADRI3in 
               (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_96/F1 SLICE_47/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_96/F1 SLICE_69/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_96/F1 SLICE_96/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_47/F1 DQMH_MGIOL/OPOS (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_80/F1 SLICE_48/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_80/F1 SLICE_80/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_76/F1 SLICE_48/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_76/F1 SLICE_63/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_76/F1 SLICE_76/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_76/F1 SLICE_112/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_48/F1 SLICE_48/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_48/F1 Vout\[7\]_MGIOL/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_48/F1 Vout\[6\]_MGIOL/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_48/F1 Vout\[5\]_MGIOL/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_48/F1 Vout\[4\]_MGIOL/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_48/F1 Vout\[3\]_MGIOL/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_48/F1 Vout\[2\]_MGIOL/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_48/F1 Vout\[1\]_MGIOL/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_48/F1 Vout\[0\]_MGIOL/CE (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_48/F0 SLICE_78/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_93/F0 SLICE_49/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_49/F1 SLICE_49/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_49/F0 SLICE_81/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_50/F1 SLICE_50/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_89/F1 SLICE_50/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_89/F1 SLICE_85/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_89/F1 SLICE_89/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_89/F1 SLICE_105/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_89/F1 SLICE_105/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_106/F0 SLICE_51/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_51/F1 SLICE_51/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_51/F0 CKE_MGIOL/OPOS (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_72/F0 SLICE_52/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_72/F0 SLICE_52/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_72/F0 SLICE_72/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_72/F0 SLICE_76/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_52/F1 SLICE_52/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_57/F1 SLICE_52/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_53/F1 SLICE_53/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_53/F1 SLICE_110/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_54/F1 SLICE_54/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_118/F0 SLICE_54/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_118/F0 SLICE_93/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_54/F0 SLICE_81/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_55/F0 SLICE_55/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_55/F0 SLICE_71/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_55/F0 SLICE_78/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_63/F0 SLICE_55/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_63/F0 SLICE_63/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_63/F0 SLICE_76/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_55/F1 SLICE_83/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_56/F1 SLICE_56/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_56/F1 SLICE_85/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_56/F1 SLICE_88/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_56/F1 SLICE_92/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_56/F1 SLICE_109/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_56/F1 SLICE_109/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_58/F0 SLICE_58/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_70/F0 SLICE_58/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_70/F0 SLICE_70/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_73/F0 SLICE_58/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_73/F0 SLICE_68/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_73/F0 SLICE_70/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_73/F0 SLICE_73/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_73/F0 SLICE_84/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_73/F0 SLICE_89/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_73/F0 SLICE_114/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_73/F0 SLICE_114/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_59/F0 SLICE_59/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_59/F1 DQML_MGIOL/OPOS (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_60/F0 SLICE_60/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_64/F1 SLICE_60/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_64/F1 SLICE_64/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_64/F1 SLICE_95/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_64/F1 SLICE_97/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_88/F1 SLICE_60/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_88/F1 SLICE_88/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_88/F1 SLICE_105/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_88/F1 SLICE_105/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_61/F0 SLICE_61/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_61/F0 SLICE_88/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_61/F0 SLICE_114/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_61/F1 SLICE_109/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_62/F0 SLICE_62/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_64/F0 SLICE_114/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_65/F1 SLICE_65/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_65/F1 SLICE_84/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_65/F0 SLICE_109/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_66/F0 SLICE_95/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_76/F0 SLICE_69/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_76/F0 SLICE_78/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_76/F0 SLICE_115/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_69/F0 SLICE_69/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_69/F1 RA\[10\]_MGIOL/OPOS (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_75/F0 SLICE_71/C1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_75/F0 SLICE_78/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_71/F1 SLICE_115/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_119/F0 SLICE_72/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_116/F1 SLICE_73/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_116/F1 SLICE_92/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_73/F1 SLICE_85/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_75/F1 SLICE_75/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_75/F1 SLICE_76/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_75/F1 SLICE_83/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_94/F0 SLICE_77/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_82/F0 SLICE_77/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_81/F0 SLICE_77/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_78/F1 SLICE_78/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_78/F0 nCAS_MGIOL/OPOS (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_79/F1 SLICE_79/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_83/F0 SLICE_79/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_83/F0 SLICE_80/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_79/F0 nCS_MGIOL/OPOS (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_80/F0 nRAS_MGIOL/OPOS (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_81/F1 SLICE_81/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_87/F0 SLICE_82/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_91/F0 SLICE_82/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_83/F1 SLICE_83/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_112/F1 SLICE_83/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_84/F1 SLICE_84/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_108/F1 SLICE_85/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_85/F1 SLICE_85/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_92/F0 SLICE_85/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_89/F0 SLICE_85/B0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_105/F1 SLICE_86/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_98/F0 SLICE_87/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_87/F1 SLICE_87/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_90/F1 SLICE_90/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_99/F0 SLICE_91/D1 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_91/F1 SLICE_91/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_92/F1 SLICE_92/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_93/F1 SLICE_93/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_94/F1 SLICE_94/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_95/F1 SLICE_95/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_96/F0 RA\[8\]_MGIOL/OPOS (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_97/F1 SLICE_97/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_97/F1 SLICE_116/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_118/F1 SLICE_98/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_118/F1 SLICE_99/C0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_101/F1 BA\[1\]_MGIOL/LSR (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_101/F1 BA\[0\]_MGIOL/LSR (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_102/F0 BA\[0\]_MGIOL/OPOS (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_103/F1 RA\[11\]_MGIOL/OPOS (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_107/F0 BA\[1\]_MGIOL/OPOS (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_110/F1 RA\[9\]_MGIOL/OPOS (0:0:0)(0:0:0))
    -        (INTERCONNECT Ain\[5\]_I/PADDI SLICE_111/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Ain\[4\]_I/PADDI SLICE_111/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_111/F0 RA\[4\]_MGIOL/OPOS (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_111/F1 RA\[5\]_MGIOL/OPOS (0:0:0)(0:0:0))
    -        (INTERCONNECT Ain\[6\]_I/PADDI SLICE_112/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_112/F0 RA\[6\]_MGIOL/OPOS (0:0:0)(0:0:0))
    -        (INTERCONNECT Ain\[2\]_I/PADDI SLICE_113/A1 (0:0:0)(0:0:0))
    -        (INTERCONNECT Ain\[7\]_I/PADDI SLICE_113/A0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_113/F0 RA\[7\]_MGIOL/OPOS (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_113/F1 RA\[2\]_MGIOL/OPOS (0:0:0)(0:0:0))
    -        (INTERCONNECT nWE80_I/PADDI SLICE_115/B1 (0:0:0)(0:0:0))
    -        (INTERCONNECT nWE80_I/PADDI SLICE_115/D0 (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_115/F0 nRWE_MGIOL/OPOS (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_115/F1 RD\[0\]_I/PADDT (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_115/F1 RD\[7\]_I/PADDT (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_115/F1 RD\[6\]_I/PADDT (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_115/F1 RD\[5\]_I/PADDT (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_115/F1 RD\[4\]_I/PADDT (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_115/F1 RD\[3\]_I/PADDT (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_115/F1 RD\[2\]_I/PADDT (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_115/F1 RD\[1\]_I/PADDT (0:0:0)(0:0:0))
    -        (INTERCONNECT SLICE_117/F0 LED_I/PADDO (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_49/F1 ram2e_ufm\/SLICE_49/DI1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_49/F0 ram2e_ufm\/SLICE_49/DI0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_49/Q0 ram2e_ufm\/SLICE_145/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_49/Q0 
    +          ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBADRI4in 
    +          (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_49/Q1 ram2e_ufm\/SLICE_54/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_49/Q1 
    +          ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBADRI5in 
    +          (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_50/F1 ram2e_ufm\/SLICE_50/DI1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_50/F0 ram2e_ufm\/SLICE_50/DI0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_50/Q0 ram2e_ufm\/SLICE_90/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_50/Q0 
    +          ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBADRI6in 
    +          (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_50/Q1 ram2e_ufm\/SLICE_98/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_50/Q1 
    +          ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBADRI7in 
    +          (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBACKO ram2e_ufm\/SLICE_51/D1 (0:0:0)
    +          (0:0:0))
    +        (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBACKO ram2e_ufm\/SLICE_66/D1 (0:0:0)
    +          (0:0:0))
    +        (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBACKO ram2e_ufm\/SLICE_66/D0 (0:0:0)
    +          (0:0:0))
    +        (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBACKO ram2e_ufm\/SLICE_75/A0 (0:0:0)
    +          (0:0:0))
    +        (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBACKO ram2e_ufm\/SLICE_110/B0 (0:0:0)
    +          (0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_108/F1 ram2e_ufm\/SLICE_51/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_108/F1 ram2e_ufm\/SLICE_108/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_51/F1 ram2e_ufm\/SLICE_51/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_51/F0 ram2e_ufm\/SLICE_51/DI0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_66/F0 ram2e_ufm\/SLICE_51/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_51/Q0 
    +          ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBCYCIin 
    +          (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_51/Q0 
    +          ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBSTBIin 
    +          (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_97/F0 ram2e_ufm\/SLICE_52/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_123/F0 ram2e_ufm\/SLICE_52/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_123/F0 ram2e_ufm\/SLICE_53/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_123/F0 ram2e_ufm\/SLICE_55/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_111/F1 ram2e_ufm\/SLICE_52/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_111/F1 ram2e_ufm\/SLICE_53/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_111/F1 ram2e_ufm\/SLICE_73/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_128/F1 ram2e_ufm\/SLICE_52/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_81/F1 ram2e_ufm\/SLICE_52/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_81/F1 ram2e_ufm\/SLICE_64/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_81/F1 ram2e_ufm\/SLICE_81/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_81/F1 ram2e_ufm\/SLICE_131/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_52/F1 ram2e_ufm\/SLICE_52/DI1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_52/F0 ram2e_ufm\/SLICE_52/DI0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_52/Q0 
    +          ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBDATI0in 
    +          (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_52/Q1 
    +          ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBDATI1in 
    +          (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_89/F0 ram2e_ufm\/SLICE_53/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_89/F1 ram2e_ufm\/SLICE_53/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_89/F1 ram2e_ufm\/SLICE_68/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_89/F1 ram2e_ufm\/SLICE_89/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_89/F1 ram2e_ufm\/SLICE_90/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_89/F1 ram2e_ufm\/SLICE_93/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_89/F1 ram2e_ufm\/SLICE_145/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_73/F1 ram2e_ufm\/SLICE_53/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_73/F1 ram2e_ufm\/SLICE_54/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_93/F0 ram2e_ufm\/SLICE_53/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_93/F0 ram2e_ufm\/SLICE_54/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_93/F0 ram2e_ufm\/SLICE_54/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_53/F1 ram2e_ufm\/SLICE_53/DI1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_53/F0 ram2e_ufm\/SLICE_53/DI0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_53/Q0 
    +          ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBDATI2in 
    +          (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_53/Q1 
    +          ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBDATI3in 
    +          (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_145/F1 ram2e_ufm\/SLICE_54/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_125/F0 ram2e_ufm\/SLICE_54/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_81/F0 ram2e_ufm\/SLICE_54/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_81/F0 ram2e_ufm\/SLICE_55/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_54/F1 ram2e_ufm\/SLICE_54/DI1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_54/F0 ram2e_ufm\/SLICE_54/DI0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_54/Q0 
    +          ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBDATI4in 
    +          (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_54/Q1 
    +          ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBDATI5in 
    +          (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_98/F0 ram2e_ufm\/SLICE_55/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_88/F0 ram2e_ufm\/SLICE_55/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_70/F0 ram2e_ufm\/SLICE_55/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_131/F0 ram2e_ufm\/SLICE_55/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_90/F0 ram2e_ufm\/SLICE_55/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_55/F1 ram2e_ufm\/SLICE_55/DI1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_55/F0 ram2e_ufm\/SLICE_55/DI0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_55/Q0 
    +          ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBDATI6in 
    +          (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_55/Q1 
    +          ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBDATI7in 
    +          (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_56/F1 ram2e_ufm\/SLICE_56/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_56/F0 ram2e_ufm\/SLICE_56/DI0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_56/Q0 ram2e_ufm\/SLICE_108/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_57/F0 ram2e_ufm\/SLICE_57/DI0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_69/F0 ram2e_ufm\/SLICE_57/LSR (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_69/F0 ram2e_ufm\/SLICE_69/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_69/F0 ram2e_ufm\/SLICE_105/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_57/Q0 
    +          ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBRSTIin 
    +          (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_109/F0 ram2e_ufm\/SLICE_58/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_109/F1 ram2e_ufm\/SLICE_58/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_109/F1 ram2e_ufm\/SLICE_58/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_109/F1 ram2e_ufm\/SLICE_103/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_109/F1 ram2e_ufm\/SLICE_109/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_109/F1 ram2e_ufm\/SLICE_114/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_58/F1 ram2e_ufm\/SLICE_58/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_126/F1 ram2e_ufm\/SLICE_58/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_58/F0 ram2e_ufm\/SLICE_58/DI0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_130/F0 ram2e_ufm\/SLICE_58/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_58/Q0 
    +          ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBWEIin 
    +          (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SUM0_i_m3_0\/SLICE_59/OFX0 ram2e_ufm\/SLICE_133/C0 
    +          (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_94/F0 
    +          ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60/OFX0 
    +          ram2e_ufm\/SLICE_82/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_102/F1 ram2e_ufm\/CKE_7\/SLICE_61/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_102/F1 ram2e_ufm\/SLICE_91/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_102/F1 ram2e_ufm\/SLICE_102/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_102/F0 ram2e_ufm\/CKE_7\/SLICE_61/M0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_62/F0 ram2e_ufm\/SLICE_84/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_65/F0 ram2e_ufm\/SLICE_63/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_133/F0 ram2e_ufm\/SLICE_63/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_84/F0 ram2e_ufm\/SLICE_63/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_63/F1 ram2e_ufm\/SLICE_63/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_79/F0 ram2e_ufm\/SLICE_63/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_79/F0 ram2e_ufm\/SLICE_66/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_79/F0 ram2e_ufm\/SLICE_79/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_79/F0 ram2e_ufm\/SLICE_84/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_79/F0 ram2e_ufm\/SLICE_85/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_64/F1 ram2e_ufm\/SLICE_64/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_65/F1 ram2e_ufm\/SLICE_65/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_100/F0 ram2e_ufm\/SLICE_65/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_108/F0 ram2e_ufm\/SLICE_66/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_80/F1 ram2e_ufm\/SLICE_66/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_80/F1 ram2e_ufm\/SLICE_70/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_80/F1 ram2e_ufm\/SLICE_80/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_80/F1 ram2e_ufm\/SLICE_81/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_80/F1 ram2e_ufm\/SLICE_85/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_80/F1 ram2e_ufm\/SLICE_89/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_80/F1 ram2e_ufm\/SLICE_98/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_80/F1 ram2e_ufm\/SLICE_130/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_66/F1 ram2e_ufm\/SLICE_66/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_67/F1 ram2e_ufm\/SLICE_67/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_67/F0 ram2e_ufm\/SLICE_91/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_68/F0 ram2e_ufm\/SLICE_68/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_68/F1 ram2e_ufm\/SLICE_86/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_122/F0 ram2e_ufm\/SLICE_69/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_70/F1 ram2e_ufm\/SLICE_70/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_70/F1 ram2e_ufm\/SLICE_79/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_70/F1 ram2e_ufm\/SLICE_111/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_75/F1 ram2e_ufm\/SLICE_70/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_75/F1 ram2e_ufm\/SLICE_75/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_75/F1 ram2e_ufm\/SLICE_88/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_71/F0 ram2e_ufm\/SLICE_71/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_71/F0 ram2e_ufm\/SLICE_91/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_71/F0 ram2e_ufm\/SLICE_124/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_71/F0 ram2e_ufm\/SLICE_124/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_71/F0 ram2e_ufm\/SLICE_146/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_72/F0 ram2e_ufm\/SLICE_72/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_72/F0 ram2e_ufm\/SLICE_129/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_72/F1 BA\[0\]_MGIOL/OPOS (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_73/F0 ram2e_ufm\/SLICE_73/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_73/F0 ram2e_ufm\/SLICE_97/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_73/F0 ram2e_ufm\/SLICE_145/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_98/F1 ram2e_ufm\/SLICE_73/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_98/F1 ram2e_ufm\/SLICE_97/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_98/F1 ram2e_ufm\/SLICE_98/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_98/F1 ram2e_ufm\/SLICE_99/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_98/F1 ram2e_ufm\/SLICE_126/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_103/F1 ram2e_ufm\/SLICE_73/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_103/F1 ram2e_ufm\/SLICE_103/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_103/F1 ram2e_ufm\/SLICE_109/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_135/F0 ram2e_ufm\/SLICE_74/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_75/F0 ram2e_ufm\/SLICE_79/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_76/F1 ram2e_ufm\/SLICE_76/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_76/F1 ram2e_ufm\/SLICE_81/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_76/F1 ram2e_ufm\/SLICE_111/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_76/F1 ram2e_ufm\/SLICE_131/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_145/F0 ram2e_ufm\/SLICE_76/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_145/F0 ram2e_ufm\/SLICE_88/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_145/F0 ram2e_ufm\/SLICE_90/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_76/F0 ram2e_ufm\/SLICE_98/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_77/F0 ram2e_ufm\/SLICE_77/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_77/F0 ram2e_ufm\/SLICE_80/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_77/F0 ram2e_ufm\/SLICE_82/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_77/F0 ram2e_ufm\/SLICE_130/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_77/F0 ram2e_ufm\/SLICE_130/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_133/F1 ram2e_ufm\/SLICE_82/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_101/F0 ram2e_ufm\/SLICE_82/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_83/F0 ram2e_ufm\/SLICE_82/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_82/F1 ram2e_ufm\/SLICE_82/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_83/F1 ram2e_ufm\/SLICE_83/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_87/F0 ram2e_ufm\/SLICE_83/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_110/F0 ram2e_ufm\/SLICE_85/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_99/F0 ram2e_ufm\/SLICE_86/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_126/F0 ram2e_ufm\/SLICE_86/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_86/F1 ram2e_ufm\/SLICE_86/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_86/F1 ram2e_ufm\/SLICE_109/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_88/F1 ram2e_ufm\/SLICE_88/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_88/F1 ram2e_ufm\/SLICE_111/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_104/F0 ram2e_ufm\/SLICE_89/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_90/F1 ram2e_ufm\/SLICE_90/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_91/F1 ram2e_ufm\/SLICE_91/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_91/F1 ram2e_ufm\/SLICE_92/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_92/F1 ram2e_ufm\/SLICE_92/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_92/F1 ram2e_ufm\/SLICE_102/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_93/F1 ram2e_ufm\/SLICE_93/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_100/F1 ram2e_ufm\/SLICE_94/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_100/F1 ram2e_ufm\/SLICE_100/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Ain\[4\]_I/PADDI ram2e_ufm\/SLICE_95/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT Ain\[6\]_I/PADDI ram2e_ufm\/SLICE_96/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_97/F1 ram2e_ufm\/SLICE_97/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_99/F1 ram2e_ufm\/SLICE_99/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_125/F1 ram2e_ufm\/SLICE_99/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_115/F0 ram2e_ufm\/SLICE_102/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_128/F0 ram2e_ufm\/SLICE_103/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_123/F1 ram2e_ufm\/SLICE_103/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_104/F1 ram2e_ufm\/SLICE_104/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_122/F1 ram2e_ufm\/SLICE_105/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_107/F1 ram2e_ufm\/SLICE_107/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_107/F1 ram2e_ufm\/SLICE_134/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_134/F1 ram2e_ufm\/SLICE_108/D1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_111/F0 ram2e_ufm\/SLICE_111/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT nEN80_I/PADDI ram2e_ufm\/SLICE_112/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT nEN80_I/PADDI ram2e_ufm\/SLICE_113/C1 (0:0:0)(0:0:0))
    +        (INTERCONNECT nEN80_I/PADDI ram2e_ufm\/SLICE_115/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT nEN80_I/PADDI ram2e_ufm\/SLICE_135/D0 (0:0:0)(0:0:0))
    +        (INTERCONNECT nEN80_I/PADDI ram2e_ufm\/SLICE_136/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT nEN80_I/PADDI ram2e_ufm\/SLICE_136/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT nEN80_I/PADDI ram2e_ufm\/SLICE_137/B1 (0:0:0)(0:0:0))
    +        (INTERCONNECT nEN80_I/PADDI ram2e_ufm\/SLICE_137/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_114/F1 ram2e_ufm\/SLICE_114/C0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_116/F0 ram2e_ufm\/SLICE_116/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_117/F0 BA\[1\]_MGIOL/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_117/F0 BA\[0\]_MGIOL/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_118/F0 DQMH_MGIOL/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_118/F0 DQML_MGIOL/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_120/F0 DQML_MGIOL/OPOS (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_120/F1 DQMH_MGIOL/OPOS (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_121/F1 Vout\[7\]_MGIOL/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_121/F1 Vout\[6\]_MGIOL/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_121/F1 Vout\[5\]_MGIOL/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_121/F1 Vout\[4\]_MGIOL/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_121/F1 Vout\[3\]_MGIOL/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_121/F1 Vout\[2\]_MGIOL/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_121/F1 Vout\[1\]_MGIOL/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_121/F1 Vout\[0\]_MGIOL/CE (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_129/F1 BA\[1\]_MGIOL/OPOS (0:0:0)(0:0:0))
    +        (INTERCONNECT Ain\[0\]_I/PADDI ram2e_ufm\/SLICE_132/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT Ain\[7\]_I/PADDI ram2e_ufm\/SLICE_132/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_136/F0 nDOE_I/PADDO (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_137/F0 LED_I/PADDO (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_137/F1 RD\[0\]_I/PADDT (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_137/F1 RD\[7\]_I/PADDT (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_137/F1 RD\[6\]_I/PADDT (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_137/F1 RD\[5\]_I/PADDT (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_137/F1 RD\[4\]_I/PADDT (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_137/F1 RD\[3\]_I/PADDT (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_137/F1 RD\[2\]_I/PADDT (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_137/F1 RD\[1\]_I/PADDT (0:0:0)(0:0:0))
    +        (INTERCONNECT PHI1_I/PADDI SLICE_139/A1 (0:0:0)(0:0:0))
    +        (INTERCONNECT PHI1_I/PADDI SLICE_139/A0 (0:0:0)(0:0:0))
    +        (INTERCONNECT PHI1_I/PADDI PHI1_MGIOL/DI (0:0:0)(0:0:0))
    +        (INTERCONNECT PHI1_MGIOL/IN SLICE_139/B0 (0:0:0)(0:0:0))
    +        (INTERCONNECT SLICE_139/F1 nVOE_I/PADDO (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_141/F0 RD\[3\]_I/PADDO (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_141/F1 RD\[0\]_I/PADDO (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_142/F0 RD\[4\]_I/PADDO (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_143/F0 RD\[7\]_I/PADDO (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_143/F1 RD\[1\]_I/PADDO (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_144/F0 RD\[6\]_I/PADDO (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_144/F1 RD\[2\]_I/PADDO (0:0:0)(0:0:0))
    +        (INTERCONNECT ram2e_ufm\/SLICE_147/F0 RD\[5\]_I/PADDO (0:0:0)(0:0:0))
             (INTERCONNECT RD\[0\]_I/PADDI Vout\[0\]_MGIOL/OPOS (0:0:0)(0:0:0))
    -        (INTERCONNECT RD\[0\]_I/PADDI Dout\[0\]_MGIOL/OPOS (0:0:0)(0:0:0))
    +        (INTERCONNECT RD\[0\]_I/PADDI Dout\[0\]_I/PADDO (0:0:0)(0:0:0))
    +        (INTERCONNECT RD\[7\]_I/PADDI Vout\[7\]_MGIOL/OPOS (0:0:0)(0:0:0))
    +        (INTERCONNECT RD\[7\]_I/PADDI Dout\[7\]_I/PADDO (0:0:0)(0:0:0))
    +        (INTERCONNECT RD\[6\]_I/PADDI Vout\[6\]_MGIOL/OPOS (0:0:0)(0:0:0))
    +        (INTERCONNECT RD\[6\]_I/PADDI Dout\[6\]_I/PADDO (0:0:0)(0:0:0))
    +        (INTERCONNECT RD\[5\]_I/PADDI Vout\[5\]_MGIOL/OPOS (0:0:0)(0:0:0))
    +        (INTERCONNECT RD\[5\]_I/PADDI Dout\[5\]_I/PADDO (0:0:0)(0:0:0))
    +        (INTERCONNECT RD\[4\]_I/PADDI Vout\[4\]_MGIOL/OPOS (0:0:0)(0:0:0))
    +        (INTERCONNECT RD\[4\]_I/PADDI Dout\[4\]_I/PADDO (0:0:0)(0:0:0))
    +        (INTERCONNECT RD\[3\]_I/PADDI Vout\[3\]_MGIOL/OPOS (0:0:0)(0:0:0))
    +        (INTERCONNECT RD\[3\]_I/PADDI Dout\[3\]_I/PADDO (0:0:0)(0:0:0))
    +        (INTERCONNECT RD\[2\]_I/PADDI Vout\[2\]_MGIOL/OPOS (0:0:0)(0:0:0))
    +        (INTERCONNECT RD\[2\]_I/PADDI Dout\[2\]_I/PADDO (0:0:0)(0:0:0))
    +        (INTERCONNECT RD\[1\]_I/PADDI Vout\[1\]_MGIOL/OPOS (0:0:0)(0:0:0))
    +        (INTERCONNECT RD\[1\]_I/PADDI Dout\[1\]_I/PADDO (0:0:0)(0:0:0))
             (INTERCONNECT DQMH_MGIOL/IOLDO DQMH_I/IOLDO (0:0:0)(0:0:0))
             (INTERCONNECT DQML_MGIOL/IOLDO DQML_I/IOLDO (0:0:0)(0:0:0))
    -        (INTERCONNECT RD\[7\]_I/PADDI Vout\[7\]_MGIOL/OPOS (0:0:0)(0:0:0))
    -        (INTERCONNECT RD\[7\]_I/PADDI Dout\[7\]_MGIOL/OPOS (0:0:0)(0:0:0))
    -        (INTERCONNECT RD\[6\]_I/PADDI Vout\[6\]_MGIOL/OPOS (0:0:0)(0:0:0))
    -        (INTERCONNECT RD\[6\]_I/PADDI Dout\[6\]_MGIOL/OPOS (0:0:0)(0:0:0))
    -        (INTERCONNECT RD\[5\]_I/PADDI Vout\[5\]_MGIOL/OPOS (0:0:0)(0:0:0))
    -        (INTERCONNECT RD\[5\]_I/PADDI Dout\[5\]_MGIOL/OPOS (0:0:0)(0:0:0))
    -        (INTERCONNECT RD\[4\]_I/PADDI Vout\[4\]_MGIOL/OPOS (0:0:0)(0:0:0))
    -        (INTERCONNECT RD\[4\]_I/PADDI Dout\[4\]_MGIOL/OPOS (0:0:0)(0:0:0))
    -        (INTERCONNECT RD\[3\]_I/PADDI Vout\[3\]_MGIOL/OPOS (0:0:0)(0:0:0))
    -        (INTERCONNECT RD\[3\]_I/PADDI Dout\[3\]_MGIOL/OPOS (0:0:0)(0:0:0))
    -        (INTERCONNECT RD\[2\]_I/PADDI Vout\[2\]_MGIOL/OPOS (0:0:0)(0:0:0))
    -        (INTERCONNECT RD\[2\]_I/PADDI Dout\[2\]_MGIOL/OPOS (0:0:0)(0:0:0))
    -        (INTERCONNECT RD\[1\]_I/PADDI Vout\[1\]_MGIOL/OPOS (0:0:0)(0:0:0))
    -        (INTERCONNECT RD\[1\]_I/PADDI Dout\[1\]_MGIOL/OPOS (0:0:0)(0:0:0))
    -        (INTERCONNECT RA\[11\]_MGIOL/IOLDO RA\[11\]_I/IOLDO (0:0:0)(0:0:0))
    -        (INTERCONNECT RA\[10\]_MGIOL/IOLDO RA\[10\]_I/IOLDO (0:0:0)(0:0:0))
    -        (INTERCONNECT RA\[9\]_MGIOL/IOLDO RA\[9\]_I/IOLDO (0:0:0)(0:0:0))
    -        (INTERCONNECT RA\[8\]_MGIOL/IOLDO RA\[8\]_I/IOLDO (0:0:0)(0:0:0))
    -        (INTERCONNECT RA\[7\]_MGIOL/IOLDO RA\[7\]_I/IOLDO (0:0:0)(0:0:0))
    -        (INTERCONNECT RA\[6\]_MGIOL/IOLDO RA\[6\]_I/IOLDO (0:0:0)(0:0:0))
    -        (INTERCONNECT RA\[5\]_MGIOL/IOLDO RA\[5\]_I/IOLDO (0:0:0)(0:0:0))
    -        (INTERCONNECT RA\[4\]_MGIOL/IOLDO RA\[4\]_I/IOLDO (0:0:0)(0:0:0))
    -        (INTERCONNECT RA\[2\]_MGIOL/IOLDO RA\[2\]_I/IOLDO (0:0:0)(0:0:0))
    -        (INTERCONNECT RA\[1\]_MGIOL/IOLDO RA\[1\]_I/IOLDO (0:0:0)(0:0:0))
    +        (INTERCONNECT RAout\[11\]_MGIOL/IOLDO RAout\[11\]_I/IOLDO (0:0:0)(0:0:0))
    +        (INTERCONNECT RAout\[10\]_MGIOL/IOLDO RAout\[10\]_I/IOLDO (0:0:0)(0:0:0))
    +        (INTERCONNECT RAout\[9\]_MGIOL/IOLDO RAout\[9\]_I/IOLDO (0:0:0)(0:0:0))
    +        (INTERCONNECT RAout\[8\]_MGIOL/IOLDO RAout\[8\]_I/IOLDO (0:0:0)(0:0:0))
    +        (INTERCONNECT RAout\[7\]_MGIOL/IOLDO RAout\[7\]_I/IOLDO (0:0:0)(0:0:0))
    +        (INTERCONNECT RAout\[6\]_MGIOL/IOLDO RAout\[6\]_I/IOLDO (0:0:0)(0:0:0))
    +        (INTERCONNECT RAout\[5\]_MGIOL/IOLDO RAout\[5\]_I/IOLDO (0:0:0)(0:0:0))
    +        (INTERCONNECT RAout\[4\]_MGIOL/IOLDO RAout\[4\]_I/IOLDO (0:0:0)(0:0:0))
    +        (INTERCONNECT RAout\[3\]_MGIOL/IOLDO RAout\[3\]_I/IOLDO (0:0:0)(0:0:0))
    +        (INTERCONNECT RAout\[2\]_MGIOL/IOLDO RAout\[2\]_I/IOLDO (0:0:0)(0:0:0))
    +        (INTERCONNECT RAout\[1\]_MGIOL/IOLDO RAout\[1\]_I/IOLDO (0:0:0)(0:0:0))
    +        (INTERCONNECT RAout\[0\]_MGIOL/IOLDO RAout\[0\]_I/IOLDO (0:0:0)(0:0:0))
             (INTERCONNECT BA\[1\]_MGIOL/IOLDO BA\[1\]_I/IOLDO (0:0:0)(0:0:0))
             (INTERCONNECT BA\[0\]_MGIOL/IOLDO BA\[0\]_I/IOLDO (0:0:0)(0:0:0))
    -        (INTERCONNECT nRWE_MGIOL/IOLDO nRWE_I/IOLDO (0:0:0)(0:0:0))
    -        (INTERCONNECT nCAS_MGIOL/IOLDO nCAS_I/IOLDO (0:0:0)(0:0:0))
    -        (INTERCONNECT nRAS_MGIOL/IOLDO nRAS_I/IOLDO (0:0:0)(0:0:0))
    -        (INTERCONNECT nCS_MGIOL/IOLDO nCS_I/IOLDO (0:0:0)(0:0:0))
    -        (INTERCONNECT CKE_MGIOL/IOLDO CKE_I/IOLDO (0:0:0)(0:0:0))
    +        (INTERCONNECT nRWEout_MGIOL/IOLDO nRWEout_I/IOLDO (0:0:0)(0:0:0))
    +        (INTERCONNECT nCASout_MGIOL/IOLDO nCASout_I/IOLDO (0:0:0)(0:0:0))
    +        (INTERCONNECT nRASout_MGIOL/IOLDO nRASout_I/IOLDO (0:0:0)(0:0:0))
    +        (INTERCONNECT CKEout_MGIOL/IOLDO CKEout_I/IOLDO (0:0:0)(0:0:0))
             (INTERCONNECT Vout\[7\]_MGIOL/IOLDO Vout\[7\]_I/IOLDO (0:0:0)(0:0:0))
             (INTERCONNECT Vout\[6\]_MGIOL/IOLDO Vout\[6\]_I/IOLDO (0:0:0)(0:0:0))
             (INTERCONNECT Vout\[5\]_MGIOL/IOLDO Vout\[5\]_I/IOLDO (0:0:0)(0:0:0))
    @@ -4838,14 +5494,6 @@
             (INTERCONNECT Vout\[2\]_MGIOL/IOLDO Vout\[2\]_I/IOLDO (0:0:0)(0:0:0))
             (INTERCONNECT Vout\[1\]_MGIOL/IOLDO Vout\[1\]_I/IOLDO (0:0:0)(0:0:0))
             (INTERCONNECT Vout\[0\]_MGIOL/IOLDO Vout\[0\]_I/IOLDO (0:0:0)(0:0:0))
    -        (INTERCONNECT Dout\[7\]_MGIOL/IOLDO Dout\[7\]_I/IOLDO (0:0:0)(0:0:0))
    -        (INTERCONNECT Dout\[6\]_MGIOL/IOLDO Dout\[6\]_I/IOLDO (0:0:0)(0:0:0))
    -        (INTERCONNECT Dout\[5\]_MGIOL/IOLDO Dout\[5\]_I/IOLDO (0:0:0)(0:0:0))
    -        (INTERCONNECT Dout\[4\]_MGIOL/IOLDO Dout\[4\]_I/IOLDO (0:0:0)(0:0:0))
    -        (INTERCONNECT Dout\[3\]_MGIOL/IOLDO Dout\[3\]_I/IOLDO (0:0:0)(0:0:0))
    -        (INTERCONNECT Dout\[2\]_MGIOL/IOLDO Dout\[2\]_I/IOLDO (0:0:0)(0:0:0))
    -        (INTERCONNECT Dout\[1\]_MGIOL/IOLDO Dout\[1\]_I/IOLDO (0:0:0)(0:0:0))
    -        (INTERCONNECT Dout\[0\]_MGIOL/IOLDO Dout\[0\]_I/IOLDO (0:0:0)(0:0:0))
           )
         )
       )
    diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_mapvo.vo b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_mapvo.vo
    index 6a9b257..cbca1b6 100644
    --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_mapvo.vo
    +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_mapvo.vo
    @@ -1,9 +1,9 @@
     
     // Verilog netlist produced by program ldbanno, Version Diamond (64-bit) 3.12.1.454
     
    -// ldbanno -n Verilog -o RAM2E_LCMXO2_640HC_impl1_mapvo.vo -w -neg -gui -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/promote.xml RAM2E_LCMXO2_640HC_impl1_map.ncd 
    -// Netlist created on Thu Sep 21 05:34:46 2023
    -// Netlist written on Thu Sep 21 05:34:50 2023
    +// ldbanno -n Verilog -o RAM2E_LCMXO2_640HC_impl1_mapvo.vo -w -neg -gui -msgset //Mac/iCloud/Repos/ram2e/CPLD/LCMXO2-640HC/promote.xml RAM2E_LCMXO2_640HC_impl1_map.ncd 
    +// Netlist created on Thu Dec 28 23:09:57 2023
    +// Netlist written on Thu Dec 28 23:10:01 2023
     // Design is for device LCMXO2-640HC
     // Design is for package TQFP100
     // Design is for performance grade 4
    @@ -11,7 +11,8 @@
     `timescale 1 ns / 1 ps
     
     module RAM2E ( C14M, PHI1, LED, nWE, nWE80, nEN80, nC07X, Ain, Din, Dout, nDOE, 
    -               Vout, nVOE, CKE, nCS, nRAS, nCAS, nRWE, BA, RA, RD, DQML, DQMH );
    +               Vout, nVOE, CKEout, nCSout, nRASout, nCASout, nRWEout, BA, 
    +               RAout, DQML, DQMH, RD );
       input  C14M, PHI1, nWE, nWE80, nEN80, nC07X;
       input  [7:0] Ain;
       input  [7:0] Din;
    @@ -19,9 +20,9 @@ module RAM2E ( C14M, PHI1, LED, nWE, nWE80, nEN80, nC07X, Ain, Din, Dout, nDOE,
       output [7:0] Dout;
       output nDOE;
       output [7:0] Vout;
    -  output nVOE, CKE, nCS, nRAS, nCAS, nRWE;
    +  output nVOE, CKEout, nCSout, nRASout, nCASout, nRWEout;
       output [1:0] BA;
    -  output [11:0] RA;
    +  output [11:0] RAout;
       output DQML, DQMH;
       inout  [7:0] RD;
       wire   \FS[0] , \FS_s[0] , C14M_c, \FS_cry[0] , \FS[15] , \FS_s[15] , 
    @@ -30,77 +31,141 @@ module RAM2E ( C14M, PHI1, LED, nWE, nWE80, nEN80, nC07X, Ain, Din, Dout, nDOE,
              \FS_cry[10] , \FS[10] , \FS[9] , \FS_s[10] , \FS_s[9] , \FS_cry[8] , 
              \FS[8] , \FS[7] , \FS_s[8] , \FS_s[7] , \FS_cry[6] , \FS[6] , \FS[5] , 
              \FS_s[6] , \FS_s[5] , \FS_cry[4] , \FS[4] , \FS[3] , \FS_s[4] , 
    -         \FS_s[3] , \FS_cry[2] , \FS[2] , \FS[1] , \FS_s[2] , \FS_s[1] , Ready, 
    -         PHI1reg, PHI1_c, RWSel, CO0_1, \CmdTout_3[0] , N_576_i, S_1, \CS[0] , 
    -         N_461, \S_RNII9DO1_0[1] , \CS[1] , N_511_i, N_504_i, 
    -         un1_CS_0_sqmuxa_i, N_637, \CS[2] , N_510_i, \Din_c[3] , \Din_c[2] , 
    -         \Din_c[0] , N_643, CmdBitbangMXO2_4_u_0_0_a2_0_1, CmdBitbangMXO2, 
    -         CmdBitbangMXO2_4, \Din_c[7] , \Din_c[5] , N_629, CmdExecMXO2, 
    -         CmdExecMXO2_4, N_466, N_478, \Din_c[4] , \Din_c[1] , N_476, 
    -         CmdLEDGet_4_u_0_0_a2_0_2, CmdLEDGet, CmdLEDGet_4, N_626, N_605, 
    -         CmdLEDSet, CmdLEDSet_4, CmdRWMaskSet, CmdRWMaskSet_4, N_401, 
    -         CmdSetRWBankFFLED, CmdSetRWBankFFLED_4, N_474, 
    -         CmdSetRWBankFFMXO2_4_u_0_0_a2_0_0, CmdSetRWBankFFMXO2, 
    -         CmdSetRWBankFFMXO2_4, \CmdTout[1] , \CmdTout[2] , N_556_i, N_555_i, 
    -         \S[0] , \S[1] , \S[2] , \S[3] , N_6_i, DOEEN, \Ain_c[1] , 
    -         \wb_dato[0] , LEDEN_RNO, \un1_LEDEN_0_sqmuxa_1_i_0[0] , LEDEN, 
    -         N_558_i, \Ain_c[3] , \Ain_c[0] , N_552_i, N_127_i, \S_RNII9DO1_1[1] , 
    -         \RA_c[0] , \RA_c[3] , \RWMask[1] , N_591, \RWMask[0] , \RWBank_5[1] , 
    -         \RWBank_5[0] , LEDEN13, \RWBank[0] , \RWBank[1] , \RWMask[3] , 
    -         \RWMask[2] , \RWBank_5[3] , \RWBank_5[2] , \RWBank[2] , \RWBank[3] , 
    -         \RWMask[5] , \RWMask[4] , \RWBank_5[5] , \RWBank_5[4] , \RWBank[4] , 
    -         \RWBank[5] , \RWMask[7] , \RWMask[6] , \Din_c[6] , \RWBank_5[7] , 
    -         \RWBank_5[6] , \RWBank[6] , \RWBank[7] , \wb_dato[1] , N_291_i, 
    -         N_292_i, N_88, \wb_dato[3] , \wb_dato[2] , N_289_i, N_290_i, 
    -         \wb_dato[5] , \wb_dato[4] , N_287_i, N_288_i, \wb_dato[7] , 
    -         \wb_dato[6] , N_285, N_286_i, nWE_c, nEN80_c, nC07X_c, RWSel_2, nCS61, 
    -         nDOE_c, Ready_0_sqmuxa_0_a2_6_a2_4, N_489, Ready_0_sqmuxa, N_876_0, 
    -         wb_reqc_1, N_575, N_572, \S_s_0_1[0] , N_133_i, \S_s_0[0] , N_129_i, 
    -         N_131_i, wb_adr_7_5_214_0_1, N_388, \wb_adr_7_0_4[0] , N_642, N_376, 
    -         \wb_adr_RNO[1] , \wb_adr_7[0] , \un1_wb_adr_0_sqmuxa_2_i[0] , 
    -         \wb_adr[0] , \wb_adr[1] , N_41_i, N_43_i, \wb_adr[2] , \wb_adr[3] , 
    -         N_295, N_294, \wb_adr[4] , \wb_adr[5] , N_39_i, N_296, \wb_adr[6] , 
    -         \wb_adr[7] , wb_ack, N_300, N_395, wb_cyc_stb_RNO, N_104, wb_cyc_stb, 
    -         \wb_dati_7_0_0[1] , N_627, N_621, N_336, \wb_dati_7_0_a2_1[0] , N_484, 
    -         \wb_dati_7[1] , \wb_dati_7[0] , \wb_dati[0] , \wb_dati[1] , 
    -         \wb_dati_7_0_2[3] , \wb_dati_7_0_0[3] , \wb_dati_7_0_o2_0[2] , N_345, 
    -         \wb_dati_7[3] , \wb_dati_7[2] , \wb_dati[2] , \wb_dati[3] , 
    -         \wb_dati_7_0_0[4] , N_349, N_346, \wb_dati_7[5] , \wb_dati_7[4] , 
    -         \wb_dati[4] , \wb_dati[5] , \wb_dati_7_0_0[7] , \wb_dati_7_0_RNO[7] , 
    -         N_424, N_422, \wb_dati_7_0_1[6] , \wb_dati_7[7] , \wb_dati_7[6] , 
    -         \wb_dati[6] , \wb_dati[7] , N_397, wb_reqc_i, wb_adr_0_sqmuxa_i, 
    -         wb_req, wb_rst8, \S_RNII9DO1[1] , wb_rst, N_586, wb_we_7_iv_0_0_0_1, 
    -         N_584, N_475, wb_we_RNO, \un1_wb_cyc_stb_0_sqmuxa_1_i[0] , wb_we, 
    -         N_255, N_358_i, N_635, N_254, Vout3, nCAS_s_i_tz_0, 
    -         un1_CS_0_sqmuxa_0_0_a2_1_4, N_327, un1_CS_0_sqmuxa_0_0_0, 
    -         \wb_dati_7_0_a2_0_1[7] , N_579, CKE_6_iv_i_a2_0, CKE_6_iv_i_0_1, 
    -         CKE_6_iv_i_0, N_449, N_365, N_364, \un1_wb_adr_0_sqmuxa_2_1[0] , 
    -         N_623, N_616, N_279, N_633, N_264, N_570, N_452, 
    -         \wb_dati_7_0_a2_2_1[3] , N_644, N_455, DQML_s_i_a2_0, N_28_i, 
    -         wb_adr_7_5_214_a2_2_0, N_577, N_569, N_634, \wb_dati_7_0_a2_2_0[1] , 
    -         N_265_i, \un1_RWMask_0_sqmuxa_1_i_a2_0_1[0] , \wb_dati_7_0_a2_0[6] , 
    -         \wb_dati_7_0_a2_4_0[7] , N_393, nCAS_0_sqmuxa, N_639, \RA_42[10] , 
    -         N_640, un1_nCS61_1_i, Ready_0_sqmuxa_0_a2_6_a2_2, N_562, N_377, N_628, 
    -         un1_CS_0_sqmuxa_0_0_a2_3_2, un1_CS_0_sqmuxa_0_0_3, 
    -         un1_CS_0_sqmuxa_0_0_2, N_567, N_561_i, nCS_6_u_i_0, N_559_1, N_559_i, 
    -         nRAS_2_iv_i, un1_CS_0_sqmuxa_0_0_a2_1, N_330, N_328, nCS_6_u_i_a2_1, 
    -         N_429, N_351, \wb_adr_7_0_a2_5_0[0] , \wb_adr_7_0_1[0] , 
    -         \wb_adr_7_0_0[0] , N_378, \un1_LEDEN_0_sqmuxa_1_i_a2_0_1[0] , 
    -         un1_CS_0_sqmuxa_0_0_a2_4_2, un1_CS_0_sqmuxa_0_0_a2_4_4, N_565, 
    -         un1_CS_0_sqmuxa_0_0_a2_2_2, un1_CS_0_sqmuxa_0_0_a2_2_4, 
    -         \wb_adr_7_0_a2_0[0] , un1_CS_0_sqmuxa_0_0_a2_1_2, 
    -         un1_CS_0_sqmuxa_0_0_a2_3_0, N_394, N_49_i, N_456, N_477, N_566_i, 
    -         \BA_4[0] , \RA_42[11] , \BA_4[1] , N_59_i, \Ain_c[5] , \Ain_c[4] , 
    -         N_551_i, \RA_42_3_0[5] , \Ain_c[6] , N_550_i, \Ain_c[2] , \Ain_c[7] , 
    -         N_549_i, N_553_i, nWE80_c, nRWE_r_0, RDOE_i, LED_c, \RD_in[0] , 
    -         DQMH_c, DQML_c, \RD_in[7] , \RD_in[6] , \RD_in[5] , \RD_in[4] , 
    -         \RD_in[3] , \RD_in[2] , \RD_in[1] , \RA_c[11] , \RA_c[10] , \RA_c[9] , 
    -         \RA_c[8] , \RA_c[7] , \RA_c[6] , \RA_c[5] , \RA_c[4] , \RA_c[2] , 
    -         \RA_c[1] , \BA_c[1] , \BA_c[0] , nRWE_c, nCAS_c, nRAS_c, nCS_c, CKE_c, 
    +         \FS_s[3] , \FS_cry[2] , \FS[2] , \FS[1] , \FS_s[2] , \FS_s[1] , N_551, 
    +         \S[1] , \S[0] , \ram2e_ufm/CKE_7 , CKE_7_RNIS77M1, CKE, 
    +         \ram2e_ufm/wb_adr_0_sqmuxa_1_i , RWSel, CO0_0, \CmdTout_3[0] , 
    +         N_185_i, GND, \RC[2] , CO0_1, \RC[1] , N_360_i, RC12, 
    +         \ram2e_ufm/N_821 , \ram2e_ufm/SUM1_0_0 , \ram2e_ufm/SUM0_i_a3_4_0 , 
    +         \ram2e_ufm/N_886 , \ram2e_ufm/N_215 , \ram2e_ufm/SUM0_i_4 , \CS[2] , 
    +         \CS[1] , CmdExecMXO2_3_0_a3_0_RNI6S1P8, N_547_i, un1_CS_0_sqmuxa_i, 
    +         \CS[0] , \ram2e_ufm/N_234 , CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514, 
    +         \ram2e_ufm/N_800 , \Din_c[5] , \Din_c[3] , 
    +         \ram2e_ufm/CmdLEDGet_3_0_a3_1 , \ram2e_ufm/N_847 , \Din_c[2] , 
    +         \Din_c[1] , CmdLEDGet_3, N_187_i, CmdLEDGet, \Din_c[7] , \Din_c[4] , 
    +         \ram2e_ufm/N_883 , CmdLEDSet_3, CmdLEDSet, 
    +         \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_5_1 , CmdRWMaskSet_3, CmdRWMaskSet, 
    +         \ram2e_ufm/N_850 , \Din_c[0] , CmdSetRWBankFFLED_4, CmdSetRWBankFFLED, 
    +         \CmdTout[2] , \CmdTout[1] , N_369_i, N_368_i, \RA[1] , 
    +         \ram2e_ufm/N_186 , \S[3] , N_1080_0, \ram2e_ufm/N_660 , DOEEN, 
    +         \ram2e_ufm/N_193 , \ram2e_ufm/N_659 , \ram2e_ufm/N_182 , \Ain_c[1] , 
    +         \ram2e_ufm/RA_35_0_0_1[0] , \ram2e_ufm/N_801 , \ram2e_ufm/N_684 , 
    +         N_223, \RA_35[0] , N_126, \RA[0] , \ram2e_ufm/RA_35_0_0_0[3] , 
    +         \ram2e_ufm/N_680 , \ram2e_ufm/N_679 , \Ain_c[2] , \RA_35[3] , 
    +         \RA_35[2] , \RA[2] , \RA[3] , \ram2e_ufm/RA_35_0_0_0[5] , 
    +         \ram2e_ufm/N_621 , \Ain_c[5] , \ram2e_ufm/RA_35_0_0_0[4] , \RA_35[5] , 
    +         \RA_35[4] , \RA[4] , \RA[5] , \ram2e_ufm/RA_35_0_0_0_0[7] , 
    +         \ram2e_ufm/RA_35_0_0_0_0[6] , \RA_35[7] , \RA_35[6] , \RA[6] , 
    +         \RA[7] , \ram2e_ufm/RA_35_0_0_0[9] , \RA[9] , \ram2e_ufm/N_242 , 
    +         \RA[8] , \ram2e_ufm/N_699 , \ram2e_ufm/N_698 , \ram2e_ufm/N_221 , 
    +         \RA_35[9] , un2_S_2_i_0_0_o3_RNIHFHN3, \RWBank[4] , \RA[11] , 
    +         \ram2e_ufm/N_845 , \ram2e_ufm/RA_35_2_0_0[10] , \ram2e_ufm/N_628 , 
    +         \ram2e_ufm/N_627 , \ram2e_ufm/N_624 , \RA_35[11] , \RA_35[10] , 
    +         \RA[10] , \RC_3[2] , \RC_3[1] , \ram2e_ufm/RWMask[1] , 
    +         \ram2e_ufm/N_188 , \ram2e_ufm/RWMask[0] , \RWBank_3[1] , 
    +         \RWBank_3[0] , \RWBank[0] , \RWBank[1] , \ram2e_ufm/RWMask[3] , 
    +         \ram2e_ufm/RWMask[2] , \RWBank_3[3] , \RWBank_3[2] , \RWBank[2] , 
    +         \RWBank[3] , \ram2e_ufm/RWMask[5] , \ram2e_ufm/RWMask[4] , 
    +         \RWBank_3[5] , \RWBank_3[4] , \RWBank[5] , \ram2e_ufm/RWMask[7] , 
    +         \ram2e_ufm/RWMask[6] , \Din_c[6] , \RWBank_3[7] , \RWBank_3[6] , 
    +         \RWBank[6] , \RWBank[7] , \Ain_c[3] , nWE_c, nC07X_c, RWSel_2, 
    +         un9_VOEEN_0_a2_0_a3_0_a3, \ram2e_ufm/Ready3_0_a3_5 , 
    +         \ram2e_ufm/Ready3_0_a3_4 , \ram2e_ufm/Ready3_0_a3_3 , 
    +         \ram2e_ufm/N_885 , Ready, Ready3, N_1026_0, \ram2e_ufm/S_r_i_0_o2[1] , 
    +         \ram2e_ufm/N_271 , \ram2e_ufm/N_194 , S_1, \ram2e_ufm/N_643 , N_362_i, 
    +         \S_s_0_0[0] , \S[2] , N_372_i, N_361_i, N_1078_0, VOEEN, BA_0_sqmuxa, 
    +         \ram2e_ufm/N_285_i , \ram2e_ufm/N_804 , \ram2e_ufm/N_872 , 
    +         \ram2e_ufm/N_641 , \ram2e_ufm/N_640 , N_370_i, nCAS, 
    +         \ram2e_ufm/nRAS_s_i_0_0 , \ram2e_ufm/N_617 , \ram2e_ufm/N_616 , 
    +         \ram2e_ufm/N_615 , N_358_i, nRAS, \ram2e_ufm/N_226 , 
    +         \ram2e_ufm/N_866 , \ram2e_ufm/S_r_i_0_o2_RNI62C53[1] , N_359_i, nRWE, 
    +         \ram2e_ufm/un1_CS_0_sqmuxa_0_0_o3 , 
    +         \ram2e_ufm/CmdBitbangMXO2_3_0_a3_1 , \ram2e_ufm/CmdBitbangMXO2_3 , 
    +         \ram2e_ufm/CmdBitbangMXO2 , \ram2e_ufm/N_851 , 
    +         \ram2e_ufm/CmdExecMXO2_3 , \ram2e_ufm/CmdExecMXO2 , 
    +         \ram2e_ufm/CmdSetRWBankFFChip_3_0_a8_0_a3_0 , \ram2e_ufm/N_190 , 
    +         \ram2e_ufm/CmdSetRWBankFFChip_3 , \ram2e_ufm/CmdSetRWBankFFChip , 
    +         \ram2e_ufm/wb_dato[0] , \ram2e_ufm/N_295 , 
    +         \ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0_0[0] , \ram2e_ufm/LEDEN , 
    +         \ram2e_ufm/N_212 , \ram2e_ufm/wb_dato[1] , \ram2e_ufm/N_307_i , 
    +         \ram2e_ufm/N_309_i , \ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0_0[0] , 
    +         \ram2e_ufm/wb_dato[3] , \ram2e_ufm/wb_dato[2] , \ram2e_ufm/N_302_i , 
    +         \ram2e_ufm/N_304_i , \ram2e_ufm/wb_dato[5] , \ram2e_ufm/wb_dato[4] , 
    +         \ram2e_ufm/N_301_i , \ram2e_ufm/N_310_i , \ram2e_ufm/wb_dato[7] , 
    +         \ram2e_ufm/wb_dato[6] , \ram2e_ufm/N_296 , \ram2e_ufm/N_300_i , 
    +         \ram2e_ufm/wb_adr_7_5_41_0_1 , \ram2e_ufm/N_768 , 
    +         \ram2e_ufm/wb_adr_7_i_i_5[0] , \ram2e_ufm/wb_adr_7_i_i_4[0] , 
    +         \ram2e_ufm/N_793 , \ram2e_ufm/wb_adr_RNO[1] , 
    +         \ram2e_ufm/wb_adr_7_i_i[0] , \ram2e_ufm/CmdBitbangMXO2_RNINSM62 , 
    +         \ram2e_ufm/wb_adr[0] , \ram2e_ufm/wb_adr[1] , \ram2e_ufm/N_268_i , 
    +         \ram2e_ufm/N_80_i , \ram2e_ufm/wb_adr[2] , \ram2e_ufm/wb_adr[3] , 
    +         \ram2e_ufm/N_290 , \ram2e_ufm/N_294 , \ram2e_ufm/wb_adr[4] , 
    +         \ram2e_ufm/wb_adr[5] , \ram2e_ufm/N_267_i , \ram2e_ufm/N_284 , 
    +         \ram2e_ufm/wb_adr[6] , \ram2e_ufm/wb_adr[7] , \ram2e_ufm/wb_ack , 
    +         \ram2e_ufm/N_336 , \ram2e_ufm/N_687 , \ram2e_ufm/wb_cyc_stb_RNO , 
    +         \ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0[0] , 
    +         \ram2e_ufm/wb_cyc_stb , \ram2e_ufm/wb_dati_7_0_0_0[1] , 
    +         \ram2e_ufm/N_849 , \ram2e_ufm/N_611 , 
    +         \ram2e_ufm/wb_dati_7_0_0_0_a3_0[0] , \ram2e_ufm/N_856 , 
    +         \ram2e_ufm/wb_dati_7[1] , \ram2e_ufm/wb_dati_7[0] , 
    +         \ram2e_ufm/wb_dati[0] , \ram2e_ufm/wb_dati[1] , 
    +         \ram2e_ufm/wb_dati_7_0_0_0_0[3] , \ram2e_ufm/N_783 , 
    +         \ram2e_ufm/wb_dati_7_0_0_o3_0[2] , \ram2e_ufm/N_760 , 
    +         \ram2e_ufm/wb_dati_7[3] , \ram2e_ufm/wb_dati_7[2] , 
    +         \ram2e_ufm/wb_dati[2] , \ram2e_ufm/wb_dati[3] , 
    +         \ram2e_ufm/wb_dati_7_0_0_0[4] , \ram2e_ufm/N_763 , \ram2e_ufm/N_757 , 
    +         \ram2e_ufm/wb_dati_7[5] , \ram2e_ufm/wb_dati_7[4] , 
    +         \ram2e_ufm/wb_dati[4] , \ram2e_ufm/wb_dati[5] , 
    +         \ram2e_ufm/wb_dati_7_0_0_0_0[7] , \ram2e_ufm/wb_dati_7_0_0_RNO_0[7] , 
    +         \ram2e_ufm/N_604 , \ram2e_ufm/N_602 , \ram2e_ufm/wb_dati_7_0_0_0[6] , 
    +         \ram2e_ufm/wb_dati_7[7] , \ram2e_ufm/wb_dati_7[6] , 
    +         \ram2e_ufm/wb_dati[6] , \ram2e_ufm/wb_dati[7] , \ram2e_ufm/wb_reqc_1 , 
    +         \ram2e_ufm/wb_reqc_i , \ram2e_ufm/wb_req , \ram2e_ufm/wb_rst8 , 
    +         \ram2e_ufm/wb_rst16_i , \ram2e_ufm/wb_rst , 
    +         \ram2e_ufm/wb_we_7_iv_0_0_3_0_0 , \ram2e_ufm/N_799 , 
    +         \ram2e_ufm/wb_we_7_iv_0_0_3_0_1 , \ram2e_ufm/N_208 , 
    +         \ram2e_ufm/wb_we_RNO , \ram2e_ufm/wb_we_RNO_0 , \ram2e_ufm/wb_we , 
    +         \ram2e_ufm/N_338 , \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_2_2 , 
    +         \ram2e_ufm/un1_CS_0_sqmuxa_0_0_0 , \ram2e_ufm/N_817 , 
    +         \ram2e_ufm/CKE_7_sm0 , \ram2e_ufm/N_720_tz , \ram2e_ufm/SUM0_i_0 , 
    +         \ram2e_ufm/N_350 , \ram2e_ufm/SUM0_i_3 , \ram2e_ufm/SUM0_i_1 , 
    +         \ram2e_ufm/N_187 , \ram2e_ufm/N_755 , \ram2e_ufm/N_735 , 
    +         \ram2e_ufm/N_345 , 
    +         \ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_a3_0_0[0] , 
    +         \ram2e_ufm/N_777 , \ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_0[0] , 
    +         \ram2e_ufm/N_250 , \ram2e_ufm/N_256 , \ram2e_ufm/wb_adr_7_i_i_3_1[0] , 
    +         \ram2e_ufm/wb_adr_7_i_i_3[0] , \ram2e_ufm/N_254 , \ram2e_ufm/N_807 , 
    +         \ram2e_ufm/N_876 , \ram2e_ufm/N_784 , \ram2e_ufm/N_560 , \BA_4[0] , 
    +         \ram2e_ufm/N_873 , \ram2e_ufm/N_781 , \ram2e_ufm/N_184 , 
    +         \ram2e_ufm/N_625 , \ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0_a3_0_1[0] , 
    +         \ram2e_ufm/N_811 , \ram2e_ufm/N_206 , 
    +         \ram2e_ufm/wb_dati_7_0_0_a3_8_0[7] , \ram2e_ufm/N_185 , 
    +         \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_0_1 , 
    +         \ram2e_ufm/un1_CS_0_sqmuxa_0_0_o2 , 
    +         \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_1_0_RNIS35S , \ram2e_ufm/N_637 , 
    +         \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_1_0 , \ram2e_ufm/N_592 , 
    +         \ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0_a3_0_0[0] , 
    +         \ram2e_ufm/wb_adr_7_i_i_1[0] , \ram2e_ufm/N_753 , \ram2e_ufm/N_634 , 
    +         \ram2e_ufm/wb_dati_7_0_0_a3_6_1[3] , 
    +         \ram2e_ufm/wb_dati_7_0_0_0_a3_0[3] , 
    +         \ram2e_ufm/wb_dati_7_0_0_a3_1[6] , \ram2e_ufm/N_890 , 
    +         \ram2e_ufm/N_220 , \ram2e_ufm/N_196 , \ram2e_ufm/N_243 , \Ain_c[4] , 
    +         \Ain_c[6] , \ram2e_ufm/wb_dati_7_0_0_a3_0_0[1] , 
    +         \ram2e_ufm/wb_adr_7_i_i_a3_2_0[0] , \ram2e_ufm/N_565 , 
    +         \ram2e_ufm/CKE_7s2_0_0_0 , \ram2e_ufm/wb_adr_7_5_41_a3_3_0 , 
    +         \ram2e_ufm/N_204 , \ram2e_ufm/N_595 , \ram2e_ufm/nRWE_s_i_0_63_1 , 
    +         \ram2e_ufm/N_792 , 
    +         \ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_o3_3[0] , 
    +         \ram2e_ufm/N_553 , nEN80_c, \ram2e_ufm/N_241_i , \ram2e_ufm/N_814 , 
    +         N_225_i, N_201_i, N_507_i, N_508, Vout3, \BA_4[1] , \Ain_c[0] , 
    +         \Ain_c[7] , nDOE_c, LED_c, RDOE_i, PHI1_c, PHI1r, nVOE_c, N_263_i, 
    +         N_667, N_648, N_662, N_666, N_663, N_665, N_664, \RD_in[0] , 
    +         \RD_in[7] , \RD_in[6] , \RD_in[5] , \RD_in[4] , \RD_in[3] , 
    +         \RD_in[2] , \RD_in[1] , DQMH_c, DQML_c, \RAout_c[11] , \RAout_c[10] , 
    +         \RAout_c[9] , \RAout_c[8] , \RAout_c[7] , \RAout_c[6] , \RAout_c[5] , 
    +         \RAout_c[4] , \RAout_c[3] , \RAout_c[2] , \RAout_c[1] , \RAout_c[0] , 
    +         \BA_c[1] , \BA_c[0] , nRWEout_c, nCASout_c, nRASout_c, CKEout_c, 
              \Vout_c[7] , \Vout_c[6] , \Vout_c[5] , \Vout_c[4] , \Vout_c[3] , 
    -         \Vout_c[2] , \Vout_c[1] , \Vout_c[0] , \Dout_c[7] , \Dout_c[6] , 
    -         \Dout_c[5] , \Dout_c[4] , \Dout_c[3] , \Dout_c[2] , \Dout_c[1] , 
    -         \Dout_c[0] , VCCI;
    +         \Vout_c[2] , \Vout_c[1] , \Vout_c[0] , VCCI;
     
       SLICE_0 SLICE_0( .A1(\FS[0] ), .DI1(\FS_s[0] ), .CLK(C14M_c), .F1(\FS_s[0] ), 
         .Q1(\FS[0] ), .FCO(\FS_cry[0] ));
    @@ -127,426 +192,679 @@ module RAM2E ( C14M, PHI1, LED, nWE, nWE80, nEN80, nC07X, Ain, Din, Dout, nDOE,
       SLICE_8 SLICE_8( .A1(\FS[2] ), .A0(\FS[1] ), .DI1(\FS_s[2] ), 
         .DI0(\FS_s[1] ), .CLK(C14M_c), .FCI(\FS_cry[0] ), .F0(\FS_s[1] ), 
         .Q0(\FS[1] ), .F1(\FS_s[2] ), .Q1(\FS[2] ), .FCO(\FS_cry[2] ));
    -  SLICE_9 SLICE_9( .C1(Ready), .B1(PHI1reg), .A1(PHI1_c), .B0(RWSel), 
    -    .A0(CO0_1), .DI0(\CmdTout_3[0] ), .CE(N_576_i), .CLK(C14M_c), 
    -    .F0(\CmdTout_3[0] ), .Q0(CO0_1), .F1(S_1));
    -  SLICE_10 SLICE_10( .D1(\CS[0] ), .C1(N_461), .B1(\S_RNII9DO1_0[1] ), 
    -    .A1(\CS[1] ), .C0(\S_RNII9DO1_0[1] ), .B0(N_461), .A0(\CS[0] ), 
    -    .DI1(N_511_i), .DI0(N_504_i), .LSR(un1_CS_0_sqmuxa_i), .CLK(C14M_c), 
    -    .F0(N_504_i), .Q0(\CS[0] ), .F1(N_511_i), .Q1(\CS[1] ));
    -  SLICE_11 SLICE_11( .C1(\S_RNII9DO1_0[1] ), .B1(N_461), .A1(\CS[0] ), 
    -    .C0(N_637), .B0(\CS[2] ), .A0(\CS[1] ), .DI0(N_510_i), 
    -    .LSR(un1_CS_0_sqmuxa_i), .CLK(C14M_c), .F0(N_510_i), .Q0(\CS[2] ), 
    -    .F1(N_637));
    -  SLICE_12 SLICE_12( .C1(\Din_c[3] ), .B1(\Din_c[2] ), .A1(\Din_c[0] ), 
    -    .D0(RWSel), .C0(N_643), .B0(CmdBitbangMXO2_4_u_0_0_a2_0_1), 
    -    .A0(CmdBitbangMXO2), .DI0(CmdBitbangMXO2_4), .CE(N_576_i), .CLK(C14M_c), 
    -    .F0(CmdBitbangMXO2_4), .Q0(CmdBitbangMXO2), 
    -    .F1(CmdBitbangMXO2_4_u_0_0_a2_0_1));
    -  SLICE_13 SLICE_13( .C1(RWSel), .B1(\Din_c[7] ), .A1(\Din_c[5] ), .D0(RWSel), 
    -    .C0(N_643), .B0(N_629), .A0(CmdExecMXO2), .DI0(CmdExecMXO2_4), 
    -    .CE(N_576_i), .CLK(C14M_c), .F0(CmdExecMXO2_4), .Q0(CmdExecMXO2), 
    -    .F1(N_466));
    -  SLICE_14 SLICE_14( .D1(N_478), .C1(\Din_c[4] ), .B1(\Din_c[1] ), 
    -    .A1(\Din_c[0] ), .D0(RWSel), .C0(N_476), .B0(CmdLEDGet_4_u_0_0_a2_0_2), 
    -    .A0(CmdLEDGet), .DI0(CmdLEDGet_4), .CE(N_576_i), .CLK(C14M_c), 
    -    .F0(CmdLEDGet_4), .Q0(CmdLEDGet), .F1(CmdLEDGet_4_u_0_0_a2_0_2));
    -  SLICE_15 SLICE_15( .D1(N_626), .C1(N_476), .B1(\Din_c[4] ), .A1(\Din_c[1] ), 
    -    .C0(RWSel), .B0(N_605), .A0(CmdLEDSet), .DI0(CmdLEDSet_4), .CE(N_576_i), 
    -    .CLK(C14M_c), .F0(CmdLEDSet_4), .Q0(CmdLEDSet), .F1(N_605));
    -  SLICE_16 SLICE_16( .C1(\Din_c[1] ), .B1(\Din_c[4] ), .A1(N_476), .D0(RWSel), 
    -    .C0(N_643), .B0(N_626), .A0(CmdRWMaskSet), .DI0(CmdRWMaskSet_4), 
    -    .CE(N_576_i), .CLK(C14M_c), .F0(CmdRWMaskSet_4), .Q0(CmdRWMaskSet), 
    -    .F1(N_643));
    -  SLICE_17 SLICE_17( .D1(N_626), .C1(N_476), .B1(\Din_c[4] ), .A1(\Din_c[1] ), 
    -    .C0(RWSel), .B0(N_401), .A0(CmdSetRWBankFFLED), .DI0(CmdSetRWBankFFLED_4), 
    -    .CE(N_576_i), .CLK(C14M_c), .F0(CmdSetRWBankFFLED_4), 
    -    .Q0(CmdSetRWBankFFLED), .F1(N_401));
    -  SLICE_18 SLICE_18( .C1(N_474), .B1(\CS[2] ), .A1(\CS[1] ), .D0(RWSel), 
    -    .C0(N_476), .B0(CmdSetRWBankFFMXO2_4_u_0_0_a2_0_0), 
    -    .A0(CmdSetRWBankFFMXO2), .DI0(CmdSetRWBankFFMXO2_4), .CE(N_576_i), 
    -    .CLK(C14M_c), .F0(CmdSetRWBankFFMXO2_4), .Q0(CmdSetRWBankFFMXO2), 
    -    .F1(N_476));
    -  SLICE_19 SLICE_19( .D1(RWSel), .C1(CO0_1), .B1(\CmdTout[1] ), 
    -    .A1(\CmdTout[2] ), .C0(RWSel), .B0(\CmdTout[1] ), .A0(CO0_1), 
    -    .DI1(N_556_i), .DI0(N_555_i), .CE(N_576_i), .CLK(C14M_c), .F0(N_555_i), 
    -    .Q0(\CmdTout[1] ), .F1(N_556_i), .Q1(\CmdTout[2] ));
    -  SLICE_20 SLICE_20( .D1(\S[0] ), .C1(\S[1] ), .B1(\S[2] ), .A1(\S[3] ), 
    -    .D0(\S[0] ), .C0(\S[1] ), .B0(\S[2] ), .A0(\S[3] ), .DI0(N_6_i), 
    -    .CLK(C14M_c), .F0(N_6_i), .Q0(DOEEN), .F1(N_576_i));
    -  SLICE_21 SLICE_21( .C1(\S[3] ), .B1(\S[0] ), .A1(\Ain_c[1] ), 
    -    .C0(\wb_dato[0] ), .B0(\S[3] ), .A0(\Din_c[0] ), .DI0(LEDEN_RNO), 
    -    .CE(\un1_LEDEN_0_sqmuxa_1_i_0[0] ), .CLK(C14M_c), .F0(LEDEN_RNO), 
    -    .Q0(LEDEN), .F1(N_558_i));
    -  SLICE_22 SLICE_22( .C1(\S[3] ), .B1(\S[0] ), .A1(\Ain_c[3] ), .C0(\S[3] ), 
    -    .B0(\S[0] ), .A0(\Ain_c[0] ), .DI1(N_552_i), .DI0(N_127_i), 
    -    .CE(\S_RNII9DO1_1[1] ), .CLK(C14M_c), .F0(N_127_i), .Q0(\RA_c[0] ), 
    -    .F1(N_552_i), .Q1(\RA_c[3] ));
    -  SLICE_23 SLICE_23( .C1(\RWMask[1] ), .B1(N_591), .A1(\Din_c[1] ), 
    -    .C0(\RWMask[0] ), .B0(N_591), .A0(\Din_c[0] ), .DI1(\RWBank_5[1] ), 
    -    .DI0(\RWBank_5[0] ), .CE(LEDEN13), .CLK(C14M_c), .F0(\RWBank_5[0] ), 
    -    .Q0(\RWBank[0] ), .F1(\RWBank_5[1] ), .Q1(\RWBank[1] ));
    -  SLICE_24 SLICE_24( .C1(\RWMask[3] ), .B1(N_591), .A1(\Din_c[3] ), 
    -    .C0(\RWMask[2] ), .B0(N_591), .A0(\Din_c[2] ), .DI1(\RWBank_5[3] ), 
    -    .DI0(\RWBank_5[2] ), .CE(LEDEN13), .CLK(C14M_c), .F0(\RWBank_5[2] ), 
    -    .Q0(\RWBank[2] ), .F1(\RWBank_5[3] ), .Q1(\RWBank[3] ));
    -  SLICE_25 SLICE_25( .C1(\RWMask[5] ), .B1(N_591), .A1(\Din_c[5] ), 
    -    .C0(\RWMask[4] ), .B0(N_591), .A0(\Din_c[4] ), .DI1(\RWBank_5[5] ), 
    -    .DI0(\RWBank_5[4] ), .CE(LEDEN13), .CLK(C14M_c), .F0(\RWBank_5[4] ), 
    -    .Q0(\RWBank[4] ), .F1(\RWBank_5[5] ), .Q1(\RWBank[5] ));
    -  SLICE_26 SLICE_26( .C1(\RWMask[7] ), .B1(N_591), .A1(\Din_c[7] ), 
    -    .C0(\RWMask[6] ), .B0(N_591), .A0(\Din_c[6] ), .DI1(\RWBank_5[7] ), 
    -    .DI0(\RWBank_5[6] ), .CE(LEDEN13), .CLK(C14M_c), .F0(\RWBank_5[6] ), 
    -    .Q0(\RWBank[6] ), .F1(\RWBank_5[7] ), .Q1(\RWBank[7] ));
    -  SLICE_27 SLICE_27( .C1(\wb_dato[1] ), .B1(\S[3] ), .A1(\Din_c[1] ), 
    -    .C0(\wb_dato[0] ), .B0(\S[3] ), .A0(\Din_c[0] ), .DI1(N_291_i), 
    -    .DI0(N_292_i), .CE(N_88), .CLK(C14M_c), .F0(N_292_i), .Q0(\RWMask[0] ), 
    -    .F1(N_291_i), .Q1(\RWMask[1] ));
    -  SLICE_28 SLICE_28( .C1(\wb_dato[3] ), .B1(\S[3] ), .A1(\Din_c[3] ), 
    -    .C0(\wb_dato[2] ), .B0(\S[3] ), .A0(\Din_c[2] ), .DI1(N_289_i), 
    -    .DI0(N_290_i), .CE(N_88), .CLK(C14M_c), .F0(N_290_i), .Q0(\RWMask[2] ), 
    -    .F1(N_289_i), .Q1(\RWMask[3] ));
    -  SLICE_29 SLICE_29( .C1(\wb_dato[5] ), .B1(\S[3] ), .A1(\Din_c[5] ), 
    -    .C0(\wb_dato[4] ), .B0(\S[3] ), .A0(\Din_c[4] ), .DI1(N_287_i), 
    -    .DI0(N_288_i), .CE(N_88), .CLK(C14M_c), .F0(N_288_i), .Q0(\RWMask[4] ), 
    -    .F1(N_287_i), .Q1(\RWMask[5] ));
    -  SLICE_30 SLICE_30( .C1(\wb_dato[7] ), .B1(\S[3] ), .A1(\Din_c[7] ), 
    -    .C0(\wb_dato[6] ), .B0(\S[3] ), .A0(\Din_c[6] ), .DI1(N_285), 
    -    .DI0(N_286_i), .CE(N_88), .CLK(C14M_c), .F0(N_286_i), .Q0(\RWMask[6] ), 
    -    .F1(N_285), .Q1(\RWMask[7] ));
    -  SLICE_31 SLICE_31( .C1(nWE_c), .B1(nEN80_c), .A1(DOEEN), .D0(nWE_c), 
    -    .C0(nC07X_c), .B0(\RA_c[3] ), .A0(\RA_c[0] ), .DI0(RWSel_2), .CE(nCS61), 
    -    .CLK(C14M_c), .F0(RWSel_2), .Q0(RWSel), .F1(nDOE_c));
    -  SLICE_32 SLICE_32( .D1(Ready_0_sqmuxa_0_a2_6_a2_4), .C1(N_489), .B1(\FS[7] ), 
    -    .A1(\FS[6] ), .B0(Ready), .A0(Ready_0_sqmuxa), .DI0(N_876_0), .CLK(C14M_c), 
    -    .F0(N_876_0), .Q0(Ready), .F1(Ready_0_sqmuxa));
    -  SLICE_33 SLICE_33( .D1(wb_reqc_1), .C1(N_575), .B1(N_572), .A1(S_1), 
    -    .D0(\S_s_0_1[0] ), .C0(\S[1] ), .B0(\S[0] ), .A0(S_1), .DI1(N_133_i), 
    -    .DI0(\S_s_0[0] ), .CLK(C14M_c), .F0(\S_s_0[0] ), .Q0(\S[0] ), .F1(N_133_i), 
    -    .Q1(\S[1] ));
    -  SLICE_34 SLICE_34( .D1(\S[3] ), .C1(\S[2] ), .B1(N_575), .A1(S_1), 
    -    .D0(\S[3] ), .C0(\S[2] ), .B0(N_575), .A0(S_1), .DI1(N_129_i), 
    -    .DI0(N_131_i), .CLK(C14M_c), .F0(N_131_i), .Q0(\S[2] ), .F1(N_129_i), 
    -    .Q1(\S[3] ));
    -  SLICE_35 SLICE_35( .D1(wb_adr_7_5_214_0_1), .C1(\S[2] ), .B1(N_388), 
    -    .A1(\Din_c[1] ), .D0(\wb_adr_7_0_4[0] ), .C0(N_642), .B0(N_376), 
    -    .A0(\FS[13] ), .DI1(\wb_adr_RNO[1] ), .DI0(\wb_adr_7[0] ), 
    -    .CE(\un1_wb_adr_0_sqmuxa_2_i[0] ), .CLK(C14M_c), .F0(\wb_adr_7[0] ), 
    -    .Q0(\wb_adr[0] ), .F1(\wb_adr_RNO[1] ), .Q1(\wb_adr[1] ));
    -  SLICE_36 SLICE_36( .B1(\S[2] ), .A1(\Din_c[3] ), .B0(\S[2] ), 
    -    .A0(\Din_c[2] ), .DI1(N_41_i), .DI0(N_43_i), 
    -    .CE(\un1_wb_adr_0_sqmuxa_2_i[0] ), .CLK(C14M_c), .F0(N_43_i), 
    -    .Q0(\wb_adr[2] ), .F1(N_41_i), .Q1(\wb_adr[3] ));
    -  SLICE_37 SLICE_37( .C1(\S[2] ), .B1(\FS[14] ), .A1(\Din_c[5] ), .C0(\S[2] ), 
    -    .B0(\FS[14] ), .A0(\Din_c[4] ), .DI1(N_295), .DI0(N_294), 
    -    .CE(\un1_wb_adr_0_sqmuxa_2_i[0] ), .CLK(C14M_c), .F0(N_294), 
    -    .Q0(\wb_adr[4] ), .F1(N_295), .Q1(\wb_adr[5] ));
    -  SLICE_38 SLICE_38( .B1(\S[2] ), .A1(\Din_c[7] ), .C0(\S[2] ), .B0(\FS[14] ), 
    -    .A0(\Din_c[6] ), .DI1(N_39_i), .DI0(N_296), 
    -    .CE(\un1_wb_adr_0_sqmuxa_2_i[0] ), .CLK(C14M_c), .F0(N_296), 
    -    .Q0(\wb_adr[6] ), .F1(N_39_i), .Q1(\wb_adr[7] ));
    -  SLICE_39 SLICE_39( .D1(\FS[14] ), .C1(wb_ack), .B1(\FS[0] ), .A1(N_300), 
    -    .C0(\S[3] ), .B0(N_395), .A0(CmdExecMXO2), .DI0(wb_cyc_stb_RNO), 
    -    .CE(N_104), .CLK(C14M_c), .F0(wb_cyc_stb_RNO), .Q0(wb_cyc_stb), .F1(N_395));
    -  SLICE_40 SLICE_40( .D1(\wb_dati_7_0_0[1] ), .C1(N_627), .B1(N_621), 
    -    .A1(N_336), .D0(\wb_dati_7_0_a2_1[0] ), .C0(\wb_adr[0] ), .B0(\S[2] ), 
    -    .A0(N_484), .DI1(\wb_dati_7[1] ), .DI0(\wb_dati_7[0] ), 
    -    .CE(\un1_wb_adr_0_sqmuxa_2_i[0] ), .CLK(C14M_c), .F0(\wb_dati_7[0] ), 
    -    .Q0(\wb_dati[0] ), .F1(\wb_dati_7[1] ), .Q1(\wb_dati[1] ));
    -  SLICE_41 SLICE_41( .C1(\wb_dati_7_0_2[3] ), .B1(\wb_dati_7_0_0[3] ), 
    -    .A1(N_336), .D0(\wb_dati_7_0_o2_0[2] ), .C0(\wb_adr[2] ), .B0(\S[2] ), 
    -    .A0(N_345), .DI1(\wb_dati_7[3] ), .DI0(\wb_dati_7[2] ), 
    -    .CE(\un1_wb_adr_0_sqmuxa_2_i[0] ), .CLK(C14M_c), .F0(\wb_dati_7[2] ), 
    -    .Q0(\wb_dati[2] ), .F1(\wb_dati_7[3] ), .Q1(\wb_dati[3] ));
    -  SLICE_42 SLICE_42( .D1(\wb_dati_7_0_o2_0[2] ), .C1(\wb_adr[5] ), .B1(\S[2] ), 
    -    .A1(N_345), .D0(\wb_dati_7_0_0[4] ), .C0(N_349), .B0(N_346), .A0(N_345), 
    -    .DI1(\wb_dati_7[5] ), .DI0(\wb_dati_7[4] ), 
    -    .CE(\un1_wb_adr_0_sqmuxa_2_i[0] ), .CLK(C14M_c), .F0(\wb_dati_7[4] ), 
    -    .Q0(\wb_dati[4] ), .F1(\wb_dati_7[5] ), .Q1(\wb_dati[5] ));
    -  SLICE_43 SLICE_43( .D1(\wb_dati_7_0_0[7] ), .C1(\wb_dati_7_0_RNO[7] ), 
    -    .B1(N_424), .A1(N_422), .C0(\wb_dati_7_0_1[6] ), .B0(N_627), .A0(N_621), 
    -    .DI1(\wb_dati_7[7] ), .DI0(\wb_dati_7[6] ), 
    -    .CE(\un1_wb_adr_0_sqmuxa_2_i[0] ), .CLK(C14M_c), .F0(\wb_dati_7[6] ), 
    -    .Q0(\wb_dati[6] ), .F1(\wb_dati_7[7] ), .Q1(\wb_dati[7] ));
    -  SLICE_44 SLICE_44( .C1(\FS[13] ), .B1(\FS[12] ), .A1(\FS[11] ), 
    -    .D0(wb_reqc_1), .C0(\S[3] ), .B0(N_397), .A0(\FS[14] ), .DI0(wb_reqc_i), 
    -    .CE(wb_adr_0_sqmuxa_i), .LSR(\S[2] ), .CLK(C14M_c), .F0(wb_reqc_i), 
    -    .Q0(wb_req), .F1(N_397));
    -  SLICE_45 SLICE_45( .B1(wb_ack), .A1(\FS[14] ), .B0(\FS[15] ), .A0(\FS[14] ), 
    -    .DI0(wb_rst8), .LSR(\S_RNII9DO1[1] ), .CLK(C14M_c), .F0(wb_rst8), 
    -    .Q0(wb_rst), .F1(N_586));
    -  SLICE_46 SLICE_46( .D1(\FS[8] ), .C1(\FS[9] ), .B1(\FS[10] ), .A1(\FS[12] ), 
    -    .D0(wb_we_7_iv_0_0_0_1), .C0(N_584), .B0(N_475), .A0(\FS[13] ), 
    -    .DI0(wb_we_RNO), .CE(\un1_wb_cyc_stb_0_sqmuxa_1_i[0] ), .CLK(C14M_c), 
    -    .F0(wb_we_RNO), .Q0(wb_we), .F1(N_584));
    -  SLICE_47 SLICE_47( .D1(N_255), .C1(\S[0] ), .B1(\S_RNII9DO1[1] ), 
    -    .A1(\RWBank[6] ), .D0(\S[0] ), .C0(\S[1] ), .B0(\S[2] ), .A0(\S[3] ), 
    -    .F0(\S_RNII9DO1[1] ), .F1(N_358_i));
    -  SLICE_48 SLICE_48( .D1(\S[3] ), .C1(\S[2] ), .B1(\S[1] ), .A1(\S[0] ), 
    -    .D0(N_635), .C0(\S[0] ), .B0(N_254), .A0(Vout3), .F0(nCAS_s_i_tz_0), 
    -    .F1(Vout3));
    -  SLICE_49 SLICE_49( .D1(un1_CS_0_sqmuxa_0_0_a2_1_4), .C1(RWSel), 
    -    .B1(\Din_c[6] ), .A1(\CS[0] ), .D0(RWSel), .C0(N_327), .B0(\CS[2] ), 
    -    .A0(\CS[1] ), .F0(un1_CS_0_sqmuxa_0_0_0), .F1(N_327));
    -  SLICE_50 SLICE_50( .D1(\FS[11] ), .C1(\FS[10] ), .B1(\FS[9] ), .A1(\FS[8] ), 
    -    .D0(\wb_dati_7_0_a2_0_1[7] ), .C0(N_621), .B0(N_579), .A0(\FS[9] ), 
    -    .F0(\wb_dati_7_0_RNO[7] ), .F1(\wb_dati_7_0_a2_0_1[7] ));
    -  SLICE_51 SLICE_51( .D1(wb_reqc_1), .C1(\S[3] ), .B1(\S[2] ), 
    -    .A1(CKE_6_iv_i_a2_0), .D0(\S[3] ), .C0(N_489), .B0(\FS[15] ), 
    -    .A0(CKE_6_iv_i_0_1), .F0(CKE_6_iv_i_0), .F1(CKE_6_iv_i_0_1));
    -  SLICE_52 SLICE_52( .D1(wb_req), .C1(N_449), .B1(N_300), .A1(\FS[0] ), 
    -    .D0(N_586), .C0(N_449), .B0(N_365), .A0(N_364), .F0(N_104), .F1(N_365));
    -  SLICE_53 SLICE_53( .D1(\S[3] ), .C1(\S[2] ), .B1(RWSel), .A1(\FS[15] ), 
    -    .D0(wb_reqc_1), .C0(\un1_wb_adr_0_sqmuxa_2_1[0] ), .B0(\S[2] ), 
    -    .A0(CmdExecMXO2), .F0(\un1_wb_cyc_stb_0_sqmuxa_1_i[0] ), 
    -    .F1(\un1_wb_adr_0_sqmuxa_2_1[0] ));
    -  SLICE_54 SLICE_54( .D1(\Din_c[2] ), .C1(\Din_c[1] ), .B1(\Din_c[0] ), 
    -    .A1(\CS[1] ), .D0(N_623), .C0(N_616), .B0(\Din_c[1] ), .A0(\CS[2] ), 
    -    .F0(N_279), .F1(N_623));
    -  SLICE_55 SLICE_55( .D1(\FS[4] ), .C1(N_633), .B1(\FS[5] ), .A1(N_264), 
    -    .D0(\FS[1] ), .C0(\FS[2] ), .B0(\FS[3] ), .A0(\FS[5] ), .F0(N_633), 
    -    .F1(N_570));
    -  SLICE_56 SLICE_56( .C1(\FS[15] ), .B1(\S_RNII9DO1[1] ), .A1(\FS[14] ), 
    -    .C0(\FS[13] ), .B0(N_452), .A0(\FS[12] ), .F0(N_621), .F1(N_452));
    -  SLICE_57 SLICE_57( .D1(\S_RNII9DO1_0[1] ), .C1(RWSel), .B1(CmdExecMXO2), 
    -    .A1(wb_ack), .D0(\S[0] ), .C0(\S[1] ), .B0(\S[3] ), .A0(\S[2] ), 
    -    .F0(\S_RNII9DO1_0[1] ), .F1(N_364));
    -  SLICE_58 SLICE_58( .D1(\wb_dati_7_0_a2_2_1[3] ), .C1(N_644), .B1(N_455), 
    -    .A1(\FS[12] ), .D0(\FS[10] ), .C0(\FS[11] ), .B0(\FS[8] ), .A0(\FS[9] ), 
    -    .F0(\wb_dati_7_0_a2_2_1[3] ), .F1(\wb_dati_7_0_2[3] ));
    -  SLICE_59 SLICE_59( .D1(nCS61), .C1(\RWBank[6] ), .B1(\S_RNII9DO1[1] ), 
    -    .A1(DQML_s_i_a2_0), .D0(\S[0] ), .C0(\S[1] ), .B0(\S[2] ), .A0(\S[3] ), 
    -    .F0(DQML_s_i_a2_0), .F1(N_28_i));
    -  SLICE_60 SLICE_60( .D1(wb_adr_7_5_214_a2_2_0), .C1(N_577), .B1(N_569), 
    -    .A1(N_475), .C0(\FS[10] ), .B0(\FS[12] ), .A0(\FS[13] ), 
    -    .F0(wb_adr_7_5_214_a2_2_0), .F1(wb_adr_7_5_214_0_1));
    -  SLICE_61 SLICE_61( .C1(N_634), .B1(\FS[13] ), .A1(\FS[12] ), .D0(\FS[10] ), 
    -    .C0(\FS[11] ), .B0(\FS[8] ), .A0(\FS[9] ), .F0(N_634), 
    -    .F1(\wb_dati_7_0_a2_2_0[1] ));
    -  SLICE_62 SLICE_62( .D1(N_475), .C1(N_265_i), .B1(\FS[12] ), .A1(\FS[11] ), 
    -    .C0(\FS[8] ), .B0(\FS[9] ), .A0(\FS[10] ), .F0(N_265_i), .F1(N_388));
    -  SLICE_63 SLICE_63( .D1(N_264), .C1(N_254), .B1(\FS[7] ), .A1(\FS[6] ), 
    -    .C0(\FS[1] ), .B0(\FS[2] ), .A0(\FS[3] ), .F0(N_264), .F1(N_300));
    -  SLICE_64 SLICE_64( .C1(\FS[8] ), .B1(\FS[9] ), .A1(\FS[11] ), .D0(\FS[10] ), 
    -    .C0(\FS[12] ), .B0(N_577), .A0(wb_ack), 
    -    .F0(\un1_RWMask_0_sqmuxa_1_i_a2_0_1[0] ), .F1(N_577));
    -  SLICE_65 SLICE_65( .C1(\FS[11] ), .B1(\FS[9] ), .A1(\FS[8] ), .D0(\FS[10] ), 
    -    .C0(\FS[12] ), .B0(\FS[13] ), .A0(\wb_dati_7_0_a2_0[6] ), 
    -    .F0(\wb_dati_7_0_a2_4_0[7] ), .F1(\wb_dati_7_0_a2_0[6] ));
    -  SLICE_66 SLICE_66( .B1(\S[2] ), .A1(\FS[14] ), .D0(\FS[12] ), .C0(\FS[13] ), 
    -    .B0(\FS[11] ), .A0(N_475), .F0(N_393), .F1(N_475));
    -  SLICE_67 SLICE_67( .B1(\S[1] ), .A1(\S[0] ), .D0(wb_reqc_1), .C0(\S[3] ), 
    -    .B0(\S[2] ), .A0(RWSel), .F0(LEDEN13), .F1(wb_reqc_1));
    -  SLICE_68 SLICE_68( .D1(\wb_adr[3] ), .C1(\S[2] ), .B1(N_627), .A1(N_455), 
    -    .D0(\FS[11] ), .C0(\FS[9] ), .B0(\FS[8] ), .A0(\FS[10] ), .F0(N_627), 
    -    .F1(\wb_dati_7_0_0[3] ));
    -  SLICE_69 SLICE_69( .D1(nCAS_0_sqmuxa), .C1(\RWBank[2] ), .B1(N_639), 
    -    .A1(N_255), .D0(\S[1] ), .C0(\S[2] ), .B0(\S[3] ), .A0(\S[0] ), .F0(N_639), 
    -    .F1(\RA_42[10] ));
    -  SLICE_70 SLICE_70( .D1(N_644), .C1(N_627), .B1(N_455), .A1(\FS[12] ), 
    -    .D0(\FS[8] ), .C0(\FS[9] ), .B0(\FS[10] ), .A0(\FS[11] ), .F0(N_644), 
    -    .F1(N_345));
    -  SLICE_71 SLICE_71( .D1(nCS61), .C1(N_640), .B1(N_633), .A1(\FS[4] ), 
    -    .D0(\S[1] ), .C0(\S[2] ), .B0(\S[3] ), .A0(\S[0] ), .F0(nCS61), 
    -    .F1(un1_nCS61_1_i));
    -  SLICE_72 SLICE_72( .D1(Ready_0_sqmuxa_0_a2_6_a2_2), .C1(N_449), .B1(\FS[5] ), 
    -    .A1(\FS[3] ), .D0(\S[2] ), .C0(\S[3] ), .B0(wb_reqc_1), .A0(\FS[15] ), 
    -    .F0(N_449), .F1(Ready_0_sqmuxa_0_a2_6_a2_4));
    -  SLICE_73 SLICE_73( .D1(N_562), .C1(N_455), .B1(\FS[12] ), .A1(\FS[11] ), 
    -    .D0(\FS[14] ), .C0(\S_RNII9DO1[1] ), .B0(\FS[15] ), .A0(\FS[13] ), 
    -    .F0(N_455), .F1(N_377));
    -  SLICE_74 SLICE_74( .C1(N_484), .B1(\FS[11] ), .A1(\FS[9] ), .D0(\FS[10] ), 
    -    .C0(\FS[12] ), .B0(\FS[13] ), .A0(N_642), .F0(N_346), .F1(N_642));
    -  SLICE_75 SLICE_75( .D1(N_489), .C1(\FS[7] ), .B1(\FS[6] ), .A1(\FS[0] ), 
    -    .C0(\FS[15] ), .B0(\S_RNII9DO1[1] ), .A0(N_628), .F0(N_640), .F1(N_628));
    -  SLICE_76 SLICE_76( .B1(\FS[5] ), .A1(\FS[4] ), .D0(N_449), .C0(N_628), 
    -    .B0(N_254), .A0(N_264), .F0(nCAS_0_sqmuxa), .F1(N_254));
    -  SLICE_77 SLICE_77( .C1(N_466), .B1(\Din_c[6] ), .A1(\CS[0] ), 
    -    .D0(un1_CS_0_sqmuxa_0_0_a2_3_2), .C0(un1_CS_0_sqmuxa_0_0_3), 
    -    .B0(un1_CS_0_sqmuxa_0_0_2), .A0(N_474), .F0(un1_CS_0_sqmuxa_i), .F1(N_474));
    -  SLICE_78 SLICE_78( .B1(N_633), .A1(\FS[4] ), .D0(nCAS_s_i_tz_0), .C0(N_640), 
    -    .B0(N_567), .A0(nCAS_0_sqmuxa), .F0(N_561_i), .F1(N_567));
    -  SLICE_79 SLICE_79( .D1(nEN80_c), .C1(\S[3] ), .B1(\S[2] ), .A1(\S[1] ), 
    -    .B0(nCS_6_u_i_0), .A0(N_559_1), .F0(N_559_i), .F1(nCS_6_u_i_0));
    -  SLICE_80 SLICE_80( .C1(\S[3] ), .B1(\S[2] ), .A1(\S[1] ), .C0(\S[0] ), 
    -    .B0(N_635), .A0(N_559_1), .F0(nRAS_2_iv_i), .F1(N_635));
    -  SLICE_81 SLICE_81( .D1(\Din_c[6] ), .C1(\Din_c[4] ), .B1(\Din_c[3] ), 
    -    .A1(\CS[0] ), .D0(un1_CS_0_sqmuxa_0_0_a2_1), .C0(un1_CS_0_sqmuxa_0_0_0), 
    -    .B0(N_466), .A0(N_279), .F0(un1_CS_0_sqmuxa_0_0_2), 
    -    .F1(un1_CS_0_sqmuxa_0_0_a2_1));
    -  SLICE_82 SLICE_82( .D1(RWSel), .C1(\CmdTout[2] ), .B1(\CmdTout[1] ), 
    -    .A1(CO0_1), .D0(\S_RNII9DO1_0[1] ), .C0(N_461), .B0(N_330), .A0(N_328), 
    -    .F0(un1_CS_0_sqmuxa_0_0_3), .F1(N_461));
    -  SLICE_83 SLICE_83( .D1(\S[3] ), .C1(\S[2] ), .B1(\S[0] ), .A1(\FS[15] ), 
    -    .D0(nCS_6_u_i_a2_1), .C0(N_628), .B0(N_570), .A0(N_429), .F0(N_559_1), 
    -    .F1(nCS_6_u_i_a2_1));
    -  SLICE_84 SLICE_84( .D1(\wb_dati_7_0_a2_0[6] ), .C1(N_455), .B1(\FS[12] ), 
    -    .A1(\FS[10] ), .D0(\wb_adr[6] ), .C0(\S[2] ), .B0(N_351), .A0(N_346), 
    -    .F0(\wb_dati_7_0_1[6] ), .F1(N_351));
    -  SLICE_85 SLICE_85( .D1(\wb_adr_7_0_a2_5_0[0] ), .C1(N_579), .B1(N_452), 
    -    .A1(\FS[8] ), .D0(\wb_adr_7_0_1[0] ), .C0(\wb_adr_7_0_0[0] ), .B0(N_378), 
    -    .A0(N_377), .F0(\wb_adr_7_0_4[0] ), .F1(\wb_adr_7_0_1[0] ));
    -  SLICE_86 SLICE_86( .D1(\FS[14] ), .C1(\S_RNII9DO1[1] ), .B1(\FS[15] ), 
    -    .A1(\FS[8] ), .D0(\un1_LEDEN_0_sqmuxa_1_i_a2_0_1[0] ), .C0(N_484), 
    -    .B0(LEDEN13), .A0(CmdLEDSet), .F0(\un1_LEDEN_0_sqmuxa_1_i_0[0] ), 
    -    .F1(N_484));
    -  SLICE_87 SLICE_87( .D1(un1_CS_0_sqmuxa_0_0_a2_4_2), .C1(RWSel), 
    -    .B1(\Din_c[6] ), .A1(\CS[0] ), .C0(un1_CS_0_sqmuxa_0_0_a2_4_4), 
    -    .B0(\CS[2] ), .A0(\CS[1] ), .F0(N_330), .F1(un1_CS_0_sqmuxa_0_0_a2_4_4));
    -  SLICE_88 SLICE_88( .B1(\FS[13] ), .A1(\FS[12] ), .D0(N_634), .C0(N_569), 
    -    .B0(N_452), .A0(N_336), .F0(\wb_dati_7_0_o2_0[2] ), .F1(N_569));
    -  SLICE_89 SLICE_89( .B1(\FS[11] ), .A1(\FS[10] ), .D0(N_579), .C0(N_455), 
    -    .B0(\FS[9] ), .A0(\FS[8] ), .F0(N_378), .F1(N_579));
    -  SLICE_90 SLICE_90( .D1(\FS[13] ), .C1(\FS[11] ), .B1(\FS[10] ), .A1(\FS[9] ), 
    -    .C0(N_565), .B0(N_484), .A0(\FS[12] ), .F0(N_336), .F1(N_565));
    -  SLICE_91 SLICE_91( .D1(un1_CS_0_sqmuxa_0_0_a2_2_2), .C1(\Din_c[6] ), 
    -    .B1(\CS[2] ), .A1(\CS[0] ), .C0(un1_CS_0_sqmuxa_0_0_a2_2_4), .B0(RWSel), 
    -    .A0(\Din_c[7] ), .F0(N_328), .F1(un1_CS_0_sqmuxa_0_0_a2_2_4));
    -  SLICE_92 SLICE_92( .D1(N_562), .C1(\FS[13] ), .B1(\FS[12] ), .A1(\FS[11] ), 
    -    .D0(\wb_adr_7_0_a2_0[0] ), .C0(\S[2] ), .B0(N_452), .A0(\Din_c[0] ), 
    -    .F0(\wb_adr_7_0_0[0] ), .F1(\wb_adr_7_0_a2_0[0] ));
    -  SLICE_93 SLICE_93( .D1(N_616), .C1(\Din_c[5] ), .B1(\Din_c[4] ), 
    -    .A1(\Din_c[1] ), .D0(un1_CS_0_sqmuxa_0_0_a2_1_2), .C0(\Din_c[7] ), 
    -    .B0(\Din_c[3] ), .A0(\CS[1] ), .F0(un1_CS_0_sqmuxa_0_0_a2_1_4), 
    -    .F1(un1_CS_0_sqmuxa_0_0_a2_1_2));
    -  SLICE_94 SLICE_94( .D1(\Din_c[3] ), .C1(\Din_c[2] ), .B1(\Din_c[0] ), 
    -    .A1(\Din_c[1] ), .D0(un1_CS_0_sqmuxa_0_0_a2_3_0), .C0(\Din_c[4] ), 
    -    .B0(\CS[2] ), .A0(\CS[1] ), .F0(un1_CS_0_sqmuxa_0_0_a2_3_2), 
    -    .F1(un1_CS_0_sqmuxa_0_0_a2_3_0));
    -  SLICE_95 SLICE_95( .D1(N_577), .C1(N_475), .B1(\FS[12] ), .A1(\FS[10] ), 
    -    .D0(\S[2] ), .C0(N_394), .B0(N_393), .A0(\Din_c[0] ), 
    -    .F0(wb_we_7_iv_0_0_0_1), .F1(N_394));
    -  SLICE_96 SLICE_96( .C1(\S[3] ), .B1(\S[2] ), .A1(\S[1] ), .D0(\S[0] ), 
    -    .C0(\RWBank[7] ), .B0(\RWBank[0] ), .A0(N_255), .F0(N_49_i), .F1(N_255));
    -  SLICE_97 SLICE_97( .B1(\FS[12] ), .A1(\FS[10] ), .D0(N_577), .C0(N_456), 
    -    .B0(\FS[14] ), .A0(\FS[13] ), .F0(N_489), .F1(N_456));
    -  SLICE_98 SLICE_98( .C1(\Din_c[2] ), .B1(\Din_c[3] ), .A1(\Din_c[0] ), 
    -    .D0(N_626), .C0(N_477), .B0(\Din_c[7] ), .A0(\Din_c[5] ), 
    -    .F0(un1_CS_0_sqmuxa_0_0_a2_4_2), .F1(N_626));
    -  SLICE_99 SLICE_99( .B1(\Din_c[3] ), .A1(\Din_c[2] ), .D0(N_478), .C0(N_477), 
    -    .B0(\Din_c[5] ), .A0(\Din_c[0] ), .F0(un1_CS_0_sqmuxa_0_0_a2_2_2), 
    -    .F1(N_478));
    -  SLICE_100 SLICE_100( .C1(\Din_c[0] ), .B1(\Din_c[2] ), .A1(\Din_c[3] ), 
    -    .C0(N_629), .B0(\Din_c[4] ), .A0(\Din_c[1] ), 
    -    .F0(CmdSetRWBankFFMXO2_4_u_0_0_a2_0_0), .F1(N_629));
    -  SLICE_101 SLICE_101( .D1(\S[2] ), .C1(\S[3] ), .B1(\S[1] ), .A1(\S[0] ), 
    -    .D0(\S[3] ), .C0(\S[2] ), .B0(\S[1] ), .A0(\S[0] ), .F0(\S_RNII9DO1_1[1] ), 
    -    .F1(N_566_i));
    -  SLICE_102 SLICE_102( .D1(\S[3] ), .C1(\S[2] ), .B1(\S[1] ), .A1(\S[0] ), 
    -    .D0(\S[1] ), .C0(\S[2] ), .B0(\S[3] ), .A0(\RWBank[4] ), .F0(\BA_4[0] ), 
    -    .F1(\S_s_0_1[0] ));
    -  SLICE_103 SLICE_103( .D1(wb_reqc_1), .C1(\S[3] ), .B1(\S[2] ), 
    -    .A1(\RWBank[3] ), .D0(\S[2] ), .C0(\S[3] ), .B0(wb_reqc_1), .A0(\FS[15] ), 
    -    .F0(wb_adr_0_sqmuxa_i), .F1(\RA_42[11] ));
    -  SLICE_104 SLICE_104( .D1(N_621), .C1(\FS[11] ), .B1(\FS[9] ), .A1(\FS[8] ), 
    -    .D0(N_621), .C0(\FS[11] ), .B0(\FS[10] ), .A0(\FS[9] ), .F0(N_376), 
    -    .F1(N_349));
    -  SLICE_105 SLICE_105( .D1(wb_ack), .C1(N_579), .B1(N_569), .A1(\FS[9] ), 
    -    .D0(N_579), .C0(N_569), .B0(N_484), .A0(\FS[9] ), .F0(N_424), 
    -    .F1(\un1_LEDEN_0_sqmuxa_1_i_a2_0_1[0] ));
    -  SLICE_106 SLICE_106( .B1(\S[1] ), .A1(\S[0] ), .C0(\S[0] ), .B0(\S[1] ), 
    -    .A0(nEN80_c), .F0(CKE_6_iv_i_a2_0), .F1(N_575));
    -  SLICE_107 SLICE_107( .B1(\S[3] ), .A1(\S[2] ), .D0(\S[1] ), .C0(\S[2] ), 
    -    .B0(\S[3] ), .A0(\RWBank[5] ), .F0(\BA_4[1] ), .F1(N_572));
    -  SLICE_108 SLICE_108( .C1(\FS[12] ), .B1(\FS[10] ), .A1(\FS[9] ), .D0(N_642), 
    -    .C0(\FS[13] ), .B0(\FS[12] ), .A0(\FS[10] ), .F0(N_422), 
    -    .F1(\wb_adr_7_0_a2_5_0[0] ));
    -  SLICE_109 SLICE_109( .D1(\wb_dati_7_0_a2_4_0[7] ), .C1(\wb_adr[7] ), 
    -    .B1(\S[2] ), .A1(N_452), .D0(\wb_dati_7_0_a2_2_0[1] ), .C0(\wb_adr[1] ), 
    -    .B0(\S[2] ), .A0(N_452), .F0(\wb_dati_7_0_0[1] ), .F1(\wb_dati_7_0_0[7] ));
    -  SLICE_110 SLICE_110( .D1(wb_reqc_1), .C1(\S[3] ), .B1(\S[2] ), 
    -    .A1(\RWBank[1] ), .D0(wb_reqc_1), .C0(\un1_wb_adr_0_sqmuxa_2_1[0] ), 
    -    .B0(\S[2] ), .A0(CmdBitbangMXO2), .F0(\un1_wb_adr_0_sqmuxa_2_i[0] ), 
    -    .F1(N_59_i));
    -  SLICE_111 SLICE_111( .C1(\S[3] ), .B1(\S[0] ), .A1(\Ain_c[5] ), .C0(\S[3] ), 
    -    .B0(\S[0] ), .A0(\Ain_c[4] ), .F0(N_551_i), .F1(\RA_42_3_0[5] ));
    -  SLICE_112 SLICE_112( .D1(\S[3] ), .C1(\S[1] ), .B1(\S[0] ), .A1(N_254), 
    -    .C0(\S[3] ), .B0(\S[0] ), .A0(\Ain_c[6] ), .F0(N_550_i), .F1(N_429));
    -  SLICE_113 SLICE_113( .C1(\S[3] ), .B1(\S[0] ), .A1(\Ain_c[2] ), .C0(\S[3] ), 
    -    .B0(\S[0] ), .A0(\Ain_c[7] ), .F0(N_549_i), .F1(N_553_i));
    -  SLICE_114 SLICE_114( .D1(\wb_adr[4] ), .C1(\S[2] ), .B1(N_634), .A1(N_455), 
    -    .D0(\un1_RWMask_0_sqmuxa_1_i_a2_0_1[0] ), .C0(N_455), .B0(LEDEN13), 
    -    .A0(CmdRWMaskSet), .F0(N_88), .F1(\wb_dati_7_0_0[4] ));
    -  SLICE_115 SLICE_115( .B1(nWE80_c), .A1(nEN80_c), .D0(nWE80_c), .C0(\S[0] ), 
    -    .B0(nCAS_0_sqmuxa), .A0(un1_nCS61_1_i), .F0(nRWE_r_0), .F1(RDOE_i));
    -  SLICE_116 SLICE_116( .B1(\FS[9] ), .A1(\FS[8] ), .D0(N_456), .C0(\FS[13] ), 
    -    .B0(\FS[11] ), .A0(\FS[9] ), .F0(\wb_dati_7_0_a2_1[0] ), .F1(N_562));
    -  SLICE_117 SLICE_117( .D1(LEDEN), .C1(CmdSetRWBankFFMXO2), 
    -    .B1(CmdSetRWBankFFLED), .A1(CmdLEDGet), .B0(nEN80_c), .A0(LEDEN), 
    -    .F0(LED_c), .F1(N_591));
    -  SLICE_118 SLICE_118( .B1(\Din_c[4] ), .A1(\Din_c[1] ), .B0(\Din_c[2] ), 
    -    .A0(\Din_c[0] ), .F0(N_616), .F1(N_477));
    -  SLICE_119 SLICE_119( .D0(\FS[4] ), .C0(\FS[2] ), .B0(\FS[1] ), .A0(\FS[0] ), 
    -    .F0(Ready_0_sqmuxa_0_a2_6_a2_2));
    -  RD_0_ \RD[0]_I ( .PADDI(\RD_in[0] ), .PADDT(RDOE_i), .PADDO(\Din_c[0] ), 
    +  SLICE_9 SLICE_9( .D1(N_551), .C1(\S[1] ), .B1(\S[0] ), .A1(\FS[15] ), 
    +    .D0(N_551), .C0(\S[1] ), .B0(\S[0] ), .A0(\ram2e_ufm/CKE_7 ), 
    +    .DI0(CKE_7_RNIS77M1), .CLK(C14M_c), .F0(CKE_7_RNIS77M1), .Q0(CKE), 
    +    .F1(\ram2e_ufm/wb_adr_0_sqmuxa_1_i ));
    +  SLICE_10 SLICE_10( .B0(RWSel), .A0(CO0_0), .DI0(\CmdTout_3[0] ), 
    +    .CE(N_185_i), .CLK(C14M_c), .F0(\CmdTout_3[0] ), .Q0(CO0_0), .F1(GND));
    +  SLICE_11 SLICE_11( .B1(\RC[2] ), .A1(CO0_1), .C0(\RC[2] ), .B0(\RC[1] ), 
    +    .A0(CO0_1), .DI0(N_360_i), .CE(RC12), .CLK(C14M_c), .F0(N_360_i), 
    +    .Q0(CO0_1), .F1(\ram2e_ufm/N_821 ));
    +  SLICE_12 SLICE_12( .D1(\ram2e_ufm/SUM1_0_0 ), .C1(\ram2e_ufm/SUM0_i_a3_4_0 ), 
    +    .B1(\ram2e_ufm/N_886 ), .A1(\ram2e_ufm/N_215 ), .D0(\ram2e_ufm/SUM0_i_4 ), 
    +    .C0(\ram2e_ufm/N_215 ), .B0(\CS[2] ), .A0(\CS[1] ), 
    +    .DI1(CmdExecMXO2_3_0_a3_0_RNI6S1P8), .DI0(N_547_i), 
    +    .LSR(un1_CS_0_sqmuxa_i), .CLK(C14M_c), .F0(N_547_i), .Q0(\CS[0] ), 
    +    .F1(CmdExecMXO2_3_0_a3_0_RNI6S1P8), .Q1(\CS[1] ));
    +  SLICE_13 SLICE_13( .D1(\ram2e_ufm/N_234 ), .C1(\ram2e_ufm/N_215 ), 
    +    .B1(\CS[2] ), .A1(\CS[1] ), .D0(\ram2e_ufm/N_234 ), .C0(\ram2e_ufm/N_215 ), 
    +    .B0(\CS[2] ), .A0(\CS[1] ), .DI0(CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514), 
    +    .LSR(un1_CS_0_sqmuxa_i), .CLK(C14M_c), 
    +    .F0(CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514), .Q0(\CS[2] ), 
    +    .F1(\ram2e_ufm/SUM1_0_0 ));
    +  SLICE_14 SLICE_14( .C1(\ram2e_ufm/N_800 ), .B1(\Din_c[5] ), .A1(\Din_c[3] ), 
    +    .D0(\ram2e_ufm/CmdLEDGet_3_0_a3_1 ), .C0(\ram2e_ufm/N_847 ), 
    +    .B0(\Din_c[2] ), .A0(\Din_c[1] ), .DI0(CmdLEDGet_3), .CE(N_187_i), 
    +    .CLK(C14M_c), .F0(CmdLEDGet_3), .Q0(CmdLEDGet), .F1(\ram2e_ufm/N_847 ));
    +  SLICE_15 SLICE_15( .C1(\Din_c[7] ), .B1(\Din_c[1] ), .A1(\CS[2] ), 
    +    .D0(\Din_c[4] ), .C0(\Din_c[7] ), .B0(\Din_c[1] ), .A0(\ram2e_ufm/N_883 ), 
    +    .DI0(CmdLEDSet_3), .CE(N_187_i), .CLK(C14M_c), .F0(CmdLEDSet_3), 
    +    .Q0(CmdLEDSet), .F1(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_5_1 ));
    +  SLICE_16 SLICE_16( .D1(\Din_c[7] ), .C1(\Din_c[5] ), .B1(\Din_c[3] ), 
    +    .A1(\Din_c[1] ), .D0(\Din_c[4] ), .C0(\Din_c[7] ), .B0(\Din_c[1] ), 
    +    .A0(\ram2e_ufm/N_883 ), .DI0(CmdRWMaskSet_3), .CE(N_187_i), .CLK(C14M_c), 
    +    .F0(CmdRWMaskSet_3), .Q0(CmdRWMaskSet), .F1(\ram2e_ufm/N_850 ));
    +  SLICE_17 SLICE_17( .C1(\ram2e_ufm/N_847 ), .B1(\Din_c[2] ), .A1(\Din_c[0] ), 
    +    .D0(\ram2e_ufm/N_883 ), .C0(\Din_c[7] ), .B0(\Din_c[4] ), .A0(\Din_c[1] ), 
    +    .DI0(CmdSetRWBankFFLED_4), .CE(N_187_i), .CLK(C14M_c), 
    +    .F0(CmdSetRWBankFFLED_4), .Q0(CmdSetRWBankFFLED), .F1(\ram2e_ufm/N_883 ));
    +  SLICE_18 SLICE_18( .D1(RWSel), .C1(\CmdTout[2] ), .B1(\CmdTout[1] ), 
    +    .A1(CO0_0), .C0(RWSel), .B0(\CmdTout[1] ), .A0(CO0_0), .DI1(N_369_i), 
    +    .DI0(N_368_i), .CE(N_185_i), .CLK(C14M_c), .F0(N_368_i), .Q0(\CmdTout[1] ), 
    +    .F1(N_369_i), .Q1(\CmdTout[2] ));
    +  SLICE_19 SLICE_19( .B1(\CS[2] ), .A1(\CS[1] ), .B0(\RA[1] ), 
    +    .A0(\ram2e_ufm/N_186 ), .M0(\S[3] ), .LSR(N_1080_0), .CLK(C14M_c), 
    +    .F0(\ram2e_ufm/N_660 ), .Q0(DOEEN), .F1(\ram2e_ufm/N_193 ));
    +  SLICE_20 SLICE_20( .D1(\ram2e_ufm/N_660 ), .C1(\ram2e_ufm/N_659 ), 
    +    .B1(\ram2e_ufm/N_182 ), .A1(\Ain_c[1] ), .D0(\ram2e_ufm/RA_35_0_0_1[0] ), 
    +    .C0(\ram2e_ufm/N_801 ), .B0(\ram2e_ufm/N_684 ), .A0(\FS[7] ), .DI1(N_223), 
    +    .DI0(\RA_35[0] ), .CE(N_126), .CLK(C14M_c), .F0(\RA_35[0] ), .Q0(\RA[0] ), 
    +    .F1(N_223), .Q1(\RA[1] ));
    +  SLICE_21 SLICE_21( .C1(\ram2e_ufm/RA_35_0_0_0[3] ), .B1(\ram2e_ufm/N_801 ), 
    +    .A1(\FS[10] ), .D0(\ram2e_ufm/N_680 ), .C0(\ram2e_ufm/N_679 ), 
    +    .B0(\ram2e_ufm/N_182 ), .A0(\Ain_c[2] ), .DI1(\RA_35[3] ), 
    +    .DI0(\RA_35[2] ), .CE(N_126), .CLK(C14M_c), .F0(\RA_35[2] ), .Q0(\RA[2] ), 
    +    .F1(\RA_35[3] ), .Q1(\RA[3] ));
    +  SLICE_22 SLICE_22( .D1(\ram2e_ufm/RA_35_0_0_0[5] ), .C1(\ram2e_ufm/N_621 ), 
    +    .B1(\ram2e_ufm/N_182 ), .A1(\Ain_c[5] ), .C0(\ram2e_ufm/RA_35_0_0_0[4] ), 
    +    .B0(\ram2e_ufm/N_801 ), .A0(\FS[11] ), .DI1(\RA_35[5] ), .DI0(\RA_35[4] ), 
    +    .CE(N_126), .CLK(C14M_c), .F0(\RA_35[4] ), .Q0(\RA[4] ), .F1(\RA_35[5] ), 
    +    .Q1(\RA[5] ));
    +  SLICE_23 SLICE_23( .C1(\ram2e_ufm/RA_35_0_0_0_0[7] ), .B1(\ram2e_ufm/N_801 ), 
    +    .A1(\FS[14] ), .C0(\ram2e_ufm/RA_35_0_0_0_0[6] ), .B0(\ram2e_ufm/N_801 ), 
    +    .A0(\FS[13] ), .DI1(\RA_35[7] ), .DI0(\RA_35[6] ), .CE(N_126), 
    +    .CLK(C14M_c), .F0(\RA_35[6] ), .Q0(\RA[6] ), .F1(\RA_35[7] ), .Q1(\RA[7] ));
    +  SLICE_24 SLICE_24( .C1(\ram2e_ufm/RA_35_0_0_0[9] ), .B1(\RA[9] ), 
    +    .A1(\ram2e_ufm/N_242 ), .D0(\RA[8] ), .C0(\ram2e_ufm/N_699 ), 
    +    .B0(\ram2e_ufm/N_698 ), .A0(\ram2e_ufm/N_221 ), .DI1(\RA_35[9] ), 
    +    .DI0(un2_S_2_i_0_0_o3_RNIHFHN3), .CE(N_126), .CLK(C14M_c), 
    +    .F0(un2_S_2_i_0_0_o3_RNIHFHN3), .Q0(\RA[8] ), .F1(\RA_35[9] ), 
    +    .Q1(\RA[9] ));
    +  SLICE_25 SLICE_25( .D1(\RWBank[4] ), .C1(\RA[11] ), .B1(\ram2e_ufm/N_845 ), 
    +    .A1(\ram2e_ufm/N_242 ), .D0(\ram2e_ufm/RA_35_2_0_0[10] ), 
    +    .C0(\ram2e_ufm/N_628 ), .B0(\ram2e_ufm/N_627 ), .A0(\ram2e_ufm/N_624 ), 
    +    .DI1(\RA_35[11] ), .DI0(\RA_35[10] ), .CE(N_126), .CLK(C14M_c), 
    +    .F0(\RA_35[10] ), .Q0(\RA[10] ), .F1(\RA_35[11] ), .Q1(\RA[11] ));
    +  SLICE_26 SLICE_26( .C1(\RC[2] ), .B1(\RC[1] ), .A1(CO0_1), .C0(\RC[2] ), 
    +    .B0(CO0_1), .A0(\RC[1] ), .DI1(\RC_3[2] ), .DI0(\RC_3[1] ), .CE(RC12), 
    +    .CLK(C14M_c), .F0(\RC_3[1] ), .Q0(\RC[1] ), .F1(\RC_3[2] ), .Q1(\RC[2] ));
    +  SLICE_27 SLICE_27( .C1(\ram2e_ufm/RWMask[1] ), .B1(\ram2e_ufm/N_188 ), 
    +    .A1(\Din_c[1] ), .C0(\ram2e_ufm/RWMask[0] ), .B0(\ram2e_ufm/N_188 ), 
    +    .A0(\Din_c[0] ), .DI1(\RWBank_3[1] ), .DI0(\RWBank_3[0] ), .CE(N_187_i), 
    +    .CLK(C14M_c), .F0(\RWBank_3[0] ), .Q0(\RWBank[0] ), .F1(\RWBank_3[1] ), 
    +    .Q1(\RWBank[1] ));
    +  SLICE_28 SLICE_28( .C1(\ram2e_ufm/RWMask[3] ), .B1(\ram2e_ufm/N_188 ), 
    +    .A1(\Din_c[3] ), .C0(\ram2e_ufm/RWMask[2] ), .B0(\ram2e_ufm/N_188 ), 
    +    .A0(\Din_c[2] ), .DI1(\RWBank_3[3] ), .DI0(\RWBank_3[2] ), .CE(N_187_i), 
    +    .CLK(C14M_c), .F0(\RWBank_3[2] ), .Q0(\RWBank[2] ), .F1(\RWBank_3[3] ), 
    +    .Q1(\RWBank[3] ));
    +  SLICE_29 SLICE_29( .C1(\ram2e_ufm/RWMask[5] ), .B1(\ram2e_ufm/N_188 ), 
    +    .A1(\Din_c[5] ), .C0(\ram2e_ufm/RWMask[4] ), .B0(\ram2e_ufm/N_188 ), 
    +    .A0(\Din_c[4] ), .DI1(\RWBank_3[5] ), .DI0(\RWBank_3[4] ), .CE(N_187_i), 
    +    .CLK(C14M_c), .F0(\RWBank_3[4] ), .Q0(\RWBank[4] ), .F1(\RWBank_3[5] ), 
    +    .Q1(\RWBank[5] ));
    +  SLICE_30 SLICE_30( .C1(\ram2e_ufm/RWMask[7] ), .B1(\ram2e_ufm/N_188 ), 
    +    .A1(\Din_c[7] ), .C0(\ram2e_ufm/RWMask[6] ), .B0(\ram2e_ufm/N_188 ), 
    +    .A0(\Din_c[6] ), .DI1(\RWBank_3[7] ), .DI0(\RWBank_3[6] ), .CE(N_187_i), 
    +    .CLK(C14M_c), .F0(\RWBank_3[6] ), .Q0(\RWBank[6] ), .F1(\RWBank_3[7] ), 
    +    .Q1(\RWBank[7] ));
    +  SLICE_31 SLICE_31( .D1(\RA[3] ), .C1(\ram2e_ufm/N_186 ), 
    +    .B1(\ram2e_ufm/N_182 ), .A1(\Ain_c[3] ), .D0(nWE_c), .C0(nC07X_c), 
    +    .B0(\RA[3] ), .A0(\RA[0] ), .DI0(RWSel_2), .CE(un9_VOEEN_0_a2_0_a3_0_a3), 
    +    .CLK(C14M_c), .F0(RWSel_2), .Q0(RWSel), .F1(\ram2e_ufm/RA_35_0_0_0[3] ));
    +  SLICE_32 SLICE_32( .D1(\ram2e_ufm/Ready3_0_a3_5 ), 
    +    .C1(\ram2e_ufm/Ready3_0_a3_4 ), .B1(\ram2e_ufm/Ready3_0_a3_3 ), 
    +    .A1(\ram2e_ufm/N_885 ), .B0(Ready), .A0(Ready3), .DI0(N_1026_0), 
    +    .CLK(C14M_c), .F0(N_1026_0), .Q0(Ready), .F1(Ready3));
    +  SLICE_33 SLICE_33( .D1(\ram2e_ufm/S_r_i_0_o2[1] ), .C1(\ram2e_ufm/N_271 ), 
    +    .B1(\ram2e_ufm/N_194 ), .A1(S_1), .D0(\S[1] ), .C0(\ram2e_ufm/N_643 ), 
    +    .B0(\ram2e_ufm/N_271 ), .A0(S_1), .DI1(N_362_i), .DI0(\S_s_0_0[0] ), 
    +    .CLK(C14M_c), .F0(\S_s_0_0[0] ), .Q0(\S[0] ), .F1(N_362_i), .Q1(\S[1] ));
    +  SLICE_34 SLICE_34( .D1(\S[2] ), .C1(S_1), .B1(\ram2e_ufm/N_194 ), 
    +    .A1(\S[3] ), .D0(\S[3] ), .C0(\S[2] ), .B0(\ram2e_ufm/N_194 ), .A0(S_1), 
    +    .DI1(N_372_i), .DI0(N_361_i), .CLK(C14M_c), .F0(N_361_i), .Q0(\S[2] ), 
    +    .F1(N_372_i), .Q1(\S[3] ));
    +  SLICE_35 SLICE_35( .D1(N_551), .C1(\S[1] ), .B1(\S[0] ), .A1(\FS[4] ), 
    +    .B0(\S[3] ), .A0(\S[2] ), .DI0(N_551), .LSR(N_1078_0), .CLK(C14M_c), 
    +    .F0(N_551), .Q0(VOEEN), .F1(BA_0_sqmuxa));
    +  SLICE_36 SLICE_36( .D1(\ram2e_ufm/N_285_i ), .C1(\S[0] ), .B1(\S[1] ), 
    +    .A1(\ram2e_ufm/N_804 ), .D0(nWE_c), .C0(\ram2e_ufm/N_872 ), 
    +    .B0(\ram2e_ufm/N_641 ), .A0(\ram2e_ufm/N_640 ), .DI0(N_370_i), 
    +    .CLK(C14M_c), .F0(N_370_i), .Q0(nCAS), .F1(\ram2e_ufm/N_872 ));
    +  SLICE_37 SLICE_37( .D1(\S[0] ), .C1(\S[1] ), .B1(\ram2e_ufm/N_285_i ), 
    +    .A1(\ram2e_ufm/N_804 ), .D0(\ram2e_ufm/nRAS_s_i_0_0 ), 
    +    .C0(\ram2e_ufm/N_617 ), .B0(\ram2e_ufm/N_616 ), .A0(\ram2e_ufm/N_615 ), 
    +    .DI0(N_358_i), .CLK(C14M_c), .F0(N_358_i), .Q0(nRAS), 
    +    .F1(\ram2e_ufm/N_617 ));
    +  SLICE_38 SLICE_38( .D1(\S[2] ), .C1(\ram2e_ufm/S_r_i_0_o2[1] ), 
    +    .B1(\ram2e_ufm/N_226 ), .A1(\ram2e_ufm/N_285_i ), .D0(\ram2e_ufm/N_804 ), 
    +    .C0(\ram2e_ufm/N_866 ), .B0(\ram2e_ufm/S_r_i_0_o2_RNI62C53[1] ), 
    +    .A0(\ram2e_ufm/N_615 ), .DI0(N_359_i), .CLK(C14M_c), .F0(N_359_i), 
    +    .Q0(nRWE), .F1(\ram2e_ufm/N_615 ));
    +  ram2e_ufm_SLICE_39 \ram2e_ufm/SLICE_39 ( .D1(\Din_c[3] ), .C1(\Din_c[5] ), 
    +    .B1(\Din_c[0] ), .A1(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_o3 ), 
    +    .D0(\ram2e_ufm/CmdBitbangMXO2_3_0_a3_1 ), .C0(\ram2e_ufm/N_800 ), 
    +    .B0(\Din_c[2] ), .A0(\Din_c[1] ), .DI0(\ram2e_ufm/CmdBitbangMXO2_3 ), 
    +    .CE(N_187_i), .CLK(C14M_c), .F0(\ram2e_ufm/CmdBitbangMXO2_3 ), 
    +    .Q0(\ram2e_ufm/CmdBitbangMXO2 ), .F1(\ram2e_ufm/CmdBitbangMXO2_3_0_a3_1 ));
    +  ram2e_ufm_SLICE_40 \ram2e_ufm/SLICE_40 ( .D1(\CS[1] ), .C1(\CS[2] ), 
    +    .B1(\CS[0] ), .A1(\Din_c[6] ), .B0(\ram2e_ufm/N_851 ), 
    +    .A0(\ram2e_ufm/N_800 ), .DI0(\ram2e_ufm/CmdExecMXO2_3 ), .CE(N_187_i), 
    +    .CLK(C14M_c), .F0(\ram2e_ufm/CmdExecMXO2_3 ), .Q0(\ram2e_ufm/CmdExecMXO2 ), 
    +    .F1(\ram2e_ufm/N_800 ));
    +  ram2e_ufm_SLICE_41 \ram2e_ufm/SLICE_41 ( .D1(\Din_c[0] ), .C1(\Din_c[1] ), 
    +    .B1(\Din_c[2] ), .A1(\Din_c[4] ), 
    +    .D0(\ram2e_ufm/CmdSetRWBankFFChip_3_0_a8_0_a3_0 ), .C0(\ram2e_ufm/N_800 ), 
    +    .B0(\ram2e_ufm/N_190 ), .A0(\Din_c[7] ), 
    +    .DI0(\ram2e_ufm/CmdSetRWBankFFChip_3 ), .CE(N_187_i), .CLK(C14M_c), 
    +    .F0(\ram2e_ufm/CmdSetRWBankFFChip_3 ), .Q0(\ram2e_ufm/CmdSetRWBankFFChip ), 
    +    .F1(\ram2e_ufm/CmdSetRWBankFFChip_3_0_a8_0_a3_0 ));
    +  ram2e_ufm_SLICE_42 \ram2e_ufm/SLICE_42 ( .C1(\Din_c[6] ), .B1(\Din_c[2] ), 
    +    .A1(\Din_c[0] ), .C0(\ram2e_ufm/wb_dato[0] ), .B0(\S[3] ), .A0(\Din_c[0] ), 
    +    .DI0(\ram2e_ufm/N_295 ), .CE(\ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0_0[0] ), 
    +    .CLK(C14M_c), .F0(\ram2e_ufm/N_295 ), .Q0(\ram2e_ufm/LEDEN ), 
    +    .F1(\ram2e_ufm/N_212 ));
    +  ram2e_ufm_SLICE_43 \ram2e_ufm/SLICE_43 ( .C1(\ram2e_ufm/wb_dato[1] ), 
    +    .B1(\S[3] ), .A1(\Din_c[1] ), .C0(\ram2e_ufm/wb_dato[0] ), .B0(\S[3] ), 
    +    .A0(\Din_c[0] ), .DI1(\ram2e_ufm/N_307_i ), .DI0(\ram2e_ufm/N_309_i ), 
    +    .CE(\ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0_0[0] ), .CLK(C14M_c), 
    +    .F0(\ram2e_ufm/N_309_i ), .Q0(\ram2e_ufm/RWMask[0] ), 
    +    .F1(\ram2e_ufm/N_307_i ), .Q1(\ram2e_ufm/RWMask[1] ));
    +  ram2e_ufm_SLICE_44 \ram2e_ufm/SLICE_44 ( .C1(\ram2e_ufm/wb_dato[3] ), 
    +    .B1(\S[3] ), .A1(\Din_c[3] ), .C0(\ram2e_ufm/wb_dato[2] ), .B0(\S[3] ), 
    +    .A0(\Din_c[2] ), .DI1(\ram2e_ufm/N_302_i ), .DI0(\ram2e_ufm/N_304_i ), 
    +    .CE(\ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0_0[0] ), .CLK(C14M_c), 
    +    .F0(\ram2e_ufm/N_304_i ), .Q0(\ram2e_ufm/RWMask[2] ), 
    +    .F1(\ram2e_ufm/N_302_i ), .Q1(\ram2e_ufm/RWMask[3] ));
    +  ram2e_ufm_SLICE_45 \ram2e_ufm/SLICE_45 ( .C1(\ram2e_ufm/wb_dato[5] ), 
    +    .B1(\S[3] ), .A1(\Din_c[5] ), .C0(\ram2e_ufm/wb_dato[4] ), .B0(\S[3] ), 
    +    .A0(\Din_c[4] ), .DI1(\ram2e_ufm/N_301_i ), .DI0(\ram2e_ufm/N_310_i ), 
    +    .CE(\ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0_0[0] ), .CLK(C14M_c), 
    +    .F0(\ram2e_ufm/N_310_i ), .Q0(\ram2e_ufm/RWMask[4] ), 
    +    .F1(\ram2e_ufm/N_301_i ), .Q1(\ram2e_ufm/RWMask[5] ));
    +  ram2e_ufm_SLICE_46 \ram2e_ufm/SLICE_46 ( .C1(\ram2e_ufm/wb_dato[7] ), 
    +    .B1(\S[3] ), .A1(\Din_c[7] ), .C0(\ram2e_ufm/wb_dato[6] ), .B0(\S[3] ), 
    +    .A0(\Din_c[6] ), .DI1(\ram2e_ufm/N_296 ), .DI0(\ram2e_ufm/N_300_i ), 
    +    .CE(\ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0_0[0] ), .CLK(C14M_c), 
    +    .F0(\ram2e_ufm/N_300_i ), .Q0(\ram2e_ufm/RWMask[6] ), 
    +    .F1(\ram2e_ufm/N_296 ), .Q1(\ram2e_ufm/RWMask[7] ));
    +  ram2e_ufm_SLICE_47 \ram2e_ufm/SLICE_47 ( .D1(\ram2e_ufm/wb_adr_7_5_41_0_1 ), 
    +    .C1(\S[2] ), .B1(\ram2e_ufm/N_768 ), .A1(\Din_c[1] ), 
    +    .D0(\ram2e_ufm/wb_adr_7_i_i_5[0] ), .C0(\ram2e_ufm/wb_adr_7_i_i_4[0] ), 
    +    .B0(\ram2e_ufm/N_793 ), .A0(\FS[10] ), .DI1(\ram2e_ufm/wb_adr_RNO[1] ), 
    +    .DI0(\ram2e_ufm/wb_adr_7_i_i[0] ), 
    +    .CE(\ram2e_ufm/CmdBitbangMXO2_RNINSM62 ), .CLK(C14M_c), 
    +    .F0(\ram2e_ufm/wb_adr_7_i_i[0] ), .Q0(\ram2e_ufm/wb_adr[0] ), 
    +    .F1(\ram2e_ufm/wb_adr_RNO[1] ), .Q1(\ram2e_ufm/wb_adr[1] ));
    +  ram2e_ufm_SLICE_48 \ram2e_ufm/SLICE_48 ( .B1(\S[2] ), .A1(\Din_c[3] ), 
    +    .B0(\S[2] ), .A0(\Din_c[2] ), .DI1(\ram2e_ufm/N_268_i ), 
    +    .DI0(\ram2e_ufm/N_80_i ), .CE(\ram2e_ufm/CmdBitbangMXO2_RNINSM62 ), 
    +    .CLK(C14M_c), .F0(\ram2e_ufm/N_80_i ), .Q0(\ram2e_ufm/wb_adr[2] ), 
    +    .F1(\ram2e_ufm/N_268_i ), .Q1(\ram2e_ufm/wb_adr[3] ));
    +  ram2e_ufm_SLICE_49 \ram2e_ufm/SLICE_49 ( .C1(\S[2] ), .B1(\FS[14] ), 
    +    .A1(\Din_c[5] ), .C0(\S[2] ), .B0(\FS[14] ), .A0(\Din_c[4] ), 
    +    .DI1(\ram2e_ufm/N_290 ), .DI0(\ram2e_ufm/N_294 ), 
    +    .CE(\ram2e_ufm/CmdBitbangMXO2_RNINSM62 ), .CLK(C14M_c), 
    +    .F0(\ram2e_ufm/N_294 ), .Q0(\ram2e_ufm/wb_adr[4] ), .F1(\ram2e_ufm/N_290 ), 
    +    .Q1(\ram2e_ufm/wb_adr[5] ));
    +  ram2e_ufm_SLICE_50 \ram2e_ufm/SLICE_50 ( .B1(\S[2] ), .A1(\Din_c[7] ), 
    +    .C0(\S[2] ), .B0(\FS[14] ), .A0(\Din_c[6] ), .DI1(\ram2e_ufm/N_267_i ), 
    +    .DI0(\ram2e_ufm/N_284 ), .CE(\ram2e_ufm/CmdBitbangMXO2_RNINSM62 ), 
    +    .CLK(C14M_c), .F0(\ram2e_ufm/N_284 ), .Q0(\ram2e_ufm/wb_adr[6] ), 
    +    .F1(\ram2e_ufm/N_267_i ), .Q1(\ram2e_ufm/wb_adr[7] ));
    +  ram2e_ufm_SLICE_51 \ram2e_ufm/SLICE_51 ( .D1(\ram2e_ufm/wb_ack ), 
    +    .C1(\ram2e_ufm/N_336 ), .B1(\FS[14] ), .A1(\FS[0] ), 
    +    .C0(\ram2e_ufm/CmdExecMXO2 ), .B0(\S[3] ), .A0(\ram2e_ufm/N_687 ), 
    +    .DI0(\ram2e_ufm/wb_cyc_stb_RNO ), 
    +    .CE(\ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0[0] ), .CLK(C14M_c), 
    +    .F0(\ram2e_ufm/wb_cyc_stb_RNO ), .Q0(\ram2e_ufm/wb_cyc_stb ), 
    +    .F1(\ram2e_ufm/N_687 ));
    +  ram2e_ufm_SLICE_52 \ram2e_ufm/SLICE_52 ( .D1(\ram2e_ufm/wb_dati_7_0_0_0[1] ), 
    +    .C1(\ram2e_ufm/N_849 ), .B1(\ram2e_ufm/N_793 ), .A1(\ram2e_ufm/N_611 ), 
    +    .D0(\ram2e_ufm/wb_dati_7_0_0_0_a3_0[0] ), .C0(\ram2e_ufm/wb_adr[0] ), 
    +    .B0(\S[2] ), .A0(\ram2e_ufm/N_856 ), .DI1(\ram2e_ufm/wb_dati_7[1] ), 
    +    .DI0(\ram2e_ufm/wb_dati_7[0] ), .CE(\ram2e_ufm/CmdBitbangMXO2_RNINSM62 ), 
    +    .CLK(C14M_c), .F0(\ram2e_ufm/wb_dati_7[0] ), .Q0(\ram2e_ufm/wb_dati[0] ), 
    +    .F1(\ram2e_ufm/wb_dati_7[1] ), .Q1(\ram2e_ufm/wb_dati[1] ));
    +  ram2e_ufm_SLICE_53 \ram2e_ufm/SLICE_53 ( 
    +    .D1(\ram2e_ufm/wb_dati_7_0_0_0_0[3] ), .C1(\ram2e_ufm/N_849 ), 
    +    .B1(\ram2e_ufm/N_783 ), .A1(\ram2e_ufm/N_611 ), 
    +    .D0(\ram2e_ufm/wb_dati_7_0_0_o3_0[2] ), .C0(\ram2e_ufm/wb_adr[2] ), 
    +    .B0(\S[2] ), .A0(\ram2e_ufm/N_760 ), .DI1(\ram2e_ufm/wb_dati_7[3] ), 
    +    .DI0(\ram2e_ufm/wb_dati_7[2] ), .CE(\ram2e_ufm/CmdBitbangMXO2_RNINSM62 ), 
    +    .CLK(C14M_c), .F0(\ram2e_ufm/wb_dati_7[2] ), .Q0(\ram2e_ufm/wb_dati[2] ), 
    +    .F1(\ram2e_ufm/wb_dati_7[3] ), .Q1(\ram2e_ufm/wb_dati[3] ));
    +  ram2e_ufm_SLICE_54 \ram2e_ufm/SLICE_54 ( 
    +    .D1(\ram2e_ufm/wb_dati_7_0_0_o3_0[2] ), .C1(\ram2e_ufm/wb_adr[5] ), 
    +    .B1(\S[2] ), .A1(\ram2e_ufm/N_760 ), .D0(\ram2e_ufm/wb_dati_7_0_0_0[4] ), 
    +    .C0(\ram2e_ufm/N_763 ), .B0(\ram2e_ufm/N_760 ), .A0(\ram2e_ufm/N_757 ), 
    +    .DI1(\ram2e_ufm/wb_dati_7[5] ), .DI0(\ram2e_ufm/wb_dati_7[4] ), 
    +    .CE(\ram2e_ufm/CmdBitbangMXO2_RNINSM62 ), .CLK(C14M_c), 
    +    .F0(\ram2e_ufm/wb_dati_7[4] ), .Q0(\ram2e_ufm/wb_dati[4] ), 
    +    .F1(\ram2e_ufm/wb_dati_7[5] ), .Q1(\ram2e_ufm/wb_dati[5] ));
    +  ram2e_ufm_SLICE_55 \ram2e_ufm/SLICE_55 ( 
    +    .D1(\ram2e_ufm/wb_dati_7_0_0_0_0[7] ), 
    +    .C1(\ram2e_ufm/wb_dati_7_0_0_RNO_0[7] ), .B1(\ram2e_ufm/N_604 ), 
    +    .A1(\ram2e_ufm/N_602 ), .D0(\ram2e_ufm/wb_dati_7_0_0_0[6] ), 
    +    .C0(\ram2e_ufm/N_849 ), .B0(\ram2e_ufm/N_793 ), .A0(\ram2e_ufm/N_757 ), 
    +    .DI1(\ram2e_ufm/wb_dati_7[7] ), .DI0(\ram2e_ufm/wb_dati_7[6] ), 
    +    .CE(\ram2e_ufm/CmdBitbangMXO2_RNINSM62 ), .CLK(C14M_c), 
    +    .F0(\ram2e_ufm/wb_dati_7[6] ), .Q0(\ram2e_ufm/wb_dati[6] ), 
    +    .F1(\ram2e_ufm/wb_dati_7[7] ), .Q1(\ram2e_ufm/wb_dati[7] ));
    +  ram2e_ufm_SLICE_56 \ram2e_ufm/SLICE_56 ( .D1(\S[3] ), .C1(\S[1] ), 
    +    .B1(\S[0] ), .A1(\FS[14] ), .D0(\ram2e_ufm/wb_reqc_1 ), .C0(\FS[13] ), 
    +    .B0(\FS[12] ), .A0(\FS[11] ), .DI0(\ram2e_ufm/wb_reqc_i ), 
    +    .CE(\ram2e_ufm/wb_adr_0_sqmuxa_1_i ), .LSR(\S[2] ), .CLK(C14M_c), 
    +    .F0(\ram2e_ufm/wb_reqc_i ), .Q0(\ram2e_ufm/wb_req ), 
    +    .F1(\ram2e_ufm/wb_reqc_1 ));
    +  ram2e_ufm_SLICE_57 \ram2e_ufm/SLICE_57 ( .D1(\FS[15] ), .C1(\FS[14] ), 
    +    .B1(\FS[4] ), .A1(\FS[2] ), .B0(\FS[15] ), .A0(\FS[14] ), 
    +    .DI0(\ram2e_ufm/wb_rst8 ), .LSR(\ram2e_ufm/wb_rst16_i ), .CLK(C14M_c), 
    +    .F0(\ram2e_ufm/wb_rst8 ), .Q0(\ram2e_ufm/wb_rst ), 
    +    .F1(\ram2e_ufm/Ready3_0_a3_4 ));
    +  ram2e_ufm_SLICE_58 \ram2e_ufm/SLICE_58 ( 
    +    .D1(\ram2e_ufm/wb_we_7_iv_0_0_3_0_0 ), .C1(\ram2e_ufm/N_885 ), 
    +    .B1(\ram2e_ufm/N_799 ), .A1(\FS[12] ), 
    +    .D0(\ram2e_ufm/wb_we_7_iv_0_0_3_0_1 ), .C0(\ram2e_ufm/N_799 ), 
    +    .B0(\ram2e_ufm/N_208 ), .A0(\FS[13] ), .DI0(\ram2e_ufm/wb_we_RNO ), 
    +    .CE(\ram2e_ufm/wb_we_RNO_0 ), .CLK(C14M_c), .F0(\ram2e_ufm/wb_we_RNO ), 
    +    .Q0(\ram2e_ufm/wb_we ), .F1(\ram2e_ufm/wb_we_7_iv_0_0_3_0_1 ));
    +  ram2e_ufm_SUM0_i_m3_0_SLICE_59 \ram2e_ufm/SUM0_i_m3_0/SLICE_59 ( 
    +    .C1(\CS[1] ), .B1(\Din_c[5] ), .A1(\Din_c[3] ), .C0(\Din_c[7] ), 
    +    .B0(\Din_c[5] ), .A0(\Din_c[3] ), .M0(\Din_c[1] ), 
    +    .OFX0(\ram2e_ufm/N_338 ));
    +  ram2e_ufm_un1_CS_0_sqmuxa_0_0_0_SLICE_60 
    +    \ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60 ( .D1(\CS[0] ), .C1(\Din_c[6] ), 
    +    .B1(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_2_2 ), .A1(\ram2e_ufm/N_193 ), 
    +    .C0(CO0_0), .B0(\CmdTout[1] ), .A0(\CmdTout[2] ), .M0(RWSel), 
    +    .OFX0(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_0 ));
    +  ram2e_ufm_CKE_7_SLICE_61 \ram2e_ufm/CKE_7/SLICE_61 ( .C1(\RC[1] ), 
    +    .B1(\ram2e_ufm/N_821 ), .A1(\ram2e_ufm/N_817 ), .C0(nWE_c), .B0(\S[1] ), 
    +    .A0(\ram2e_ufm/N_804 ), .M0(\ram2e_ufm/CKE_7_sm0 ), 
    +    .OFX0(\ram2e_ufm/CKE_7 ));
    +  ram2e_ufm_SLICE_62 \ram2e_ufm/SLICE_62 ( .D1(\ram2e_ufm/N_851 ), 
    +    .C1(\Din_c[6] ), .B1(\CS[2] ), .A1(\CS[1] ), 
    +    .D0(\ram2e_ufm/SUM0_i_a3_4_0 ), .C0(\ram2e_ufm/N_234 ), .B0(\CS[2] ), 
    +    .A0(\CS[1] ), .F0(\ram2e_ufm/N_720_tz ), .F1(\ram2e_ufm/SUM0_i_a3_4_0 ));
    +  ram2e_ufm_SLICE_63 \ram2e_ufm/SLICE_63 ( .D1(\ram2e_ufm/SUM0_i_0 ), 
    +    .C1(\ram2e_ufm/N_350 ), .B1(\CS[2] ), .A1(\CS[0] ), 
    +    .D0(\ram2e_ufm/SUM0_i_3 ), .C0(\ram2e_ufm/SUM0_i_1 ), 
    +    .B0(\ram2e_ufm/N_187 ), .A0(\CS[0] ), .F0(\ram2e_ufm/SUM0_i_4 ), 
    +    .F1(\ram2e_ufm/SUM0_i_1 ));
    +  ram2e_ufm_SLICE_64 \ram2e_ufm/SLICE_64 ( .C1(\ram2e_ufm/N_793 ), 
    +    .B1(\FS[11] ), .A1(\FS[9] ), .D0(\ram2e_ufm/N_856 ), 
    +    .C0(\ram2e_ufm/N_755 ), .B0(\FS[13] ), .A0(\FS[11] ), 
    +    .F0(\ram2e_ufm/wb_adr_7_i_i_5[0] ), .F1(\ram2e_ufm/N_755 ));
    +  ram2e_ufm_SLICE_65 \ram2e_ufm/SLICE_65 ( .D1(\ram2e_ufm/N_193 ), 
    +    .C1(\Din_c[6] ), .B1(\Din_c[0] ), .A1(\CS[0] ), .D0(\ram2e_ufm/N_735 ), 
    +    .C0(\ram2e_ufm/N_345 ), .B0(\CS[1] ), .A0(\CS[0] ), 
    +    .F0(\ram2e_ufm/SUM0_i_0 ), .F1(\ram2e_ufm/N_735 ));
    +  ram2e_ufm_SLICE_66 \ram2e_ufm/SLICE_66 ( .D1(\ram2e_ufm/wb_ack ), 
    +    .C1(\ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_a3_0_0[0] ), 
    +    .B1(\ram2e_ufm/N_777 ), .A1(\FS[14] ), .D0(\ram2e_ufm/wb_ack ), 
    +    .C0(\ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_0[0] ), 
    +    .B0(\ram2e_ufm/CmdExecMXO2 ), .A0(\ram2e_ufm/N_187 ), 
    +    .F0(\ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0[0] ), 
    +    .F1(\ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_0[0] ));
    +  ram2e_ufm_SLICE_67 \ram2e_ufm/SLICE_67 ( .C1(\FS[3] ), .B1(\FS[2] ), 
    +    .A1(\FS[1] ), .D0(\S[1] ), .C0(\ram2e_ufm/N_250 ), .B0(\FS[4] ), 
    +    .A0(\FS[3] ), .F0(\ram2e_ufm/N_256 ), .F1(\ram2e_ufm/N_250 ));
    +  ram2e_ufm_SLICE_68 \ram2e_ufm/SLICE_68 ( 
    +    .D1(\ram2e_ufm/wb_adr_7_i_i_3_1[0] ), .C1(\ram2e_ufm/N_783 ), .B1(\FS[9] ), 
    +    .A1(\FS[8] ), .D0(\FS[12] ), .C0(\FS[11] ), .B0(\FS[10] ), .A0(\FS[8] ), 
    +    .F0(\ram2e_ufm/wb_adr_7_i_i_3_1[0] ), .F1(\ram2e_ufm/wb_adr_7_i_i_3[0] ));
    +  ram2e_ufm_SLICE_69 \ram2e_ufm/SLICE_69 ( .D1(\ram2e_ufm/N_254 ), 
    +    .C1(\ram2e_ufm/wb_rst16_i ), .B1(\FS[15] ), .A1(\FS[0] ), .D0(\S[2] ), 
    +    .C0(\S[3] ), .B0(\S[1] ), .A0(\S[0] ), .F0(\ram2e_ufm/wb_rst16_i ), 
    +    .F1(\ram2e_ufm/N_641 ));
    +  ram2e_ufm_SLICE_70 \ram2e_ufm/SLICE_70 ( .C1(\FS[14] ), 
    +    .B1(\ram2e_ufm/N_777 ), .A1(\FS[8] ), .D0(\FS[12] ), .C0(\FS[13] ), 
    +    .B0(\ram2e_ufm/N_807 ), .A0(\ram2e_ufm/N_876 ), .F0(\ram2e_ufm/N_604 ), 
    +    .F1(\ram2e_ufm/N_807 ));
    +  ram2e_ufm_SLICE_71 \ram2e_ufm/SLICE_71 ( .C1(\FS[4] ), 
    +    .B1(\ram2e_ufm/N_784 ), .A1(\FS[3] ), .C0(\S[2] ), .B0(\S[3] ), 
    +    .A0(\S[0] ), .F0(\ram2e_ufm/N_784 ), .F1(\ram2e_ufm/N_801 ));
    +  ram2e_ufm_SLICE_72 \ram2e_ufm/SLICE_72 ( .D1(\S[0] ), .C1(\RWBank[5] ), 
    +    .B1(\ram2e_ufm/N_560 ), .A1(\FS[4] ), .C0(\S[2] ), .B0(\S[3] ), 
    +    .A0(\S[1] ), .F0(\ram2e_ufm/N_560 ), .F1(\BA_4[0] ));
    +  ram2e_ufm_SLICE_73 \ram2e_ufm/SLICE_73 ( .D1(\ram2e_ufm/N_873 ), 
    +    .C1(\ram2e_ufm/N_781 ), .B1(\ram2e_ufm/N_611 ), .A1(\ram2e_ufm/N_184 ), 
    +    .D0(\FS[8] ), .C0(\FS[9] ), .B0(\FS[10] ), .A0(\FS[11] ), 
    +    .F0(\ram2e_ufm/N_873 ), .F1(\ram2e_ufm/wb_dati_7_0_0_o3_0[2] ));
    +  ram2e_ufm_SLICE_74 \ram2e_ufm/SLICE_74 ( .C1(\RWBank[3] ), 
    +    .B1(\ram2e_ufm/N_845 ), .A1(\ram2e_ufm/N_625 ), .D0(\S[0] ), .C0(\S[1] ), 
    +    .B0(\S[2] ), .A0(\S[3] ), .F0(\ram2e_ufm/N_845 ), 
    +    .F1(\ram2e_ufm/RA_35_2_0_0[10] ));
    +  ram2e_ufm_SLICE_75 \ram2e_ufm/SLICE_75 ( .C1(\FS[11] ), .B1(\FS[10] ), 
    +    .A1(\FS[9] ), .D0(\FS[12] ), .C0(\FS[13] ), .B0(\ram2e_ufm/N_876 ), 
    +    .A0(\ram2e_ufm/wb_ack ), 
    +    .F0(\ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0_a3_0_1[0] ), 
    +    .F1(\ram2e_ufm/N_876 ));
    +  ram2e_ufm_SLICE_76 \ram2e_ufm/SLICE_76 ( .B1(\FS[11] ), .A1(\FS[10] ), 
    +    .D0(\FS[12] ), .C0(\FS[13] ), .B0(\ram2e_ufm/N_811 ), 
    +    .A0(\ram2e_ufm/N_206 ), .F0(\ram2e_ufm/wb_dati_7_0_0_a3_8_0[7] ), 
    +    .F1(\ram2e_ufm/N_811 ));
    +  ram2e_ufm_SLICE_77 \ram2e_ufm/SLICE_77 ( .C1(\ram2e_ufm/N_185 ), .B1(RWSel), 
    +    .A1(\CS[0] ), .D0(\S[0] ), .C0(\S[1] ), .B0(\S[2] ), .A0(\S[3] ), 
    +    .F0(\ram2e_ufm/N_185 ), .F1(\ram2e_ufm/N_215 ));
    +  ram2e_ufm_SLICE_78 \ram2e_ufm/SLICE_78 ( .B1(\S[1] ), .A1(\S[0] ), 
    +    .D0(\ram2e_ufm/S_r_i_0_o2[1] ), .C0(\S[2] ), .B0(\S[3] ), .A0(\RWBank[1] ), 
    +    .F0(\ram2e_ufm/N_699 ), .F1(\ram2e_ufm/S_r_i_0_o2[1] ));
    +  ram2e_ufm_SLICE_79 \ram2e_ufm/SLICE_79 ( 
    +    .D1(\ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0_a3_0_1[0] ), 
    +    .C1(\ram2e_ufm/N_807 ), .B1(\ram2e_ufm/N_187 ), .A1(CmdLEDSet), 
    +    .D0(\ram2e_ufm/S_r_i_0_o2[1] ), .C0(\S[2] ), .B0(\S[3] ), .A0(RWSel), 
    +    .F0(\ram2e_ufm/N_187 ), .F1(\ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0_0[0] ));
    +  ram2e_ufm_SLICE_80 \ram2e_ufm/SLICE_80 ( .D1(N_551), .C1(\S[1] ), 
    +    .B1(\S[0] ), .A1(\FS[15] ), .D0(\ram2e_ufm/N_185 ), .C0(RWSel), 
    +    .B0(\ram2e_ufm/N_777 ), .A0(\ram2e_ufm/CmdBitbangMXO2 ), 
    +    .F0(\ram2e_ufm/CmdBitbangMXO2_RNINSM62 ), .F1(\ram2e_ufm/N_777 ));
    +  ram2e_ufm_SLICE_81 \ram2e_ufm/SLICE_81 ( .D1(\FS[8] ), 
    +    .C1(\ram2e_ufm/N_777 ), .B1(\FS[14] ), .A1(\FS[9] ), .D0(\FS[12] ), 
    +    .C0(\FS[13] ), .B0(\ram2e_ufm/N_811 ), .A0(\ram2e_ufm/N_856 ), 
    +    .F0(\ram2e_ufm/N_757 ), .F1(\ram2e_ufm/N_856 ));
    +  ram2e_ufm_SLICE_82 \ram2e_ufm/SLICE_82 ( 
    +    .D1(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_0_1 ), 
    +    .C1(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_o2 ), .B1(\Din_c[6] ), .A1(\CS[0] ), 
    +    .D0(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_0 ), 
    +    .C0(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_1_0_RNIS35S ), 
    +    .B0(\ram2e_ufm/N_637 ), .A0(\ram2e_ufm/N_185 ), .F0(un1_CS_0_sqmuxa_i), 
    +    .F1(\ram2e_ufm/N_637 ));
    +  ram2e_ufm_SLICE_83 \ram2e_ufm/SLICE_83 ( .C1(\ram2e_ufm/N_851 ), 
    +    .B1(\Din_c[6] ), .A1(\CS[2] ), .D0(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_1_0 ), 
    +    .C0(RWSel), .B0(\ram2e_ufm/N_592 ), .A0(\CS[0] ), 
    +    .F0(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_1_0_RNIS35S ), 
    +    .F1(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_1_0 ));
    +  ram2e_ufm_SLICE_84 \ram2e_ufm/SLICE_84 ( .D1(\ram2e_ufm/N_850 ), 
    +    .C1(\ram2e_ufm/N_212 ), .B1(\Din_c[4] ), .A1(\CS[2] ), 
    +    .D0(\ram2e_ufm/N_886 ), .C0(\ram2e_ufm/N_720_tz ), .B0(\ram2e_ufm/N_187 ), 
    +    .A0(\CS[1] ), .F0(\ram2e_ufm/SUM0_i_3 ), .F1(\ram2e_ufm/N_886 ));
    +  ram2e_ufm_SLICE_85 \ram2e_ufm/SLICE_85 ( .D1(\FS[13] ), 
    +    .C1(\ram2e_ufm/N_777 ), .B1(\FS[14] ), .A1(\FS[12] ), 
    +    .D0(\ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0_a3_0_0[0] ), 
    +    .C0(\ram2e_ufm/N_793 ), .B0(\ram2e_ufm/N_187 ), .A0(CmdRWMaskSet), 
    +    .F0(\ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0_0[0] ), .F1(\ram2e_ufm/N_793 ));
    +  ram2e_ufm_SLICE_86 \ram2e_ufm/SLICE_86 ( .B1(\S[2] ), .A1(\Din_c[0] ), 
    +    .D0(\ram2e_ufm/wb_adr_7_i_i_3[0] ), .C0(\ram2e_ufm/wb_adr_7_i_i_1[0] ), 
    +    .B0(\ram2e_ufm/N_753 ), .A0(\ram2e_ufm/N_634 ), 
    +    .F0(\ram2e_ufm/wb_adr_7_i_i_4[0] ), .F1(\ram2e_ufm/N_634 ));
    +  ram2e_ufm_SLICE_87 \ram2e_ufm/SLICE_87 ( 
    +    .D1(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_o3 ), .C1(\ram2e_ufm/N_212 ), 
    +    .B1(\ram2e_ufm/N_190 ), .A1(\Din_c[1] ), .C0(\ram2e_ufm/N_886 ), 
    +    .B0(\ram2e_ufm/N_234 ), .A0(\CS[1] ), .F0(\ram2e_ufm/N_592 ), 
    +    .F1(\ram2e_ufm/N_234 ));
    +  ram2e_ufm_SLICE_88 \ram2e_ufm/SLICE_88 ( .B1(\FS[11] ), .A1(\FS[10] ), 
    +    .D0(\ram2e_ufm/wb_dati_7_0_0_a3_6_1[3] ), .C0(\ram2e_ufm/N_876 ), 
    +    .B0(\ram2e_ufm/N_793 ), .A0(\ram2e_ufm/N_206 ), 
    +    .F0(\ram2e_ufm/wb_dati_7_0_0_RNO_0[7] ), 
    +    .F1(\ram2e_ufm/wb_dati_7_0_0_a3_6_1[3] ));
    +  ram2e_ufm_SLICE_89 \ram2e_ufm/SLICE_89 ( .C1(\FS[14] ), 
    +    .B1(\ram2e_ufm/N_777 ), .A1(\FS[13] ), 
    +    .D0(\ram2e_ufm/wb_dati_7_0_0_0_a3_0[3] ), .C0(\ram2e_ufm/wb_adr[3] ), 
    +    .B0(\S[2] ), .A0(\ram2e_ufm/N_783 ), .F0(\ram2e_ufm/wb_dati_7_0_0_0_0[3] ), 
    +    .F1(\ram2e_ufm/N_783 ));
    +  ram2e_ufm_SLICE_90 \ram2e_ufm/SLICE_90 ( .D1(\ram2e_ufm/N_206 ), 
    +    .C1(\FS[12] ), .B1(\FS[11] ), .A1(\FS[10] ), 
    +    .D0(\ram2e_ufm/wb_dati_7_0_0_a3_1[6] ), .C0(\ram2e_ufm/wb_adr[6] ), 
    +    .B0(\S[2] ), .A0(\ram2e_ufm/N_783 ), .F0(\ram2e_ufm/wb_dati_7_0_0_0[6] ), 
    +    .F1(\ram2e_ufm/wb_dati_7_0_0_a3_1[6] ));
    +  ram2e_ufm_SLICE_91 \ram2e_ufm/SLICE_91 ( .D1(CO0_1), .C1(\RC[2] ), 
    +    .B1(\ram2e_ufm/N_817 ), .A1(\RC[1] ), .D0(\ram2e_ufm/N_890 ), 
    +    .C0(\ram2e_ufm/N_784 ), .B0(\ram2e_ufm/N_256 ), .A0(\ram2e_ufm/N_285_i ), 
    +    .F0(\ram2e_ufm/nRAS_s_i_0_0 ), .F1(\ram2e_ufm/N_890 ));
    +  ram2e_ufm_SLICE_92 \ram2e_ufm/SLICE_92 ( .D1(nWE_c), .C1(\S[1] ), 
    +    .B1(\ram2e_ufm/N_804 ), .A1(N_551), .D0(\S[0] ), .C0(\ram2e_ufm/N_890 ), 
    +    .B0(\ram2e_ufm/N_220 ), .A0(\ram2e_ufm/N_285_i ), .F0(\ram2e_ufm/N_640 ), 
    +    .F1(\ram2e_ufm/N_220 ));
    +  ram2e_ufm_SLICE_93 \ram2e_ufm/SLICE_93 ( .D1(\FS[11] ), .C1(\FS[10] ), 
    +    .B1(\FS[9] ), .A1(\FS[8] ), .C0(\ram2e_ufm/N_783 ), .B0(\ram2e_ufm/N_196 ), 
    +    .A0(\FS[12] ), .F0(\ram2e_ufm/N_760 ), .F1(\ram2e_ufm/N_196 ));
    +  ram2e_ufm_SLICE_94 \ram2e_ufm/SLICE_94 ( .B1(\Din_c[7] ), .A1(\Din_c[4] ), 
    +    .D0(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_o3 ), .C0(\ram2e_ufm/N_243 ), 
    +    .B0(\Din_c[0] ), .A0(\CS[2] ), .F0(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_2_2 ), 
    +    .F1(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_o3 ));
    +  ram2e_ufm_SLICE_95 \ram2e_ufm/SLICE_95 ( .D1(\S[3] ), .C1(\S[2] ), 
    +    .B1(\S[1] ), .A1(\S[0] ), .D0(\RA[4] ), .C0(\ram2e_ufm/N_186 ), 
    +    .B0(\ram2e_ufm/N_182 ), .A0(\Ain_c[4] ), .F0(\ram2e_ufm/RA_35_0_0_0[4] ), 
    +    .F1(\ram2e_ufm/N_182 ));
    +  ram2e_ufm_SLICE_96 \ram2e_ufm/SLICE_96 ( .D1(\S[3] ), .C1(\S[2] ), 
    +    .B1(\S[1] ), .A1(\S[0] ), .D0(\RA[6] ), .C0(\ram2e_ufm/N_186 ), 
    +    .B0(\ram2e_ufm/N_182 ), .A0(\Ain_c[6] ), .F0(\ram2e_ufm/RA_35_0_0_0_0[6] ), 
    +    .F1(\ram2e_ufm/N_186 ));
    +  ram2e_ufm_SLICE_97 \ram2e_ufm/SLICE_97 ( .C1(\ram2e_ufm/N_873 ), 
    +    .B1(\FS[13] ), .A1(\FS[12] ), .D0(\ram2e_ufm/wb_dati_7_0_0_a3_0_0[1] ), 
    +    .C0(\ram2e_ufm/wb_adr[1] ), .B0(\S[2] ), .A0(\ram2e_ufm/N_781 ), 
    +    .F0(\ram2e_ufm/wb_dati_7_0_0_0[1] ), 
    +    .F1(\ram2e_ufm/wb_dati_7_0_0_a3_0_0[1] ));
    +  ram2e_ufm_SLICE_98 \ram2e_ufm/SLICE_98 ( .B1(\ram2e_ufm/N_777 ), 
    +    .A1(\FS[14] ), .D0(\ram2e_ufm/wb_dati_7_0_0_a3_8_0[7] ), 
    +    .C0(\ram2e_ufm/wb_adr[7] ), .B0(\S[2] ), .A0(\ram2e_ufm/N_781 ), 
    +    .F0(\ram2e_ufm/wb_dati_7_0_0_0_0[7] ), .F1(\ram2e_ufm/N_781 ));
    +  ram2e_ufm_SLICE_99 \ram2e_ufm/SLICE_99 ( .C1(\FS[11] ), .B1(\FS[10] ), 
    +    .A1(\FS[8] ), .D0(\ram2e_ufm/wb_adr_7_i_i_a3_2_0[0] ), 
    +    .C0(\ram2e_ufm/N_781 ), .B0(\ram2e_ufm/N_565 ), .A0(\FS[12] ), 
    +    .F0(\ram2e_ufm/wb_adr_7_i_i_1[0] ), 
    +    .F1(\ram2e_ufm/wb_adr_7_i_i_a3_2_0[0] ));
    +  ram2e_ufm_SLICE_100 \ram2e_ufm/SLICE_100 ( .D1(\Din_c[5] ), .C1(\Din_c[3] ), 
    +    .B1(\Din_c[2] ), .A1(\Din_c[1] ), .D0(\ram2e_ufm/N_243 ), .C0(\Din_c[7] ), 
    +    .B0(\Din_c[4] ), .A0(\CS[2] ), .F0(\ram2e_ufm/N_345 ), 
    +    .F1(\ram2e_ufm/N_243 ));
    +  ram2e_ufm_SLICE_101 \ram2e_ufm/SLICE_101 ( .B1(\Din_c[5] ), .A1(\Din_c[3] ), 
    +    .D0(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_5_1 ), .C0(\ram2e_ufm/N_850 ), 
    +    .B0(\ram2e_ufm/N_190 ), .A0(\CS[1] ), 
    +    .F0(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_o2 ), .F1(\ram2e_ufm/N_190 ));
    +  ram2e_ufm_SLICE_102 \ram2e_ufm/SLICE_102 ( .C1(\S[3] ), .B1(\S[2] ), 
    +    .A1(\S[1] ), .C0(\ram2e_ufm/CKE_7s2_0_0_0 ), .B0(\ram2e_ufm/N_817 ), 
    +    .A0(\ram2e_ufm/N_220 ), .F0(\ram2e_ufm/CKE_7_sm0 ), .F1(\ram2e_ufm/N_817 ));
    +  ram2e_ufm_SLICE_103 \ram2e_ufm/SLICE_103 ( .B1(\FS[13] ), .A1(\FS[12] ), 
    +    .D0(\ram2e_ufm/wb_adr_7_5_41_a3_3_0 ), .C0(\ram2e_ufm/N_799 ), 
    +    .B0(\ram2e_ufm/N_204 ), .A0(\ram2e_ufm/N_184 ), 
    +    .F0(\ram2e_ufm/wb_adr_7_5_41_0_1 ), .F1(\ram2e_ufm/N_184 ));
    +  ram2e_ufm_SLICE_104 \ram2e_ufm/SLICE_104 ( .D1(\FS[11] ), .C1(\FS[10] ), 
    +    .B1(\FS[9] ), .A1(\FS[8] ), .B0(\ram2e_ufm/N_595 ), .A0(\FS[12] ), 
    +    .F0(\ram2e_ufm/wb_dati_7_0_0_0_a3_0[3] ), .F1(\ram2e_ufm/N_595 ));
    +  ram2e_ufm_SLICE_105 \ram2e_ufm/SLICE_105 ( .D1(\ram2e_ufm/nRWE_s_i_0_63_1 ), 
    +    .C1(\S[3] ), .B1(\ram2e_ufm/S_r_i_0_o2[1] ), .A1(\ram2e_ufm/N_285_i ), 
    +    .C0(\ram2e_ufm/wb_rst16_i ), .B0(\FS[15] ), .A0(\FS[0] ), 
    +    .F0(\ram2e_ufm/N_285_i ), .F1(\ram2e_ufm/S_r_i_0_o2_RNI62C53[1] ));
    +  ram2e_ufm_SLICE_106 \ram2e_ufm/SLICE_106 ( .B1(\S[1] ), .A1(\S[0] ), 
    +    .D0(\S[2] ), .C0(\RA[10] ), .B0(\ram2e_ufm/S_r_i_0_o2[1] ), 
    +    .A0(\ram2e_ufm/N_194 ), .F0(\ram2e_ufm/N_624 ), .F1(\ram2e_ufm/N_194 ));
    +  ram2e_ufm_SLICE_107 \ram2e_ufm/SLICE_107 ( .D1(\S[0] ), .C1(\FS[4] ), 
    +    .B1(\S[3] ), .A1(\S[2] ), .D0(\ram2e_ufm/N_792 ), .C0(\FS[8] ), 
    +    .B0(\FS[5] ), .A0(\FS[3] ), .F0(\ram2e_ufm/N_659 ), .F1(\ram2e_ufm/N_792 ));
    +  ram2e_ufm_SLICE_108 \ram2e_ufm/SLICE_108 ( 
    +    .D1(\ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_o3_3[0] ), .C1(\FS[7] ), 
    +    .B1(\FS[5] ), .A1(\FS[4] ), .C0(\ram2e_ufm/wb_req ), 
    +    .B0(\ram2e_ufm/N_336 ), .A0(\FS[0] ), 
    +    .F0(\ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_a3_0_0[0] ), 
    +    .F1(\ram2e_ufm/N_336 ));
    +  ram2e_ufm_SLICE_109 \ram2e_ufm/SLICE_109 ( .B1(\S[2] ), .A1(\FS[14] ), 
    +    .D0(\ram2e_ufm/N_799 ), .C0(\ram2e_ufm/N_634 ), .B0(\ram2e_ufm/N_184 ), 
    +    .A0(\FS[11] ), .F0(\ram2e_ufm/wb_we_7_iv_0_0_3_0_0 ), 
    +    .F1(\ram2e_ufm/N_799 ));
    +  ram2e_ufm_SLICE_110 \ram2e_ufm/SLICE_110 ( .D1(\FS[8] ), .C1(\FS[9] ), 
    +    .B1(\FS[11] ), .A1(\FS[10] ), .B0(\ram2e_ufm/wb_ack ), 
    +    .A0(\ram2e_ufm/N_885 ), 
    +    .F0(\ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0_a3_0_0[0] ), 
    +    .F1(\ram2e_ufm/N_885 ));
    +  ram2e_ufm_SLICE_111 \ram2e_ufm/SLICE_111 ( .C1(\ram2e_ufm/N_807 ), 
    +    .B1(\ram2e_ufm/N_553 ), .A1(\FS[12] ), 
    +    .D0(\ram2e_ufm/wb_dati_7_0_0_a3_6_1[3] ), .C0(\ram2e_ufm/N_811 ), 
    +    .B0(\FS[13] ), .A0(\FS[9] ), .F0(\ram2e_ufm/N_553 ), 
    +    .F1(\ram2e_ufm/N_611 ));
    +  ram2e_ufm_SLICE_112 \ram2e_ufm/SLICE_112 ( .C1(\S[1] ), .B1(\S[0] ), 
    +    .A1(\ram2e_ufm/N_285_i ), .D0(nWE_c), .C0(nEN80_c), .B0(\S[2] ), 
    +    .A0(\ram2e_ufm/N_866 ), .F0(\ram2e_ufm/N_616 ), .F1(\ram2e_ufm/N_866 ));
    +  ram2e_ufm_SLICE_113 \ram2e_ufm/SLICE_113 ( .C1(nEN80_c), .B1(\S[3] ), 
    +    .A1(\S[2] ), .D0(nWE_c), .C0(\S[1] ), .B0(\S[0] ), .A0(\ram2e_ufm/N_804 ), 
    +    .F0(\ram2e_ufm/N_628 ), .F1(\ram2e_ufm/N_804 ));
    +  ram2e_ufm_SLICE_114 \ram2e_ufm/SLICE_114 ( .C1(\FS[10] ), .B1(\FS[9] ), 
    +    .A1(\FS[8] ), .D0(\ram2e_ufm/N_799 ), .C0(\ram2e_ufm/N_241_i ), 
    +    .B0(\FS[12] ), .A0(\FS[11] ), .F0(\ram2e_ufm/N_768 ), 
    +    .F1(\ram2e_ufm/N_241_i ));
    +  ram2e_ufm_SLICE_115 \ram2e_ufm/SLICE_115 ( .B1(\S[2] ), .A1(\S[1] ), 
    +    .D0(nEN80_c), .C0(\S[3] ), .B0(\S[0] ), .A0(\ram2e_ufm/N_221 ), 
    +    .F0(\ram2e_ufm/CKE_7s2_0_0_0 ), .F1(\ram2e_ufm/N_221 ));
    +  ram2e_ufm_SLICE_116 \ram2e_ufm/SLICE_116 ( .D1(\Din_c[3] ), .C1(\Din_c[5] ), 
    +    .B1(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_o3 ), .A1(\ram2e_ufm/N_814 ), 
    +    .C0(\Din_c[2] ), .B0(\Din_c[1] ), .A0(\Din_c[0] ), .F0(\ram2e_ufm/N_814 ), 
    +    .F1(\ram2e_ufm/N_851 ));
    +  ram2e_ufm_SLICE_117 \ram2e_ufm/SLICE_117 ( .D1(\S[1] ), .C1(\S[0] ), 
    +    .B1(\S[3] ), .A1(\S[2] ), .D0(\S[1] ), .C0(\S[2] ), .B0(\S[0] ), 
    +    .A0(\S[3] ), .F0(N_225_i), .F1(\ram2e_ufm/N_643 ));
    +  ram2e_ufm_SLICE_118 \ram2e_ufm/SLICE_118 ( .D1(\S[1] ), .C1(\S[0] ), 
    +    .B1(\S[3] ), .A1(\S[2] ), .D0(\S[1] ), .C0(\S[2] ), .B0(\S[0] ), 
    +    .A0(\S[3] ), .F0(N_201_i), .F1(RC12));
    +  ram2e_ufm_SLICE_119 \ram2e_ufm/SLICE_119 ( .D1(\S[2] ), .C1(\S[3] ), 
    +    .B1(\S[1] ), .A1(\S[0] ), .D0(\S[3] ), .C0(\S[2] ), .B0(\S[1] ), 
    +    .A0(\S[0] ), .F0(N_126), .F1(N_185_i));
    +  ram2e_ufm_SLICE_120 \ram2e_ufm/SLICE_120 ( .D1(\S[3] ), .C1(\S[0] ), 
    +    .B1(\RWBank[0] ), .A1(\FS[15] ), .D0(\S[3] ), .C0(\S[0] ), 
    +    .B0(\RWBank[0] ), .A0(\FS[15] ), .F0(N_507_i), .F1(N_508));
    +  ram2e_ufm_SLICE_121 \ram2e_ufm/SLICE_121 ( .D1(\S[3] ), .C1(\S[2] ), 
    +    .B1(\S[1] ), .A1(\S[0] ), .D0(\S[3] ), .C0(\S[2] ), .B0(\S[1] ), 
    +    .A0(\S[0] ), .F0(\ram2e_ufm/N_242 ), .F1(Vout3));
    +  ram2e_ufm_SLICE_122 \ram2e_ufm/SLICE_122 ( .D1(\FS[4] ), .C1(\FS[3] ), 
    +    .B1(\FS[2] ), .A1(\FS[1] ), .D0(\FS[4] ), .C0(\FS[3] ), .B0(\FS[2] ), 
    +    .A0(\FS[1] ), .F0(\ram2e_ufm/N_254 ), .F1(\ram2e_ufm/nRWE_s_i_0_63_1 ));
    +  ram2e_ufm_SLICE_123 \ram2e_ufm/SLICE_123 ( .C1(\FS[11] ), .B1(\FS[9] ), 
    +    .A1(\FS[8] ), .D0(\FS[8] ), .C0(\FS[9] ), .B0(\FS[11] ), .A0(\FS[10] ), 
    +    .F0(\ram2e_ufm/N_849 ), .F1(\ram2e_ufm/N_204 ));
    +  ram2e_ufm_SLICE_124 \ram2e_ufm/SLICE_124 ( .D1(\ram2e_ufm/N_784 ), 
    +    .C1(\FS[12] ), .B1(\FS[4] ), .A1(\FS[3] ), .D0(\FS[4] ), 
    +    .C0(\ram2e_ufm/N_784 ), .B0(\FS[1] ), .A0(\FS[3] ), .F0(\ram2e_ufm/N_684 ), 
    +    .F1(\ram2e_ufm/RA_35_0_0_0[5] ));
    +  ram2e_ufm_SLICE_125 \ram2e_ufm/SLICE_125 ( .D1(\FS[13] ), .C1(\FS[11] ), 
    +    .B1(\FS[9] ), .A1(\FS[8] ), .D0(\ram2e_ufm/N_793 ), .C0(\FS[11] ), 
    +    .B0(\FS[9] ), .A0(\FS[8] ), .F0(\ram2e_ufm/N_763 ), .F1(\ram2e_ufm/N_565 ));
    +  ram2e_ufm_SLICE_126 \ram2e_ufm/SLICE_126 ( .D1(\FS[12] ), .C1(\FS[10] ), 
    +    .B1(\FS[9] ), .A1(\FS[8] ), .D0(\ram2e_ufm/N_781 ), .C0(\FS[12] ), 
    +    .B0(\FS[10] ), .A0(\FS[9] ), .F0(\ram2e_ufm/N_753 ), 
    +    .F1(\ram2e_ufm/N_208 ));
    +  ram2e_ufm_SLICE_127 \ram2e_ufm/SLICE_127 ( .D1(\S[3] ), .C1(\S[2] ), 
    +    .B1(\S[1] ), .A1(\S[0] ), .D0(\S[3] ), .C0(\S[2] ), .B0(\S[0] ), 
    +    .A0(\RWBank[7] ), .F0(\ram2e_ufm/N_698 ), .F1(un9_VOEEN_0_a2_0_a3_0_a3));
    +  ram2e_ufm_SLICE_128 \ram2e_ufm/SLICE_128 ( .D1(\FS[13] ), .C1(\FS[12] ), 
    +    .B1(\FS[11] ), .A1(\FS[10] ), .C0(\FS[13] ), .B0(\FS[12] ), .A0(\FS[10] ), 
    +    .F0(\ram2e_ufm/wb_adr_7_5_41_a3_3_0 ), 
    +    .F1(\ram2e_ufm/wb_dati_7_0_0_0_a3_0[0] ));
    +  ram2e_ufm_SLICE_129 \ram2e_ufm/SLICE_129 ( .D1(\S[0] ), .C1(\RWBank[6] ), 
    +    .B1(\ram2e_ufm/N_560 ), .A1(\FS[4] ), .D0(N_551), .C0(\S[0] ), 
    +    .B0(\FS[1] ), .A0(\FS[4] ), .F0(\ram2e_ufm/N_627 ), .F1(\BA_4[1] ));
    +  ram2e_ufm_SLICE_130 \ram2e_ufm/SLICE_130 ( .B1(\ram2e_ufm/N_185 ), 
    +    .A1(RWSel), .D0(\ram2e_ufm/N_185 ), .C0(RWSel), .B0(\ram2e_ufm/N_777 ), 
    +    .A0(\ram2e_ufm/CmdExecMXO2 ), .F0(\ram2e_ufm/wb_we_RNO_0 ), .F1(N_187_i));
    +  ram2e_ufm_SLICE_131 \ram2e_ufm/SLICE_131 ( .D1(\FS[13] ), .C1(\FS[12] ), 
    +    .B1(\FS[3] ), .A1(\FS[1] ), .D0(\ram2e_ufm/N_856 ), .C0(\ram2e_ufm/N_811 ), 
    +    .B0(\FS[13] ), .A0(\FS[12] ), .F0(\ram2e_ufm/N_602 ), 
    +    .F1(\ram2e_ufm/Ready3_0_a3_5 ));
    +  ram2e_ufm_SLICE_132 \ram2e_ufm/SLICE_132 ( .D1(\RA[0] ), 
    +    .C1(\ram2e_ufm/N_186 ), .B1(\ram2e_ufm/N_182 ), .A1(\Ain_c[0] ), 
    +    .D0(\RA[7] ), .C0(\ram2e_ufm/N_186 ), .B0(\ram2e_ufm/N_182 ), 
    +    .A0(\Ain_c[7] ), .F0(\ram2e_ufm/RA_35_0_0_0_0[7] ), 
    +    .F1(\ram2e_ufm/RA_35_0_0_1[0] ));
    +  ram2e_ufm_SLICE_133 \ram2e_ufm/SLICE_133 ( .D1(RWSel), .C1(\Din_c[4] ), 
    +    .B1(\Din_c[2] ), .A1(\Din_c[0] ), .C0(\ram2e_ufm/N_338 ), .B0(\Din_c[4] ), 
    +    .A0(\Din_c[2] ), .F0(\ram2e_ufm/N_350 ), 
    +    .F1(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_0_1 ));
    +  ram2e_ufm_SLICE_134 \ram2e_ufm/SLICE_134 ( .D1(\FS[6] ), .C1(\FS[3] ), 
    +    .B1(\FS[2] ), .A1(\FS[1] ), .D0(\ram2e_ufm/N_792 ), .C0(\FS[9] ), 
    +    .B0(\FS[6] ), .A0(\FS[3] ), .F0(\ram2e_ufm/N_679 ), 
    +    .F1(\ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_o3_3[0] ));
    +  ram2e_ufm_SLICE_135 \ram2e_ufm/SLICE_135 ( .B1(\S[3] ), .A1(\S[2] ), 
    +    .D0(nEN80_c), .C0(\S[3] ), .B0(\S[2] ), .A0(\ram2e_ufm/S_r_i_0_o2[1] ), 
    +    .F0(\ram2e_ufm/N_625 ), .F1(\ram2e_ufm/N_271 ));
    +  ram2e_ufm_SLICE_136 \ram2e_ufm/SLICE_136 ( .C1(nWE_c), .B1(nEN80_c), 
    +    .A1(\S[3] ), .C0(nWE_c), .B0(nEN80_c), .A0(DOEEN), .F0(nDOE_c), 
    +    .F1(\ram2e_ufm/N_226 ));
    +  ram2e_ufm_SLICE_137 \ram2e_ufm/SLICE_137 ( .C1(nWE_c), .B1(nEN80_c), 
    +    .A1(Ready), .C0(nEN80_c), .B0(Ready), .A0(\ram2e_ufm/LEDEN ), .F0(LED_c), 
    +    .F1(RDOE_i));
    +  SLICE_138 SLICE_138( .C1(\S[0] ), .B1(\S[1] ), .A1(\S[3] ), .C0(\S[0] ), 
    +    .B0(\S[1] ), .A0(\S[2] ), .F0(N_1080_0), .F1(N_1078_0));
    +  SLICE_139 SLICE_139( .B1(VOEEN), .A1(PHI1_c), .C0(Ready), .B0(PHI1r), 
    +    .A0(PHI1_c), .F0(S_1), .F1(nVOE_c));
    +  ram2e_ufm_SLICE_140 \ram2e_ufm/SLICE_140 ( .B1(\RA[2] ), 
    +    .A1(\ram2e_ufm/N_186 ), .B0(\RA[5] ), .A0(\ram2e_ufm/N_186 ), 
    +    .F0(\ram2e_ufm/N_621 ), .F1(\ram2e_ufm/N_680 ));
    +  ram2e_ufm_SLICE_141 \ram2e_ufm/SLICE_141 ( .B1(Ready), .A1(\Din_c[0] ), 
    +    .B0(Ready), .A0(\Din_c[3] ), .F0(N_263_i), .F1(N_667));
    +  ram2e_ufm_SLICE_142 \ram2e_ufm/SLICE_142 ( .C1(\Din_c[4] ), .B1(\Din_c[7] ), 
    +    .A1(\Din_c[0] ), .B0(Ready), .A0(\Din_c[4] ), .F0(N_648), 
    +    .F1(\ram2e_ufm/CmdLEDGet_3_0_a3_1 ));
    +  ram2e_ufm_SLICE_143 \ram2e_ufm/SLICE_143 ( .B1(Ready), .A1(\Din_c[1] ), 
    +    .B0(Ready), .A0(\Din_c[7] ), .F0(N_662), .F1(N_666));
    +  ram2e_ufm_SLICE_144 \ram2e_ufm/SLICE_144 ( .B1(Ready), .A1(\Din_c[2] ), 
    +    .B0(Ready), .A0(\Din_c[6] ), .F0(N_663), .F1(N_665));
    +  ram2e_ufm_SLICE_145 \ram2e_ufm/SLICE_145 ( .D1(\ram2e_ufm/wb_adr[4] ), 
    +    .C1(\S[2] ), .B1(\ram2e_ufm/N_873 ), .A1(\ram2e_ufm/N_783 ), .B0(\FS[9] ), 
    +    .A0(\FS[8] ), .F0(\ram2e_ufm/N_206 ), .F1(\ram2e_ufm/wb_dati_7_0_0_0[4] ));
    +  ram2e_ufm_SLICE_146 \ram2e_ufm/SLICE_146 ( .D1(\RWBank[2] ), 
    +    .C1(\ram2e_ufm/N_845 ), .B1(\ram2e_ufm/N_784 ), .A1(\FS[4] ), .D0(\FS[7] ), 
    +    .C0(\FS[6] ), .B0(\FS[5] ), .A0(\FS[0] ), .F0(\ram2e_ufm/Ready3_0_a3_3 ), 
    +    .F1(\ram2e_ufm/RA_35_0_0_0[9] ));
    +  ram2e_ufm_SLICE_147 \ram2e_ufm/SLICE_147 ( .D1(\ram2e_ufm/LEDEN ), 
    +    .C1(CmdSetRWBankFFLED), .B1(\ram2e_ufm/CmdSetRWBankFFChip ), 
    +    .A1(CmdLEDGet), .B0(Ready), .A0(\Din_c[5] ), .F0(N_664), 
    +    .F1(\ram2e_ufm/N_188 ));
    +  RD_0_ \RD[0]_I ( .PADDI(\RD_in[0] ), .PADDT(RDOE_i), .PADDO(N_667), 
         .RD0(RD[0]));
       LED LED_I( .PADDO(LED_c), .LED(LED));
       C14M C14M_I( .PADDI(C14M_c), .C14M(C14M));
    -  DQMH DQMH_I( .IOLDO(DQMH_c), .DQMH(DQMH));
    -  DQMH_MGIOL DQMH_MGIOL( .IOLDO(DQMH_c), .OPOS(N_358_i), .CLK(C14M_c));
    -  DQML DQML_I( .IOLDO(DQML_c), .DQML(DQML));
    -  DQML_MGIOL DQML_MGIOL( .IOLDO(DQML_c), .OPOS(N_28_i), .CLK(C14M_c));
    -  RD_7_ \RD[7]_I ( .PADDI(\RD_in[7] ), .PADDT(RDOE_i), .PADDO(\Din_c[7] ), 
    +  RD_7_ \RD[7]_I ( .PADDI(\RD_in[7] ), .PADDT(RDOE_i), .PADDO(N_662), 
         .RD7(RD[7]));
    -  RD_6_ \RD[6]_I ( .PADDI(\RD_in[6] ), .PADDT(RDOE_i), .PADDO(\Din_c[6] ), 
    +  RD_6_ \RD[6]_I ( .PADDI(\RD_in[6] ), .PADDT(RDOE_i), .PADDO(N_663), 
         .RD6(RD[6]));
    -  RD_5_ \RD[5]_I ( .PADDI(\RD_in[5] ), .PADDT(RDOE_i), .PADDO(\Din_c[5] ), 
    +  RD_5_ \RD[5]_I ( .PADDI(\RD_in[5] ), .PADDT(RDOE_i), .PADDO(N_664), 
         .RD5(RD[5]));
    -  RD_4_ \RD[4]_I ( .PADDI(\RD_in[4] ), .PADDT(RDOE_i), .PADDO(\Din_c[4] ), 
    +  RD_4_ \RD[4]_I ( .PADDI(\RD_in[4] ), .PADDT(RDOE_i), .PADDO(N_648), 
         .RD4(RD[4]));
    -  RD_3_ \RD[3]_I ( .PADDI(\RD_in[3] ), .PADDT(RDOE_i), .PADDO(\Din_c[3] ), 
    +  RD_3_ \RD[3]_I ( .PADDI(\RD_in[3] ), .PADDT(RDOE_i), .PADDO(N_263_i), 
         .RD3(RD[3]));
    -  RD_2_ \RD[2]_I ( .PADDI(\RD_in[2] ), .PADDT(RDOE_i), .PADDO(\Din_c[2] ), 
    +  RD_2_ \RD[2]_I ( .PADDI(\RD_in[2] ), .PADDT(RDOE_i), .PADDO(N_665), 
         .RD2(RD[2]));
    -  RD_1_ \RD[1]_I ( .PADDI(\RD_in[1] ), .PADDT(RDOE_i), .PADDO(\Din_c[1] ), 
    +  RD_1_ \RD[1]_I ( .PADDI(\RD_in[1] ), .PADDT(RDOE_i), .PADDO(N_666), 
         .RD1(RD[1]));
    -  RA_11_ \RA[11]_I ( .IOLDO(\RA_c[11] ), .RA11(RA[11]));
    -  RA_11__MGIOL \RA[11]_MGIOL ( .IOLDO(\RA_c[11] ), .OPOS(\RA_42[11] ), 
    +  DQMH DQMH_I( .IOLDO(DQMH_c), .DQMH(DQMH));
    +  DQMH_MGIOL DQMH_MGIOL( .IOLDO(DQMH_c), .OPOS(N_508), .CE(N_201_i), 
         .CLK(C14M_c));
    -  RA_10_ \RA[10]_I ( .IOLDO(\RA_c[10] ), .RA10(RA[10]));
    -  RA_10__MGIOL \RA[10]_MGIOL ( .IOLDO(\RA_c[10] ), .OPOS(\RA_42[10] ), 
    +  DQML DQML_I( .IOLDO(DQML_c), .DQML(DQML));
    +  DQML_MGIOL DQML_MGIOL( .IOLDO(DQML_c), .OPOS(N_507_i), .CE(N_201_i), 
    +    .CLK(C14M_c));
    +  RAout_11_ \RAout[11]_I ( .IOLDO(\RAout_c[11] ), .RAout11(RAout[11]));
    +  RAout_11__MGIOL \RAout[11]_MGIOL ( .IOLDO(\RAout_c[11] ), .OPOS(\RA[11] ), 
    +    .CLK(C14M_c));
    +  RAout_10_ \RAout[10]_I ( .IOLDO(\RAout_c[10] ), .RAout10(RAout[10]));
    +  RAout_10__MGIOL \RAout[10]_MGIOL ( .IOLDO(\RAout_c[10] ), .OPOS(\RA[10] ), 
    +    .CLK(C14M_c));
    +  RAout_9_ \RAout[9]_I ( .IOLDO(\RAout_c[9] ), .RAout9(RAout[9]));
    +  RAout_9__MGIOL \RAout[9]_MGIOL ( .IOLDO(\RAout_c[9] ), .OPOS(\RA[9] ), 
    +    .CLK(C14M_c));
    +  RAout_8_ \RAout[8]_I ( .IOLDO(\RAout_c[8] ), .RAout8(RAout[8]));
    +  RAout_8__MGIOL \RAout[8]_MGIOL ( .IOLDO(\RAout_c[8] ), .OPOS(\RA[8] ), 
    +    .CLK(C14M_c));
    +  RAout_7_ \RAout[7]_I ( .IOLDO(\RAout_c[7] ), .RAout7(RAout[7]));
    +  RAout_7__MGIOL \RAout[7]_MGIOL ( .IOLDO(\RAout_c[7] ), .OPOS(\RA[7] ), 
    +    .CLK(C14M_c));
    +  RAout_6_ \RAout[6]_I ( .IOLDO(\RAout_c[6] ), .RAout6(RAout[6]));
    +  RAout_6__MGIOL \RAout[6]_MGIOL ( .IOLDO(\RAout_c[6] ), .OPOS(\RA[6] ), 
    +    .CLK(C14M_c));
    +  RAout_5_ \RAout[5]_I ( .IOLDO(\RAout_c[5] ), .RAout5(RAout[5]));
    +  RAout_5__MGIOL \RAout[5]_MGIOL ( .IOLDO(\RAout_c[5] ), .OPOS(\RA[5] ), 
    +    .CLK(C14M_c));
    +  RAout_4_ \RAout[4]_I ( .IOLDO(\RAout_c[4] ), .RAout4(RAout[4]));
    +  RAout_4__MGIOL \RAout[4]_MGIOL ( .IOLDO(\RAout_c[4] ), .OPOS(\RA[4] ), 
    +    .CLK(C14M_c));
    +  RAout_3_ \RAout[3]_I ( .IOLDO(\RAout_c[3] ), .RAout3(RAout[3]));
    +  RAout_3__MGIOL \RAout[3]_MGIOL ( .IOLDO(\RAout_c[3] ), .OPOS(\RA[3] ), 
    +    .CLK(C14M_c));
    +  RAout_2_ \RAout[2]_I ( .IOLDO(\RAout_c[2] ), .RAout2(RAout[2]));
    +  RAout_2__MGIOL \RAout[2]_MGIOL ( .IOLDO(\RAout_c[2] ), .OPOS(\RA[2] ), 
    +    .CLK(C14M_c));
    +  RAout_1_ \RAout[1]_I ( .IOLDO(\RAout_c[1] ), .RAout1(RAout[1]));
    +  RAout_1__MGIOL \RAout[1]_MGIOL ( .IOLDO(\RAout_c[1] ), .OPOS(\RA[1] ), 
    +    .CLK(C14M_c));
    +  RAout_0_ \RAout[0]_I ( .IOLDO(\RAout_c[0] ), .RAout0(RAout[0]));
    +  RAout_0__MGIOL \RAout[0]_MGIOL ( .IOLDO(\RAout_c[0] ), .OPOS(\RA[0] ), 
         .CLK(C14M_c));
    -  RA_9_ \RA[9]_I ( .IOLDO(\RA_c[9] ), .RA9(RA[9]));
    -  RA_9__MGIOL \RA[9]_MGIOL ( .IOLDO(\RA_c[9] ), .OPOS(N_59_i), .CLK(C14M_c));
    -  RA_8_ \RA[8]_I ( .IOLDO(\RA_c[8] ), .RA8(RA[8]));
    -  RA_8__MGIOL \RA[8]_MGIOL ( .IOLDO(\RA_c[8] ), .OPOS(N_49_i), .CLK(C14M_c));
    -  RA_7_ \RA[7]_I ( .IOLDO(\RA_c[7] ), .RA7(RA[7]));
    -  RA_7__MGIOL \RA[7]_MGIOL ( .IOLDO(\RA_c[7] ), .OPOS(N_549_i), 
    -    .CE(\S_RNII9DO1_1[1] ), .CLK(C14M_c));
    -  RA_6_ \RA[6]_I ( .IOLDO(\RA_c[6] ), .RA6(RA[6]));
    -  RA_6__MGIOL \RA[6]_MGIOL ( .IOLDO(\RA_c[6] ), .OPOS(N_550_i), 
    -    .CE(\S_RNII9DO1_1[1] ), .CLK(C14M_c));
    -  RA_5_ \RA[5]_I ( .IOLDO(\RA_c[5] ), .RA5(RA[5]));
    -  RA_5__MGIOL \RA[5]_MGIOL ( .IOLDO(\RA_c[5] ), .OPOS(\RA_42_3_0[5] ), 
    -    .CE(\S_RNII9DO1_1[1] ), .CLK(C14M_c));
    -  RA_4_ \RA[4]_I ( .IOLDO(\RA_c[4] ), .RA4(RA[4]));
    -  RA_4__MGIOL \RA[4]_MGIOL ( .IOLDO(\RA_c[4] ), .OPOS(N_551_i), 
    -    .CE(\S_RNII9DO1_1[1] ), .CLK(C14M_c));
    -  RA_3_ \RA[3]_I ( .PADDO(\RA_c[3] ), .RA3(RA[3]));
    -  RA_2_ \RA[2]_I ( .IOLDO(\RA_c[2] ), .RA2(RA[2]));
    -  RA_2__MGIOL \RA[2]_MGIOL ( .IOLDO(\RA_c[2] ), .OPOS(N_553_i), 
    -    .CE(\S_RNII9DO1_1[1] ), .CLK(C14M_c));
    -  RA_1_ \RA[1]_I ( .IOLDO(\RA_c[1] ), .RA1(RA[1]));
    -  RA_1__MGIOL \RA[1]_MGIOL ( .IOLDO(\RA_c[1] ), .OPOS(N_558_i), 
    -    .CE(\S_RNII9DO1_1[1] ), .CLK(C14M_c));
    -  RA_0_ \RA[0]_I ( .PADDO(\RA_c[0] ), .RA0(RA[0]));
       BA_1_ \BA[1]_I ( .IOLDO(\BA_c[1] ), .BA1(BA[1]));
    -  BA_1__MGIOL \BA[1]_MGIOL ( .IOLDO(\BA_c[1] ), .OPOS(\BA_4[1] ), 
    -    .LSR(N_566_i), .CLK(C14M_c));
    +  BA_1__MGIOL \BA[1]_MGIOL ( .IOLDO(\BA_c[1] ), .OPOS(\BA_4[1] ), .CE(N_225_i), 
    +    .LSR(BA_0_sqmuxa), .CLK(C14M_c));
       BA_0_ \BA[0]_I ( .IOLDO(\BA_c[0] ), .BA0(BA[0]));
    -  BA_0__MGIOL \BA[0]_MGIOL ( .IOLDO(\BA_c[0] ), .OPOS(\BA_4[0] ), 
    -    .LSR(N_566_i), .CLK(C14M_c));
    -  nRWE nRWE_I( .IOLDO(nRWE_c), .nRWE(nRWE));
    -  nRWE_MGIOL nRWE_MGIOL( .IOLDO(nRWE_c), .OPOS(nRWE_r_0), .CLK(C14M_c));
    -  nCAS nCAS_I( .IOLDO(nCAS_c), .nCAS(nCAS));
    -  nCAS_MGIOL nCAS_MGIOL( .IOLDO(nCAS_c), .OPOS(N_561_i), .CLK(C14M_c));
    -  nRAS nRAS_I( .IOLDO(nRAS_c), .nRAS(nRAS));
    -  nRAS_MGIOL nRAS_MGIOL( .IOLDO(nRAS_c), .OPOS(nRAS_2_iv_i), .CLK(C14M_c));
    -  nCS nCS_I( .IOLDO(nCS_c), .nCS(nCS));
    -  nCS_MGIOL nCS_MGIOL( .IOLDO(nCS_c), .OPOS(N_559_i), .CLK(C14M_c));
    -  CKE CKE_I( .IOLDO(CKE_c), .CKE(CKE));
    -  CKE_MGIOL CKE_MGIOL( .IOLDO(CKE_c), .OPOS(CKE_6_iv_i_0), .CLK(C14M_c));
    -  nVOE nVOE_I( .PADDO(PHI1_c), .nVOE(nVOE));
    +  BA_0__MGIOL \BA[0]_MGIOL ( .IOLDO(\BA_c[0] ), .OPOS(\BA_4[0] ), .CE(N_225_i), 
    +    .LSR(BA_0_sqmuxa), .CLK(C14M_c));
    +  nRWEout nRWEout_I( .IOLDO(nRWEout_c), .nRWEout(nRWEout));
    +  nRWEout_MGIOL nRWEout_MGIOL( .IOLDO(nRWEout_c), .OPOS(nRWE), .CLK(C14M_c));
    +  nCASout nCASout_I( .IOLDO(nCASout_c), .nCASout(nCASout));
    +  nCASout_MGIOL nCASout_MGIOL( .IOLDO(nCASout_c), .OPOS(nCAS), .CLK(C14M_c));
    +  nRASout nRASout_I( .IOLDO(nRASout_c), .nRASout(nRASout));
    +  nRASout_MGIOL nRASout_MGIOL( .IOLDO(nRASout_c), .OPOS(nRAS), .CLK(C14M_c));
    +  nCSout nCSout_I( .PADDO(GND), .nCSout(nCSout));
    +  CKEout CKEout_I( .IOLDO(CKEout_c), .CKEout(CKEout));
    +  CKEout_MGIOL CKEout_MGIOL( .IOLDO(CKEout_c), .OPOS(CKE), .CLK(C14M_c));
    +  nVOE nVOE_I( .PADDO(nVOE_c), .nVOE(nVOE));
       Vout_7_ \Vout[7]_I ( .IOLDO(\Vout_c[7] ), .Vout7(Vout[7]));
       Vout_7__MGIOL \Vout[7]_MGIOL ( .IOLDO(\Vout_c[7] ), .OPOS(\RD_in[7] ), 
         .CE(Vout3), .CLK(C14M_c));
    @@ -572,30 +890,14 @@ module RAM2E ( C14M, PHI1, LED, nWE, nWE80, nEN80, nC07X, Ain, Din, Dout, nDOE,
       Vout_0__MGIOL \Vout[0]_MGIOL ( .IOLDO(\Vout_c[0] ), .OPOS(\RD_in[0] ), 
         .CE(Vout3), .CLK(C14M_c));
       nDOE nDOE_I( .PADDO(nDOE_c), .nDOE(nDOE));
    -  Dout_7_ \Dout[7]_I ( .IOLDO(\Dout_c[7] ), .Dout7(Dout[7]));
    -  Dout_7__MGIOL \Dout[7]_MGIOL ( .IOLDO(\Dout_c[7] ), .OPOS(\RD_in[7] ), 
    -    .CE(N_576_i), .CLK(C14M_c));
    -  Dout_6_ \Dout[6]_I ( .IOLDO(\Dout_c[6] ), .Dout6(Dout[6]));
    -  Dout_6__MGIOL \Dout[6]_MGIOL ( .IOLDO(\Dout_c[6] ), .OPOS(\RD_in[6] ), 
    -    .CE(N_576_i), .CLK(C14M_c));
    -  Dout_5_ \Dout[5]_I ( .IOLDO(\Dout_c[5] ), .Dout5(Dout[5]));
    -  Dout_5__MGIOL \Dout[5]_MGIOL ( .IOLDO(\Dout_c[5] ), .OPOS(\RD_in[5] ), 
    -    .CE(N_576_i), .CLK(C14M_c));
    -  Dout_4_ \Dout[4]_I ( .IOLDO(\Dout_c[4] ), .Dout4(Dout[4]));
    -  Dout_4__MGIOL \Dout[4]_MGIOL ( .IOLDO(\Dout_c[4] ), .OPOS(\RD_in[4] ), 
    -    .CE(N_576_i), .CLK(C14M_c));
    -  Dout_3_ \Dout[3]_I ( .IOLDO(\Dout_c[3] ), .Dout3(Dout[3]));
    -  Dout_3__MGIOL \Dout[3]_MGIOL ( .IOLDO(\Dout_c[3] ), .OPOS(\RD_in[3] ), 
    -    .CE(N_576_i), .CLK(C14M_c));
    -  Dout_2_ \Dout[2]_I ( .IOLDO(\Dout_c[2] ), .Dout2(Dout[2]));
    -  Dout_2__MGIOL \Dout[2]_MGIOL ( .IOLDO(\Dout_c[2] ), .OPOS(\RD_in[2] ), 
    -    .CE(N_576_i), .CLK(C14M_c));
    -  Dout_1_ \Dout[1]_I ( .IOLDO(\Dout_c[1] ), .Dout1(Dout[1]));
    -  Dout_1__MGIOL \Dout[1]_MGIOL ( .IOLDO(\Dout_c[1] ), .OPOS(\RD_in[1] ), 
    -    .CE(N_576_i), .CLK(C14M_c));
    -  Dout_0_ \Dout[0]_I ( .IOLDO(\Dout_c[0] ), .Dout0(Dout[0]));
    -  Dout_0__MGIOL \Dout[0]_MGIOL ( .IOLDO(\Dout_c[0] ), .OPOS(\RD_in[0] ), 
    -    .CE(N_576_i), .CLK(C14M_c));
    +  Dout_7_ \Dout[7]_I ( .PADDO(\RD_in[7] ), .Dout7(Dout[7]));
    +  Dout_6_ \Dout[6]_I ( .PADDO(\RD_in[6] ), .Dout6(Dout[6]));
    +  Dout_5_ \Dout[5]_I ( .PADDO(\RD_in[5] ), .Dout5(Dout[5]));
    +  Dout_4_ \Dout[4]_I ( .PADDO(\RD_in[4] ), .Dout4(Dout[4]));
    +  Dout_3_ \Dout[3]_I ( .PADDO(\RD_in[3] ), .Dout3(Dout[3]));
    +  Dout_2_ \Dout[2]_I ( .PADDO(\RD_in[2] ), .Dout2(Dout[2]));
    +  Dout_1_ \Dout[1]_I ( .PADDO(\RD_in[1] ), .Dout1(Dout[1]));
    +  Dout_0_ \Dout[0]_I ( .PADDO(\RD_in[0] ), .Dout0(Dout[0]));
       Din_7_ \Din[7]_I ( .PADDI(\Din_c[7] ), .Din7(Din[7]));
       Din_6_ \Din[6]_I ( .PADDI(\Din_c[6] ), .Din6(Din[6]));
       Din_5_ \Din[5]_I ( .PADDI(\Din_c[5] ), .Din5(Din[5]));
    @@ -614,21 +916,25 @@ module RAM2E ( C14M, PHI1, LED, nWE, nWE80, nEN80, nC07X, Ain, Din, Dout, nDOE,
       Ain_0_ \Ain[0]_I ( .PADDI(\Ain_c[0] ), .Ain0(Ain[0]));
       nC07X nC07X_I( .PADDI(nC07X_c), .nC07X(nC07X));
       nEN80 nEN80_I( .PADDI(nEN80_c), .nEN80(nEN80));
    -  nWE80 nWE80_I( .PADDI(nWE80_c), .nWE80(nWE80));
       nWE nWE_I( .PADDI(nWE_c), .nWE(nWE));
       PHI1 PHI1_I( .PADDI(PHI1_c), .PHI1(PHI1));
    -  PHI1_MGIOL PHI1_MGIOL( .DI(PHI1_c), .CLK(C14M_c), .IN(PHI1reg));
    -  ufmefb_EFBInst_0 \ufmefb/EFBInst_0 ( .WBCLKI(C14M_c), .WBRSTI(wb_rst), 
    -    .WBCYCI(wb_cyc_stb), .WBSTBI(wb_cyc_stb), .WBWEI(wb_we), 
    -    .WBADRI0(\wb_adr[0] ), .WBADRI1(\wb_adr[1] ), .WBADRI2(\wb_adr[2] ), 
    -    .WBADRI3(\wb_adr[3] ), .WBADRI4(\wb_adr[4] ), .WBADRI5(\wb_adr[5] ), 
    -    .WBADRI6(\wb_adr[6] ), .WBADRI7(\wb_adr[7] ), .WBDATI0(\wb_dati[0] ), 
    -    .WBDATI1(\wb_dati[1] ), .WBDATI2(\wb_dati[2] ), .WBDATI3(\wb_dati[3] ), 
    -    .WBDATI4(\wb_dati[4] ), .WBDATI5(\wb_dati[5] ), .WBDATI6(\wb_dati[6] ), 
    -    .WBDATI7(\wb_dati[7] ), .WBDATO0(\wb_dato[0] ), .WBDATO1(\wb_dato[1] ), 
    -    .WBDATO2(\wb_dato[2] ), .WBDATO3(\wb_dato[3] ), .WBDATO4(\wb_dato[4] ), 
    -    .WBDATO5(\wb_dato[5] ), .WBDATO6(\wb_dato[6] ), .WBDATO7(\wb_dato[7] ), 
    -    .WBACKO(wb_ack));
    +  PHI1_MGIOL PHI1_MGIOL( .DI(PHI1_c), .CLK(C14M_c), .IN(PHI1r));
    +  ram2e_ufm_ufmefb_EFBInst_0 \ram2e_ufm/ufmefb/EFBInst_0 ( .WBCLKI(C14M_c), 
    +    .WBRSTI(\ram2e_ufm/wb_rst ), .WBCYCI(\ram2e_ufm/wb_cyc_stb ), 
    +    .WBSTBI(\ram2e_ufm/wb_cyc_stb ), .WBWEI(\ram2e_ufm/wb_we ), 
    +    .WBADRI0(\ram2e_ufm/wb_adr[0] ), .WBADRI1(\ram2e_ufm/wb_adr[1] ), 
    +    .WBADRI2(\ram2e_ufm/wb_adr[2] ), .WBADRI3(\ram2e_ufm/wb_adr[3] ), 
    +    .WBADRI4(\ram2e_ufm/wb_adr[4] ), .WBADRI5(\ram2e_ufm/wb_adr[5] ), 
    +    .WBADRI6(\ram2e_ufm/wb_adr[6] ), .WBADRI7(\ram2e_ufm/wb_adr[7] ), 
    +    .WBDATI0(\ram2e_ufm/wb_dati[0] ), .WBDATI1(\ram2e_ufm/wb_dati[1] ), 
    +    .WBDATI2(\ram2e_ufm/wb_dati[2] ), .WBDATI3(\ram2e_ufm/wb_dati[3] ), 
    +    .WBDATI4(\ram2e_ufm/wb_dati[4] ), .WBDATI5(\ram2e_ufm/wb_dati[5] ), 
    +    .WBDATI6(\ram2e_ufm/wb_dati[6] ), .WBDATI7(\ram2e_ufm/wb_dati[7] ), 
    +    .WBDATO0(\ram2e_ufm/wb_dato[0] ), .WBDATO1(\ram2e_ufm/wb_dato[1] ), 
    +    .WBDATO2(\ram2e_ufm/wb_dato[2] ), .WBDATO3(\ram2e_ufm/wb_dato[3] ), 
    +    .WBDATO4(\ram2e_ufm/wb_dato[4] ), .WBDATO5(\ram2e_ufm/wb_dato[5] ), 
    +    .WBDATO6(\ram2e_ufm/wb_dato[6] ), .WBDATO7(\ram2e_ufm/wb_dato[7] ), 
    +    .WBACKO(\ram2e_ufm/wb_ack ));
       VHI VHI_INST( .Z(VCCI));
       PUR PUR_INST( .PUR(VCCI));
       GSR GSR_INST( .GSR(VCCI));
    @@ -939,20 +1245,62 @@ module SLICE_8 ( input A1, A0, DI1, DI0, CLK, FCI, output F0, Q0, F1, Q1, FCO );
     
     endmodule
     
    -module SLICE_9 ( input C1, B1, A1, B0, A0, DI0, CE, CLK, output F0, Q0, F1 );
    +module SLICE_9 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, Q0, 
    +    F1 );
    +  wire   VCCI, GNDI, DI0_dly, CLK_dly;
    +
    +  lut4 \ram2e_ufm/wb_req_RNO_0 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    +  lut40003 \ram2e_ufm/CKE_7_RNIS77M1 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  vmuxregsre0004 CKE( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), 
    +    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    +  vcc DRIVEVCC( .PWR1(VCCI));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +
    +  specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +    (CLK => Q0) = (0:0:0,0:0:0);
    +    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    +    $width (posedge CLK, 0:0:0);
    +    $width (negedge CLK, 0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module lut4 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'hFFFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module lut40003 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'hAAAB) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module vmuxregsre0004 ( input D0, D1, SD, SP, CK, LSR, output Q );
    +
    +  FL1P3BX INST01( .D0(D0), .D1(D1), .SP(SP), .CK(CK), .SD(SD), .PD(LSR), .Q(Q));
    +  defparam INST01.GSR = "DISABLED";
    +endmodule
    +
    +module SLICE_10 ( input B0, A0, DI0, CE, CLK, output F0, Q0, F1 );
       wire   GNDI, VCCI, DI0_dly, CLK_dly, CE_dly;
     
    -  lut4 S_1( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    +  lut40005 GND( .A(GNDI), .B(GNDI), .C(GNDI), .D(GNDI), .Z(F1));
       gnd DRIVEGND( .PWR0(GNDI));
    -  lut40003 \CmdTout_3_0_a2[0] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0));
    +  lut40006 \ram2e_ufm/CmdTout_3_0_a3_0_a3[0] ( .A(A0), .B(B0), .C(GNDI), 
    +    .D(GNDI), .Z(F0));
       vmuxregsre \CmdTout[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
         .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
       vcc DRIVEVCC( .PWR1(VCCI));
     
       specify
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
         (B0 => F0) = (0:0:0,0:0:0);
         (A0 => F0) = (0:0:0,0:0:0);
         (CLK => Q0) = (0:0:0,0:0:0);
    @@ -964,27 +1312,59 @@ module SLICE_9 ( input C1, B1, A1, B0, A0, DI0, CE, CLK, output F0, Q0, F1 );
     
     endmodule
     
    -module lut4 ( input A, B, C, D, output Z );
    +module lut40005 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h2020) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'h0000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module lut40003 ( input A, B, C, D, output Z );
    +module lut40006 ( input A, B, C, D, output Z );
     
       ROM16X1A #(16'h1111) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module SLICE_10 ( input D1, C1, B1, A1, C0, B0, A0, DI1, DI0, LSR, CLK, 
    -    output F0, Q0, F1, Q1 );
    -  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, LSR_dly;
    +module SLICE_11 ( input B1, A1, C0, B0, A0, DI0, CE, CLK, output F0, Q0, F1 );
    +  wire   GNDI, VCCI, DI0_dly, CLK_dly, CE_dly;
     
    -  lut40004 \CS_RNO[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40005 \CS_RNO[0] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    +  lut40006 \ram2e_ufm/RC_3_0_0_a3_1[1] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), 
    +    .Z(F1));
       gnd DRIVEGND( .PWR0(GNDI));
    -  vmuxregsre0006 \CS[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(VCCI), 
    +  lut40007 \ram2e_ufm/N_360_i ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    +  vmuxregsre \RC[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
    +    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    +  vcc DRIVEVCC( .PWR1(VCCI));
    +
    +  specify
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +    (CLK => Q0) = (0:0:0,0:0:0);
    +    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    +    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    +    $width (posedge CLK, 0:0:0);
    +    $width (negedge CLK, 0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module lut40007 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'h1515) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module SLICE_12 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, LSR, CLK, 
    +    output F0, Q0, F1, Q1 );
    +  wire   VCCI, DI1_dly, CLK_dly, DI0_dly, LSR_dly;
    +
    +  lut40008 \ram2e_ufm/CmdExecMXO2_3_0_a3_0_RNI6S1P8 ( .A(A1), .B(B1), .C(C1), 
    +    .D(D1), .Z(F1));
    +  lut40009 \ram2e_ufm/S_r_i_0_o2_RNIVM0LF[1] ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
    +  vmuxregsre0010 \CS[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(VCCI), 
         .CK(CLK_dly), .LSR(LSR_dly), .Q(Q1));
       vcc DRIVEVCC( .PWR1(VCCI));
    -  vmuxregsre0006 \CS[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), 
    +  vmuxregsre0010 \CS[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), 
         .CK(CLK_dly), .LSR(LSR_dly), .Q(Q0));
     
       specify
    @@ -992,6 +1372,7 @@ module SLICE_10 ( input D1, C1, B1, A1, C0, B0, A0, DI1, DI0, LSR, CLK,
         (C1 => F1) = (0:0:0,0:0:0);
         (B1 => F1) = (0:0:0,0:0:0);
         (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
         (C0 => F0) = (0:0:0,0:0:0);
         (B0 => F0) = (0:0:0,0:0:0);
         (A0 => F0) = (0:0:0,0:0:0);
    @@ -1008,37 +1389,40 @@ module SLICE_10 ( input D1, C1, B1, A1, C0, B0, A0, DI1, DI0, LSR, CLK,
     
     endmodule
     
    -module lut40004 ( input A, B, C, D, output Z );
    +module lut40008 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'hA9AA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'hFF54) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module lut40005 ( input A, B, C, D, output Z );
    +module lut40009 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'hA9A9) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'h00F7) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module vmuxregsre0006 ( input D0, D1, SD, SP, CK, LSR, output Q );
    +module vmuxregsre0010 ( input D0, D1, SD, SP, CK, LSR, output Q );
     
       FL1P3IY INST01( .D0(D0), .D1(D1), .SP(SP), .CK(CK), .SD(SD), .CD(LSR), .Q(Q));
       defparam INST01.GSR = "DISABLED";
     endmodule
     
    -module SLICE_11 ( input C1, B1, A1, C0, B0, A0, DI0, LSR, CLK, output F0, Q0, 
    -    F1 );
    -  wire   GNDI, VCCI, DI0_dly, CLK_dly, LSR_dly;
    +module SLICE_13 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, LSR, CLK, output 
    +    F0, Q0, F1 );
    +  wire   VCCI, DI0_dly, CLK_dly, LSR_dly;
     
    -  lut40007 \CS_RNO_0[2] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -  lut40008 \CS_RNO[2] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    -  vmuxregsre0006 \CS[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), 
    +  lut40011 \ram2e_ufm/CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514_0 ( .A(A1), 
    +    .B(B1), .C(C1), .D(D1), .Z(F1));
    +  lut40012 \ram2e_ufm/CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514 ( .A(A0), .B(B0), 
    +    .C(C0), .D(D0), .Z(F0));
    +  vmuxregsre0010 \CS[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), 
         .CK(CLK_dly), .LSR(LSR_dly), .Q(Q0));
       vcc DRIVEVCC( .PWR1(VCCI));
     
       specify
    +    (D1 => F1) = (0:0:0,0:0:0);
         (C1 => F1) = (0:0:0,0:0:0);
         (B1 => F1) = (0:0:0,0:0:0);
         (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
         (C0 => F0) = (0:0:0,0:0:0);
         (B0 => F0) = (0:0:0,0:0:0);
         (A0 => F0) = (0:0:0,0:0:0);
    @@ -1053,138 +1437,34 @@ module SLICE_11 ( input C1, B1, A1, C0, B0, A0, DI0, LSR, CLK, output F0, Q0,
     
     endmodule
     
    -module lut40007 ( input A, B, C, D, output Z );
    -
    -  ROM16X1A #(16'h0202) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module lut40008 ( input A, B, C, D, output Z );
    -
    -  ROM16X1A #(16'h6C6C) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module SLICE_12 ( input C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, 
    -    Q0, F1 );
    -  wire   GNDI, VCCI, DI0_dly, CLK_dly, CE_dly;
    -
    -  lut40009 CmdBitbangMXO2_4_u_0_0_a2_0_1( .A(A1), .B(B1), .C(C1), .D(GNDI), 
    -    .Z(F1));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -  lut40010 CmdBitbangMXO2_4_u_0_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    -  vmuxregsre CmdBitbangMXO2( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
    -    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    -  vcc DRIVEVCC( .PWR1(VCCI));
    -
    -  specify
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (D0 => F0) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -    (CLK => Q0) = (0:0:0,0:0:0);
    -    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    -    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    -    $width (posedge CLK, 0:0:0);
    -    $width (negedge CLK, 0:0:0);
    -  endspecify
    -
    -endmodule
    -
    -module lut40009 ( input A, B, C, D, output Z );
    -
    -  ROM16X1A #(16'h4040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module lut40010 ( input A, B, C, D, output Z );
    -
    -  ROM16X1A #(16'hC0EA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module SLICE_13 ( input C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, 
    -    Q0, F1 );
    -  wire   GNDI, VCCI, DI0_dly, CLK_dly, CE_dly;
    -
    -  lut40011 un1_CS_0_sqmuxa_0_0_a2_7( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -  lut40010 CmdExecMXO2_4_u_0_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    -  vmuxregsre CmdExecMXO2( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
    -    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    -  vcc DRIVEVCC( .PWR1(VCCI));
    -
    -  specify
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (D0 => F0) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -    (CLK => Q0) = (0:0:0,0:0:0);
    -    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    -    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    -    $width (posedge CLK, 0:0:0);
    -    $width (negedge CLK, 0:0:0);
    -  endspecify
    -
    -endmodule
    -
     module lut40011 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h8080) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module SLICE_14 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output 
    -    F0, Q0, F1 );
    -  wire   VCCI, GNDI, DI0_dly, CLK_dly, CE_dly;
    -
    -  lut40012 CmdLEDGet_4_u_0_0_a2_0_2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40010 CmdLEDGet_4_u_0_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    -  vmuxregsre CmdLEDGet( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
    -    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    -  vcc DRIVEVCC( .PWR1(VCCI));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -
    -  specify
    -    (D1 => F1) = (0:0:0,0:0:0);
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (D0 => F0) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -    (CLK => Q0) = (0:0:0,0:0:0);
    -    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    -    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    -    $width (posedge CLK, 0:0:0);
    -    $width (negedge CLK, 0:0:0);
    -  endspecify
    -
    +  ROM16X1A #(16'hA2A0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
     module lut40012 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h0800) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'hC4C6) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module SLICE_15 ( input D1, C1, B1, A1, C0, B0, A0, DI0, CE, CLK, output F0, 
    +module SLICE_14 ( input C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, 
         Q0, F1 );
       wire   GNDI, VCCI, DI0_dly, CLK_dly, CE_dly;
     
    -  lut40013 CmdLEDSet_4_u_0_0_a2_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40014 CmdLEDSet_4_u_0_0_0( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    +  lut40013 \ram2e_ufm/CmdLEDGet_3_0_a3_0 ( .A(A1), .B(B1), .C(C1), .D(GNDI), 
    +    .Z(F1));
       gnd DRIVEGND( .PWR0(GNDI));
    -  vmuxregsre CmdLEDSet( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
    +  lut40014 \ram2e_ufm/CmdLEDGet_3_0_a3 ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
    +  vmuxregsre CmdLEDGet( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
         .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
       vcc DRIVEVCC( .PWR1(VCCI));
     
       specify
    -    (D1 => F1) = (0:0:0,0:0:0);
         (C1 => F1) = (0:0:0,0:0:0);
         (B1 => F1) = (0:0:0,0:0:0);
         (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
         (C0 => F0) = (0:0:0,0:0:0);
         (B0 => F0) = (0:0:0,0:0:0);
         (A0 => F0) = (0:0:0,0:0:0);
    @@ -1199,23 +1479,24 @@ endmodule
     
     module lut40013 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h2000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'h4040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
     module lut40014 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'hCECE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'h2000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module SLICE_16 ( input C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, 
    +module SLICE_15 ( input C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, 
         Q0, F1 );
       wire   GNDI, VCCI, DI0_dly, CLK_dly, CE_dly;
     
    -  lut40007 CmdBitbangMXO2_4_u_0_0_a2_1( .A(A1), .B(B1), .C(C1), .D(GNDI), 
    -    .Z(F1));
    +  lut40013 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_5_1 ( .A(A1), .B(B1), .C(C1), 
    +    .D(GNDI), .Z(F1));
       gnd DRIVEGND( .PWR0(GNDI));
    -  lut40010 CmdRWMaskSet_4_u_0_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    -  vmuxregsre CmdRWMaskSet( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
    +  lut40015 \ram2e_ufm/CmdLEDSet_3_0_a8_0_a3 ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
    +  vmuxregsre CmdLEDSet( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
         .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
       vcc DRIVEVCC( .PWR1(VCCI));
     
    @@ -1236,24 +1517,30 @@ module SLICE_16 ( input C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0,
     
     endmodule
     
    -module SLICE_17 ( input D1, C1, B1, A1, C0, B0, A0, DI0, CE, CLK, output F0, 
    -    Q0, F1 );
    -  wire   GNDI, VCCI, DI0_dly, CLK_dly, CE_dly;
    +module lut40015 ( input A, B, C, D, output Z );
     
    -  lut40015 CmdSetRWBankFFLED_4_u_0_0_a2_0( .A(A1), .B(B1), .C(C1), .D(D1), 
    -    .Z(F1));
    -  lut40014 CmdSetRWBankFFLED_4_u_0_0_0( .A(A0), .B(B0), .C(C0), .D(GNDI), 
    +  ROM16X1A #(16'h0080) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module SLICE_16 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output 
    +    F0, Q0, F1 );
    +  wire   VCCI, GNDI, DI0_dly, CLK_dly, CE_dly;
    +
    +  lut40016 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_9 ( .A(A1), .B(B1), .C(C1), 
    +    .D(D1), .Z(F1));
    +  lut40017 \ram2e_ufm/CmdRWMaskSet_3_0_a3 ( .A(A0), .B(B0), .C(C0), .D(D0), 
         .Z(F0));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -  vmuxregsre CmdSetRWBankFFLED( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
    -    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    +  vmuxregsre CmdRWMaskSet( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
    +    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
       vcc DRIVEVCC( .PWR1(VCCI));
    +  gnd DRIVEGND( .PWR0(GNDI));
     
       specify
         (D1 => F1) = (0:0:0,0:0:0);
         (C1 => F1) = (0:0:0,0:0:0);
         (B1 => F1) = (0:0:0,0:0:0);
         (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
         (C0 => F0) = (0:0:0,0:0:0);
         (B0 => F0) = (0:0:0,0:0:0);
         (A0 => F0) = (0:0:0,0:0:0);
    @@ -1266,21 +1553,26 @@ module SLICE_17 ( input D1, C1, B1, A1, C0, B0, A0, DI0, CE, CLK, output F0,
     
     endmodule
     
    -module lut40015 ( input A, B, C, D, output Z );
    +module lut40016 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h4000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'h0001) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module SLICE_18 ( input C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, 
    +module lut40017 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'h0020) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module SLICE_17 ( input C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, 
         Q0, F1 );
       wire   GNDI, VCCI, DI0_dly, CLK_dly, CE_dly;
     
    -  lut40011 CmdSetRWBankFFLED_4_u_0_0_a2_1( .A(A1), .B(B1), .C(C1), .D(GNDI), 
    +  lut40018 \ram2e_ufm/CmdRWMaskSet_3_0_a3_0 ( .A(A1), .B(B1), .C(C1), .D(GNDI), 
         .Z(F1));
       gnd DRIVEGND( .PWR0(GNDI));
    -  lut40010 CmdSetRWBankFFMXO2_4_u_0_0_0( .A(A0), .B(B0), .C(C0), .D(D0), 
    -    .Z(F0));
    -  vmuxregsre CmdSetRWBankFFMXO2( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
    +  lut40019 \ram2e_ufm/CmdSetRWBankFFLED_4_0_a8_0_a3 ( .A(A0), .B(B0), .C(C0), 
    +    .D(D0), .Z(F0));
    +  vmuxregsre CmdSetRWBankFFLED( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
         .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
       vcc DRIVEVCC( .PWR1(VCCI));
     
    @@ -1301,12 +1593,22 @@ module SLICE_18 ( input C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0,
     
     endmodule
     
    -module SLICE_19 ( input D1, C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output 
    +module lut40018 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'h1010) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module lut40019 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'h4000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module SLICE_18 ( input D1, C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output 
         F0, Q0, F1, Q1 );
       wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
     
    -  lut40016 \CmdTout_RNO[2] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40017 \CmdTout_RNO[1] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    +  lut40020 \ram2e_ufm/N_369_i ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    +  lut40021 \ram2e_ufm/N_368_i ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
       gnd DRIVEGND( .PWR0(GNDI));
       vmuxregsre \CmdTout[2] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
         .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
    @@ -1333,26 +1635,65 @@ module SLICE_19 ( input D1, C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output
     
     endmodule
     
    -module lut40016 ( input A, B, C, D, output Z );
    +module lut40020 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h006A) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'h0078) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module lut40017 ( input A, B, C, D, output Z );
    +module lut40021 ( input A, B, C, D, output Z );
     
       ROM16X1A #(16'h0606) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module SLICE_20 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, 
    -    Q0, F1 );
    -  wire   VCCI, GNDI, DI0_dly, CLK_dly;
    +module SLICE_19 ( input B1, A1, B0, A0, M0, LSR, CLK, output F0, Q0, F1 );
    +  wire   GNDI, VCCI, M0_dly, CLK_dly, LSR_dly;
     
    -  lut40018 \S_RNII9DO1_2[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40019 DOEEN_RNO( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    -  vmuxregsre DOEEN( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), 
    -    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    +  lut40022 \ram2e_ufm/SUM0_i_o2 ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40023 \ram2e_ufm/RA_35_i_i_0_a3_0[1] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), 
    +    .Z(F0));
    +  vmuxregsre0010 DOEEN( .D0(M0_dly), .D1(VCCI), .SD(GNDI), .SP(VCCI), 
    +    .CK(CLK_dly), .LSR(LSR_dly), .Q(Q0));
    +  vcc DRIVEVCC( .PWR1(VCCI));
    +
    +  specify
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +    (CLK => Q0) = (0:0:0,0:0:0);
    +    $setuphold (posedge CLK, M0, 0:0:0, 0:0:0,,,, CLK_dly, M0_dly);
    +    $setuphold (posedge CLK, LSR, 0:0:0, 0:0:0,,,, CLK_dly, LSR_dly);
    +    $width (posedge LSR, 0:0:0);
    +    $width (negedge LSR, 0:0:0);
    +    $width (posedge CLK, 0:0:0);
    +    $width (negedge CLK, 0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module lut40022 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'h7777) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module lut40023 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'h8888) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module SLICE_20 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, 
    +    output F0, Q0, F1, Q1 );
    +  wire   VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
    +
    +  lut40024 \ram2e_ufm/RA_35_i_i_0[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    +  lut40025 \ram2e_ufm/RA_35_0_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  vmuxregsre \RA[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
    +    .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
       vcc DRIVEVCC( .PWR1(VCCI));
       gnd DRIVEGND( .PWR0(GNDI));
    +  vmuxregsre \RA[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
    +    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
     
       specify
         (D1 => F1) = (0:0:0,0:0:0);
    @@ -1364,42 +1705,8 @@ module SLICE_20 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0,
         (B0 => F0) = (0:0:0,0:0:0);
         (A0 => F0) = (0:0:0,0:0:0);
         (CLK => Q0) = (0:0:0,0:0:0);
    -    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    -    $width (posedge CLK, 0:0:0);
    -    $width (negedge CLK, 0:0:0);
    -  endspecify
    -
    -endmodule
    -
    -module lut40018 ( input A, B, C, D, output Z );
    -
    -  ROM16X1A #(16'h0008) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module lut40019 ( input A, B, C, D, output Z );
    -
    -  ROM16X1A #(16'hA888) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module SLICE_21 ( input C1, B1, A1, C0, B0, A0, DI0, CE, CLK, output F0, Q0, 
    -    F1 );
    -  wire   GNDI, VCCI, DI0_dly, CLK_dly, CE_dly;
    -
    -  lut40020 \RA_0io_RNO[1] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -  lut40021 LEDEN_RNO( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    -  vmuxregsre LEDEN( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
    -    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    -  vcc DRIVEVCC( .PWR1(VCCI));
    -
    -  specify
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -    (CLK => Q0) = (0:0:0,0:0:0);
    +    (CLK => Q1) = (0:0:0,0:0:0);
    +    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
         $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
         $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
         $width (posedge CLK, 0:0:0);
    @@ -1408,30 +1715,68 @@ module SLICE_21 ( input C1, B1, A1, C0, B0, A0, DI0, CE, CLK, output F0, Q0,
     
     endmodule
     
    -module lut40020 ( input A, B, C, D, output Z );
    +module lut40024 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'hA8A8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'hFFF8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module lut40021 ( input A, B, C, D, output Z );
    +module lut40025 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'hB8B8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'hECFF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module SLICE_22 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, 
    -    Q0, F1, Q1 );
    +module SLICE_21 ( input C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, output 
    +    F0, Q0, F1, Q1 );
       wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
     
    -  lut40020 \RA_RNO[3] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    +  lut40026 \ram2e_ufm/RA_35_0_0[3] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
       gnd DRIVEGND( .PWR0(GNDI));
    -  lut40020 \RA_RNO[0] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    +  lut40024 \ram2e_ufm/RA_35_0_0[2] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
       vmuxregsre \RA[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
         .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
       vcc DRIVEVCC( .PWR1(VCCI));
    -  vmuxregsre \RA[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
    +  vmuxregsre \RA[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
         .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
     
       specify
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +    (CLK => Q0) = (0:0:0,0:0:0);
    +    (CLK => Q1) = (0:0:0,0:0:0);
    +    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
    +    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    +    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    +    $width (posedge CLK, 0:0:0);
    +    $width (negedge CLK, 0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module lut40026 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'hF8F8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module SLICE_22 ( input D1, C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output 
    +    F0, Q0, F1, Q1 );
    +  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
    +
    +  lut40024 \ram2e_ufm/RA_35_0_0[5] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    +  lut40026 \ram2e_ufm/RA_35_0_0[4] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  vmuxregsre \RA[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
    +    .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
    +  vcc DRIVEVCC( .PWR1(VCCI));
    +  vmuxregsre \RA[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
    +    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    +
    +  specify
    +    (D1 => F1) = (0:0:0,0:0:0);
         (C1 => F1) = (0:0:0,0:0:0);
         (B1 => F1) = (0:0:0,0:0:0);
         (A1 => F1) = (0:0:0,0:0:0);
    @@ -1453,9 +1798,160 @@ module SLICE_23 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0,
         Q0, F1, Q1 );
       wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
     
    -  lut40014 \RWBank_5_0[1] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    +  lut40026 \ram2e_ufm/RA_35_0_0_0[7] ( .A(A1), .B(B1), .C(C1), .D(GNDI), 
    +    .Z(F1));
       gnd DRIVEGND( .PWR0(GNDI));
    -  lut40014 \RWBank_5_0[0] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    +  lut40026 \ram2e_ufm/RA_35_0_0_0[6] ( .A(A0), .B(B0), .C(C0), .D(GNDI), 
    +    .Z(F0));
    +  vmuxregsre \RA[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
    +    .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
    +  vcc DRIVEVCC( .PWR1(VCCI));
    +  vmuxregsre \RA[6] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
    +    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    +
    +  specify
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +    (CLK => Q0) = (0:0:0,0:0:0);
    +    (CLK => Q1) = (0:0:0,0:0:0);
    +    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
    +    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    +    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    +    $width (posedge CLK, 0:0:0);
    +    $width (negedge CLK, 0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module SLICE_24 ( input C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, output 
    +    F0, Q0, F1, Q1 );
    +  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
    +
    +  lut40026 \ram2e_ufm/RA_35_0_0[9] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40027 \ram2e_ufm/un2_S_2_i_0_0_o3_RNIHFHN3 ( .A(A0), .B(B0), .C(C0), 
    +    .D(D0), .Z(F0));
    +  vmuxregsre \RA[9] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
    +    .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
    +  vcc DRIVEVCC( .PWR1(VCCI));
    +  vmuxregsre \RA[8] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
    +    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    +
    +  specify
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +    (CLK => Q0) = (0:0:0,0:0:0);
    +    (CLK => Q1) = (0:0:0,0:0:0);
    +    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
    +    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    +    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    +    $width (posedge CLK, 0:0:0);
    +    $width (negedge CLK, 0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module lut40027 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'hFEFC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module SLICE_25 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, 
    +    output F0, Q0, F1, Q1 );
    +  wire   VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
    +
    +  lut40028 \ram2e_ufm/RA_35_0_0[11] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    +  lut4 \ram2e_ufm/RA_35_2_0[10] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  vmuxregsre \RA[11] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
    +    .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
    +  vcc DRIVEVCC( .PWR1(VCCI));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  vmuxregsre \RA[10] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
    +    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    +
    +  specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +    (CLK => Q0) = (0:0:0,0:0:0);
    +    (CLK => Q1) = (0:0:0,0:0:0);
    +    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
    +    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    +    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    +    $width (posedge CLK, 0:0:0);
    +    $width (negedge CLK, 0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module lut40028 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'hECA0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module SLICE_26 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, 
    +    Q0, F1, Q1 );
    +  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
    +
    +  lut40029 \ram2e_ufm/RC_3_0_0[2] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40030 \ram2e_ufm/RC_3_0_0[1] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    +  vmuxregsre \RC[2] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
    +    .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
    +  vcc DRIVEVCC( .PWR1(VCCI));
    +  vmuxregsre \RC[1] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
    +    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    +
    +  specify
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +    (CLK => Q0) = (0:0:0,0:0:0);
    +    (CLK => Q1) = (0:0:0,0:0:0);
    +    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
    +    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    +    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    +    $width (posedge CLK, 0:0:0);
    +    $width (negedge CLK, 0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module lut40029 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'h3838) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module lut40030 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'h4646) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module SLICE_27 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, 
    +    Q0, F1, Q1 );
    +  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
    +
    +  lut40031 \ram2e_ufm/RWBank_3_0[1] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40031 \ram2e_ufm/RWBank_3_0_0[0] ( .A(A0), .B(B0), .C(C0), .D(GNDI), 
    +    .Z(F0));
       vmuxregsre \RWBank[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
         .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
       vcc DRIVEVCC( .PWR1(VCCI));
    @@ -1480,13 +1976,18 @@ module SLICE_23 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0,
     
     endmodule
     
    -module SLICE_24 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, 
    +module lut40031 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'hCECE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module SLICE_28 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, 
         Q0, F1, Q1 );
       wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
     
    -  lut40014 \RWBank_5_0[3] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    +  lut40031 \ram2e_ufm/RWBank_3_0[3] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
       gnd DRIVEGND( .PWR0(GNDI));
    -  lut40014 \RWBank_5_0[2] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    +  lut40031 \ram2e_ufm/RWBank_3_0[2] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
       vmuxregsre \RWBank[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
         .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
       vcc DRIVEVCC( .PWR1(VCCI));
    @@ -1511,13 +2012,14 @@ module SLICE_24 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0,
     
     endmodule
     
    -module SLICE_25 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, 
    +module SLICE_29 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, 
         Q0, F1, Q1 );
       wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
     
    -  lut40014 \RWBank_5_0[5] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    +  lut40031 \ram2e_ufm/RWBank_3_0[5] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
       gnd DRIVEGND( .PWR0(GNDI));
    -  lut40014 \RWBank_5_0[4] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    +  lut40031 \ram2e_ufm/RWBank_3_0_0[4] ( .A(A0), .B(B0), .C(C0), .D(GNDI), 
    +    .Z(F0));
       vmuxregsre \RWBank[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
         .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
       vcc DRIVEVCC( .PWR1(VCCI));
    @@ -1542,13 +2044,13 @@ module SLICE_25 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0,
     
     endmodule
     
    -module SLICE_26 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, 
    +module SLICE_30 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, 
         Q0, F1, Q1 );
       wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
     
    -  lut40022 \RWBank_5_0_0[7] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    +  lut40032 \ram2e_ufm/RWBank_3_0[7] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
       gnd DRIVEGND( .PWR0(GNDI));
    -  lut40014 \RWBank_5_0[6] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    +  lut40031 \ram2e_ufm/RWBank_3_0[6] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
       vmuxregsre \RWBank[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
         .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
       vcc DRIVEVCC( .PWR1(VCCI));
    @@ -1573,152 +2075,25 @@ module SLICE_26 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0,
     
     endmodule
     
    -module lut40022 ( input A, B, C, D, output Z );
    +module lut40032 ( input A, B, C, D, output Z );
     
       ROM16X1A #(16'hECEC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module SLICE_27 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, 
    -    Q0, F1, Q1 );
    -  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
    +module SLICE_31 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output 
    +    F0, Q0, F1 );
    +  wire   VCCI, GNDI, DI0_dly, CLK_dly, CE_dly;
     
    -  lut40023 \RWMask_RNO[1] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -  lut40023 \RWMask_RNO[0] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    -  vmuxregsre \RWMask[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
    -    .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
    -  vcc DRIVEVCC( .PWR1(VCCI));
    -  vmuxregsre \RWMask[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
    -    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    -
    -  specify
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -    (CLK => Q0) = (0:0:0,0:0:0);
    -    (CLK => Q1) = (0:0:0,0:0:0);
    -    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
    -    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    -    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    -    $width (posedge CLK, 0:0:0);
    -    $width (negedge CLK, 0:0:0);
    -  endspecify
    -
    -endmodule
    -
    -module lut40023 ( input A, B, C, D, output Z );
    -
    -  ROM16X1A #(16'h7474) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module SLICE_28 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, 
    -    Q0, F1, Q1 );
    -  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
    -
    -  lut40023 \RWMask_RNO[3] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -  lut40023 \RWMask_RNO[2] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    -  vmuxregsre \RWMask[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
    -    .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
    -  vcc DRIVEVCC( .PWR1(VCCI));
    -  vmuxregsre \RWMask[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
    -    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    -
    -  specify
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -    (CLK => Q0) = (0:0:0,0:0:0);
    -    (CLK => Q1) = (0:0:0,0:0:0);
    -    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
    -    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    -    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    -    $width (posedge CLK, 0:0:0);
    -    $width (negedge CLK, 0:0:0);
    -  endspecify
    -
    -endmodule
    -
    -module SLICE_29 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, 
    -    Q0, F1, Q1 );
    -  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
    -
    -  lut40023 \RWMask_RNO[5] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -  lut40023 \RWMask_RNO[4] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    -  vmuxregsre \RWMask[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
    -    .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
    -  vcc DRIVEVCC( .PWR1(VCCI));
    -  vmuxregsre \RWMask[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
    -    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    -
    -  specify
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -    (CLK => Q0) = (0:0:0,0:0:0);
    -    (CLK => Q1) = (0:0:0,0:0:0);
    -    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
    -    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    -    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    -    $width (posedge CLK, 0:0:0);
    -    $width (negedge CLK, 0:0:0);
    -  endspecify
    -
    -endmodule
    -
    -module SLICE_30 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, 
    -    Q0, F1, Q1 );
    -  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
    -
    -  lut40021 \RWMask_RNO[7] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -  lut40023 \RWMask_RNO[6] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    -  vmuxregsre \RWMask[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
    -    .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
    -  vcc DRIVEVCC( .PWR1(VCCI));
    -  vmuxregsre \RWMask[6] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
    -    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    -
    -  specify
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -    (CLK => Q0) = (0:0:0,0:0:0);
    -    (CLK => Q1) = (0:0:0,0:0:0);
    -    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
    -    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    -    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    -    $width (posedge CLK, 0:0:0);
    -    $width (negedge CLK, 0:0:0);
    -  endspecify
    -
    -endmodule
    -
    -module SLICE_31 ( input C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, 
    -    Q0, F1 );
    -  wire   GNDI, VCCI, DI0_dly, CLK_dly, CE_dly;
    -
    -  lut40024 nDOE_pad_RNO( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -  lut40025 RWSel_2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  lut40033 \ram2e_ufm/RA_35_0_0_0[3] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    +  lut40034 \ram2e_ufm/RWSel_2_0_a3_0_a3 ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
       vmuxregsre RWSel( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
         .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
       vcc DRIVEVCC( .PWR1(VCCI));
    +  gnd DRIVEGND( .PWR0(GNDI));
     
       specify
    +    (D1 => F1) = (0:0:0,0:0:0);
         (C1 => F1) = (0:0:0,0:0:0);
         (B1 => F1) = (0:0:0,0:0:0);
         (A1 => F1) = (0:0:0,0:0:0);
    @@ -1735,12 +2110,12 @@ module SLICE_31 ( input C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0,
     
     endmodule
     
    -module lut40024 ( input A, B, C, D, output Z );
    +module lut40033 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'hDFDF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'hF888) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module lut40025 ( input A, B, C, D, output Z );
    +module lut40034 ( input A, B, C, D, output Z );
     
       ROM16X1A #(16'h0002) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
    @@ -1748,8 +2123,8 @@ endmodule
     module SLICE_32 ( input D1, C1, B1, A1, B0, A0, DI0, CLK, output F0, Q0, F1 );
       wire   GNDI, VCCI, DI0_dly, CLK_dly;
     
    -  lut40026 Ready_0_sqmuxa_0_a2_6_a2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40027 Ready_RNO( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0));
    +  lut40035 \ram2e_ufm/Ready3_0_a3 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    +  lut40036 Ready_RNO( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0));
       gnd DRIVEGND( .PWR0(GNDI));
       vmuxregsre Ready( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), 
         .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    @@ -1770,12 +2145,12 @@ module SLICE_32 ( input D1, C1, B1, A1, B0, A0, DI0, CLK, output F0, Q0, F1 );
     
     endmodule
     
    -module lut40026 ( input A, B, C, D, output Z );
    +module lut40035 ( input A, B, C, D, output Z );
     
       ROM16X1A #(16'h8000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module lut40027 ( input A, B, C, D, output Z );
    +module lut40036 ( input A, B, C, D, output Z );
     
       ROM16X1A #(16'hEEEE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
    @@ -1784,8 +2159,9 @@ module SLICE_33 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CLK, output
         F0, Q0, F1, Q1 );
       wire   VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly;
     
    -  lut40028 \S_RNO[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40029 \S_s_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  lut40037 \ram2e_ufm/S_r_i_0_o2_0_RNI36E21[1] ( .A(A1), .B(B1), .C(C1), 
    +    .D(D1), .Z(F1));
    +  lut40038 \ram2e_ufm/S_s_0_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
       vmuxregsre \S[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(VCCI), 
         .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
       vcc DRIVEVCC( .PWR1(VCCI));
    @@ -1812,22 +2188,24 @@ module SLICE_33 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CLK, output
     
     endmodule
     
    -module lut40028 ( input A, B, C, D, output Z );
    +module lut40037 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h5100) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'h4500) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module lut40029 ( input A, B, C, D, output Z );
    +module lut40038 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'hFFBA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'hFBFA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
     module SLICE_34 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CLK, output 
         F0, Q0, F1, Q1 );
       wire   VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly;
     
    -  lut40030 \S_RNO[3] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40031 \S_RNO[2] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  lut40039 \ram2e_ufm/S_r_i_0_o2_RNIFNP81_0[2] ( .A(A1), .B(B1), .C(C1), 
    +    .D(D1), .Z(F1));
    +  lut40040 \ram2e_ufm/S_r_i_0_o2_RNIFNP81[2] ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
       vmuxregsre \S[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(VCCI), 
         .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
       vcc DRIVEVCC( .PWR1(VCCI));
    @@ -1854,354 +2232,62 @@ module SLICE_34 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CLK, output
     
     endmodule
     
    -module lut40030 ( input A, B, C, D, output Z );
    -
    -  ROM16X1A #(16'h5510) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module lut40031 ( input A, B, C, D, output Z );
    -
    -  ROM16X1A #(16'h5141) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module SLICE_35 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, 
    -    output F0, Q0, F1, Q1 );
    -  wire   VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
    -
    -  lut40032 \wb_adr_RNO[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40032 \wb_adr_7_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    -  vmuxregsre \wb_adr[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
    -    .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
    -  vcc DRIVEVCC( .PWR1(VCCI));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -  vmuxregsre \wb_adr[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
    -    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    -
    -  specify
    -    (D1 => F1) = (0:0:0,0:0:0);
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (D0 => F0) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -    (CLK => Q0) = (0:0:0,0:0:0);
    -    (CLK => Q1) = (0:0:0,0:0:0);
    -    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
    -    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    -    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    -    $width (posedge CLK, 0:0:0);
    -    $width (negedge CLK, 0:0:0);
    -  endspecify
    -
    -endmodule
    -
    -module lut40032 ( input A, B, C, D, output Z );
    -
    -  ROM16X1A #(16'hFFEC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module SLICE_36 ( input B1, A1, B0, A0, DI1, DI0, CE, CLK, output F0, Q0, F1, 
    -    Q1 );
    -  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
    -
    -  lut40033 \wb_adr_RNO[3] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -  lut40033 \wb_adr_RNO[2] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0));
    -  vmuxregsre \wb_adr[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
    -    .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
    -  vcc DRIVEVCC( .PWR1(VCCI));
    -  vmuxregsre \wb_adr[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
    -    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    -
    -  specify
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -    (CLK => Q0) = (0:0:0,0:0:0);
    -    (CLK => Q1) = (0:0:0,0:0:0);
    -    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
    -    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    -    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    -    $width (posedge CLK, 0:0:0);
    -    $width (negedge CLK, 0:0:0);
    -  endspecify
    -
    -endmodule
    -
    -module lut40033 ( input A, B, C, D, output Z );
    -
    -  ROM16X1A #(16'h8888) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module SLICE_37 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, 
    -    Q0, F1, Q1 );
    -  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
    -
    -  lut40034 \wb_adr_RNO[5] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -  lut40034 \wb_adr_RNO[4] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    -  vmuxregsre \wb_adr[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
    -    .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
    -  vcc DRIVEVCC( .PWR1(VCCI));
    -  vmuxregsre \wb_adr[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
    -    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    -
    -  specify
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -    (CLK => Q0) = (0:0:0,0:0:0);
    -    (CLK => Q1) = (0:0:0,0:0:0);
    -    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
    -    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    -    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    -    $width (posedge CLK, 0:0:0);
    -    $width (negedge CLK, 0:0:0);
    -  endspecify
    -
    -endmodule
    -
    -module lut40034 ( input A, B, C, D, output Z );
    -
    -  ROM16X1A #(16'hA3A3) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module SLICE_38 ( input B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, Q0, 
    -    F1, Q1 );
    -  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
    -
    -  lut40033 \wb_adr_RNO[7] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -  lut40034 \wb_adr_RNO[6] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    -  vmuxregsre \wb_adr[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
    -    .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
    -  vcc DRIVEVCC( .PWR1(VCCI));
    -  vmuxregsre \wb_adr[6] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
    -    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    -
    -  specify
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -    (CLK => Q0) = (0:0:0,0:0:0);
    -    (CLK => Q1) = (0:0:0,0:0:0);
    -    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
    -    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    -    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    -    $width (posedge CLK, 0:0:0);
    -    $width (negedge CLK, 0:0:0);
    -  endspecify
    -
    -endmodule
    -
    -module SLICE_39 ( input D1, C1, B1, A1, C0, B0, A0, DI0, CE, CLK, output F0, 
    -    Q0, F1 );
    -  wire   GNDI, VCCI, DI0_dly, CLK_dly, CE_dly;
    -
    -  lut40035 wb_cyc_stb_RNO_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40022 wb_cyc_stb_RNO( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -  vmuxregsre wb_cyc_stb( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
    -    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    -  vcc DRIVEVCC( .PWR1(VCCI));
    -
    -  specify
    -    (D1 => F1) = (0:0:0,0:0:0);
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -    (CLK => Q0) = (0:0:0,0:0:0);
    -    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    -    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    -    $width (posedge CLK, 0:0:0);
    -    $width (negedge CLK, 0:0:0);
    -  endspecify
    -
    -endmodule
    -
    -module lut40035 ( input A, B, C, D, output Z );
    -
    -  ROM16X1A #(16'h000E) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module SLICE_40 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, 
    -    output F0, Q0, F1, Q1 );
    -  wire   VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
    -
    -  lut40036 \wb_dati_7_0[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40037 \wb_dati_7_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    -  vmuxregsre \wb_dati[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
    -    .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
    -  vcc DRIVEVCC( .PWR1(VCCI));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -  vmuxregsre \wb_dati[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
    -    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    -
    -  specify
    -    (D1 => F1) = (0:0:0,0:0:0);
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (D0 => F0) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -    (CLK => Q0) = (0:0:0,0:0:0);
    -    (CLK => Q1) = (0:0:0,0:0:0);
    -    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
    -    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    -    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    -    $width (posedge CLK, 0:0:0);
    -    $width (negedge CLK, 0:0:0);
    -  endspecify
    -
    -endmodule
    -
    -module lut40036 ( input A, B, C, D, output Z );
    -
    -  ROM16X1A #(16'hFFEA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module lut40037 ( input A, B, C, D, output Z );
    -
    -  ROM16X1A #(16'hEAC0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module SLICE_41 ( input C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, output 
    -    F0, Q0, F1, Q1 );
    -  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
    -
    -  lut40038 \wb_dati_7_0[3] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -  lut40036 \wb_dati_7_0[2] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    -  vmuxregsre \wb_dati[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
    -    .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
    -  vcc DRIVEVCC( .PWR1(VCCI));
    -  vmuxregsre \wb_dati[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
    -    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    -
    -  specify
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (D0 => F0) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -    (CLK => Q0) = (0:0:0,0:0:0);
    -    (CLK => Q1) = (0:0:0,0:0:0);
    -    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
    -    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    -    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    -    $width (posedge CLK, 0:0:0);
    -    $width (negedge CLK, 0:0:0);
    -  endspecify
    -
    -endmodule
    -
    -module lut40038 ( input A, B, C, D, output Z );
    -
    -  ROM16X1A #(16'hFEFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module SLICE_42 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, 
    -    output F0, Q0, F1, Q1 );
    -  wire   VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
    -
    -  lut40036 \wb_dati_7_0[5] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40039 \wb_dati_7_0[4] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    -  vmuxregsre \wb_dati[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
    -    .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
    -  vcc DRIVEVCC( .PWR1(VCCI));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -  vmuxregsre \wb_dati[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
    -    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    -
    -  specify
    -    (D1 => F1) = (0:0:0,0:0:0);
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (D0 => F0) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -    (CLK => Q0) = (0:0:0,0:0:0);
    -    (CLK => Q1) = (0:0:0,0:0:0);
    -    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
    -    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    -    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    -    $width (posedge CLK, 0:0:0);
    -    $width (negedge CLK, 0:0:0);
    -  endspecify
    -
    -endmodule
    -
     module lut40039 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'hFFFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module SLICE_43 ( input D1, C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output 
    -    F0, Q0, F1, Q1 );
    -  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
    -
    -  lut40039 \wb_dati_7_0[7] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40040 \wb_dati_7_0[6] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -  vmuxregsre \wb_dati[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), 
    -    .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
    -  vcc DRIVEVCC( .PWR1(VCCI));
    -  vmuxregsre \wb_dati[6] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
    -    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    -
    -  specify
    -    (D1 => F1) = (0:0:0,0:0:0);
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -    (CLK => Q0) = (0:0:0,0:0:0);
    -    (CLK => Q1) = (0:0:0,0:0:0);
    -    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
    -    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    -    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    -    $width (posedge CLK, 0:0:0);
    -    $width (negedge CLK, 0:0:0);
    -  endspecify
    -
    +  ROM16X1A #(16'h0B0A) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
     module lut40040 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'hF8F8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'h5141) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module SLICE_44 ( input C1, B1, A1, D0, C0, B0, A0, DI0, CE, LSR, CLK, output 
    -    F0, Q0, F1 );
    -  wire   GNDI, VCCI, DI0_dly, CLK_dly, CE_dly, LSR_dly;
    +module SLICE_35 ( input D1, C1, B1, A1, B0, A0, DI0, LSR, CLK, output F0, Q0, 
    +    F1 );
    +  wire   GNDI, VCCI, DI0_dly, CLK_dly, LSR_dly;
     
    -  lut40011 wb_req_RNO_1( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    +  lut40016 \ram2e_ufm/CKE_7_m1_0_0_o2_RNICM8E1 ( .A(A1), .B(B1), .C(C1), 
    +    .D(D1), .Z(F1));
    +  lut40036 \ram2e_ufm/CKE_7_m1_0_0_o2 ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), 
    +    .Z(F0));
       gnd DRIVEGND( .PWR0(GNDI));
    -  lut40041 wb_req_RNO( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    -  vmuxregsre0006 wb_req( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
    +  vmuxregsre0010 VOEEN( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), 
         .CK(CLK_dly), .LSR(LSR_dly), .Q(Q0));
       vcc DRIVEVCC( .PWR1(VCCI));
     
       specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +    (CLK => Q0) = (0:0:0,0:0:0);
    +    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    +    $setuphold (posedge CLK, LSR, 0:0:0, 0:0:0,,,, CLK_dly, LSR_dly);
    +    $width (posedge LSR, 0:0:0);
    +    $width (negedge LSR, 0:0:0);
    +    $width (posedge CLK, 0:0:0);
    +    $width (negedge CLK, 0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module SLICE_36 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, 
    +    Q0, F1 );
    +  wire   VCCI, GNDI, DI0_dly, CLK_dly;
    +
    +  lut40041 \ram2e_ufm/nRAS_s_i_0_a3_5_RNIH7J73 ( .A(A1), .B(B1), .C(C1), 
    +    .D(D1), .Z(F1));
    +  lut40042 \ram2e_ufm/nCAS_s_i_0_a3_RNIO1UQ3 ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
    +  vmuxregsre0004 nCAS( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), 
    +    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    +  vcc DRIVEVCC( .PWR1(VCCI));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +
    +  specify
    +    (D1 => F1) = (0:0:0,0:0:0);
         (C1 => F1) = (0:0:0,0:0:0);
         (B1 => F1) = (0:0:0,0:0:0);
         (A1 => F1) = (0:0:0,0:0:0);
    @@ -2211,10 +2297,6 @@ module SLICE_44 ( input C1, B1, A1, D0, C0, B0, A0, DI0, CE, LSR, CLK, output
         (A0 => F0) = (0:0:0,0:0:0);
         (CLK => Q0) = (0:0:0,0:0:0);
         $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    -    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    -    $setuphold (posedge CLK, LSR, 0:0:0, 0:0:0,,,, CLK_dly, LSR_dly);
    -    $width (posedge LSR, 0:0:0);
    -    $width (negedge LSR, 0:0:0);
         $width (posedge CLK, 0:0:0);
         $width (negedge CLK, 0:0:0);
       endspecify
    @@ -2223,43 +2305,93 @@ endmodule
     
     module lut40041 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h0001) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'h0008) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module SLICE_45 ( input B1, A1, B0, A0, DI0, LSR, CLK, output F0, Q0, F1 );
    -  wire   GNDI, VCCI, DI0_dly, CLK_dly, LSR_dly;
    +module lut40042 ( input A, B, C, D, output Z );
     
    -  lut40027 \un1_LEDEN13_2_i_o2[0] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -  lut40003 wb_rst8_0_a2( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0));
    -  vmuxregsre0006 wb_rst( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), 
    -    .CK(CLK_dly), .LSR(LSR_dly), .Q(Q0));
    +  ROM16X1A #(16'h1101) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module SLICE_37 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, 
    +    Q0, F1 );
    +  wire   VCCI, GNDI, DI0_dly, CLK_dly;
    +
    +  lut40034 \ram2e_ufm/nRAS_s_i_0_a3_5_RNIH7J73_0 ( .A(A1), .B(B1), .C(C1), 
    +    .D(D1), .Z(F1));
    +  lut40016 \ram2e_ufm/nRAS_s_i_0_0_RNI0PC64 ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
    +  vmuxregsre0004 nRAS( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), 
    +    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
       vcc DRIVEVCC( .PWR1(VCCI));
    +  gnd DRIVEGND( .PWR0(GNDI));
     
       specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
         (B1 => F1) = (0:0:0,0:0:0);
         (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
         (B0 => F0) = (0:0:0,0:0:0);
         (A0 => F0) = (0:0:0,0:0:0);
         (CLK => Q0) = (0:0:0,0:0:0);
         $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    -    $setuphold (posedge CLK, LSR, 0:0:0, 0:0:0,,,, CLK_dly, LSR_dly);
    -    $width (posedge LSR, 0:0:0);
    -    $width (negedge LSR, 0:0:0);
         $width (posedge CLK, 0:0:0);
         $width (negedge CLK, 0:0:0);
       endspecify
     
     endmodule
     
    -module SLICE_46 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output 
    -    F0, Q0, F1 );
    +module SLICE_38 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, 
    +    Q0, F1 );
    +  wire   VCCI, GNDI, DI0_dly, CLK_dly;
    +
    +  lut40043 \ram2e_ufm/nRAS_s_i_0_a3_0 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    +  lut40044 \ram2e_ufm/nRAS_s_i_0_a3_0_RNIIR094 ( .A(A0), .B(B0), .C(C0), 
    +    .D(D0), .Z(F0));
    +  vmuxregsre0004 nRWE( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), 
    +    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    +  vcc DRIVEVCC( .PWR1(VCCI));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +
    +  specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +    (CLK => Q0) = (0:0:0,0:0:0);
    +    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    +    $width (posedge CLK, 0:0:0);
    +    $width (negedge CLK, 0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module lut40043 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'h0400) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module lut40044 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'h0111) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_39 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, 
    +    output F0, Q0, F1 );
       wire   VCCI, GNDI, DI0_dly, CLK_dly, CE_dly;
     
    -  lut40042 wb_we_RNO_1( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40043 wb_we_RNO( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    -  vmuxregsre wb_we( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), 
    -    .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    +  lut40045 \ram2e_ufm/CmdBitbangMXO2_3_0_a3_1 ( .A(A1), .B(B1), .C(C1), .D(D1), 
    +    .Z(F1));
    +  lut40019 \ram2e_ufm/CmdBitbangMXO2_3_0_a3 ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
    +  vmuxregsre \ram2e_ufm/CmdBitbangMXO2 ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
    +    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
       vcc DRIVEVCC( .PWR1(VCCI));
       gnd DRIVEGND( .PWR0(GNDI));
     
    @@ -2281,122 +2413,254 @@ module SLICE_46 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output
     
     endmodule
     
    -module lut40042 ( input A, B, C, D, output Z );
    -
    -  ROM16X1A #(16'h2BBB) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module lut40043 ( input A, B, C, D, output Z );
    -
    -  ROM16X1A #(16'hFF40) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module SLICE_47 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    -
    -  lut40044 DQMH_0io_RNO( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40039 \S_RNII9DO1[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    -
    -  specify
    -    (D1 => F1) = (0:0:0,0:0:0);
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (D0 => F0) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -  endspecify
    -
    -endmodule
    -
    -module lut40044 ( input A, B, C, D, output Z );
    -
    -  ROM16X1A #(16'hFF7F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module SLICE_48 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    -
    -  lut40045 Vout3_0_a2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40046 nCAS_0io_RNO_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    -
    -  specify
    -    (D1 => F1) = (0:0:0,0:0:0);
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (D0 => F0) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -  endspecify
    -
    -endmodule
    -
     module lut40045 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h0040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'h1000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_40 ( input D1, C1, B1, A1, B0, A0, DI0, CE, CLK, 
    +    output F0, Q0, F1 );
    +  wire   GNDI, VCCI, DI0_dly, CLK_dly, CE_dly;
    +
    +  lut40014 \ram2e_ufm/CmdBitbangMXO2_3_0_a3_0 ( .A(A1), .B(B1), .C(C1), .D(D1), 
    +    .Z(F1));
    +  lut40023 \ram2e_ufm/CmdExecMXO2_3_0_a3 ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), 
    +    .Z(F0));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  vmuxregsre \ram2e_ufm/CmdExecMXO2 ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
    +    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    +  vcc DRIVEVCC( .PWR1(VCCI));
    +
    +  specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +    (CLK => Q0) = (0:0:0,0:0:0);
    +    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    +    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    +    $width (posedge CLK, 0:0:0);
    +    $width (negedge CLK, 0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module ram2e_ufm_SLICE_41 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, 
    +    output F0, Q0, F1 );
    +  wire   VCCI, GNDI, DI0_dly, CLK_dly, CE_dly;
    +
    +  lut40046 \ram2e_ufm/CmdSetRWBankFFChip_3_0_a8_0_a3_0_0 ( .A(A1), .B(B1), 
    +    .C(C1), .D(D1), .Z(F1));
    +  lut40014 \ram2e_ufm/CmdSetRWBankFFChip_3_0_a8_0_a3 ( .A(A0), .B(B0), .C(C0), 
    +    .D(D0), .Z(F0));
    +  vmuxregsre \ram2e_ufm/CmdSetRWBankFFChip ( .D0(VCCI), .D1(DI0_dly), 
    +    .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    +  vcc DRIVEVCC( .PWR1(VCCI));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +
    +  specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +    (CLK => Q0) = (0:0:0,0:0:0);
    +    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    +    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    +    $width (posedge CLK, 0:0:0);
    +    $width (negedge CLK, 0:0:0);
    +  endspecify
    +
     endmodule
     
     module lut40046 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'hF222) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'h0800) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module SLICE_49 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    +module ram2e_ufm_SLICE_42 ( input C1, B1, A1, C0, B0, A0, DI0, CE, CLK, 
    +    output F0, Q0, F1 );
    +  wire   GNDI, VCCI, DI0_dly, CLK_dly, CE_dly;
     
    -  lut40015 un1_CS_0_sqmuxa_0_0_a2_1( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40047 un1_CS_0_sqmuxa_0_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  lut40047 \ram2e_ufm/SUM1_0_o3_0 ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40048 \ram2e_ufm/LEDEN_RNO ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    +  vmuxregsre \ram2e_ufm/LEDEN ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
    +    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    +  vcc DRIVEVCC( .PWR1(VCCI));
     
       specify
    -    (D1 => F1) = (0:0:0,0:0:0);
         (C1 => F1) = (0:0:0,0:0:0);
         (B1 => F1) = (0:0:0,0:0:0);
         (A1 => F1) = (0:0:0,0:0:0);
    -    (D0 => F0) = (0:0:0,0:0:0);
         (C0 => F0) = (0:0:0,0:0:0);
         (B0 => F0) = (0:0:0,0:0:0);
         (A0 => F0) = (0:0:0,0:0:0);
    +    (CLK => Q0) = (0:0:0,0:0:0);
    +    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    +    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    +    $width (posedge CLK, 0:0:0);
    +    $width (negedge CLK, 0:0:0);
       endspecify
     
     endmodule
     
     module lut40047 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'hF8F0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module SLICE_50 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    -
    -  lut40048 \wb_dati_7_0_a2_0_2[7] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40049 \wb_dati_7_0_RNO[7] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    -
    -  specify
    -    (D1 => F1) = (0:0:0,0:0:0);
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (D0 => F0) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -  endspecify
    -
    +  ROM16X1A #(16'hFEFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
     module lut40048 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h0D00) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'hB8B8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_43 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, 
    +    output F0, Q0, F1, Q1 );
    +  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
    +
    +  lut40049 \ram2e_ufm/RWMask_RNO[1] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40049 \ram2e_ufm/RWMask_RNO[0] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    +  vmuxregsre \ram2e_ufm/RWMask[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), 
    +    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
    +  vcc DRIVEVCC( .PWR1(VCCI));
    +  vmuxregsre \ram2e_ufm/RWMask[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
    +    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    +
    +  specify
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +    (CLK => Q0) = (0:0:0,0:0:0);
    +    (CLK => Q1) = (0:0:0,0:0:0);
    +    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
    +    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    +    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    +    $width (posedge CLK, 0:0:0);
    +    $width (negedge CLK, 0:0:0);
    +  endspecify
    +
     endmodule
     
     module lut40049 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'hF010) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'h7474) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module SLICE_51 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    +module ram2e_ufm_SLICE_44 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, 
    +    output F0, Q0, F1, Q1 );
    +  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
     
    -  lut40050 CKE_6_iv_i_0_1( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40051 CKE_6_iv_i_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  lut40049 \ram2e_ufm/RWMask_RNO[3] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40049 \ram2e_ufm/RWMask_RNO[2] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    +  vmuxregsre \ram2e_ufm/RWMask[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), 
    +    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
    +  vcc DRIVEVCC( .PWR1(VCCI));
    +  vmuxregsre \ram2e_ufm/RWMask[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
    +    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    +
    +  specify
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +    (CLK => Q0) = (0:0:0,0:0:0);
    +    (CLK => Q1) = (0:0:0,0:0:0);
    +    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
    +    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    +    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    +    $width (posedge CLK, 0:0:0);
    +    $width (negedge CLK, 0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module ram2e_ufm_SLICE_45 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, 
    +    output F0, Q0, F1, Q1 );
    +  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
    +
    +  lut40049 \ram2e_ufm/RWMask_RNO[5] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40049 \ram2e_ufm/RWMask_RNO[4] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    +  vmuxregsre \ram2e_ufm/RWMask[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), 
    +    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
    +  vcc DRIVEVCC( .PWR1(VCCI));
    +  vmuxregsre \ram2e_ufm/RWMask[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
    +    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    +
    +  specify
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +    (CLK => Q0) = (0:0:0,0:0:0);
    +    (CLK => Q1) = (0:0:0,0:0:0);
    +    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
    +    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    +    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    +    $width (posedge CLK, 0:0:0);
    +    $width (negedge CLK, 0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module ram2e_ufm_SLICE_46 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, 
    +    output F0, Q0, F1, Q1 );
    +  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
    +
    +  lut40048 \ram2e_ufm/RWMask_RNO[7] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40049 \ram2e_ufm/RWMask_RNO[6] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    +  vmuxregsre \ram2e_ufm/RWMask[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), 
    +    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
    +  vcc DRIVEVCC( .PWR1(VCCI));
    +  vmuxregsre \ram2e_ufm/RWMask[6] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
    +    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    +
    +  specify
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +    (CLK => Q0) = (0:0:0,0:0:0);
    +    (CLK => Q1) = (0:0:0,0:0:0);
    +    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
    +    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    +    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    +    $width (posedge CLK, 0:0:0);
    +    $width (negedge CLK, 0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module ram2e_ufm_SLICE_47 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, 
    +    CLK, output F0, Q0, F1, Q1 );
    +  wire   VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
    +
    +  lut40050 \ram2e_ufm/wb_adr_RNO[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    +  lut40024 \ram2e_ufm/wb_adr_7_i_i[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  vmuxregsre \ram2e_ufm/wb_adr[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), 
    +    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
    +  vcc DRIVEVCC( .PWR1(VCCI));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  vmuxregsre \ram2e_ufm/wb_adr[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
    +    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
     
       specify
         (D1 => F1) = (0:0:0,0:0:0);
    @@ -2407,53 +2671,174 @@ module SLICE_51 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
         (C0 => F0) = (0:0:0,0:0:0);
         (B0 => F0) = (0:0:0,0:0:0);
         (A0 => F0) = (0:0:0,0:0:0);
    +    (CLK => Q0) = (0:0:0,0:0:0);
    +    (CLK => Q1) = (0:0:0,0:0:0);
    +    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
    +    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    +    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    +    $width (posedge CLK, 0:0:0);
    +    $width (negedge CLK, 0:0:0);
       endspecify
     
     endmodule
     
     module lut40050 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h2F2C) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'hFFEC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_48 ( input B1, A1, B0, A0, DI1, DI0, CE, CLK, output F0, 
    +    Q0, F1, Q1 );
    +  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
    +
    +  lut40023 \ram2e_ufm/wb_adr_RNO[3] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), 
    +    .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40023 \ram2e_ufm/wb_adr_RNO[2] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), 
    +    .Z(F0));
    +  vmuxregsre \ram2e_ufm/wb_adr[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), 
    +    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
    +  vcc DRIVEVCC( .PWR1(VCCI));
    +  vmuxregsre \ram2e_ufm/wb_adr[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
    +    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    +
    +  specify
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +    (CLK => Q0) = (0:0:0,0:0:0);
    +    (CLK => Q1) = (0:0:0,0:0:0);
    +    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
    +    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    +    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    +    $width (posedge CLK, 0:0:0);
    +    $width (negedge CLK, 0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module ram2e_ufm_SLICE_49 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, 
    +    output F0, Q0, F1, Q1 );
    +  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
    +
    +  lut40051 \ram2e_ufm/wb_adr_RNO[5] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40051 \ram2e_ufm/wb_adr_RNO[4] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    +  vmuxregsre \ram2e_ufm/wb_adr[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), 
    +    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
    +  vcc DRIVEVCC( .PWR1(VCCI));
    +  vmuxregsre \ram2e_ufm/wb_adr[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
    +    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    +
    +  specify
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +    (CLK => Q0) = (0:0:0,0:0:0);
    +    (CLK => Q1) = (0:0:0,0:0:0);
    +    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
    +    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    +    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    +    $width (posedge CLK, 0:0:0);
    +    $width (negedge CLK, 0:0:0);
    +  endspecify
    +
     endmodule
     
     module lut40051 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'hAAEA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'hA3A3) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module SLICE_52 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    +module ram2e_ufm_SLICE_50 ( input B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, 
    +    output F0, Q0, F1, Q1 );
    +  wire   GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
     
    -  lut40052 \un1_LEDEN13_2_i_a2_0[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40053 \un1_LEDEN13_2_i_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  lut40023 \ram2e_ufm/wb_adr_RNO[7] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), 
    +    .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40051 \ram2e_ufm/wb_adr_RNO[6] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    +  vmuxregsre \ram2e_ufm/wb_adr[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), 
    +    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
    +  vcc DRIVEVCC( .PWR1(VCCI));
    +  vmuxregsre \ram2e_ufm/wb_adr[6] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
    +    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    +
    +  specify
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +    (CLK => Q0) = (0:0:0,0:0:0);
    +    (CLK => Q1) = (0:0:0,0:0:0);
    +    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
    +    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    +    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    +    $width (posedge CLK, 0:0:0);
    +    $width (negedge CLK, 0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module ram2e_ufm_SLICE_51 ( input D1, C1, B1, A1, C0, B0, A0, DI0, CE, CLK, 
    +    output F0, Q0, F1 );
    +  wire   GNDI, VCCI, DI0_dly, CLK_dly, CE_dly;
    +
    +  lut40052 \ram2e_ufm/wb_cyc_stb_RNO_0 ( .A(A1), .B(B1), .C(C1), .D(D1), 
    +    .Z(F1));
    +  lut40053 \ram2e_ufm/wb_cyc_stb_RNO ( .A(A0), .B(B0), .C(C0), .D(GNDI), 
    +    .Z(F0));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  vmuxregsre \ram2e_ufm/wb_cyc_stb ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
    +    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    +  vcc DRIVEVCC( .PWR1(VCCI));
     
       specify
         (D1 => F1) = (0:0:0,0:0:0);
         (C1 => F1) = (0:0:0,0:0:0);
         (B1 => F1) = (0:0:0,0:0:0);
         (A1 => F1) = (0:0:0,0:0:0);
    -    (D0 => F0) = (0:0:0,0:0:0);
         (C0 => F0) = (0:0:0,0:0:0);
         (B0 => F0) = (0:0:0,0:0:0);
         (A0 => F0) = (0:0:0,0:0:0);
    +    (CLK => Q0) = (0:0:0,0:0:0);
    +    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    +    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    +    $width (posedge CLK, 0:0:0);
    +    $width (negedge CLK, 0:0:0);
       endspecify
     
     endmodule
     
     module lut40052 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h3010) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'h0032) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
     module lut40053 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'hFEEE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'hEAEA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module SLICE_53 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    +module ram2e_ufm_SLICE_52 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, 
    +    CLK, output F0, Q0, F1, Q1 );
    +  wire   VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
     
    -  lut40054 \un1_wb_adr_0_sqmuxa_2_0_1[0] ( .A(A1), .B(B1), .C(C1), .D(D1), 
    +  lut40054 \ram2e_ufm/wb_dati_7_0_0[1] ( .A(A1), .B(B1), .C(C1), .D(D1), 
         .Z(F1));
    -  lut40055 wb_we_RNO_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  lut40055 \ram2e_ufm/wb_dati_7_0_0_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
    +  vmuxregsre \ram2e_ufm/wb_dati[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), 
    +    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
    +  vcc DRIVEVCC( .PWR1(VCCI));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  vmuxregsre \ram2e_ufm/wb_dati[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
    +    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
     
       specify
         (D1 => F1) = (0:0:0,0:0:0);
    @@ -2464,24 +2849,41 @@ module SLICE_53 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
         (C0 => F0) = (0:0:0,0:0:0);
         (B0 => F0) = (0:0:0,0:0:0);
         (A0 => F0) = (0:0:0,0:0:0);
    +    (CLK => Q0) = (0:0:0,0:0:0);
    +    (CLK => Q1) = (0:0:0,0:0:0);
    +    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
    +    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    +    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    +    $width (posedge CLK, 0:0:0);
    +    $width (negedge CLK, 0:0:0);
       endspecify
     
     endmodule
     
     module lut40054 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h3FF5) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'hFFEA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
     module lut40055 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h000B) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'hEAC0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module SLICE_54 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    +module ram2e_ufm_SLICE_53 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, 
    +    CLK, output F0, Q0, F1, Q1 );
    +  wire   VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
     
    -  lut40056 un1_CS_0_sqmuxa_0_0_a2_15( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40057 un1_CS_0_sqmuxa_0_0_o2_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  lut40054 \ram2e_ufm/wb_dati_7_0_0_0[3] ( .A(A1), .B(B1), .C(C1), .D(D1), 
    +    .Z(F1));
    +  lut40054 \ram2e_ufm/wb_dati_7_0_0[2] ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
    +  vmuxregsre \ram2e_ufm/wb_dati[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), 
    +    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
    +  vcc DRIVEVCC( .PWR1(VCCI));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  vmuxregsre \ram2e_ufm/wb_dati[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
    +    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
     
       specify
         (D1 => F1) = (0:0:0,0:0:0);
    @@ -2492,24 +2894,161 @@ module SLICE_54 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
         (C0 => F0) = (0:0:0,0:0:0);
         (B0 => F0) = (0:0:0,0:0:0);
         (A0 => F0) = (0:0:0,0:0:0);
    +    (CLK => Q0) = (0:0:0,0:0:0);
    +    (CLK => Q1) = (0:0:0,0:0:0);
    +    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
    +    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    +    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    +    $width (posedge CLK, 0:0:0);
    +    $width (negedge CLK, 0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module ram2e_ufm_SLICE_54 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, 
    +    CLK, output F0, Q0, F1, Q1 );
    +  wire   VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
    +
    +  lut40054 \ram2e_ufm/wb_dati_7_0_0[5] ( .A(A1), .B(B1), .C(C1), .D(D1), 
    +    .Z(F1));
    +  lut4 \ram2e_ufm/wb_dati_7_0_0[4] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  vmuxregsre \ram2e_ufm/wb_dati[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), 
    +    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
    +  vcc DRIVEVCC( .PWR1(VCCI));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  vmuxregsre \ram2e_ufm/wb_dati[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
    +    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    +
    +  specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +    (CLK => Q0) = (0:0:0,0:0:0);
    +    (CLK => Q1) = (0:0:0,0:0:0);
    +    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
    +    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    +    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    +    $width (posedge CLK, 0:0:0);
    +    $width (negedge CLK, 0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module ram2e_ufm_SLICE_55 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, 
    +    CLK, output F0, Q0, F1, Q1 );
    +  wire   VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly;
    +
    +  lut4 \ram2e_ufm/wb_dati_7_0_0_0[7] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    +  lut40054 \ram2e_ufm/wb_dati_7_0_0[6] ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
    +  vmuxregsre \ram2e_ufm/wb_dati[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), 
    +    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1));
    +  vcc DRIVEVCC( .PWR1(VCCI));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  vmuxregsre \ram2e_ufm/wb_dati[6] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
    +    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    +
    +  specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +    (CLK => Q0) = (0:0:0,0:0:0);
    +    (CLK => Q1) = (0:0:0,0:0:0);
    +    $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly);
    +    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    +    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    +    $width (posedge CLK, 0:0:0);
    +    $width (negedge CLK, 0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module ram2e_ufm_SLICE_56 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, LSR, 
    +    CLK, output F0, Q0, F1 );
    +  wire   VCCI, DI0_dly, CLK_dly, CE_dly, LSR_dly;
    +
    +  lut4 \ram2e_ufm/wb_reqc_1_0 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    +  lut40056 \ram2e_ufm/wb_req_RNO ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  vmuxregsre0010 \ram2e_ufm/wb_req ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
    +    .SP(CE_dly), .CK(CLK_dly), .LSR(LSR_dly), .Q(Q0));
    +  vcc DRIVEVCC( .PWR1(VCCI));
    +
    +  specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +    (CLK => Q0) = (0:0:0,0:0:0);
    +    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    +    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    +    $setuphold (posedge CLK, LSR, 0:0:0, 0:0:0,,,, CLK_dly, LSR_dly);
    +    $width (posedge LSR, 0:0:0);
    +    $width (negedge LSR, 0:0:0);
    +    $width (posedge CLK, 0:0:0);
    +    $width (negedge CLK, 0:0:0);
       endspecify
     
     endmodule
     
     module lut40056 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h0020) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'h007F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module lut40057 ( input A, B, C, D, output Z );
    +module ram2e_ufm_SLICE_57 ( input D1, C1, B1, A1, B0, A0, DI0, LSR, CLK, 
    +    output F0, Q0, F1 );
    +  wire   GNDI, VCCI, DI0_dly, CLK_dly, LSR_dly;
    +
    +  lut40035 \ram2e_ufm/Ready3_0_a3_4 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    +  lut40006 \ram2e_ufm/wb_rst8_0_a3_0_a3 ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), 
    +    .Z(F0));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  vmuxregsre0010 \ram2e_ufm/wb_rst ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
    +    .SP(VCCI), .CK(CLK_dly), .LSR(LSR_dly), .Q(Q0));
    +  vcc DRIVEVCC( .PWR1(VCCI));
    +
    +  specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +    (CLK => Q0) = (0:0:0,0:0:0);
    +    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    +    $setuphold (posedge CLK, LSR, 0:0:0, 0:0:0,,,, CLK_dly, LSR_dly);
    +    $width (posedge LSR, 0:0:0);
    +    $width (negedge LSR, 0:0:0);
    +    $width (posedge CLK, 0:0:0);
    +    $width (negedge CLK, 0:0:0);
    +  endspecify
     
    -  ROM16X1A #(16'hFF20) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module SLICE_55 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    +module ram2e_ufm_SLICE_58 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, 
    +    output F0, Q0, F1 );
    +  wire   VCCI, GNDI, DI0_dly, CLK_dly, CE_dly;
     
    -  lut40058 nCS_6_u_i_o2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40018 un1_nCS61_1_0_a2_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  lut40057 \ram2e_ufm/wb_we_RNO_2 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    +  lut40057 \ram2e_ufm/wb_we_RNO ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  vmuxregsre \ram2e_ufm/wb_we ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), 
    +    .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0));
    +  vcc DRIVEVCC( .PWR1(VCCI));
    +  gnd DRIVEGND( .PWR0(GNDI));
     
       specify
         (D1 => F1) = (0:0:0,0:0:0);
    @@ -2520,98 +3059,154 @@ module SLICE_55 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
         (C0 => F0) = (0:0:0,0:0:0);
         (B0 => F0) = (0:0:0,0:0:0);
         (A0 => F0) = (0:0:0,0:0:0);
    +    (CLK => Q0) = (0:0:0,0:0:0);
    +    $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly);
    +    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    +    $width (posedge CLK, 0:0:0);
    +    $width (negedge CLK, 0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module lut40057 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'hFF40) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SUM0_i_m3_0_SLICE_59 ( input C1, B1, A1, C0, B0, A0, M0, 
    +    output OFX0 );
    +  wire   GNDI, 
    +         \ram2e_ufm/SUM0_i_m3_0/SLICE_59/ram2e_ufm/SUM0_i_m3_0/SLICE_59_K1_H1 , 
    +         \ram2e_ufm/SUM0_i_m3_0/SLICE_59/ram2e_ufm/SUM0_i_m3_0/GATE_H0 ;
    +
    +  lut40058 \ram2e_ufm/SUM0_i_m3_0/SLICE_59_K1 ( .A(A1), .B(B1), .C(C1), 
    +    .D(GNDI), 
    +    .Z(\ram2e_ufm/SUM0_i_m3_0/SLICE_59/ram2e_ufm/SUM0_i_m3_0/SLICE_59_K1_H1 ));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40059 \ram2e_ufm/SUM0_i_m3_0/GATE ( .A(A0), .B(B0), .C(C0), .D(GNDI), 
    +    .Z(\ram2e_ufm/SUM0_i_m3_0/SLICE_59/ram2e_ufm/SUM0_i_m3_0/GATE_H0 ));
    +  selmux2 \ram2e_ufm/SUM0_i_m3_0/SLICE_59_K0K1MUX ( 
    +    .D0(\ram2e_ufm/SUM0_i_m3_0/SLICE_59/ram2e_ufm/SUM0_i_m3_0/GATE_H0 ), 
    +    .D1(\ram2e_ufm/SUM0_i_m3_0/SLICE_59/ram2e_ufm/SUM0_i_m3_0/SLICE_59_K1_H1 ), 
    +    .SD(M0), .Z(OFX0));
    +
    +  specify
    +    (C1 => OFX0) = (0:0:0,0:0:0);
    +    (B1 => OFX0) = (0:0:0,0:0:0);
    +    (A1 => OFX0) = (0:0:0,0:0:0);
    +    (C0 => OFX0) = (0:0:0,0:0:0);
    +    (B0 => OFX0) = (0:0:0,0:0:0);
    +    (A0 => OFX0) = (0:0:0,0:0:0);
    +    (M0 => OFX0) = (0:0:0,0:0:0);
       endspecify
     
     endmodule
     
     module lut40058 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'hFFF1) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module SLICE_56 ( input C1, B1, A1, C0, B0, A0, output F0, F1 );
    -  wire   GNDI;
    -
    -  lut40059 \wb_dati_7_0_a2_5[1] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -  lut40060 \wb_dati_7_0_a2_6[1] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    -
    -  specify
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -  endspecify
    -
    +  ROM16X1A #(16'hF7F7) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
     module lut40059 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h1010) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'hFEFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module selmux2 ( input D0, D1, SD, output Z );
    +
    +  MUX21 INST1( .D0(D0), .D1(D1), .SD(SD), .Z(Z));
    +endmodule
    +
    +module ram2e_ufm_un1_CS_0_sqmuxa_0_0_0_SLICE_60 ( input D1, C1, B1, A1, C0, B0, 
    +    A0, M0, output OFX0 );
    +  wire   
    +         \ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60/ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60_K1_H1 
    +         , GNDI, 
    +         \ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60/ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/GATE_H0 
    +         ;
    +
    +  lut40060 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60_K1 ( .A(A1), .B(B1), 
    +    .C(C1), .D(D1), 
    +    .Z(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60/ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60_K1_H1 )
    +    );
    +  lut40061 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/GATE ( .A(A0), .B(B0), .C(C0), 
    +    .D(GNDI), 
    +    .Z(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60/ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/GATE_H0 )
    +    );
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  selmux2 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60_K0K1MUX ( 
    +    .D0(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60/ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/GATE_H0 )
    +    , 
    +    .D1(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60/ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60_K1_H1 )
    +    , .SD(M0), .Z(OFX0));
    +
    +  specify
    +    (D1 => OFX0) = (0:0:0,0:0:0);
    +    (C1 => OFX0) = (0:0:0,0:0:0);
    +    (B1 => OFX0) = (0:0:0,0:0:0);
    +    (A1 => OFX0) = (0:0:0,0:0:0);
    +    (C0 => OFX0) = (0:0:0,0:0:0);
    +    (B0 => OFX0) = (0:0:0,0:0:0);
    +    (A0 => OFX0) = (0:0:0,0:0:0);
    +    (M0 => OFX0) = (0:0:0,0:0:0);
    +  endspecify
    +
     endmodule
     
     module lut40060 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h0808) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module SLICE_57 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    -
    -  lut40061 \un1_LEDEN13_2_i_a2[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40062 \S_RNII9DO1_0[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    -
    -  specify
    -    (D1 => F1) = (0:0:0,0:0:0);
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (D0 => F0) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -  endspecify
    -
    +  ROM16X1A #(16'h55D5) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
     module lut40061 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h00E0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'h7F7F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module lut40062 ( input A, B, C, D, output Z );
    +module ram2e_ufm_CKE_7_SLICE_61 ( input C1, B1, A1, C0, B0, A0, M0, output 
    +    OFX0 );
    +  wire   GNDI, \ram2e_ufm/CKE_7/SLICE_61/ram2e_ufm/CKE_7/SLICE_61_K1_H1 , 
    +         \ram2e_ufm/CKE_7/SLICE_61/ram2e_ufm/CKE_7/GATE_H0 ;
     
    -  ROM16X1A #(16'hFFF7) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module SLICE_58 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    -
    -  lut40063 \wb_dati_7_0_2[3] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40025 \wb_dati_7_0_2_RNO[3] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  lut40062 \ram2e_ufm/CKE_7/SLICE_61_K1 ( .A(A1), .B(B1), .C(C1), .D(GNDI), 
    +    .Z(\ram2e_ufm/CKE_7/SLICE_61/ram2e_ufm/CKE_7/SLICE_61_K1_H1 ));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40063 \ram2e_ufm/CKE_7/GATE ( .A(A0), .B(B0), .C(C0), .D(GNDI), 
    +    .Z(\ram2e_ufm/CKE_7/SLICE_61/ram2e_ufm/CKE_7/GATE_H0 ));
    +  selmux2 \ram2e_ufm/CKE_7/SLICE_61_K0K1MUX ( 
    +    .D0(\ram2e_ufm/CKE_7/SLICE_61/ram2e_ufm/CKE_7/GATE_H0 ), 
    +    .D1(\ram2e_ufm/CKE_7/SLICE_61/ram2e_ufm/CKE_7/SLICE_61_K1_H1 ), .SD(M0), 
    +    .Z(OFX0));
     
       specify
    -    (D1 => F1) = (0:0:0,0:0:0);
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (D0 => F0) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    +    (C1 => OFX0) = (0:0:0,0:0:0);
    +    (B1 => OFX0) = (0:0:0,0:0:0);
    +    (A1 => OFX0) = (0:0:0,0:0:0);
    +    (C0 => OFX0) = (0:0:0,0:0:0);
    +    (B0 => OFX0) = (0:0:0,0:0:0);
    +    (A0 => OFX0) = (0:0:0,0:0:0);
    +    (M0 => OFX0) = (0:0:0,0:0:0);
       endspecify
     
     endmodule
     
    -module lut40063 ( input A, B, C, D, output Z );
    +module lut40062 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h4440) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'h5D5D) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module SLICE_59 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    +module lut40063 ( input A, B, C, D, output Z );
     
    -  lut40064 DQML_0io_RNO( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40065 DQML_0io_RNO_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  ROM16X1A #(16'h0808) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_62 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
    +    F1 );
    +
    +  lut40043 \ram2e_ufm/CmdExecMXO2_3_0_a3_0_RNIAJ811 ( .A(A1), .B(B1), .C(C1), 
    +    .D(D1), .Z(F1));
    +  lut40064 \ram2e_ufm/CmdExecMXO2_3_0_a3_0_RNIPG3P2 ( .A(A0), .B(B0), .C(C0), 
    +    .D(D0), .Z(F0));
     
       specify
         (D1 => F1) = (0:0:0,0:0:0);
    @@ -2628,26 +3223,23 @@ endmodule
     
     module lut40064 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h7377) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'hFF02) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module lut40065 ( input A, B, C, D, output Z );
    +module ram2e_ufm_SLICE_63 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
    +    F1 );
     
    -  ROM16X1A #(16'h1000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module SLICE_60 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 );
    -  wire   GNDI;
    -
    -  lut40066 \wb_adr_RNO_1[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40009 \wb_adr_RNO_3[1] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    -  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40065 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_o3_0_RNIA0N95 ( .A(A1), .B(B1), 
    +    .C(C1), .D(D1), .Z(F1));
    +  lut40066 \ram2e_ufm/S_r_i_0_o2_RNI3VQTC[1] ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
     
       specify
         (D1 => F1) = (0:0:0,0:0:0);
         (C1 => F1) = (0:0:0,0:0:0);
         (B1 => F1) = (0:0:0,0:0:0);
         (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
         (C0 => F0) = (0:0:0,0:0:0);
         (B0 => F0) = (0:0:0,0:0:0);
         (A0 => F0) = (0:0:0,0:0:0);
    @@ -2655,17 +3247,24 @@ module SLICE_60 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 );
     
     endmodule
     
    -module lut40066 ( input A, B, C, D, output Z );
    +module lut40065 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h2A20) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'hFF10) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module SLICE_61 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    +module lut40066 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'hFFF4) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_64 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
       wire   GNDI;
     
    -  lut40011 \wb_dati_7_0_a2_2_0[1] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    +  lut40067 \ram2e_ufm/wb_adr_7_i_i_a3_6[0] ( .A(A1), .B(B1), .C(C1), .D(GNDI), 
    +    .Z(F1));
       gnd DRIVEGND( .PWR0(GNDI));
    -  lut40067 \FS_RNIOD6E_1[8] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  lut40068 \ram2e_ufm/wb_adr_7_i_i_5[0] ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
     
       specify
         (C1 => F1) = (0:0:0,0:0:0);
    @@ -2681,50 +3280,27 @@ endmodule
     
     module lut40067 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h0004) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module SLICE_62 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 );
    -  wire   GNDI;
    -
    -  lut40068 \wb_adr_RNO_0[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40069 \wb_adr_RNO_2[1] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -
    -  specify
    -    (D1 => F1) = (0:0:0,0:0:0);
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -  endspecify
    -
    +  ROM16X1A #(16'h2020) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
     module lut40068 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h0200) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'hF4F0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module lut40069 ( input A, B, C, D, output Z );
    +module ram2e_ufm_SLICE_65 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
    +    F1 );
     
    -  ROM16X1A #(16'h9595) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module SLICE_63 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 );
    -  wire   GNDI;
    -
    -  lut40039 \un1_LEDEN13_2_i_o2_2[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40038 \FS_RNI9FGA[1] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    -  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40069 \ram2e_ufm/SUM0_i_a3_1 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    +  lut40065 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_o3_0_RNIN3AF2 ( .A(A0), .B(B0), 
    +    .C(C0), .D(D0), .Z(F0));
     
       specify
         (D1 => F1) = (0:0:0,0:0:0);
         (C1 => F1) = (0:0:0,0:0:0);
         (B1 => F1) = (0:0:0,0:0:0);
         (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
         (C0 => F0) = (0:0:0,0:0:0);
         (B0 => F0) = (0:0:0,0:0:0);
         (A0 => F0) = (0:0:0,0:0:0);
    @@ -2732,15 +3308,21 @@ module SLICE_63 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 );
     
     endmodule
     
    -module SLICE_64 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    -  wire   GNDI;
    +module lut40069 ( input A, B, C, D, output Z );
     
    -  lut40070 \FS_RNI6JJA[8] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -  lut40013 \un1_RWMask_0_sqmuxa_1_i_0_RNO[0] ( .A(A0), .B(B0), .C(C0), .D(D0), 
    -    .Z(F0));
    +  ROM16X1A #(16'h1500) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_66 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
    +    F1 );
    +
    +  lut40070 \ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_0[0] ( .A(A1), .B(B1), 
    +    .C(C1), .D(D1), .Z(F1));
    +  lut40071 \ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0[0] ( .A(A0), .B(B0), 
    +    .C(C0), .D(D0), .Z(F0));
     
       specify
    +    (D1 => F1) = (0:0:0,0:0:0);
         (C1 => F1) = (0:0:0,0:0:0);
         (B1 => F1) = (0:0:0,0:0:0);
         (A1 => F1) = (0:0:0,0:0:0);
    @@ -2754,59 +3336,23 @@ endmodule
     
     module lut40070 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h7F7F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module SLICE_65 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    -  wire   GNDI;
    -
    -  lut40007 \wb_dati_7_0_a2_0_0[6] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -  lut40026 \wb_dati_7_0_0_RNO[7] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    -
    -  specify
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (D0 => F0) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -  endspecify
    -
    -endmodule
    -
    -module SLICE_66 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
    -  wire   GNDI;
    -
    -  lut40003 \FS_RNIJ9MH[14] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -  lut40071 wb_we_RNO_3( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    -
    -  specify
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (D0 => F0) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -  endspecify
    -
    +  ROM16X1A #(16'hCCC8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
     module lut40071 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h2202) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'hF5F4) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module SLICE_67 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
    +module ram2e_ufm_SLICE_67 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
       wire   GNDI;
     
    -  lut40027 wb_reqc_1( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1));
    +  lut40072 \ram2e_ufm/nRAS_s_i_0_m3 ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
       gnd DRIVEGND( .PWR0(GNDI));
    -  lut40072 wb_reqc_1_RNIRU4M1( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  lut40073 \ram2e_ufm/nRAS_s_i_0_o2_0 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
     
       specify
    +    (C1 => F1) = (0:0:0,0:0:0);
         (B1 => F1) = (0:0:0,0:0:0);
         (A1 => F1) = (0:0:0,0:0:0);
         (D0 => F0) = (0:0:0,0:0:0);
    @@ -2819,36 +3365,21 @@ endmodule
     
     module lut40072 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h0080) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module SLICE_68 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    -
    -  lut40073 \wb_dati_7_0_0[3] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40015 \FS_RNIOD6E_0[8] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    -
    -  specify
    -    (D1 => F1) = (0:0:0,0:0:0);
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (D0 => F0) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -  endspecify
    -
    +  ROM16X1A #(16'h8B8B) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
     module lut40073 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'hF888) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'hFFF6) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module SLICE_69 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    +module ram2e_ufm_SLICE_68 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
    +    F1 );
     
    -  lut40074 \RA_42_0[10] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40075 \RA_42_0_RNO[10] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  lut40074 \ram2e_ufm/wb_adr_7_i_i_3[0] ( .A(A1), .B(B1), .C(C1), .D(D1), 
    +    .Z(F1));
    +  lut40075 \ram2e_ufm/wb_adr_7_i_i_3_1[0] ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
     
       specify
         (D1 => F1) = (0:0:0,0:0:0);
    @@ -2865,18 +3396,19 @@ endmodule
     
     module lut40074 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'hFFDC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'h0090) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
     module lut40075 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h0208) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'h01A1) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module SLICE_70 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    +module ram2e_ufm_SLICE_69 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
    +    F1 );
     
    -  lut40063 \wb_dati_7_0_a2[4] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40076 \FS_RNIOD6E[8] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  lut40076 \ram2e_ufm/nCAS_s_i_0_a3_0 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    +  lut4 \ram2e_ufm/wb_rst16_i_i_i_o3 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
     
       specify
         (D1 => F1) = (0:0:0,0:0:0);
    @@ -2893,16 +3425,19 @@ endmodule
     
     module lut40076 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h4888) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'h0400) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module SLICE_71 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    +module ram2e_ufm_SLICE_70 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    +  wire   GNDI;
     
    -  lut40077 nRWE_r_0_RNO( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40018 \S_RNII9DO1_3[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  lut40077 \ram2e_ufm/wb_dati_7_0_0_a3_12[7] ( .A(A1), .B(B1), .C(C1), 
    +    .D(GNDI), .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40078 \ram2e_ufm/wb_dati_7_0_0_0_RNO[7] ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
     
       specify
    -    (D1 => F1) = (0:0:0,0:0:0);
         (C1 => F1) = (0:0:0,0:0:0);
         (B1 => F1) = (0:0:0,0:0:0);
         (A1 => F1) = (0:0:0,0:0:0);
    @@ -2916,120 +3451,27 @@ endmodule
     
     module lut40077 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h00BF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module SLICE_72 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    -
    -  lut40026 Ready_0_sqmuxa_0_a2_6_a2_4( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40025 \FS_RNI5OOF1[15] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    -
    -  specify
    -    (D1 => F1) = (0:0:0,0:0:0);
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (D0 => F0) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -  endspecify
    -
    -endmodule
    -
    -module SLICE_73 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    -
    -  lut40078 \wb_adr_7_0_a2_1[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40067 \FS_RNIK5632[15] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    -
    -  specify
    -    (D1 => F1) = (0:0:0,0:0:0);
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (D0 => F0) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -  endspecify
    -
    +  ROM16X1A #(16'h0404) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
     module lut40078 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h00D0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'h8808) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module SLICE_74 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    +module ram2e_ufm_SLICE_71 ( input C1, B1, A1, C0, B0, A0, output F0, F1 );
       wire   GNDI;
     
    -  lut4 \wb_dati_7_0_a2_5[4] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    +  lut40013 \ram2e_ufm/RA_35_0_0_a3_4[7] ( .A(A1), .B(B1), .C(C1), .D(GNDI), 
    +    .Z(F1));
       gnd DRIVEGND( .PWR0(GNDI));
    -  lut40026 \wb_dati_7_0_a2_5_RNIC22J[4] ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +  lut40079 \ram2e_ufm/nRAS_s_i_0_a3_4 ( .A(A0), .B(B0), .C(C0), .D(GNDI), 
         .Z(F0));
     
       specify
         (C1 => F1) = (0:0:0,0:0:0);
         (B1 => F1) = (0:0:0,0:0:0);
         (A1 => F1) = (0:0:0,0:0:0);
    -    (D0 => F0) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -  endspecify
    -
    -endmodule
    -
    -module SLICE_75 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 );
    -  wire   GNDI;
    -
    -  lut40015 nCS_6_u_i_a2_4( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut4 nCS_6_u_i_a2_4_RNI3A062( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -
    -  specify
    -    (D1 => F1) = (0:0:0,0:0:0);
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -  endspecify
    -
    -endmodule
    -
    -module SLICE_76 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
    -  wire   GNDI;
    -
    -  lut40027 nCS_6_u_i_o2_0( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -  lut40065 nCS_6_u_i_a2_4_RNICJKD2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    -
    -  specify
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (D0 => F0) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -  endspecify
    -
    -endmodule
    -
    -module SLICE_77 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    -  wire   GNDI;
    -
    -  lut40009 un1_CS_0_sqmuxa_0_0_a2_10( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -  lut40079 un1_CS_0_sqmuxa_0_0_2_RNIQS7F( .A(A0), .B(B0), .C(C0), .D(D0), 
    -    .Z(F0));
    -
    -  specify
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (D0 => F0) = (0:0:0,0:0:0);
         (C0 => F0) = (0:0:0,0:0:0);
         (B0 => F0) = (0:0:0,0:0:0);
         (A0 => F0) = (0:0:0,0:0:0);
    @@ -3039,20 +3481,22 @@ endmodule
     
     module lut40079 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h0103) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'h0101) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module SLICE_78 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
    +module ram2e_ufm_SLICE_72 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 );
       wire   GNDI;
     
    -  lut40027 nCAS_s_i_o2( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1));
    +  lut40080 \ram2e_ufm/BA_4[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    +  lut40047 \ram2e_ufm/un1_RC12_i_0_o3 ( .A(A0), .B(B0), .C(C0), .D(GNDI), 
    +    .Z(F0));
       gnd DRIVEGND( .PWR0(GNDI));
    -  lut40080 nCAS_0io_RNO( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
     
       specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
         (B1 => F1) = (0:0:0,0:0:0);
         (A1 => F1) = (0:0:0,0:0:0);
    -    (D0 => F0) = (0:0:0,0:0:0);
         (C0 => F0) = (0:0:0,0:0:0);
         (B0 => F0) = (0:0:0,0:0:0);
         (A0 => F0) = (0:0:0,0:0:0);
    @@ -3062,21 +3506,24 @@ endmodule
     
     module lut40080 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'hAABF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'hC0D0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module SLICE_79 ( input D1, C1, B1, A1, B0, A0, output F0, F1 );
    -  wire   GNDI;
    +module ram2e_ufm_SLICE_73 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
    +    F1 );
     
    -  lut40081 nCS_6_u_i_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40003 nCS_0io_RNO( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0));
    -  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40081 \ram2e_ufm/wb_dati_7_0_0_o3_0[2] ( .A(A1), .B(B1), .C(C1), .D(D1), 
    +    .Z(F1));
    +  lut40082 \ram2e_ufm/wb_dati_7_0_0_a3_3[4] ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
     
       specify
         (D1 => F1) = (0:0:0,0:0:0);
         (C1 => F1) = (0:0:0,0:0:0);
         (B1 => F1) = (0:0:0,0:0:0);
         (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
         (B0 => F0) = (0:0:0,0:0:0);
         (A0 => F0) = (0:0:0,0:0:0);
       endspecify
    @@ -3085,44 +3532,24 @@ endmodule
     
     module lut40081 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h0212) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module SLICE_80 ( input C1, B1, A1, C0, B0, A0, output F0, F1 );
    -  wire   GNDI;
    -
    -  lut40082 nRAS_2_iv_0_a2_0( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -  lut40083 nRAS_2_iv_i( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    -
    -  specify
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -  endspecify
    -
    +  ROM16X1A #(16'hECCC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
     module lut40082 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h1212) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'h0100) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module lut40083 ( input A, B, C, D, output Z );
    +module ram2e_ufm_SLICE_74 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    +  wire   GNDI;
     
    -  ROM16X1A #(16'h5151) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module SLICE_81 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    -
    -  lut40018 un1_CS_0_sqmuxa_0_0_a2_1_6( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40047 un1_CS_0_sqmuxa_0_0_2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  lut40053 \ram2e_ufm/RA_35_2_0_0[10] ( .A(A1), .B(B1), .C(C1), .D(GNDI), 
    +    .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40034 \ram2e_ufm/RA_35_2_0_a3_5[10] ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
     
       specify
    -    (D1 => F1) = (0:0:0,0:0:0);
         (C1 => F1) = (0:0:0,0:0:0);
         (B1 => F1) = (0:0:0,0:0:0);
         (A1 => F1) = (0:0:0,0:0:0);
    @@ -3134,13 +3561,60 @@ module SLICE_81 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
     
     endmodule
     
    -module SLICE_82 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    +module ram2e_ufm_SLICE_75 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    +  wire   GNDI;
     
    -  lut40084 un1_CS_0_sqmuxa_0_0_a2_5( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40039 un1_CS_0_sqmuxa_0_0_3( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  lut40079 \ram2e_ufm/wb_dati_7_0_0_a3_15[7] ( .A(A1), .B(B1), .C(C1), 
    +    .D(GNDI), .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40015 \ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0_0_RNO[0] ( .A(A0), .B(B0), 
    +    .C(C0), .D(D0), .Z(F0));
    +
    +  specify
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module ram2e_ufm_SLICE_76 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
    +  wire   GNDI;
    +
    +  lut40083 \ram2e_ufm/wb_dati_7_0_0_a3_13[7] ( .A(A1), .B(B1), .C(GNDI), 
    +    .D(GNDI), .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40019 \ram2e_ufm/wb_dati_7_0_0_0_0_RNO[7] ( .A(A0), .B(B0), .C(C0), 
    +    .D(D0), .Z(F0));
    +
    +  specify
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module lut40083 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'h2222) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_77 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    +  wire   GNDI;
    +
    +  lut40084 \ram2e_ufm/SUM2_0_o2 ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40085 \ram2e_ufm/N_314_i_i_o3 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
     
       specify
    -    (D1 => F1) = (0:0:0,0:0:0);
         (C1 => F1) = (0:0:0,0:0:0);
         (B1 => F1) = (0:0:0,0:0:0);
         (A1 => F1) = (0:0:0,0:0:0);
    @@ -3154,17 +3628,24 @@ endmodule
     
     module lut40084 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h007F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'hF7F7) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module SLICE_83 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    +module lut40085 ( input A, B, C, D, output Z );
     
    -  lut40025 nCS_6_u_i_a2_1_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40085 nCS_6_u_i_1( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  ROM16X1A #(16'hFFF7) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_78 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
    +  wire   GNDI;
    +
    +  lut40036 \ram2e_ufm/S_r_i_0_o2[1] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), 
    +    .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40041 \ram2e_ufm/S_r_i_0_o2_RNIP4KI1[1] ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
     
       specify
    -    (D1 => F1) = (0:0:0,0:0:0);
    -    (C1 => F1) = (0:0:0,0:0:0);
         (B1 => F1) = (0:0:0,0:0:0);
         (A1 => F1) = (0:0:0,0:0:0);
         (D0 => F0) = (0:0:0,0:0:0);
    @@ -3175,15 +3656,13 @@ module SLICE_83 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
     
     endmodule
     
    -module lut40085 ( input A, B, C, D, output Z );
    +module ram2e_ufm_SLICE_79 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
    +    F1 );
     
    -  ROM16X1A #(16'hEAAA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module SLICE_84 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    -
    -  lut40086 \wb_dati_7_0_a2[6] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40053 \wb_dati_7_0_1[6] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  lut40086 \ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0_0[0] ( .A(A1), .B(B1), .C(C1), 
    +    .D(D1), .Z(F1));
    +  lut40087 \ram2e_ufm/S_r_i_0_o2_RNIOGTF1[1] ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
     
       specify
         (D1 => F1) = (0:0:0,0:0:0);
    @@ -3200,36 +3679,20 @@ endmodule
     
     module lut40086 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h9000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module SLICE_85 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    -
    -  lut40087 \wb_adr_7_0_1[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40039 \wb_adr_7_0_4[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    -
    -  specify
    -    (D1 => F1) = (0:0:0,0:0:0);
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (D0 => F0) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -  endspecify
    -
    +  ROM16X1A #(16'hF222) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
     module lut40087 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'hCC08) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'hFF7F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module SLICE_86 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    +module ram2e_ufm_SLICE_80 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
    +    F1 );
     
    -  lut40067 \wb_dati_7_0_a2_2[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40073 \un1_LEDEN_0_sqmuxa_1_i_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +  lut40034 \ram2e_ufm/un1_wb_cyc_stb_0_sqmuxa_1_i_0_0_a2[0] ( .A(A1), .B(B1), 
    +    .C(C1), .D(D1), .Z(F1));
    +  lut40088 \ram2e_ufm/CmdBitbangMXO2_RNINSM62 ( .A(A0), .B(B0), .C(C0), .D(D0), 
         .Z(F0));
     
       specify
    @@ -3245,56 +3708,22 @@ module SLICE_86 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
     
     endmodule
     
    -module SLICE_87 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 );
    -  wire   GNDI;
    -
    -  lut40013 un1_CS_0_sqmuxa_0_0_a2_4_4( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40059 un1_CS_0_sqmuxa_0_0_a2_4( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -
    -  specify
    -    (D1 => F1) = (0:0:0,0:0:0);
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -  endspecify
    -
    -endmodule
    -
    -module SLICE_88 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
    -  wire   GNDI;
    -
    -  lut40088 \FS_RNI9Q57[13] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -  lut40085 \wb_dati_7_0_o2_0[2] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    -
    -  specify
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (D0 => F0) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -  endspecify
    -
    -endmodule
    -
     module lut40088 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'hBBBB) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'hCCEC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module SLICE_89 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
    -  wire   GNDI;
    +module ram2e_ufm_SLICE_81 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
    +    F1 );
     
    -  lut40027 \wb_adr_7_0_o2[0] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -  lut40065 \wb_adr_7_0_a2_2[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  lut40017 \ram2e_ufm/wb_dati_7_0_0_a3_14[7] ( .A(A1), .B(B1), .C(C1), .D(D1), 
    +    .Z(F1));
    +  lut40035 \ram2e_ufm/wb_dati_7_0_0_a3_13_RNI81UL[7] ( .A(A0), .B(B0), .C(C0), 
    +    .D(D0), .Z(F0));
     
       specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
         (B1 => F1) = (0:0:0,0:0:0);
         (A1 => F1) = (0:0:0,0:0:0);
         (D0 => F0) = (0:0:0,0:0:0);
    @@ -3305,18 +3734,41 @@ module SLICE_89 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
     
     endmodule
     
    -module SLICE_90 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 );
    -  wire   GNDI;
    +module ram2e_ufm_SLICE_82 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
    +    F1 );
     
    -  lut40089 \wb_dati_7_0_o2[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40011 \wb_dati_7_0_a2[1] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    -  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40019 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_0 ( .A(A1), .B(B1), .C(C1), 
    +    .D(D1), .Z(F1));
    +  lut40016 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_0_RNIVQNQ2 ( .A(A0), .B(B0), .C(C0), 
    +    .D(D0), .Z(F0));
     
       specify
         (D1 => F1) = (0:0:0,0:0:0);
         (C1 => F1) = (0:0:0,0:0:0);
         (B1 => F1) = (0:0:0,0:0:0);
         (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module ram2e_ufm_SLICE_83 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    +  wire   GNDI;
    +
    +  lut40067 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_1_0 ( .A(A1), .B(B1), .C(C1), 
    +    .D(GNDI), .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40089 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_1_0_RNIS35S ( .A(A0), .B(B0), 
    +    .C(C0), .D(D0), .Z(F0));
    +
    +  specify
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
         (C0 => F0) = (0:0:0,0:0:0);
         (B0 => F0) = (0:0:0,0:0:0);
         (A0 => F0) = (0:0:0,0:0:0);
    @@ -3326,32 +3778,16 @@ endmodule
     
     module lut40089 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h0810) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'hA020) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module SLICE_91 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 );
    -  wire   GNDI;
    +module ram2e_ufm_SLICE_84 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
    +    F1 );
     
    -  lut40015 un1_CS_0_sqmuxa_0_0_a2_2_4( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40011 un1_CS_0_sqmuxa_0_0_a2_2( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -
    -  specify
    -    (D1 => F1) = (0:0:0,0:0:0);
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -  endspecify
    -
    -endmodule
    -
    -module SLICE_92 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    -
    -  lut40090 \wb_adr_7_0_a2_0_0[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40091 \wb_adr_7_0_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  lut40082 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_9_RNIJT9D1 ( .A(A1), .B(B1), 
    +    .C(C1), .D(D1), .Z(F1));
    +  lut40090 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_9_RNI3N8T5 ( .A(A0), .B(B0), 
    +    .C(C0), .D(D0), .Z(F0));
     
       specify
         (D1 => F1) = (0:0:0,0:0:0);
    @@ -3368,63 +3804,90 @@ endmodule
     
     module lut40090 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h3210) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'h3130) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_85 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
    +    F1 );
    +
    +  lut40017 \ram2e_ufm/wb_dati_7_0_0_a3_10[7] ( .A(A1), .B(B1), .C(C1), .D(D1), 
    +    .Z(F1));
    +  lut40086 \ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0_0[0] ( .A(A0), .B(B0), .C(C0), 
    +    .D(D0), .Z(F0));
    +
    +  specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module ram2e_ufm_SLICE_86 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
    +  wire   GNDI;
    +
    +  lut40023 \ram2e_ufm/wb_we_7_iv_0_0_0_a3_1 ( .A(A1), .B(B1), .C(GNDI), 
    +    .D(GNDI), .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut4 \ram2e_ufm/wb_adr_7_i_i_4[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +
    +  specify
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module ram2e_ufm_SLICE_87 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 );
    +  wire   GNDI;
    +
    +  lut40091 \ram2e_ufm/CmdSetRWBankFFChip_3_0_a8_0_o3_RNIGCV91 ( .A(A1), .B(B1), 
    +    .C(C1), .D(D1), .Z(F1));
    +  lut40092 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_m3 ( .A(A0), .B(B0), .C(C0), 
    +    .D(GNDI), .Z(F0));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +
    +  specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
     endmodule
     
     module lut40091 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'hECA0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module SLICE_93 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    -
    -  lut40092 un1_CS_0_sqmuxa_0_0_a2_1_2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40068 un1_CS_0_sqmuxa_0_0_a2_1_4( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    -
    -  specify
    -    (D1 => F1) = (0:0:0,0:0:0);
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (D0 => F0) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -  endspecify
    -
    +  ROM16X1A #(16'hFFFD) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
     module lut40092 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h0400) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'h8D8D) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module SLICE_94 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    +module ram2e_ufm_SLICE_88 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
    +  wire   GNDI;
     
    -  lut40026 un1_CS_0_sqmuxa_0_0_a2_3_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40065 un1_CS_0_sqmuxa_0_0_a2_3_2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  lut40093 \ram2e_ufm/wb_dati_7_0_0_a3_4_1_0[7] ( .A(A1), .B(B1), .C(GNDI), 
    +    .D(GNDI), .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40094 \ram2e_ufm/wb_dati_7_0_0_RNO_0[7] ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
     
       specify
    -    (D1 => F1) = (0:0:0,0:0:0);
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (D0 => F0) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -  endspecify
    -
    -endmodule
    -
    -module SLICE_95 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    -
    -  lut40056 wb_we_RNO_4( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40093 wb_we_RNO_2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    -
    -  specify
    -    (D1 => F1) = (0:0:0,0:0:0);
    -    (C1 => F1) = (0:0:0,0:0:0);
         (B1 => F1) = (0:0:0,0:0:0);
         (A1 => F1) = (0:0:0,0:0:0);
         (D0 => F0) = (0:0:0,0:0:0);
    @@ -3437,15 +3900,22 @@ endmodule
     
     module lut40093 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'hFEFC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'h4444) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module SLICE_96 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    +module lut40094 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'hC8C0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_89 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
       wire   GNDI;
     
    -  lut40094 \RA_42_i_o2[8] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    +  lut40077 \ram2e_ufm/wb_dati_7_0_0_a3_7[3] ( .A(A1), .B(B1), .C(C1), .D(GNDI), 
    +    .Z(F1));
       gnd DRIVEGND( .PWR0(GNDI));
    -  lut40095 \RA_0io_RNO[8] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  lut40055 \ram2e_ufm/wb_dati_7_0_0_0_0[3] ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
     
       specify
         (C1 => F1) = (0:0:0,0:0:0);
    @@ -3459,24 +3929,17 @@ module SLICE_96 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
     
     endmodule
     
    -module lut40094 ( input A, B, C, D, output Z );
    +module ram2e_ufm_SLICE_90 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
    +    F1 );
     
    -  ROM16X1A #(16'hEFEF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module lut40095 ( input A, B, C, D, output Z );
    -
    -  ROM16X1A #(16'h5044) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module SLICE_97 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
    -  wire   GNDI;
    -
    -  lut40033 \wb_dati_7_0_a2_1[0] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -  lut40072 CKE_6_iv_i_a2_3( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  lut40095 \ram2e_ufm/wb_dati_7_0_0_a3_1_0[6] ( .A(A1), .B(B1), .C(C1), .D(D1), 
    +    .Z(F1));
    +  lut40055 \ram2e_ufm/wb_dati_7_0_0_0[6] ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
     
       specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
         (B1 => F1) = (0:0:0,0:0:0);
         (A1 => F1) = (0:0:0,0:0:0);
         (D0 => F0) = (0:0:0,0:0:0);
    @@ -3487,14 +3950,19 @@ module SLICE_97 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
     
     endmodule
     
    -module SLICE_98 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    -  wire   GNDI;
    +module lut40095 ( input A, B, C, D, output Z );
     
    -  lut40096 un1_CS_0_sqmuxa_0_0_a2_16( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -  lut40065 un1_CS_0_sqmuxa_0_0_a2_4_2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  ROM16X1A #(16'h0021) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_91 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
    +    F1 );
    +
    +  lut40096 \ram2e_ufm/nRAS_s_i_0_a3_8 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    +  lut40097 \ram2e_ufm/nRAS_s_i_0_0 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
     
       specify
    +    (D1 => F1) = (0:0:0,0:0:0);
         (C1 => F1) = (0:0:0,0:0:0);
         (B1 => F1) = (0:0:0,0:0:0);
         (A1 => F1) = (0:0:0,0:0:0);
    @@ -3508,127 +3976,57 @@ endmodule
     
     module lut40096 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h0101) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module SLICE_99 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
    -  wire   GNDI;
    -
    -  lut40003 un1_CS_0_sqmuxa_0_0_a2_12( .A(A1), .B(B1), .C(GNDI), .D(GNDI), 
    -    .Z(F1));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -  lut40013 un1_CS_0_sqmuxa_0_0_a2_2_2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    -
    -  specify
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (D0 => F0) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -  endspecify
    -
    -endmodule
    -
    -module SLICE_100 ( input C1, B1, A1, C0, B0, A0, output F0, F1 );
    -  wire   GNDI;
    -
    -  lut40011 un1_CS_0_sqmuxa_0_0_a2_17( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -  lut40009 CmdSetRWBankFFMXO2_4_u_0_0_a2_0_0( .A(A0), .B(B0), .C(C0), .D(GNDI), 
    -    .Z(F0));
    -
    -  specify
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -  endspecify
    -
    -endmodule
    -
    -module SLICE_101 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    -
    -  lut40097 wb_reqc_1_RNIEO5C1( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40098 \S_RNII9DO1_1[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    -
    -  specify
    -    (D1 => F1) = (0:0:0,0:0:0);
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (D0 => F0) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -  endspecify
    -
    +  ROM16X1A #(16'h0004) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
     module lut40097 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h0001) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'h5540) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_92 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
    +    F1 );
    +
    +  lut40098 \ram2e_ufm/CKE_7s2_0_0_o3 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    +  lut40099 \ram2e_ufm/nCAS_s_i_0_a3 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +
    +  specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
     endmodule
     
     module lut40098 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'hC289) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module SLICE_102 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    -
    -  lut40099 \S_s_0_1[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40018 \BA_0io_RNO[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    -
    -  specify
    -    (D1 => F1) = (0:0:0,0:0:0);
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (D0 => F0) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -  endspecify
    -
    +  ROM16X1A #(16'h5C50) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
     module lut40099 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'hD550) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'h5400) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module SLICE_103 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    +module ram2e_ufm_SLICE_93 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 );
    +  wire   GNDI;
     
    -  lut40056 \RA_0io_RNO[11] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40039 wb_req_RNO_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  lut40100 \ram2e_ufm/wb_dati_7_0_0_o2[4] ( .A(A1), .B(B1), .C(C1), .D(D1), 
    +    .Z(F1));
    +  lut40013 \ram2e_ufm/wb_dati_7_0_0_a3[4] ( .A(A0), .B(B0), .C(C0), .D(GNDI), 
    +    .Z(F0));
    +  gnd DRIVEGND( .PWR0(GNDI));
     
       specify
         (D1 => F1) = (0:0:0,0:0:0);
         (C1 => F1) = (0:0:0,0:0:0);
         (B1 => F1) = (0:0:0,0:0:0);
         (A1 => F1) = (0:0:0,0:0:0);
    -    (D0 => F0) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -  endspecify
    -
    -endmodule
    -
    -module SLICE_104 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    -
    -  lut40065 \wb_dati_7_0_a2_3[4] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40100 \wb_adr_7_0_a2_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    -
    -  specify
    -    (D1 => F1) = (0:0:0,0:0:0);
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (D0 => F0) = (0:0:0,0:0:0);
         (C0 => F0) = (0:0:0,0:0:0);
         (B0 => F0) = (0:0:0,0:0:0);
         (A0 => F0) = (0:0:0,0:0:0);
    @@ -3638,18 +4036,19 @@ endmodule
     
     module lut40100 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'hCE00) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'h7880) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module SLICE_105 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    +module ram2e_ufm_SLICE_94 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
    +  wire   GNDI;
     
    -  lut40101 \un1_LEDEN_0_sqmuxa_1_i_a2_0_1[0] ( .A(A1), .B(B1), .C(C1), .D(D1), 
    -    .Z(F1));
    -  lut40045 \wb_dati_7_0_a2_1[7] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  lut40101 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_o3 ( .A(A1), .B(B1), .C(GNDI), 
    +    .D(GNDI), .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40041 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_2_2 ( .A(A0), .B(B0), .C(C0), 
    +    .D(D0), .Z(F0));
     
       specify
    -    (D1 => F1) = (0:0:0,0:0:0);
    -    (C1 => F1) = (0:0:0,0:0:0);
         (B1 => F1) = (0:0:0,0:0:0);
         (A1 => F1) = (0:0:0,0:0:0);
         (D0 => F0) = (0:0:0,0:0:0);
    @@ -3662,44 +4061,18 @@ endmodule
     
     module lut40101 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h0100) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'hBBBB) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module SLICE_106 ( input B1, A1, C0, B0, A0, output F0, F1 );
    -  wire   GNDI;
    +module ram2e_ufm_SLICE_95 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
    +    F1 );
     
    -  lut40102 \S_RNINI6S[1] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -  lut40103 CKE_6_iv_i_0_1_RNO( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    -
    -  specify
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -  endspecify
    -
    -endmodule
    -
    -module lut40102 ( input A, B, C, D, output Z );
    -
    -  ROM16X1A #(16'h7777) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module lut40103 ( input A, B, C, D, output Z );
    -
    -  ROM16X1A #(16'h1515) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module SLICE_107 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
    -  wire   GNDI;
    -
    -  lut40102 \S_r_i_o2[1] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -  lut40018 \BA_0io_RNO[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  lut40102 \ram2e_ufm/RA_35_0_0_o2[5] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    +  lut40033 \ram2e_ufm/RA_35_0_0_0[4] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
     
       specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
         (B1 => F1) = (0:0:0,0:0:0);
         (A1 => F1) = (0:0:0,0:0:0);
         (D0 => F0) = (0:0:0,0:0:0);
    @@ -3710,12 +4083,45 @@ module SLICE_107 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
     
     endmodule
     
    -module SLICE_108 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    +module lut40102 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'hEAE8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_96 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
    +    F1 );
    +
    +  lut40103 \ram2e_ufm/RA_35_0_0_o2_0[5] ( .A(A1), .B(B1), .C(C1), .D(D1), 
    +    .Z(F1));
    +  lut40033 \ram2e_ufm/RA_35_0_0_0_0[6] ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
    +
    +  specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module lut40103 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'h1512) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_97 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
       wire   GNDI;
     
    -  lut40007 \wb_adr_7_0_a2_5_0[0] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    +  lut40104 \ram2e_ufm/wb_dati_7_0_0_a3_0_0[1] ( .A(A1), .B(B1), .C(C1), 
    +    .D(GNDI), .Z(F1));
       gnd DRIVEGND( .PWR0(GNDI));
    -  lut40104 \wb_dati_7_0_a2[7] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  lut40055 \ram2e_ufm/wb_dati_7_0_0_0[1] ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
     
       specify
         (C1 => F1) = (0:0:0,0:0:0);
    @@ -3731,17 +4137,19 @@ endmodule
     
     module lut40104 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h8200) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'h8080) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module SLICE_109 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    +module ram2e_ufm_SLICE_98 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
    +  wire   GNDI;
     
    -  lut40037 \wb_dati_7_0_0[7] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40037 \wb_dati_7_0_0[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  lut40093 \ram2e_ufm/wb_dati_7_0_0_a3_9[7] ( .A(A1), .B(B1), .C(GNDI), 
    +    .D(GNDI), .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40055 \ram2e_ufm/wb_dati_7_0_0_0_0[7] ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
     
       specify
    -    (D1 => F1) = (0:0:0,0:0:0);
    -    (C1 => F1) = (0:0:0,0:0:0);
         (B1 => F1) = (0:0:0,0:0:0);
         (A1 => F1) = (0:0:0,0:0:0);
         (D0 => F0) = (0:0:0,0:0:0);
    @@ -3752,13 +4160,16 @@ module SLICE_109 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
     
     endmodule
     
    -module SLICE_110 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    +module ram2e_ufm_SLICE_99 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    +  wire   GNDI;
     
    -  lut40105 \RA_0io_RNO[9] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40055 CmdBitbangMXO2_RNI8CSO1( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  lut40105 \ram2e_ufm/wb_adr_7_i_i_a3_2_0[0] ( .A(A1), .B(B1), .C(C1), 
    +    .D(GNDI), .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40106 \ram2e_ufm/wb_adr_7_i_i_1[0] ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
     
       specify
    -    (D1 => F1) = (0:0:0,0:0:0);
         (C1 => F1) = (0:0:0,0:0:0);
         (B1 => F1) = (0:0:0,0:0:0);
         (A1 => F1) = (0:0:0,0:0:0);
    @@ -3772,79 +4183,21 @@ endmodule
     
     module lut40105 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h0023) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module SLICE_111 ( input C1, B1, A1, C0, B0, A0, output F0, F1 );
    -  wire   GNDI;
    -
    -  lut40106 \RA_42_3_0[5] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -  lut40020 \RA_0io_RNO[4] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    -
    -  specify
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -  endspecify
    -
    +  ROM16X1A #(16'h0202) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
     module lut40106 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'hABAB) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'hF040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module SLICE_112 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 );
    -  wire   GNDI;
    +module ram2e_ufm_SLICE_100 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
    +    F1 );
     
    -  lut40107 nCS_6_u_i_a2_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40020 \RA_0io_RNO[6] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -
    -  specify
    -    (D1 => F1) = (0:0:0,0:0:0);
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -  endspecify
    -
    -endmodule
    -
    -module lut40107 ( input A, B, C, D, output Z );
    -
    -  ROM16X1A #(16'h0010) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    -endmodule
    -
    -module SLICE_113 ( input C1, B1, A1, C0, B0, A0, output F0, F1 );
    -  wire   GNDI;
    -
    -  lut40020 \RA_0io_RNO[2] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -  lut40020 \RA_0io_RNO[7] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    -
    -  specify
    -    (C1 => F1) = (0:0:0,0:0:0);
    -    (B1 => F1) = (0:0:0,0:0:0);
    -    (A1 => F1) = (0:0:0,0:0:0);
    -    (C0 => F0) = (0:0:0,0:0:0);
    -    (B0 => F0) = (0:0:0,0:0:0);
    -    (A0 => F0) = (0:0:0,0:0:0);
    -  endspecify
    -
    -endmodule
    -
    -module SLICE_114 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    -
    -  lut40073 \wb_dati_7_0_0[4] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40073 \un1_RWMask_0_sqmuxa_1_i_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), 
    -    .Z(F0));
    +  lut4 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_o3_0 ( .A(A1), .B(B1), .C(C1), .D(D1), 
    +    .Z(F1));
    +  lut40107 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_o3_0_RNI9H8R ( .A(A0), .B(B0), 
    +    .C(C0), .D(D0), .Z(F0));
     
       specify
         (D1 => F1) = (0:0:0,0:0:0);
    @@ -3859,12 +4212,19 @@ module SLICE_114 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
     
     endmodule
     
    -module SLICE_115 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
    +module lut40107 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'hBF8F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_101 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
       wire   GNDI;
     
    -  lut40027 nWE80_pad_RNI3ICD( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1));
    +  lut40022 \ram2e_ufm/CmdSetRWBankFFChip_3_0_a8_0_o3 ( .A(A1), .B(B1), 
    +    .C(GNDI), .D(GNDI), .Z(F1));
       gnd DRIVEGND( .PWR0(GNDI));
    -  lut40108 nRWE_r_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  lut40108 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_o2 ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
     
       specify
         (B1 => F1) = (0:0:0,0:0:0);
    @@ -3879,15 +4239,35 @@ endmodule
     
     module lut40108 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h3222) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'hB1A0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module SLICE_116 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
    +module ram2e_ufm_SLICE_102 ( input C1, B1, A1, C0, B0, A0, output F0, F1 );
       wire   GNDI;
     
    -  lut40102 \wb_adr_7_0_o2_2[0] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1));
    +  lut40077 \ram2e_ufm/CKE_7s2_0_0_a2_1 ( .A(A1), .B(B1), .C(C1), .D(GNDI), 
    +    .Z(F1));
       gnd DRIVEGND( .PWR0(GNDI));
    -  lut40109 \wb_dati_7_0_a2_1_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +  lut40047 \ram2e_ufm/CKE_7s2_0_0 ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    +
    +  specify
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module ram2e_ufm_SLICE_103 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
    +  wire   GNDI;
    +
    +  lut40101 \ram2e_ufm/wb_dati_7_0_0_0_o2[7] ( .A(A1), .B(B1), .C(GNDI), 
    +    .D(GNDI), .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40109 \ram2e_ufm/wb_adr_RNO_1[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
     
       specify
         (B1 => F1) = (0:0:0,0:0:0);
    @@ -3902,14 +4282,16 @@ endmodule
     
     module lut40109 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'h2800) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'h7040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module SLICE_117 ( input D1, C1, B1, A1, B0, A0, output F0, F1 );
    +module ram2e_ufm_SLICE_104 ( input D1, C1, B1, A1, B0, A0, output F0, F1 );
       wire   GNDI;
     
    -  lut40093 \RWBank_5_0_o2[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    -  lut40110 LED_pad_RNO( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0));
    +  lut40110 \ram2e_ufm/wb_dati_7_0_0_o2_0[3] ( .A(A1), .B(B1), .C(C1), .D(D1), 
    +    .Z(F1));
    +  lut40093 \ram2e_ufm/wb_dati_7_0_0_0_a3_0[3] ( .A(A0), .B(B0), .C(GNDI), 
    +    .D(GNDI), .Z(F0));
       gnd DRIVEGND( .PWR0(GNDI));
     
       specify
    @@ -3925,16 +4307,870 @@ endmodule
     
     module lut40110 ( input A, B, C, D, output Z );
     
    -  ROM16X1A #(16'hDDDD) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +  ROM16X1A #(16'h7084) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
     endmodule
     
    -module SLICE_118 ( input B1, A1, B0, A0, output F0, F1 );
    +module ram2e_ufm_SLICE_105 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 );
       wire   GNDI;
     
    -  lut40003 un1_CS_0_sqmuxa_0_0_a2_11( .A(A1), .B(B1), .C(GNDI), .D(GNDI), 
    +  lut40016 \ram2e_ufm/S_r_i_0_o2_RNI62C53[1] ( .A(A1), .B(B1), .C(C1), .D(D1), 
    +    .Z(F1));
    +  lut40111 \ram2e_ufm/N_285_i ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +
    +  specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module lut40111 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'h0B0B) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_106 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
    +  wire   GNDI;
    +
    +  lut40022 \ram2e_ufm/S_r_i_0_o2[2] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), 
         .Z(F1));
       gnd DRIVEGND( .PWR0(GNDI));
    -  lut40033 un1_CS_0_sqmuxa_0_0_a2_13( .A(A0), .B(B0), .C(GNDI), .D(GNDI), 
    +  lut40112 \ram2e_ufm/RA_35_2_0_a3[10] ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
    +
    +  specify
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module lut40112 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'hD050) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_107 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
    +    F1 );
    +
    +  lut40113 \ram2e_ufm/CKE_7_m1_0_0_o2_RNIGC501 ( .A(A1), .B(B1), .C(C1), 
    +    .D(D1), .Z(F1));
    +  lut40114 \ram2e_ufm/RA_35_i_i_0_a3[1] ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
    +
    +  specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module lut40113 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'h0010) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module lut40114 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'hD800) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_108 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 );
    +  wire   GNDI;
    +
    +  lut4 \ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_o3[0] ( .A(A1), .B(B1), 
    +    .C(C1), .D(D1), .Z(F1));
    +  lut40115 \ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_a3_0_0[0] ( .A(A0), 
    +    .B(B0), .C(C0), .D(GNDI), .Z(F0));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +
    +  specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module lut40115 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'h3131) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_109 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
    +  wire   GNDI;
    +
    +  lut40006 \ram2e_ufm/wb_we_7_iv_0_0_0_a3_6 ( .A(A1), .B(B1), .C(GNDI), 
    +    .D(GNDI), .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40068 \ram2e_ufm/wb_we_RNO_3 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +
    +  specify
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module ram2e_ufm_SLICE_110 ( input D1, C1, B1, A1, B0, A0, output F0, F1 );
    +  wire   GNDI;
    +
    +  lut40035 \ram2e_ufm/wb_we_7_iv_0_0_0_a3_7 ( .A(A1), .B(B1), .C(C1), .D(D1), 
    +    .Z(F1));
    +  lut40023 \ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0_a3_0_0[0] ( .A(A0), .B(B0), 
    +    .C(GNDI), .D(GNDI), .Z(F0));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +
    +  specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module ram2e_ufm_SLICE_111 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    +  wire   GNDI;
    +
    +  lut40104 \ram2e_ufm/wb_dati_7_0_0_a3_2[3] ( .A(A1), .B(B1), .C(C1), .D(GNDI), 
    +    .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40116 \ram2e_ufm/wb_dati_7_0_0_0_o2[3] ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
    +
    +  specify
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module lut40116 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'h9180) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_112 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    +  wire   GNDI;
    +
    +  lut40018 \ram2e_ufm/nRAS_s_i_0_a3_6 ( .A(A1), .B(B1), .C(C1), .D(GNDI), 
    +    .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40117 \ram2e_ufm/nRAS_s_i_0_a3_1 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +
    +  specify
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module lut40117 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'h0200) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_113 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    +  wire   GNDI;
    +
    +  lut40077 \ram2e_ufm/nRAS_s_i_0_a3_5 ( .A(A1), .B(B1), .C(C1), .D(GNDI), 
    +    .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40014 \ram2e_ufm/RA_35_2_0_a3_3[10] ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
    +
    +  specify
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module ram2e_ufm_SLICE_114 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    +  wire   GNDI;
    +
    +  lut40118 \ram2e_ufm/wb_adr_RNO_2[1] ( .A(A1), .B(B1), .C(C1), .D(GNDI), 
    +    .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40117 \ram2e_ufm/wb_adr_RNO_0[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +
    +  specify
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module lut40118 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'h8787) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_115 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
    +  wire   GNDI;
    +
    +  lut40036 \ram2e_ufm/un2_S_2_i_0_0_o3 ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), 
    +    .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40119 \ram2e_ufm/CKE_7s2_0_0_0 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +
    +  specify
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module lut40119 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'h0C4C) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_116 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 );
    +  wire   GNDI;
    +
    +  lut40014 \ram2e_ufm/CmdExecMXO2_3_0_a3_0 ( .A(A1), .B(B1), .C(C1), .D(D1), 
    +    .Z(F1));
    +  lut40067 \ram2e_ufm/CmdSetRWBankFFChip_3_0_a8_0_a3_0 ( .A(A0), .B(B0), 
    +    .C(C0), .D(GNDI), .Z(F0));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +
    +  specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module ram2e_ufm_SLICE_117 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
    +    F1 );
    +
    +  lut40120 \ram2e_ufm/S_s_0_0_RNO[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    +  lut40121 \ram2e_ufm/N_225_i ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +
    +  specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module lut40120 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'h0F0E) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module lut40121 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'h0007) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_118 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
    +    F1 );
    +
    +  lut40113 \ram2e_ufm/CKE_7_m1_0_0_o2_RNI7FOA1 ( .A(A1), .B(B1), .C(C1), 
    +    .D(D1), .Z(F1));
    +  lut40122 \ram2e_ufm/N_201_i ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +
    +  specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module lut40122 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'h000D) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_119 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
    +    F1 );
    +
    +  lut40123 \ram2e_ufm/S_r_i_0_o2_RNIBAU51[1] ( .A(A1), .B(B1), .C(C1), .D(D1), 
    +    .Z(F1));
    +  lut40124 \ram2e_ufm/un1_CKE75_0_i_0 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +
    +  specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module lut40123 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'h1000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module lut40124 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'hD79B) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_120 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
    +    F1 );
    +
    +  lut40125 \ram2e_ufm/DQMH_4_iv_0_0_i_i_a3_0_a3 ( .A(A1), .B(B1), .C(C1), 
    +    .D(D1), .Z(F1));
    +  lut40126 \ram2e_ufm/N_507_i ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +
    +  specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module lut40125 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'h31F5) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module lut40126 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'hCD05) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_121 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
    +    F1 );
    +
    +  lut40127 \ram2e_ufm/Vout3_0_a3_0_a3_0_a3 ( .A(A1), .B(B1), .C(C1), .D(D1), 
    +    .Z(F1));
    +  lut40128 \ram2e_ufm/RA_35_0_0_o2[11] ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
    +
    +  specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module lut40127 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'h0040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module lut40128 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'hFCF8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_122 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
    +    F1 );
    +
    +  lut40129 \ram2e_ufm/nRWE_s_i_0_63_1 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    +  lut40130 \ram2e_ufm/nCAS_s_i_0_m2 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +
    +  specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module lut40129 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'h4FFC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module lut40130 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'h37FA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_123 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 );
    +  wire   GNDI;
    +
    +  lut40131 \ram2e_ufm/wb_adr_RNO_3[1] ( .A(A1), .B(B1), .C(C1), .D(GNDI), 
    +    .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40019 \ram2e_ufm/wb_dati_7_0_0_a3_8[3] ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
    +
    +  specify
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module lut40131 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'h7F7F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_124 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
    +    F1 );
    +
    +  lut40132 \ram2e_ufm/RA_35_0_0_0[5] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    +  lut40035 \ram2e_ufm/RA_35_0_0_RNO[0] ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
    +
    +  specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module lut40132 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'h7300) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_125 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
    +    F1 );
    +
    +  lut40133 \ram2e_ufm/wb_adr_7_i_i_o2_1[0] ( .A(A1), .B(B1), .C(C1), .D(D1), 
    +    .Z(F1));
    +  lut40045 \ram2e_ufm/wb_dati_7_0_0_a3_2[4] ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
    +
    +  specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module lut40133 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'h7F70) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_126 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
    +    F1 );
    +
    +  lut40134 \ram2e_ufm/wb_we_RNO_1 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    +  lut40117 \ram2e_ufm/wb_adr_7_i_i_a3_4[0] ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
    +
    +  specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module lut40134 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'h7F07) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_127 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
    +    F1 );
    +
    +  lut40117 \ram2e_ufm/un9_VOEEN_0_a2_0_a3_0_a3 ( .A(A1), .B(B1), .C(C1), 
    +    .D(D1), .Z(F1));
    +  lut40046 \ram2e_ufm/RA_35_2_30_a3_2 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +
    +  specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module ram2e_ufm_SLICE_128 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 );
    +  wire   GNDI;
    +
    +  lut40135 \ram2e_ufm/wb_dati_7_0_0_0_a3_0[0] ( .A(A1), .B(B1), .C(C1), .D(D1), 
    +    .Z(F1));
    +  lut40136 \ram2e_ufm/wb_adr_RNO_4[1] ( .A(A0), .B(B0), .C(C0), .D(GNDI), 
    +    .Z(F0));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +
    +  specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module lut40135 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'h2080) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module lut40136 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'h0808) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_129 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
    +    F1 );
    +
    +  lut40080 \ram2e_ufm/BA_4[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    +  lut40016 \ram2e_ufm/RA_35_2_0_RNO[10] ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
    +
    +  specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module ram2e_ufm_SLICE_130 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
    +  wire   GNDI;
    +
    +  lut40083 \ram2e_ufm/N_187_i ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40088 \ram2e_ufm/wb_we_RNO_0 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +
    +  specify
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module ram2e_ufm_SLICE_131 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
    +    F1 );
    +
    +  lut40035 \ram2e_ufm/Ready3_0_a3_5 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    +  lut40137 \ram2e_ufm/wb_dati_7_0_0_0_a3[7] ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
    +
    +  specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module lut40137 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'h9000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_132 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
    +    F1 );
    +
    +  lut40138 \ram2e_ufm/RA_35_0_0_1[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    +  lut40033 \ram2e_ufm/RA_35_0_0_0_0[7] ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
    +
    +  specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module lut40138 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'h0777) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_133 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 );
    +  wire   GNDI;
    +
    +  lut40035 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_0_1 ( .A(A1), .B(B1), .C(C1), 
    +    .D(D1), .Z(F1));
    +  lut40084 \ram2e_ufm/SUM0_i_o2_2 ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +
    +  specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module ram2e_ufm_SLICE_134 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
    +    F1 );
    +
    +  lut4 \ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_o3_3[0] ( .A(A1), .B(B1), 
    +    .C(C1), .D(D1), .Z(F1));
    +  lut40114 \ram2e_ufm/RA_35_0_0_a3[2] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +
    +  specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module ram2e_ufm_SLICE_135 ( input B1, A1, D0, C0, B0, A0, output F0, F1 );
    +  wire   GNDI;
    +
    +  lut40022 \ram2e_ufm/S_r_i_0_o2_0[1] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), 
    +    .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40139 \ram2e_ufm/RA_35_2_0_a3_0[10] ( .A(A0), .B(B0), .C(C0), .D(D0), 
    +    .Z(F0));
    +
    +  specify
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (D0 => F0) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module lut40139 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'h0444) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_136 ( input C1, B1, A1, C0, B0, A0, output F0, F1 );
    +  wire   GNDI;
    +
    +  lut40140 \ram2e_ufm/nRAS_s_i_0_o2 ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40141 \ram2e_ufm/un1_nDOE_i ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    +
    +  specify
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module lut40140 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'h5757) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module lut40141 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'hDFDF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_137 ( input C1, B1, A1, C0, B0, A0, output F0, F1 );
    +  wire   GNDI;
    +
    +  lut40142 \ram2e_ufm/RDOE_i ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40084 \ram2e_ufm/LEDEN_RNI6G6M ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    +
    +  specify
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module lut40142 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'hA8A8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module SLICE_138 ( input C1, B1, A1, C0, B0, A0, output F0, F1 );
    +  wire   GNDI;
    +
    +  lut40007 VOEEN_RNO( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40007 DOEEN_RNO( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    +
    +  specify
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module SLICE_139 ( input B1, A1, C0, B0, A0, output F0, F1 );
    +  wire   GNDI;
    +
    +  lut40101 nVOE_pad_RNO( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40067 S_1( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0));
    +
    +  specify
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (C0 => F0) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module ram2e_ufm_SLICE_140 ( input B1, A1, B0, A0, output F0, F1 );
    +  wire   GNDI;
    +
    +  lut40023 \ram2e_ufm/RA_35_0_0_a3_0[2] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), 
    +    .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40023 \ram2e_ufm/RA_35_0_0_a3[5] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), 
         .Z(F0));
     
       specify
    @@ -3946,12 +5182,114 @@ module SLICE_118 ( input B1, A1, B0, A0, output F0, F1 );
     
     endmodule
     
    -module SLICE_119 ( input D0, C0, B0, A0, output F0 );
    +module ram2e_ufm_SLICE_141 ( input B1, A1, B0, A0, output F0, F1 );
    +  wire   GNDI;
     
    -  lut40026 Ready_0_sqmuxa_0_a2_6_a2_2_0( .A(A0), .B(B0), .C(C0), .D(D0), 
    +  lut40023 \ram2e_ufm/RDout_i_0_i_a3[0] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), 
    +    .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40023 \ram2e_ufm/N_263_i ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0));
    +
    +  specify
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module ram2e_ufm_SLICE_142 ( input C1, B1, A1, B0, A0, output F0, F1 );
    +  wire   GNDI;
    +
    +  lut40136 \ram2e_ufm/CmdLEDGet_3_0_a3_1 ( .A(A1), .B(B1), .C(C1), .D(GNDI), 
    +    .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40023 \ram2e_ufm/RDout_i_i_a3[4] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), 
         .Z(F0));
     
       specify
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module ram2e_ufm_SLICE_143 ( input B1, A1, B0, A0, output F0, F1 );
    +  wire   GNDI;
    +
    +  lut40023 \ram2e_ufm/RDout_i_0_i_a3[1] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), 
    +    .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40023 \ram2e_ufm/RDout_i_0_i_a3[7] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), 
    +    .Z(F0));
    +
    +  specify
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module ram2e_ufm_SLICE_144 ( input B1, A1, B0, A0, output F0, F1 );
    +  wire   GNDI;
    +
    +  lut40023 \ram2e_ufm/RDout_i_0_i_a3[2] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), 
    +    .Z(F1));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +  lut40023 \ram2e_ufm/RDout_i_0_i_a3[6] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), 
    +    .Z(F0));
    +
    +  specify
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module ram2e_ufm_SLICE_145 ( input D1, C1, B1, A1, B0, A0, output F0, F1 );
    +  wire   GNDI;
    +
    +  lut40033 \ram2e_ufm/wb_dati_7_0_0_0[4] ( .A(A1), .B(B1), .C(C1), .D(D1), 
    +    .Z(F1));
    +  lut40143 \ram2e_ufm/wb_dati_7_0_0_o2_0[7] ( .A(A0), .B(B0), .C(GNDI), 
    +    .D(GNDI), .Z(F0));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +
    +  specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module lut40143 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'hDDDD) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_146 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, 
    +    F1 );
    +
    +  lut40144 \ram2e_ufm/RA_35_0_0_0[9] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1));
    +  lut40035 \ram2e_ufm/Ready3_0_a3_3 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0));
    +
    +  specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
         (D0 => F0) = (0:0:0,0:0:0);
         (C0 => F0) = (0:0:0,0:0:0);
         (B0 => F0) = (0:0:0,0:0:0);
    @@ -3960,6 +5298,31 @@ module SLICE_119 ( input D0, C0, B0, A0, output F0 );
     
     endmodule
     
    +module lut40144 ( input A, B, C, D, output Z );
    +
    +  ROM16X1A #(16'hF444) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z));
    +endmodule
    +
    +module ram2e_ufm_SLICE_147 ( input D1, C1, B1, A1, B0, A0, output F0, F1 );
    +  wire   GNDI;
    +
    +  lut40027 \ram2e_ufm/RWBank_3_0_0_o3[0] ( .A(A1), .B(B1), .C(C1), .D(D1), 
    +    .Z(F1));
    +  lut40023 \ram2e_ufm/RDout_i_0_i_a3[5] ( .A(A0), .B(B0), .C(GNDI), .D(GNDI), 
    +    .Z(F0));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +
    +  specify
    +    (D1 => F1) = (0:0:0,0:0:0);
    +    (C1 => F1) = (0:0:0,0:0:0);
    +    (B1 => F1) = (0:0:0,0:0:0);
    +    (A1 => F1) = (0:0:0,0:0:0);
    +    (B0 => F0) = (0:0:0,0:0:0);
    +    (A0 => F0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
     module RD_0_ ( output PADDI, input PADDT, PADDO, inout RD0 );
     
       xo2iobuf \RD_pad[0] ( .I(PADDO), .T(PADDT), .Z(PADDI), .PAD(RD0), .PADI(RD0));
    @@ -3982,7 +5345,7 @@ endmodule
     
     module LED ( input PADDO, output LED );
     
    -  xo2iobuf0111 LED_pad( .I(PADDO), .PAD(LED));
    +  xo2iobuf0145 LED_pad( .I(PADDO), .PAD(LED));
     
       specify
         (PADDO => LED) = (0:0:0,0:0:0);
    @@ -3990,14 +5353,14 @@ module LED ( input PADDO, output LED );
     
     endmodule
     
    -module xo2iobuf0111 ( input I, output PAD );
    +module xo2iobuf0145 ( input I, output PAD );
     
       OB INST5( .I(I), .O(PAD));
     endmodule
     
     module C14M ( output PADDI, input C14M );
     
    -  xo2iobuf0112 C14M_pad( .Z(PADDI), .PAD(C14M));
    +  xo2iobuf0146 C14M_pad( .Z(PADDI), .PAD(C14M));
     
       specify
         (C14M => PADDI) = (0:0:0,0:0:0);
    @@ -4007,71 +5370,11 @@ module C14M ( output PADDI, input C14M );
     
     endmodule
     
    -module xo2iobuf0112 ( output Z, input PAD );
    +module xo2iobuf0146 ( output Z, input PAD );
     
       IB INST1( .I(PAD), .O(Z));
     endmodule
     
    -module DQMH ( input IOLDO, output DQMH );
    -
    -  xo2iobuf0111 DQMH_pad( .I(IOLDO), .PAD(DQMH));
    -
    -  specify
    -    (IOLDO => DQMH) = (0:0:0,0:0:0);
    -  endspecify
    -
    -endmodule
    -
    -module DQMH_MGIOL ( output IOLDO, input OPOS, CLK );
    -  wire   VCCI, GNDI, OPOS_dly, CLK_dly;
    -
    -  mfflsre DQMH_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), 
    -    .Q(IOLDO));
    -  vcc DRIVEVCC( .PWR1(VCCI));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -
    -  specify
    -    (CLK => IOLDO) = (0:0:0,0:0:0);
    -    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
    -    $width (posedge CLK, 0:0:0);
    -    $width (negedge CLK, 0:0:0);
    -  endspecify
    -
    -endmodule
    -
    -module mfflsre ( input D0, SP, CK, LSR, output Q );
    -
    -  FD1P3BX INST01( .D(D0), .SP(SP), .CK(CK), .PD(LSR), .Q(Q));
    -  defparam INST01.GSR = "DISABLED";
    -endmodule
    -
    -module DQML ( input IOLDO, output DQML );
    -
    -  xo2iobuf0111 DQML_pad( .I(IOLDO), .PAD(DQML));
    -
    -  specify
    -    (IOLDO => DQML) = (0:0:0,0:0:0);
    -  endspecify
    -
    -endmodule
    -
    -module DQML_MGIOL ( output IOLDO, input OPOS, CLK );
    -  wire   VCCI, GNDI, OPOS_dly, CLK_dly;
    -
    -  mfflsre DQML_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), 
    -    .Q(IOLDO));
    -  vcc DRIVEVCC( .PWR1(VCCI));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -
    -  specify
    -    (CLK => IOLDO) = (0:0:0,0:0:0);
    -    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
    -    $width (posedge CLK, 0:0:0);
    -    $width (negedge CLK, 0:0:0);
    -  endspecify
    -
    -endmodule
    -
     module RD_7_ ( output PADDI, input PADDT, PADDO, inout RD7 );
     
       xo2iobuf \RD_pad[7] ( .I(PADDO), .T(PADDT), .Z(PADDI), .PAD(RD7), .PADI(RD7));
    @@ -4170,305 +5473,416 @@ module RD_1_ ( output PADDI, input PADDT, PADDO, inout RD1 );
     
     endmodule
     
    -module RA_11_ ( input IOLDO, output RA11 );
    +module DQMH ( input IOLDO, output DQMH );
     
    -  xo2iobuf0111 \RA_pad[11] ( .I(IOLDO), .PAD(RA11));
    +  xo2iobuf0145 DQMH_pad( .I(IOLDO), .PAD(DQMH));
     
       specify
    -    (IOLDO => RA11) = (0:0:0,0:0:0);
    +    (IOLDO => DQMH) = (0:0:0,0:0:0);
       endspecify
     
     endmodule
     
    -module RA_11__MGIOL ( output IOLDO, input OPOS, CLK );
    -  wire   VCCI, GNDI, OPOS_dly, CLK_dly;
    +module DQMH_MGIOL ( output IOLDO, input OPOS, CE, CLK );
    +  wire   GNDI, OPOS_dly, CLK_dly, CE_dly;
     
    -  mfflsre0113 \RA_0io[11] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), 
    +  mfflsre DQMH_0io( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), 
         .Q(IOLDO));
    -  vcc DRIVEVCC( .PWR1(VCCI));
       gnd DRIVEGND( .PWR0(GNDI));
     
       specify
         (CLK => IOLDO) = (0:0:0,0:0:0);
         $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
    +    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
         $width (posedge CLK, 0:0:0);
         $width (negedge CLK, 0:0:0);
       endspecify
     
     endmodule
     
    -module mfflsre0113 ( input D0, SP, CK, LSR, output Q );
    +module mfflsre ( input D0, SP, CK, LSR, output Q );
    +
    +  FD1P3BX INST01( .D(D0), .SP(SP), .CK(CK), .PD(LSR), .Q(Q));
    +  defparam INST01.GSR = "DISABLED";
    +endmodule
    +
    +module DQML ( input IOLDO, output DQML );
    +
    +  xo2iobuf0145 DQML_pad( .I(IOLDO), .PAD(DQML));
    +
    +  specify
    +    (IOLDO => DQML) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module DQML_MGIOL ( output IOLDO, input OPOS, CE, CLK );
    +  wire   GNDI, OPOS_dly, CLK_dly, CE_dly;
    +
    +  mfflsre DQML_0io( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), 
    +    .Q(IOLDO));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +
    +  specify
    +    (CLK => IOLDO) = (0:0:0,0:0:0);
    +    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
    +    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    +    $width (posedge CLK, 0:0:0);
    +    $width (negedge CLK, 0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module RAout_11_ ( input IOLDO, output RAout11 );
    +
    +  xo2iobuf0145 \RAout_pad[11] ( .I(IOLDO), .PAD(RAout11));
    +
    +  specify
    +    (IOLDO => RAout11) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module RAout_11__MGIOL ( output IOLDO, input OPOS, CLK );
    +  wire   VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly;
    +
    +  mfflsre0147 \RAout_0io[11] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), 
    +    .LSR(GNDI), .Q(IOLDO));
    +  vcc DRIVEVCC( .PWR1(VCCI));
    +  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +
    +  specify
    +    (CLK => IOLDO) = (0:0:0,0:0:0);
    +    $width (posedge CLK, 0:0:0);
    +    $width (negedge CLK, 0:0:0);
    +    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
    +  endspecify
    +
    +endmodule
    +
    +module mfflsre0147 ( input D0, SP, CK, LSR, output Q );
     
       FD1P3DX INST01( .D(D0), .SP(SP), .CK(CK), .CD(LSR), .Q(Q));
       defparam INST01.GSR = "DISABLED";
     endmodule
     
    -module RA_10_ ( input IOLDO, output RA10 );
    +module inverter ( input I, output Z );
     
    -  xo2iobuf0111 \RA_pad[10] ( .I(IOLDO), .PAD(RA10));
    +  INV INST1( .A(I), .Z(Z));
    +endmodule
    +
    +module RAout_10_ ( input IOLDO, output RAout10 );
    +
    +  xo2iobuf0145 \RAout_pad[10] ( .I(IOLDO), .PAD(RAout10));
     
       specify
    -    (IOLDO => RA10) = (0:0:0,0:0:0);
    +    (IOLDO => RAout10) = (0:0:0,0:0:0);
       endspecify
     
     endmodule
     
    -module RA_10__MGIOL ( output IOLDO, input OPOS, CLK );
    -  wire   VCCI, GNDI, OPOS_dly, CLK_dly;
    +module RAout_10__MGIOL ( output IOLDO, input OPOS, CLK );
    +  wire   VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly;
     
    -  mfflsre0113 \RA_0io[10] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), 
    -    .Q(IOLDO));
    +  mfflsre0147 \RAout_0io[10] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), 
    +    .LSR(GNDI), .Q(IOLDO));
       vcc DRIVEVCC( .PWR1(VCCI));
    +  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
       gnd DRIVEGND( .PWR0(GNDI));
     
       specify
         (CLK => IOLDO) = (0:0:0,0:0:0);
    -    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
         $width (posedge CLK, 0:0:0);
         $width (negedge CLK, 0:0:0);
    +    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
       endspecify
     
     endmodule
     
    -module RA_9_ ( input IOLDO, output RA9 );
    +module RAout_9_ ( input IOLDO, output RAout9 );
     
    -  xo2iobuf0111 \RA_pad[9] ( .I(IOLDO), .PAD(RA9));
    +  xo2iobuf0145 \RAout_pad[9] ( .I(IOLDO), .PAD(RAout9));
     
       specify
    -    (IOLDO => RA9) = (0:0:0,0:0:0);
    +    (IOLDO => RAout9) = (0:0:0,0:0:0);
       endspecify
     
     endmodule
     
    -module RA_9__MGIOL ( output IOLDO, input OPOS, CLK );
    -  wire   VCCI, GNDI, OPOS_dly, CLK_dly;
    +module RAout_9__MGIOL ( output IOLDO, input OPOS, CLK );
    +  wire   VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly;
     
    -  mfflsre0113 \RA_0io[9] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), 
    -    .Q(IOLDO));
    +  mfflsre0147 \RAout_0io[9] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), 
    +    .LSR(GNDI), .Q(IOLDO));
       vcc DRIVEVCC( .PWR1(VCCI));
    +  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
       gnd DRIVEGND( .PWR0(GNDI));
     
       specify
         (CLK => IOLDO) = (0:0:0,0:0:0);
    -    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
         $width (posedge CLK, 0:0:0);
         $width (negedge CLK, 0:0:0);
    +    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
       endspecify
     
     endmodule
     
    -module RA_8_ ( input IOLDO, output RA8 );
    +module RAout_8_ ( input IOLDO, output RAout8 );
     
    -  xo2iobuf0111 \RA_pad[8] ( .I(IOLDO), .PAD(RA8));
    +  xo2iobuf0145 \RAout_pad[8] ( .I(IOLDO), .PAD(RAout8));
     
       specify
    -    (IOLDO => RA8) = (0:0:0,0:0:0);
    +    (IOLDO => RAout8) = (0:0:0,0:0:0);
       endspecify
     
     endmodule
     
    -module RA_8__MGIOL ( output IOLDO, input OPOS, CLK );
    -  wire   VCCI, GNDI, OPOS_dly, CLK_dly;
    +module RAout_8__MGIOL ( output IOLDO, input OPOS, CLK );
    +  wire   VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly;
     
    -  mfflsre0113 \RA_0io[8] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), 
    -    .Q(IOLDO));
    +  mfflsre0147 \RAout_0io[8] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), 
    +    .LSR(GNDI), .Q(IOLDO));
       vcc DRIVEVCC( .PWR1(VCCI));
    +  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
       gnd DRIVEGND( .PWR0(GNDI));
     
       specify
         (CLK => IOLDO) = (0:0:0,0:0:0);
    -    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
         $width (posedge CLK, 0:0:0);
         $width (negedge CLK, 0:0:0);
    +    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
       endspecify
     
     endmodule
     
    -module RA_7_ ( input IOLDO, output RA7 );
    +module RAout_7_ ( input IOLDO, output RAout7 );
     
    -  xo2iobuf0111 \RA_pad[7] ( .I(IOLDO), .PAD(RA7));
    +  xo2iobuf0145 \RAout_pad[7] ( .I(IOLDO), .PAD(RAout7));
     
       specify
    -    (IOLDO => RA7) = (0:0:0,0:0:0);
    +    (IOLDO => RAout7) = (0:0:0,0:0:0);
       endspecify
     
     endmodule
     
    -module RA_7__MGIOL ( output IOLDO, input OPOS, CE, CLK );
    -  wire   GNDI, OPOS_dly, CLK_dly, CE_dly;
    +module RAout_7__MGIOL ( output IOLDO, input OPOS, CLK );
    +  wire   VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly;
     
    -  mfflsre0113 \RA_0io[7] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), 
    +  mfflsre0147 \RAout_0io[7] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), 
         .LSR(GNDI), .Q(IOLDO));
    +  vcc DRIVEVCC( .PWR1(VCCI));
    +  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
       gnd DRIVEGND( .PWR0(GNDI));
     
       specify
         (CLK => IOLDO) = (0:0:0,0:0:0);
    -    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
    -    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
         $width (posedge CLK, 0:0:0);
         $width (negedge CLK, 0:0:0);
    +    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
       endspecify
     
     endmodule
     
    -module RA_6_ ( input IOLDO, output RA6 );
    +module RAout_6_ ( input IOLDO, output RAout6 );
     
    -  xo2iobuf0111 \RA_pad[6] ( .I(IOLDO), .PAD(RA6));
    +  xo2iobuf0145 \RAout_pad[6] ( .I(IOLDO), .PAD(RAout6));
     
       specify
    -    (IOLDO => RA6) = (0:0:0,0:0:0);
    +    (IOLDO => RAout6) = (0:0:0,0:0:0);
       endspecify
     
     endmodule
     
    -module RA_6__MGIOL ( output IOLDO, input OPOS, CE, CLK );
    -  wire   GNDI, OPOS_dly, CLK_dly, CE_dly;
    +module RAout_6__MGIOL ( output IOLDO, input OPOS, CLK );
    +  wire   VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly;
     
    -  mfflsre0113 \RA_0io[6] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), 
    +  mfflsre0147 \RAout_0io[6] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), 
         .LSR(GNDI), .Q(IOLDO));
    +  vcc DRIVEVCC( .PWR1(VCCI));
    +  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
       gnd DRIVEGND( .PWR0(GNDI));
     
       specify
         (CLK => IOLDO) = (0:0:0,0:0:0);
    -    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
    -    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
         $width (posedge CLK, 0:0:0);
         $width (negedge CLK, 0:0:0);
    +    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
       endspecify
     
     endmodule
     
    -module RA_5_ ( input IOLDO, output RA5 );
    +module RAout_5_ ( input IOLDO, output RAout5 );
     
    -  xo2iobuf0111 \RA_pad[5] ( .I(IOLDO), .PAD(RA5));
    +  xo2iobuf0145 \RAout_pad[5] ( .I(IOLDO), .PAD(RAout5));
     
       specify
    -    (IOLDO => RA5) = (0:0:0,0:0:0);
    +    (IOLDO => RAout5) = (0:0:0,0:0:0);
       endspecify
     
     endmodule
     
    -module RA_5__MGIOL ( output IOLDO, input OPOS, CE, CLK );
    -  wire   GNDI, OPOS_dly, CLK_dly, CE_dly;
    +module RAout_5__MGIOL ( output IOLDO, input OPOS, CLK );
    +  wire   VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly;
     
    -  mfflsre0113 \RA_0io[5] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), 
    +  mfflsre0147 \RAout_0io[5] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), 
         .LSR(GNDI), .Q(IOLDO));
    +  vcc DRIVEVCC( .PWR1(VCCI));
    +  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
       gnd DRIVEGND( .PWR0(GNDI));
     
       specify
         (CLK => IOLDO) = (0:0:0,0:0:0);
    -    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
    -    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
         $width (posedge CLK, 0:0:0);
         $width (negedge CLK, 0:0:0);
    +    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
       endspecify
     
     endmodule
     
    -module RA_4_ ( input IOLDO, output RA4 );
    +module RAout_4_ ( input IOLDO, output RAout4 );
     
    -  xo2iobuf0111 \RA_pad[4] ( .I(IOLDO), .PAD(RA4));
    +  xo2iobuf0145 \RAout_pad[4] ( .I(IOLDO), .PAD(RAout4));
     
       specify
    -    (IOLDO => RA4) = (0:0:0,0:0:0);
    +    (IOLDO => RAout4) = (0:0:0,0:0:0);
       endspecify
     
     endmodule
     
    -module RA_4__MGIOL ( output IOLDO, input OPOS, CE, CLK );
    -  wire   GNDI, OPOS_dly, CLK_dly, CE_dly;
    +module RAout_4__MGIOL ( output IOLDO, input OPOS, CLK );
    +  wire   VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly;
     
    -  mfflsre0113 \RA_0io[4] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), 
    +  mfflsre0147 \RAout_0io[4] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), 
         .LSR(GNDI), .Q(IOLDO));
    +  vcc DRIVEVCC( .PWR1(VCCI));
    +  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
       gnd DRIVEGND( .PWR0(GNDI));
     
       specify
         (CLK => IOLDO) = (0:0:0,0:0:0);
    -    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
    -    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
         $width (posedge CLK, 0:0:0);
         $width (negedge CLK, 0:0:0);
    +    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
       endspecify
     
     endmodule
     
    -module RA_3_ ( input PADDO, output RA3 );
    +module RAout_3_ ( input IOLDO, output RAout3 );
     
    -  xo2iobuf0111 \RA_pad[3] ( .I(PADDO), .PAD(RA3));
    +  xo2iobuf0145 \RAout_pad[3] ( .I(IOLDO), .PAD(RAout3));
     
       specify
    -    (PADDO => RA3) = (0:0:0,0:0:0);
    +    (IOLDO => RAout3) = (0:0:0,0:0:0);
       endspecify
     
     endmodule
     
    -module RA_2_ ( input IOLDO, output RA2 );
    +module RAout_3__MGIOL ( output IOLDO, input OPOS, CLK );
    +  wire   VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly;
     
    -  xo2iobuf0111 \RA_pad[2] ( .I(IOLDO), .PAD(RA2));
    -
    -  specify
    -    (IOLDO => RA2) = (0:0:0,0:0:0);
    -  endspecify
    -
    -endmodule
    -
    -module RA_2__MGIOL ( output IOLDO, input OPOS, CE, CLK );
    -  wire   GNDI, OPOS_dly, CLK_dly, CE_dly;
    -
    -  mfflsre0113 \RA_0io[2] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), 
    +  mfflsre0147 \RAout_0io[3] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), 
         .LSR(GNDI), .Q(IOLDO));
    +  vcc DRIVEVCC( .PWR1(VCCI));
    +  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
       gnd DRIVEGND( .PWR0(GNDI));
     
       specify
         (CLK => IOLDO) = (0:0:0,0:0:0);
    -    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
    -    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
         $width (posedge CLK, 0:0:0);
         $width (negedge CLK, 0:0:0);
    +    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
       endspecify
     
     endmodule
     
    -module RA_1_ ( input IOLDO, output RA1 );
    +module RAout_2_ ( input IOLDO, output RAout2 );
     
    -  xo2iobuf0111 \RA_pad[1] ( .I(IOLDO), .PAD(RA1));
    +  xo2iobuf0145 \RAout_pad[2] ( .I(IOLDO), .PAD(RAout2));
     
       specify
    -    (IOLDO => RA1) = (0:0:0,0:0:0);
    +    (IOLDO => RAout2) = (0:0:0,0:0:0);
       endspecify
     
     endmodule
     
    -module RA_1__MGIOL ( output IOLDO, input OPOS, CE, CLK );
    -  wire   GNDI, OPOS_dly, CLK_dly, CE_dly;
    +module RAout_2__MGIOL ( output IOLDO, input OPOS, CLK );
    +  wire   VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly;
     
    -  mfflsre0113 \RA_0io[1] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), 
    +  mfflsre0147 \RAout_0io[2] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), 
         .LSR(GNDI), .Q(IOLDO));
    +  vcc DRIVEVCC( .PWR1(VCCI));
    +  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
       gnd DRIVEGND( .PWR0(GNDI));
     
       specify
         (CLK => IOLDO) = (0:0:0,0:0:0);
    -    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
    -    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
         $width (posedge CLK, 0:0:0);
         $width (negedge CLK, 0:0:0);
    +    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
       endspecify
     
     endmodule
     
    -module RA_0_ ( input PADDO, output RA0 );
    +module RAout_1_ ( input IOLDO, output RAout1 );
     
    -  xo2iobuf0111 \RA_pad[0] ( .I(PADDO), .PAD(RA0));
    +  xo2iobuf0145 \RAout_pad[1] ( .I(IOLDO), .PAD(RAout1));
     
       specify
    -    (PADDO => RA0) = (0:0:0,0:0:0);
    +    (IOLDO => RAout1) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module RAout_1__MGIOL ( output IOLDO, input OPOS, CLK );
    +  wire   VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly;
    +
    +  mfflsre0147 \RAout_0io[1] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), 
    +    .LSR(GNDI), .Q(IOLDO));
    +  vcc DRIVEVCC( .PWR1(VCCI));
    +  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +
    +  specify
    +    (CLK => IOLDO) = (0:0:0,0:0:0);
    +    $width (posedge CLK, 0:0:0);
    +    $width (negedge CLK, 0:0:0);
    +    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
    +  endspecify
    +
    +endmodule
    +
    +module RAout_0_ ( input IOLDO, output RAout0 );
    +
    +  xo2iobuf0145 \RAout_pad[0] ( .I(IOLDO), .PAD(RAout0));
    +
    +  specify
    +    (IOLDO => RAout0) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module RAout_0__MGIOL ( output IOLDO, input OPOS, CLK );
    +  wire   VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly;
    +
    +  mfflsre0147 \RAout_0io[0] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), 
    +    .LSR(GNDI), .Q(IOLDO));
    +  vcc DRIVEVCC( .PWR1(VCCI));
    +  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
    +  gnd DRIVEGND( .PWR0(GNDI));
    +
    +  specify
    +    (CLK => IOLDO) = (0:0:0,0:0:0);
    +    $width (posedge CLK, 0:0:0);
    +    $width (negedge CLK, 0:0:0);
    +    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
       endspecify
     
     endmodule
     
     module BA_1_ ( input IOLDO, output BA1 );
     
    -  xo2iobuf0111 \BA_pad[1] ( .I(IOLDO), .PAD(BA1));
    +  xo2iobuf0145 \BA_pad[1] ( .I(IOLDO), .PAD(BA1));
     
       specify
         (IOLDO => BA1) = (0:0:0,0:0:0);
    @@ -4476,16 +5890,16 @@ module BA_1_ ( input IOLDO, output BA1 );
     
     endmodule
     
    -module BA_1__MGIOL ( output IOLDO, input OPOS, LSR, CLK );
    -  wire   VCCI, OPOS_dly, CLK_dly, LSR_dly;
    +module BA_1__MGIOL ( output IOLDO, input OPOS, CE, LSR, CLK );
    +  wire   OPOS_dly, CLK_dly, CE_dly, LSR_dly;
     
    -  mfflsre0114 \BA_0io[1] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), 
    +  mfflsre0148 \BA_0io[1] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), 
         .LSR(LSR_dly), .Q(IOLDO));
    -  vcc DRIVEVCC( .PWR1(VCCI));
     
       specify
         (CLK => IOLDO) = (0:0:0,0:0:0);
         $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
    +    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
         $setuphold (posedge CLK, LSR, 0:0:0, 0:0:0,,,, CLK_dly, LSR_dly);
         $width (posedge CLK, 0:0:0);
         $width (negedge CLK, 0:0:0);
    @@ -4493,7 +5907,7 @@ module BA_1__MGIOL ( output IOLDO, input OPOS, LSR, CLK );
     
     endmodule
     
    -module mfflsre0114 ( input D0, SP, CK, LSR, output Q );
    +module mfflsre0148 ( input D0, SP, CK, LSR, output Q );
     
       FD1P3IX INST01( .D(D0), .SP(SP), .CK(CK), .CD(LSR), .Q(Q));
       defparam INST01.GSR = "DISABLED";
    @@ -4501,7 +5915,7 @@ endmodule
     
     module BA_0_ ( input IOLDO, output BA0 );
     
    -  xo2iobuf0111 \BA_pad[0] ( .I(IOLDO), .PAD(BA0));
    +  xo2iobuf0145 \BA_pad[0] ( .I(IOLDO), .PAD(BA0));
     
       specify
         (IOLDO => BA0) = (0:0:0,0:0:0);
    @@ -4509,16 +5923,16 @@ module BA_0_ ( input IOLDO, output BA0 );
     
     endmodule
     
    -module BA_0__MGIOL ( output IOLDO, input OPOS, LSR, CLK );
    -  wire   VCCI, OPOS_dly, CLK_dly, LSR_dly;
    +module BA_0__MGIOL ( output IOLDO, input OPOS, CE, LSR, CLK );
    +  wire   OPOS_dly, CLK_dly, CE_dly, LSR_dly;
     
    -  mfflsre0114 \BA_0io[0] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), 
    +  mfflsre0148 \BA_0io[0] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), 
         .LSR(LSR_dly), .Q(IOLDO));
    -  vcc DRIVEVCC( .PWR1(VCCI));
     
       specify
         (CLK => IOLDO) = (0:0:0,0:0:0);
         $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
    +    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
         $setuphold (posedge CLK, LSR, 0:0:0, 0:0:0,,,, CLK_dly, LSR_dly);
         $width (posedge CLK, 0:0:0);
         $width (negedge CLK, 0:0:0);
    @@ -4526,144 +5940,131 @@ module BA_0__MGIOL ( output IOLDO, input OPOS, LSR, CLK );
     
     endmodule
     
    -module nRWE ( input IOLDO, output nRWE );
    +module nRWEout ( input IOLDO, output nRWEout );
     
    -  xo2iobuf0111 nRWE_pad( .I(IOLDO), .PAD(nRWE));
    +  xo2iobuf0145 nRWEout_pad( .I(IOLDO), .PAD(nRWEout));
     
       specify
    -    (IOLDO => nRWE) = (0:0:0,0:0:0);
    +    (IOLDO => nRWEout) = (0:0:0,0:0:0);
       endspecify
     
     endmodule
     
    -module nRWE_MGIOL ( output IOLDO, input OPOS, CLK );
    -  wire   VCCI, GNDI, OPOS_dly, CLK_dly;
    +module nRWEout_MGIOL ( output IOLDO, input OPOS, CLK );
    +  wire   VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly;
     
    -  mfflsre nRWE_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), 
    +  mfflsre nRWEout_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), 
         .Q(IOLDO));
       vcc DRIVEVCC( .PWR1(VCCI));
    +  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
       gnd DRIVEGND( .PWR0(GNDI));
     
       specify
         (CLK => IOLDO) = (0:0:0,0:0:0);
    -    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
         $width (posedge CLK, 0:0:0);
         $width (negedge CLK, 0:0:0);
    +    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
       endspecify
     
     endmodule
     
    -module nCAS ( input IOLDO, output nCAS );
    +module nCASout ( input IOLDO, output nCASout );
     
    -  xo2iobuf0111 nCAS_pad( .I(IOLDO), .PAD(nCAS));
    +  xo2iobuf0145 nCASout_pad( .I(IOLDO), .PAD(nCASout));
     
       specify
    -    (IOLDO => nCAS) = (0:0:0,0:0:0);
    +    (IOLDO => nCASout) = (0:0:0,0:0:0);
       endspecify
     
     endmodule
     
    -module nCAS_MGIOL ( output IOLDO, input OPOS, CLK );
    -  wire   VCCI, GNDI, OPOS_dly, CLK_dly;
    +module nCASout_MGIOL ( output IOLDO, input OPOS, CLK );
    +  wire   VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly;
     
    -  mfflsre nCAS_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), 
    +  mfflsre nCASout_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), 
         .Q(IOLDO));
       vcc DRIVEVCC( .PWR1(VCCI));
    +  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
       gnd DRIVEGND( .PWR0(GNDI));
     
       specify
         (CLK => IOLDO) = (0:0:0,0:0:0);
    -    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
         $width (posedge CLK, 0:0:0);
         $width (negedge CLK, 0:0:0);
    +    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
       endspecify
     
     endmodule
     
    -module nRAS ( input IOLDO, output nRAS );
    +module nRASout ( input IOLDO, output nRASout );
     
    -  xo2iobuf0111 nRAS_pad( .I(IOLDO), .PAD(nRAS));
    +  xo2iobuf0145 nRASout_pad( .I(IOLDO), .PAD(nRASout));
     
       specify
    -    (IOLDO => nRAS) = (0:0:0,0:0:0);
    +    (IOLDO => nRASout) = (0:0:0,0:0:0);
       endspecify
     
     endmodule
     
    -module nRAS_MGIOL ( output IOLDO, input OPOS, CLK );
    -  wire   VCCI, GNDI, OPOS_dly, CLK_dly;
    +module nRASout_MGIOL ( output IOLDO, input OPOS, CLK );
    +  wire   VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly;
     
    -  mfflsre nRAS_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), 
    +  mfflsre nRASout_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), 
         .Q(IOLDO));
       vcc DRIVEVCC( .PWR1(VCCI));
    +  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
       gnd DRIVEGND( .PWR0(GNDI));
     
       specify
         (CLK => IOLDO) = (0:0:0,0:0:0);
    -    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
         $width (posedge CLK, 0:0:0);
         $width (negedge CLK, 0:0:0);
    +    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
       endspecify
     
     endmodule
     
    -module nCS ( input IOLDO, output nCS );
    +module nCSout ( input PADDO, output nCSout );
     
    -  xo2iobuf0111 nCS_pad( .I(IOLDO), .PAD(nCS));
    +  xo2iobuf0145 nCSout_pad( .I(PADDO), .PAD(nCSout));
     
       specify
    -    (IOLDO => nCS) = (0:0:0,0:0:0);
    +    (PADDO => nCSout) = (0:0:0,0:0:0);
       endspecify
     
     endmodule
     
    -module nCS_MGIOL ( output IOLDO, input OPOS, CLK );
    -  wire   VCCI, GNDI, OPOS_dly, CLK_dly;
    +module CKEout ( input IOLDO, output CKEout );
     
    -  mfflsre nCS_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), 
    +  xo2iobuf0145 CKEout_pad( .I(IOLDO), .PAD(CKEout));
    +
    +  specify
    +    (IOLDO => CKEout) = (0:0:0,0:0:0);
    +  endspecify
    +
    +endmodule
    +
    +module CKEout_MGIOL ( output IOLDO, input OPOS, CLK );
    +  wire   VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly;
    +
    +  mfflsre0147 CKEout_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), 
         .Q(IOLDO));
       vcc DRIVEVCC( .PWR1(VCCI));
    +  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
       gnd DRIVEGND( .PWR0(GNDI));
     
       specify
         (CLK => IOLDO) = (0:0:0,0:0:0);
    -    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
    -    $width (posedge CLK, 0:0:0);
    -    $width (negedge CLK, 0:0:0);
    -  endspecify
    -
    -endmodule
    -
    -module CKE ( input IOLDO, output CKE );
    -
    -  xo2iobuf0111 CKE_pad( .I(IOLDO), .PAD(CKE));
    -
    -  specify
    -    (IOLDO => CKE) = (0:0:0,0:0:0);
    -  endspecify
    -
    -endmodule
    -
    -module CKE_MGIOL ( output IOLDO, input OPOS, CLK );
    -  wire   VCCI, GNDI, OPOS_dly, CLK_dly;
    -
    -  mfflsre0113 CKE_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), 
    -    .Q(IOLDO));
    -  vcc DRIVEVCC( .PWR1(VCCI));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -
    -  specify
    -    (CLK => IOLDO) = (0:0:0,0:0:0);
    -    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
         $width (posedge CLK, 0:0:0);
         $width (negedge CLK, 0:0:0);
    +    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
       endspecify
     
     endmodule
     
     module nVOE ( input PADDO, output nVOE );
     
    -  xo2iobuf0111 nVOE_pad( .I(PADDO), .PAD(nVOE));
    +  xo2iobuf0145 nVOE_pad( .I(PADDO), .PAD(nVOE));
     
       specify
         (PADDO => nVOE) = (0:0:0,0:0:0);
    @@ -4673,7 +6074,7 @@ endmodule
     
     module Vout_7_ ( input IOLDO, output Vout7 );
     
    -  xo2iobuf0111 \Vout_pad[7] ( .I(IOLDO), .PAD(Vout7));
    +  xo2iobuf0145 \Vout_pad[7] ( .I(IOLDO), .PAD(Vout7));
     
       specify
         (IOLDO => Vout7) = (0:0:0,0:0:0);
    @@ -4682,31 +6083,25 @@ module Vout_7_ ( input IOLDO, output Vout7 );
     endmodule
     
     module Vout_7__MGIOL ( output IOLDO, input OPOS, CE, CLK );
    -  wire   CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly;
    +  wire   GNDI, OPOS_dly, CLK_dly, CE_dly;
     
    -  mfflsre0113 \Vout_0io[7] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), 
    +  mfflsre0147 \Vout_0io[7] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), 
         .LSR(GNDI), .Q(IOLDO));
    -  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
       gnd DRIVEGND( .PWR0(GNDI));
     
       specify
         (CLK => IOLDO) = (0:0:0,0:0:0);
    +    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
    +    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
         $width (posedge CLK, 0:0:0);
         $width (negedge CLK, 0:0:0);
    -    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
    -    $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
       endspecify
     
     endmodule
     
    -module inverter ( input I, output Z );
    -
    -  INV INST1( .A(I), .Z(Z));
    -endmodule
    -
     module Vout_6_ ( input IOLDO, output Vout6 );
     
    -  xo2iobuf0111 \Vout_pad[6] ( .I(IOLDO), .PAD(Vout6));
    +  xo2iobuf0145 \Vout_pad[6] ( .I(IOLDO), .PAD(Vout6));
     
       specify
         (IOLDO => Vout6) = (0:0:0,0:0:0);
    @@ -4715,26 +6110,25 @@ module Vout_6_ ( input IOLDO, output Vout6 );
     endmodule
     
     module Vout_6__MGIOL ( output IOLDO, input OPOS, CE, CLK );
    -  wire   CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly;
    +  wire   GNDI, OPOS_dly, CLK_dly, CE_dly;
     
    -  mfflsre0113 \Vout_0io[6] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), 
    +  mfflsre0147 \Vout_0io[6] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), 
         .LSR(GNDI), .Q(IOLDO));
    -  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
       gnd DRIVEGND( .PWR0(GNDI));
     
       specify
         (CLK => IOLDO) = (0:0:0,0:0:0);
    +    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
    +    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
         $width (posedge CLK, 0:0:0);
         $width (negedge CLK, 0:0:0);
    -    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
    -    $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
       endspecify
     
     endmodule
     
     module Vout_5_ ( input IOLDO, output Vout5 );
     
    -  xo2iobuf0111 \Vout_pad[5] ( .I(IOLDO), .PAD(Vout5));
    +  xo2iobuf0145 \Vout_pad[5] ( .I(IOLDO), .PAD(Vout5));
     
       specify
         (IOLDO => Vout5) = (0:0:0,0:0:0);
    @@ -4743,26 +6137,25 @@ module Vout_5_ ( input IOLDO, output Vout5 );
     endmodule
     
     module Vout_5__MGIOL ( output IOLDO, input OPOS, CE, CLK );
    -  wire   CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly;
    +  wire   GNDI, OPOS_dly, CLK_dly, CE_dly;
     
    -  mfflsre0113 \Vout_0io[5] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), 
    +  mfflsre0147 \Vout_0io[5] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), 
         .LSR(GNDI), .Q(IOLDO));
    -  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
       gnd DRIVEGND( .PWR0(GNDI));
     
       specify
         (CLK => IOLDO) = (0:0:0,0:0:0);
    +    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
    +    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
         $width (posedge CLK, 0:0:0);
         $width (negedge CLK, 0:0:0);
    -    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
    -    $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
       endspecify
     
     endmodule
     
     module Vout_4_ ( input IOLDO, output Vout4 );
     
    -  xo2iobuf0111 \Vout_pad[4] ( .I(IOLDO), .PAD(Vout4));
    +  xo2iobuf0145 \Vout_pad[4] ( .I(IOLDO), .PAD(Vout4));
     
       specify
         (IOLDO => Vout4) = (0:0:0,0:0:0);
    @@ -4771,26 +6164,25 @@ module Vout_4_ ( input IOLDO, output Vout4 );
     endmodule
     
     module Vout_4__MGIOL ( output IOLDO, input OPOS, CE, CLK );
    -  wire   CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly;
    +  wire   GNDI, OPOS_dly, CLK_dly, CE_dly;
     
    -  mfflsre0113 \Vout_0io[4] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), 
    +  mfflsre0147 \Vout_0io[4] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), 
         .LSR(GNDI), .Q(IOLDO));
    -  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
       gnd DRIVEGND( .PWR0(GNDI));
     
       specify
         (CLK => IOLDO) = (0:0:0,0:0:0);
    +    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
    +    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
         $width (posedge CLK, 0:0:0);
         $width (negedge CLK, 0:0:0);
    -    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
    -    $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
       endspecify
     
     endmodule
     
     module Vout_3_ ( input IOLDO, output Vout3 );
     
    -  xo2iobuf0111 \Vout_pad[3] ( .I(IOLDO), .PAD(Vout3));
    +  xo2iobuf0145 \Vout_pad[3] ( .I(IOLDO), .PAD(Vout3));
     
       specify
         (IOLDO => Vout3) = (0:0:0,0:0:0);
    @@ -4799,26 +6191,25 @@ module Vout_3_ ( input IOLDO, output Vout3 );
     endmodule
     
     module Vout_3__MGIOL ( output IOLDO, input OPOS, CE, CLK );
    -  wire   CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly;
    +  wire   GNDI, OPOS_dly, CLK_dly, CE_dly;
     
    -  mfflsre0113 \Vout_0io[3] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), 
    +  mfflsre0147 \Vout_0io[3] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), 
         .LSR(GNDI), .Q(IOLDO));
    -  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
       gnd DRIVEGND( .PWR0(GNDI));
     
       specify
         (CLK => IOLDO) = (0:0:0,0:0:0);
    +    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
    +    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
         $width (posedge CLK, 0:0:0);
         $width (negedge CLK, 0:0:0);
    -    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
    -    $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
       endspecify
     
     endmodule
     
     module Vout_2_ ( input IOLDO, output Vout2 );
     
    -  xo2iobuf0111 \Vout_pad[2] ( .I(IOLDO), .PAD(Vout2));
    +  xo2iobuf0145 \Vout_pad[2] ( .I(IOLDO), .PAD(Vout2));
     
       specify
         (IOLDO => Vout2) = (0:0:0,0:0:0);
    @@ -4827,26 +6218,25 @@ module Vout_2_ ( input IOLDO, output Vout2 );
     endmodule
     
     module Vout_2__MGIOL ( output IOLDO, input OPOS, CE, CLK );
    -  wire   CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly;
    +  wire   GNDI, OPOS_dly, CLK_dly, CE_dly;
     
    -  mfflsre0113 \Vout_0io[2] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), 
    +  mfflsre0147 \Vout_0io[2] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), 
         .LSR(GNDI), .Q(IOLDO));
    -  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
       gnd DRIVEGND( .PWR0(GNDI));
     
       specify
         (CLK => IOLDO) = (0:0:0,0:0:0);
    +    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
    +    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
         $width (posedge CLK, 0:0:0);
         $width (negedge CLK, 0:0:0);
    -    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
    -    $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
       endspecify
     
     endmodule
     
     module Vout_1_ ( input IOLDO, output Vout1 );
     
    -  xo2iobuf0111 \Vout_pad[1] ( .I(IOLDO), .PAD(Vout1));
    +  xo2iobuf0145 \Vout_pad[1] ( .I(IOLDO), .PAD(Vout1));
     
       specify
         (IOLDO => Vout1) = (0:0:0,0:0:0);
    @@ -4855,26 +6245,25 @@ module Vout_1_ ( input IOLDO, output Vout1 );
     endmodule
     
     module Vout_1__MGIOL ( output IOLDO, input OPOS, CE, CLK );
    -  wire   CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly;
    +  wire   GNDI, OPOS_dly, CLK_dly, CE_dly;
     
    -  mfflsre0113 \Vout_0io[1] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), 
    +  mfflsre0147 \Vout_0io[1] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), 
         .LSR(GNDI), .Q(IOLDO));
    -  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
       gnd DRIVEGND( .PWR0(GNDI));
     
       specify
         (CLK => IOLDO) = (0:0:0,0:0:0);
    +    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
    +    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
         $width (posedge CLK, 0:0:0);
         $width (negedge CLK, 0:0:0);
    -    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
    -    $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
       endspecify
     
     endmodule
     
     module Vout_0_ ( input IOLDO, output Vout0 );
     
    -  xo2iobuf0111 \Vout_pad[0] ( .I(IOLDO), .PAD(Vout0));
    +  xo2iobuf0145 \Vout_pad[0] ( .I(IOLDO), .PAD(Vout0));
     
       specify
         (IOLDO => Vout0) = (0:0:0,0:0:0);
    @@ -4883,26 +6272,25 @@ module Vout_0_ ( input IOLDO, output Vout0 );
     endmodule
     
     module Vout_0__MGIOL ( output IOLDO, input OPOS, CE, CLK );
    -  wire   CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly;
    +  wire   GNDI, OPOS_dly, CLK_dly, CE_dly;
     
    -  mfflsre0113 \Vout_0io[0] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), 
    +  mfflsre0147 \Vout_0io[0] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), 
         .LSR(GNDI), .Q(IOLDO));
    -  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
       gnd DRIVEGND( .PWR0(GNDI));
     
       specify
         (CLK => IOLDO) = (0:0:0,0:0:0);
    +    $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
    +    $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
         $width (posedge CLK, 0:0:0);
         $width (negedge CLK, 0:0:0);
    -    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
    -    $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
       endspecify
     
     endmodule
     
     module nDOE ( input PADDO, output nDOE );
     
    -  xo2iobuf0111 nDOE_pad( .I(PADDO), .PAD(nDOE));
    +  xo2iobuf0145 nDOE_pad( .I(PADDO), .PAD(nDOE));
     
       specify
         (PADDO => nDOE) = (0:0:0,0:0:0);
    @@ -4910,238 +6298,89 @@ module nDOE ( input PADDO, output nDOE );
     
     endmodule
     
    -module Dout_7_ ( input IOLDO, output Dout7 );
    +module Dout_7_ ( input PADDO, output Dout7 );
     
    -  xo2iobuf0115 \Dout_pad[7] ( .I(IOLDO), .PAD(Dout7));
    +  xo2iobuf0145 \Dout_pad[7] ( .I(PADDO), .PAD(Dout7));
     
       specify
    -    (IOLDO => Dout7) = (0:0:0,0:0:0);
    +    (PADDO => Dout7) = (0:0:0,0:0:0);
       endspecify
     
     endmodule
     
    -module xo2iobuf0115 ( input I, output PAD );
    +module Dout_6_ ( input PADDO, output Dout6 );
     
    -  OB INST5( .I(I), .O(PAD));
    -endmodule
    -
    -module Dout_7__MGIOL ( output IOLDO, input OPOS, CE, CLK );
    -  wire   CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly;
    -
    -  mfflsre0113 \Dout_0io[7] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), 
    -    .LSR(GNDI), .Q(IOLDO));
    -  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
    -  gnd DRIVEGND( .PWR0(GNDI));
    +  xo2iobuf0145 \Dout_pad[6] ( .I(PADDO), .PAD(Dout6));
     
       specify
    -    (CLK => IOLDO) = (0:0:0,0:0:0);
    -    $width (posedge CLK, 0:0:0);
    -    $width (negedge CLK, 0:0:0);
    -    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
    -    $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    +    (PADDO => Dout6) = (0:0:0,0:0:0);
       endspecify
     
     endmodule
     
    -module Dout_6_ ( input IOLDO, output Dout6 );
    +module Dout_5_ ( input PADDO, output Dout5 );
     
    -  xo2iobuf0115 \Dout_pad[6] ( .I(IOLDO), .PAD(Dout6));
    +  xo2iobuf0145 \Dout_pad[5] ( .I(PADDO), .PAD(Dout5));
     
       specify
    -    (IOLDO => Dout6) = (0:0:0,0:0:0);
    +    (PADDO => Dout5) = (0:0:0,0:0:0);
       endspecify
     
     endmodule
     
    -module Dout_6__MGIOL ( output IOLDO, input OPOS, CE, CLK );
    -  wire   CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly;
    +module Dout_4_ ( input PADDO, output Dout4 );
     
    -  mfflsre0113 \Dout_0io[6] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), 
    -    .LSR(GNDI), .Q(IOLDO));
    -  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
    -  gnd DRIVEGND( .PWR0(GNDI));
    +  xo2iobuf0145 \Dout_pad[4] ( .I(PADDO), .PAD(Dout4));
     
       specify
    -    (CLK => IOLDO) = (0:0:0,0:0:0);
    -    $width (posedge CLK, 0:0:0);
    -    $width (negedge CLK, 0:0:0);
    -    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
    -    $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    +    (PADDO => Dout4) = (0:0:0,0:0:0);
       endspecify
     
     endmodule
     
    -module Dout_5_ ( input IOLDO, output Dout5 );
    +module Dout_3_ ( input PADDO, output Dout3 );
     
    -  xo2iobuf0115 \Dout_pad[5] ( .I(IOLDO), .PAD(Dout5));
    +  xo2iobuf0145 \Dout_pad[3] ( .I(PADDO), .PAD(Dout3));
     
       specify
    -    (IOLDO => Dout5) = (0:0:0,0:0:0);
    +    (PADDO => Dout3) = (0:0:0,0:0:0);
       endspecify
     
     endmodule
     
    -module Dout_5__MGIOL ( output IOLDO, input OPOS, CE, CLK );
    -  wire   CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly;
    +module Dout_2_ ( input PADDO, output Dout2 );
     
    -  mfflsre0113 \Dout_0io[5] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), 
    -    .LSR(GNDI), .Q(IOLDO));
    -  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
    -  gnd DRIVEGND( .PWR0(GNDI));
    +  xo2iobuf0145 \Dout_pad[2] ( .I(PADDO), .PAD(Dout2));
     
       specify
    -    (CLK => IOLDO) = (0:0:0,0:0:0);
    -    $width (posedge CLK, 0:0:0);
    -    $width (negedge CLK, 0:0:0);
    -    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
    -    $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    +    (PADDO => Dout2) = (0:0:0,0:0:0);
       endspecify
     
     endmodule
     
    -module Dout_4_ ( input IOLDO, output Dout4 );
    +module Dout_1_ ( input PADDO, output Dout1 );
     
    -  xo2iobuf0115 \Dout_pad[4] ( .I(IOLDO), .PAD(Dout4));
    +  xo2iobuf0145 \Dout_pad[1] ( .I(PADDO), .PAD(Dout1));
     
       specify
    -    (IOLDO => Dout4) = (0:0:0,0:0:0);
    +    (PADDO => Dout1) = (0:0:0,0:0:0);
       endspecify
     
     endmodule
     
    -module Dout_4__MGIOL ( output IOLDO, input OPOS, CE, CLK );
    -  wire   CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly;
    +module Dout_0_ ( input PADDO, output Dout0 );
     
    -  mfflsre0113 \Dout_0io[4] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), 
    -    .LSR(GNDI), .Q(IOLDO));
    -  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
    -  gnd DRIVEGND( .PWR0(GNDI));
    +  xo2iobuf0145 \Dout_pad[0] ( .I(PADDO), .PAD(Dout0));
     
       specify
    -    (CLK => IOLDO) = (0:0:0,0:0:0);
    -    $width (posedge CLK, 0:0:0);
    -    $width (negedge CLK, 0:0:0);
    -    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
    -    $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    -  endspecify
    -
    -endmodule
    -
    -module Dout_3_ ( input IOLDO, output Dout3 );
    -
    -  xo2iobuf0115 \Dout_pad[3] ( .I(IOLDO), .PAD(Dout3));
    -
    -  specify
    -    (IOLDO => Dout3) = (0:0:0,0:0:0);
    -  endspecify
    -
    -endmodule
    -
    -module Dout_3__MGIOL ( output IOLDO, input OPOS, CE, CLK );
    -  wire   CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly;
    -
    -  mfflsre0113 \Dout_0io[3] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), 
    -    .LSR(GNDI), .Q(IOLDO));
    -  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -
    -  specify
    -    (CLK => IOLDO) = (0:0:0,0:0:0);
    -    $width (posedge CLK, 0:0:0);
    -    $width (negedge CLK, 0:0:0);
    -    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
    -    $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    -  endspecify
    -
    -endmodule
    -
    -module Dout_2_ ( input IOLDO, output Dout2 );
    -
    -  xo2iobuf0115 \Dout_pad[2] ( .I(IOLDO), .PAD(Dout2));
    -
    -  specify
    -    (IOLDO => Dout2) = (0:0:0,0:0:0);
    -  endspecify
    -
    -endmodule
    -
    -module Dout_2__MGIOL ( output IOLDO, input OPOS, CE, CLK );
    -  wire   CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly;
    -
    -  mfflsre0113 \Dout_0io[2] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), 
    -    .LSR(GNDI), .Q(IOLDO));
    -  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -
    -  specify
    -    (CLK => IOLDO) = (0:0:0,0:0:0);
    -    $width (posedge CLK, 0:0:0);
    -    $width (negedge CLK, 0:0:0);
    -    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
    -    $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    -  endspecify
    -
    -endmodule
    -
    -module Dout_1_ ( input IOLDO, output Dout1 );
    -
    -  xo2iobuf0115 \Dout_pad[1] ( .I(IOLDO), .PAD(Dout1));
    -
    -  specify
    -    (IOLDO => Dout1) = (0:0:0,0:0:0);
    -  endspecify
    -
    -endmodule
    -
    -module Dout_1__MGIOL ( output IOLDO, input OPOS, CE, CLK );
    -  wire   CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly;
    -
    -  mfflsre0113 \Dout_0io[1] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), 
    -    .LSR(GNDI), .Q(IOLDO));
    -  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -
    -  specify
    -    (CLK => IOLDO) = (0:0:0,0:0:0);
    -    $width (posedge CLK, 0:0:0);
    -    $width (negedge CLK, 0:0:0);
    -    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
    -    $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    -  endspecify
    -
    -endmodule
    -
    -module Dout_0_ ( input IOLDO, output Dout0 );
    -
    -  xo2iobuf0115 \Dout_pad[0] ( .I(IOLDO), .PAD(Dout0));
    -
    -  specify
    -    (IOLDO => Dout0) = (0:0:0,0:0:0);
    -  endspecify
    -
    -endmodule
    -
    -module Dout_0__MGIOL ( output IOLDO, input OPOS, CE, CLK );
    -  wire   CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly;
    -
    -  mfflsre0113 \Dout_0io[0] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), 
    -    .LSR(GNDI), .Q(IOLDO));
    -  inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN));
    -  gnd DRIVEGND( .PWR0(GNDI));
    -
    -  specify
    -    (CLK => IOLDO) = (0:0:0,0:0:0);
    -    $width (posedge CLK, 0:0:0);
    -    $width (negedge CLK, 0:0:0);
    -    $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly);
    -    $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly);
    +    (PADDO => Dout0) = (0:0:0,0:0:0);
       endspecify
     
     endmodule
     
     module Din_7_ ( output PADDI, input Din7 );
     
    -  xo2iobuf0112 \Din_pad[7] ( .Z(PADDI), .PAD(Din7));
    +  xo2iobuf0146 \Din_pad[7] ( .Z(PADDI), .PAD(Din7));
     
       specify
         (Din7 => PADDI) = (0:0:0,0:0:0);
    @@ -5153,7 +6392,7 @@ endmodule
     
     module Din_6_ ( output PADDI, input Din6 );
     
    -  xo2iobuf0112 \Din_pad[6] ( .Z(PADDI), .PAD(Din6));
    +  xo2iobuf0146 \Din_pad[6] ( .Z(PADDI), .PAD(Din6));
     
       specify
         (Din6 => PADDI) = (0:0:0,0:0:0);
    @@ -5165,7 +6404,7 @@ endmodule
     
     module Din_5_ ( output PADDI, input Din5 );
     
    -  xo2iobuf0112 \Din_pad[5] ( .Z(PADDI), .PAD(Din5));
    +  xo2iobuf0146 \Din_pad[5] ( .Z(PADDI), .PAD(Din5));
     
       specify
         (Din5 => PADDI) = (0:0:0,0:0:0);
    @@ -5177,7 +6416,7 @@ endmodule
     
     module Din_4_ ( output PADDI, input Din4 );
     
    -  xo2iobuf0112 \Din_pad[4] ( .Z(PADDI), .PAD(Din4));
    +  xo2iobuf0146 \Din_pad[4] ( .Z(PADDI), .PAD(Din4));
     
       specify
         (Din4 => PADDI) = (0:0:0,0:0:0);
    @@ -5189,7 +6428,7 @@ endmodule
     
     module Din_3_ ( output PADDI, input Din3 );
     
    -  xo2iobuf0112 \Din_pad[3] ( .Z(PADDI), .PAD(Din3));
    +  xo2iobuf0146 \Din_pad[3] ( .Z(PADDI), .PAD(Din3));
     
       specify
         (Din3 => PADDI) = (0:0:0,0:0:0);
    @@ -5201,7 +6440,7 @@ endmodule
     
     module Din_2_ ( output PADDI, input Din2 );
     
    -  xo2iobuf0112 \Din_pad[2] ( .Z(PADDI), .PAD(Din2));
    +  xo2iobuf0146 \Din_pad[2] ( .Z(PADDI), .PAD(Din2));
     
       specify
         (Din2 => PADDI) = (0:0:0,0:0:0);
    @@ -5213,7 +6452,7 @@ endmodule
     
     module Din_1_ ( output PADDI, input Din1 );
     
    -  xo2iobuf0112 \Din_pad[1] ( .Z(PADDI), .PAD(Din1));
    +  xo2iobuf0146 \Din_pad[1] ( .Z(PADDI), .PAD(Din1));
     
       specify
         (Din1 => PADDI) = (0:0:0,0:0:0);
    @@ -5225,7 +6464,7 @@ endmodule
     
     module Din_0_ ( output PADDI, input Din0 );
     
    -  xo2iobuf0112 \Din_pad[0] ( .Z(PADDI), .PAD(Din0));
    +  xo2iobuf0146 \Din_pad[0] ( .Z(PADDI), .PAD(Din0));
     
       specify
         (Din0 => PADDI) = (0:0:0,0:0:0);
    @@ -5237,7 +6476,7 @@ endmodule
     
     module Ain_7_ ( output PADDI, input Ain7 );
     
    -  xo2iobuf0112 \Ain_pad[7] ( .Z(PADDI), .PAD(Ain7));
    +  xo2iobuf0146 \Ain_pad[7] ( .Z(PADDI), .PAD(Ain7));
     
       specify
         (Ain7 => PADDI) = (0:0:0,0:0:0);
    @@ -5249,7 +6488,7 @@ endmodule
     
     module Ain_6_ ( output PADDI, input Ain6 );
     
    -  xo2iobuf0112 \Ain_pad[6] ( .Z(PADDI), .PAD(Ain6));
    +  xo2iobuf0146 \Ain_pad[6] ( .Z(PADDI), .PAD(Ain6));
     
       specify
         (Ain6 => PADDI) = (0:0:0,0:0:0);
    @@ -5261,7 +6500,7 @@ endmodule
     
     module Ain_5_ ( output PADDI, input Ain5 );
     
    -  xo2iobuf0112 \Ain_pad[5] ( .Z(PADDI), .PAD(Ain5));
    +  xo2iobuf0146 \Ain_pad[5] ( .Z(PADDI), .PAD(Ain5));
     
       specify
         (Ain5 => PADDI) = (0:0:0,0:0:0);
    @@ -5273,7 +6512,7 @@ endmodule
     
     module Ain_4_ ( output PADDI, input Ain4 );
     
    -  xo2iobuf0112 \Ain_pad[4] ( .Z(PADDI), .PAD(Ain4));
    +  xo2iobuf0146 \Ain_pad[4] ( .Z(PADDI), .PAD(Ain4));
     
       specify
         (Ain4 => PADDI) = (0:0:0,0:0:0);
    @@ -5285,7 +6524,7 @@ endmodule
     
     module Ain_3_ ( output PADDI, input Ain3 );
     
    -  xo2iobuf0112 \Ain_pad[3] ( .Z(PADDI), .PAD(Ain3));
    +  xo2iobuf0146 \Ain_pad[3] ( .Z(PADDI), .PAD(Ain3));
     
       specify
         (Ain3 => PADDI) = (0:0:0,0:0:0);
    @@ -5297,7 +6536,7 @@ endmodule
     
     module Ain_2_ ( output PADDI, input Ain2 );
     
    -  xo2iobuf0112 \Ain_pad[2] ( .Z(PADDI), .PAD(Ain2));
    +  xo2iobuf0146 \Ain_pad[2] ( .Z(PADDI), .PAD(Ain2));
     
       specify
         (Ain2 => PADDI) = (0:0:0,0:0:0);
    @@ -5309,7 +6548,7 @@ endmodule
     
     module Ain_1_ ( output PADDI, input Ain1 );
     
    -  xo2iobuf0112 \Ain_pad[1] ( .Z(PADDI), .PAD(Ain1));
    +  xo2iobuf0146 \Ain_pad[1] ( .Z(PADDI), .PAD(Ain1));
     
       specify
         (Ain1 => PADDI) = (0:0:0,0:0:0);
    @@ -5321,7 +6560,7 @@ endmodule
     
     module Ain_0_ ( output PADDI, input Ain0 );
     
    -  xo2iobuf0112 \Ain_pad[0] ( .Z(PADDI), .PAD(Ain0));
    +  xo2iobuf0146 \Ain_pad[0] ( .Z(PADDI), .PAD(Ain0));
     
       specify
         (Ain0 => PADDI) = (0:0:0,0:0:0);
    @@ -5333,7 +6572,7 @@ endmodule
     
     module nC07X ( output PADDI, input nC07X );
     
    -  xo2iobuf0112 nC07X_pad( .Z(PADDI), .PAD(nC07X));
    +  xo2iobuf0146 nC07X_pad( .Z(PADDI), .PAD(nC07X));
     
       specify
         (nC07X => PADDI) = (0:0:0,0:0:0);
    @@ -5345,7 +6584,7 @@ endmodule
     
     module nEN80 ( output PADDI, input nEN80 );
     
    -  xo2iobuf0112 nEN80_pad( .Z(PADDI), .PAD(nEN80));
    +  xo2iobuf0146 nEN80_pad( .Z(PADDI), .PAD(nEN80));
     
       specify
         (nEN80 => PADDI) = (0:0:0,0:0:0);
    @@ -5355,21 +6594,9 @@ module nEN80 ( output PADDI, input nEN80 );
     
     endmodule
     
    -module nWE80 ( output PADDI, input nWE80 );
    -
    -  xo2iobuf0112 nWE80_pad( .Z(PADDI), .PAD(nWE80));
    -
    -  specify
    -    (nWE80 => PADDI) = (0:0:0,0:0:0);
    -    $width (posedge nWE80, 0:0:0);
    -    $width (negedge nWE80, 0:0:0);
    -  endspecify
    -
    -endmodule
    -
     module nWE ( output PADDI, input nWE );
     
    -  xo2iobuf0112 nWE_pad( .Z(PADDI), .PAD(nWE));
    +  xo2iobuf0146 nWE_pad( .Z(PADDI), .PAD(nWE));
     
       specify
         (nWE => PADDI) = (0:0:0,0:0:0);
    @@ -5381,7 +6608,7 @@ endmodule
     
     module PHI1 ( output PADDI, input PHI1 );
     
    -  xo2iobuf0112 PHI1_pad( .Z(PADDI), .PAD(PHI1));
    +  xo2iobuf0146 PHI1_pad( .Z(PADDI), .PAD(PHI1));
     
       specify
         (PHI1 => PADDI) = (0:0:0,0:0:0);
    @@ -5394,7 +6621,7 @@ endmodule
     module PHI1_MGIOL ( input DI, CLK, output IN );
       wire   VCCI, GNDI, DI_dly, CLK_dly;
     
    -  smuxlregsre PHI1reg_0io( .D0(DI_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), 
    +  smuxlregsre PHI1r_0io( .D0(DI_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), 
         .Q(IN));
       vcc DRIVEVCC( .PWR1(VCCI));
       gnd DRIVEGND( .PWR0(GNDI));
    @@ -5414,14 +6641,14 @@ module smuxlregsre ( input D0, SP, CK, LSR, output Q );
       defparam INST01.GSR = "DISABLED";
     endmodule
     
    -module ufmefb_EFBInst_0 ( input WBCLKI, WBRSTI, WBCYCI, WBSTBI, WBWEI, WBADRI0, 
    -    WBADRI1, WBADRI2, WBADRI3, WBADRI4, WBADRI5, WBADRI6, WBADRI7, WBDATI0, 
    -    WBDATI1, WBDATI2, WBDATI3, WBDATI4, WBDATI5, WBDATI6, WBDATI7, output 
    -    WBDATO0, WBDATO1, WBDATO2, WBDATO3, WBDATO4, WBDATO5, WBDATO6, WBDATO7, 
    -    WBACKO );
    +module ram2e_ufm_ufmefb_EFBInst_0 ( input WBCLKI, WBRSTI, WBCYCI, WBSTBI, 
    +    WBWEI, WBADRI0, WBADRI1, WBADRI2, WBADRI3, WBADRI4, WBADRI5, WBADRI6, 
    +    WBADRI7, WBDATI0, WBDATI1, WBDATI2, WBDATI3, WBDATI4, WBDATI5, WBDATI6, 
    +    WBDATI7, output WBDATO0, WBDATO1, WBDATO2, WBDATO3, WBDATO4, WBDATO5, 
    +    WBDATO6, WBDATO7, WBACKO );
       wire   VCCI, GNDI;
     
    -  EFB_B \ufmefb/EFBInst_0_EFB ( .WBCLKI(WBCLKI), .WBRSTI(WBRSTI), 
    +  EFB_B \ram2e_ufm/ufmefb/EFBInst_0_EFB ( .WBCLKI(WBCLKI), .WBRSTI(WBRSTI), 
         .WBCYCI(WBCYCI), .WBSTBI(WBSTBI), .WBWEI(WBWEI), .WBADRI0(WBADRI0), 
         .WBADRI1(WBADRI1), .WBADRI2(WBADRI2), .WBADRI3(WBADRI3), .WBADRI4(WBADRI4), 
         .WBADRI5(WBADRI5), .WBADRI6(WBADRI6), .WBADRI7(WBADRI7), .WBDATI0(WBDATI0), 
    diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_mrp.html b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_mrp.html
    index 506e8ec..83ff24e 100644
    --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_mrp.html
    +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_mrp.html
    @@ -16,30 +16,30 @@
     Command line:   map -a MachXO2 -p LCMXO2-640HC -t TQFP100 -s 4 -oc Commercial
          RAM2E_LCMXO2_640HC_impl1.ngd -o RAM2E_LCMXO2_640HC_impl1_map.ncd -pr
          RAM2E_LCMXO2_640HC_impl1.prf -mp RAM2E_LCMXO2_640HC_impl1.mrp -lpf //Mac/iC
    -     loud/Repos/RAM2E/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_synplify.
    -     lpf -lpf //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-LCMXO2.lpf -c 0 -gui -msgset
    -     //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/promote.xml 
    +     loud/Repos/ram2e/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_synplify.
    +     lpf -lpf //Mac/iCloud/Repos/ram2e/CPLD/RAM2E-LCMXO2.lpf -c 0 -gui -msgset
    +     //Mac/iCloud/Repos/ram2e/CPLD/LCMXO2-640HC/promote.xml 
     Target Vendor:  LATTICE
     Target Device:  LCMXO2-640HCTQFP100
     Target Performance:   4
     Mapper:  xo2c00,  version:  Diamond (64-bit) 3.12.1.454
    -Mapped on:  09/21/23  05:34:46
    +Mapped on:  12/28/23  23:09:57
     
     
     Design Summary
    -   Number of registers:    111 out of   877 (13%)
    -      PFU registers:           75 out of   640 (12%)
    -      PIO registers:           36 out of   237 (15%)
    -   Number of SLICEs:       120 out of   320 (38%)
    -      SLICEs as Logic/ROM:    120 out of   320 (38%)
    +   Number of registers:    122 out of   877 (14%)
    +      PFU registers:           93 out of   640 (15%)
    +      PIO registers:           29 out of   237 (12%)
    +   Number of SLICEs:       148 out of   320 (46%)
    +      SLICEs as Logic/ROM:    148 out of   320 (46%)
           SLICEs as RAM:            0 out of   240 (0%)
           SLICEs as Carry:          9 out of   320 (3%)
    -   Number of LUT4s:        239 out of   640 (37%)
    -      Number used as logic LUTs:        221
    +   Number of LUT4s:        296 out of   640 (46%)
    +      Number used as logic LUTs:        278
           Number used as distributed RAM:     0
           Number used as ripple logic:       18
           Number used as shift registers:     0
    -   Number of PIO sites used: 70 + 4(JTAG) out of 79 (94%)
    +   Number of PIO sites used: 69 + 4(JTAG) out of 79 (92%)
        Number of block RAMs:  0 out of 2 (0%)
        Number of GSRs:        0 out of 1 (0%)
        EFB used :        Yes
    @@ -59,43 +59,48 @@ Mapped on:  09/21/23  05:34:46
           2. Number of logic LUT4s does not include count of distributed RAM and
          ripple logic.
        Number of clocks:  1
    -     Net C14M_c: 84 loads, 68 rising, 16 falling (Driver: PIO C14M )
    -   Number of Clock Enables:  11
    -     Net wb_adr_0_sqmuxa_i: 1 loads, 1 LSLICEs
    -     Net N_576_i: 17 loads, 9 LSLICEs
    -     Net LEDEN13: 4 loads, 4 LSLICEs
    -     Net nCS61: 1 loads, 1 LSLICEs
    -     Net Vout3: 8 loads, 0 LSLICEs
    -     Net S_RNII9DO1_1[1]: 7 loads, 1 LSLICEs
    +     Net C14M_c: 89 loads, 73 rising, 16 falling (Driver: PIO C14M )
    +   Number of Clock Enables:  14
    +     Net N_225_i: 2 loads, 0 LSLICEs
    +     Net N_201_i: 2 loads, 0 LSLICEs
    +     Net N_187_i: 11 loads, 11 LSLICEs
    +     Net ram2e_ufm/wb_adr_0_sqmuxa_1_i: 1 loads, 1 LSLICEs
    +     Net RC12: 2 loads, 2 LSLICEs
    +     Net ram2e_ufm/CmdBitbangMXO2_RNINSM62: 8 loads, 8 LSLICEs
     
    -     Net un1_wb_cyc_stb_0_sqmuxa_1_i[0]: 1 loads, 1 LSLICEs
    -     Net un1_wb_adr_0_sqmuxa_2_i[0]: 8 loads, 8 LSLICEs
    -     Net N_104: 1 loads, 1 LSLICEs
    -     Net N_88: 4 loads, 4 LSLICEs
    -     Net un1_LEDEN_0_sqmuxa_1_i_0[0]: 1 loads, 1 LSLICEs
    -   Number of LSRs:  5
    +     Net ram2e_ufm/wb_we_RNO_0: 1 loads, 1 LSLICEs
    +     Net N_185_i: 2 loads, 2 LSLICEs
    +     Net ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0[0]: 1 loads, 1 LSLICEs
    +     Net ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0_0[0]: 4 loads, 4 LSLICEs
    +     Net ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0_0[0]: 1 loads, 1 LSLICEs
    +     Net N_126: 6 loads, 6 LSLICEs
    +     Net un9_VOEEN_0_a2_0_a3_0_a3: 1 loads, 1 LSLICEs
    +     Net Vout3: 8 loads, 0 LSLICEs
    +   Number of LSRs:  7
          Net un1_CS_0_sqmuxa_i: 2 loads, 2 LSLICEs
    +     Net BA_0_sqmuxa: 2 loads, 0 LSLICEs
          Net S[2]: 1 loads, 1 LSLICEs
    -     Net N_566_i: 2 loads, 0 LSLICEs
    -     Net wb_rst: 1 loads, 0 LSLICEs
    -     Net S_RNII9DO1[1]: 1 loads, 1 LSLICEs
    +     Net ram2e_ufm/wb_rst: 1 loads, 0 LSLICEs
    +     Net ram2e_ufm/wb_rst16_i: 1 loads, 1 LSLICEs
    +     Net N_1080_0: 1 loads, 1 LSLICEs
    +     Net N_1078_0: 1 loads, 1 LSLICEs
        Number of nets driven by tri-state buffers:  0
        Top 10 highest fanout non-clock nets:
    -     Net S[2]: 48 loads
    -     Net S[3]: 48 loads
    -     Net S[0]: 30 loads
    -     Net FS[12]: 22 loads
    -     Net FS[9]: 21 loads
    -     Net S[1]: 21 loads
    -     Net FS[10]: 20 loads
    -     Net FS[11]: 19 loads
    -     Net RWSel: 19 loads
    -     Net FS[13]: 17 loads
    +     Net S[2]: 50 loads
    +     Net S[3]: 45 loads
    +     Net S[0]: 37 loads
    +     Net S[1]: 34 loads
    +     Net FS[12]: 24 loads
    +     Net FS[11]: 22 loads
    +     Net FS[10]: 19 loads
    +     Net FS[13]: 19 loads
    +     Net FS[9]: 19 loads
    +     Net FS[8]: 18 loads
     
     
     
     
    -   Number of warnings:  1
    +   Number of warnings:  3
        Number of errors:    0
          
     
    @@ -104,12 +109,17 @@ Mapped on:  09/21/23  05:34:46
     
     Design Errors/Warnings
     
    +WARNING - map: //Mac/iCloud/Repos/ram2e/CPLD/RAM2E-LCMXO2.lpf(93): Semantic
    +     error in "IOBUF PORT "nWE80" IO_TYPE=LVCMOS33 PULLMODE=NONE ;": Port
    +     "nWE80" does not exist in the design. This preference has been disabled.
     WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will
          temporarily disable certain features of the device including Power
          Controller, GSR, Hardened User SPI Port, Hardened Primary User I2C Port.
          Functionality is restored after the Flash Memory (UFM/Configuration)
          Interface is disabled using Disable Configuration Interface command 0x26
          followed by Bypass command 0xFF. 
    +WARNING - map: IO buffer missing for top level port nWE80...logic will be
    +     discarded.
     
     
     
    @@ -117,6 +127,7 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will
     
     +---------------------+-----------+-----------+------------+
     | IO Name             | Direction | Levelmode | IO         |
    +
     |                     |           |  IO_TYPE  | Register   |
     +---------------------+-----------+-----------+------------+
     | RD[0]               | BIDIR     | LVCMOS33  |            |
    @@ -125,11 +136,6 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will
     +---------------------+-----------+-----------+------------+
     | C14M                | INPUT     | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
    -| DQMH                | OUTPUT    | LVCMOS33  | OUT        |
    -+---------------------+-----------+-----------+------------+
    -
    -| DQML                | OUTPUT    | LVCMOS33  | OUT        |
    -+---------------------+-----------+-----------+------------+
     | RD[7]               | BIDIR     | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
     | RD[6]               | BIDIR     | LVCMOS33  |            |
    @@ -144,47 +150,51 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will
     +---------------------+-----------+-----------+------------+
     | RD[1]               | BIDIR     | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
    -| RA[11]              | OUTPUT    | LVCMOS33  | OUT        |
    +| DQMH                | OUTPUT    | LVCMOS33  | OUT        |
     +---------------------+-----------+-----------+------------+
    -| RA[10]              | OUTPUT    | LVCMOS33  | OUT        |
    +| DQML                | OUTPUT    | LVCMOS33  | OUT        |
     +---------------------+-----------+-----------+------------+
    -| RA[9]               | OUTPUT    | LVCMOS33  | OUT        |
    +| RAout[11]           | OUTPUT    | LVCMOS33  | OUT        |
     +---------------------+-----------+-----------+------------+
    -| RA[8]               | OUTPUT    | LVCMOS33  | OUT        |
    +| RAout[10]           | OUTPUT    | LVCMOS33  | OUT        |
     +---------------------+-----------+-----------+------------+
    -| RA[7]               | OUTPUT    | LVCMOS33  | OUT        |
    +| RAout[9]            | OUTPUT    | LVCMOS33  | OUT        |
     +---------------------+-----------+-----------+------------+
    -| RA[6]               | OUTPUT    | LVCMOS33  | OUT        |
    +| RAout[8]            | OUTPUT    | LVCMOS33  | OUT        |
     +---------------------+-----------+-----------+------------+
    -| RA[5]               | OUTPUT    | LVCMOS33  | OUT        |
    +| RAout[7]            | OUTPUT    | LVCMOS33  | OUT        |
     +---------------------+-----------+-----------+------------+
    -| RA[4]               | OUTPUT    | LVCMOS33  | OUT        |
    +| RAout[6]            | OUTPUT    | LVCMOS33  | OUT        |
     +---------------------+-----------+-----------+------------+
    -| RA[3]               | OUTPUT    | LVCMOS33  |            |
    +| RAout[5]            | OUTPUT    | LVCMOS33  | OUT        |
     +---------------------+-----------+-----------+------------+
    -| RA[2]               | OUTPUT    | LVCMOS33  | OUT        |
    +| RAout[4]            | OUTPUT    | LVCMOS33  | OUT        |
     +---------------------+-----------+-----------+------------+
    -| RA[1]               | OUTPUT    | LVCMOS33  | OUT        |
    +| RAout[3]            | OUTPUT    | LVCMOS33  | OUT        |
     +---------------------+-----------+-----------+------------+
    -| RA[0]               | OUTPUT    | LVCMOS33  |            |
    +| RAout[2]            | OUTPUT    | LVCMOS33  | OUT        |
    ++---------------------+-----------+-----------+------------+
    +| RAout[1]            | OUTPUT    | LVCMOS33  | OUT        |
    ++---------------------+-----------+-----------+------------+
    +| RAout[0]            | OUTPUT    | LVCMOS33  | OUT        |
     +---------------------+-----------+-----------+------------+
     | BA[1]               | OUTPUT    | LVCMOS33  | OUT        |
     +---------------------+-----------+-----------+------------+
     | BA[0]               | OUTPUT    | LVCMOS33  | OUT        |
     +---------------------+-----------+-----------+------------+
    -| nRWE                | OUTPUT    | LVCMOS33  | OUT        |
    +| nRWEout             | OUTPUT    | LVCMOS33  | OUT        |
     +---------------------+-----------+-----------+------------+
    -| nCAS                | OUTPUT    | LVCMOS33  | OUT        |
    +
    +| nCASout             | OUTPUT    | LVCMOS33  | OUT        |
     +---------------------+-----------+-----------+------------+
    -| nRAS                | OUTPUT    | LVCMOS33  | OUT        |
    +| nRASout             | OUTPUT    | LVCMOS33  | OUT        |
     +---------------------+-----------+-----------+------------+
    -| nCS                 | OUTPUT    | LVCMOS33  | OUT        |
    +| nCSout              | OUTPUT    | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
    -| CKE                 | OUTPUT    | LVCMOS33  | OUT        |
    +| CKEout              | OUTPUT    | LVCMOS33  | OUT        |
     +---------------------+-----------+-----------+------------+
     | nVOE                | OUTPUT    | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
    -
     | Vout[7]             | OUTPUT    | LVCMOS33  | OUT        |
     +---------------------+-----------+-----------+------------+
     | Vout[6]             | OUTPUT    | LVCMOS33  | OUT        |
    @@ -203,21 +213,21 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will
     +---------------------+-----------+-----------+------------+
     | nDOE                | OUTPUT    | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
    -| Dout[7]             | OUTPUT    | LVCMOS33  | OUT        |
    +| Dout[7]             | OUTPUT    | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
    -| Dout[6]             | OUTPUT    | LVCMOS33  | OUT        |
    +| Dout[6]             | OUTPUT    | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
    -| Dout[5]             | OUTPUT    | LVCMOS33  | OUT        |
    +| Dout[5]             | OUTPUT    | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
    -| Dout[4]             | OUTPUT    | LVCMOS33  | OUT        |
    +| Dout[4]             | OUTPUT    | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
    -| Dout[3]             | OUTPUT    | LVCMOS33  | OUT        |
    +| Dout[3]             | OUTPUT    | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
    -| Dout[2]             | OUTPUT    | LVCMOS33  | OUT        |
    +| Dout[2]             | OUTPUT    | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
    -| Dout[1]             | OUTPUT    | LVCMOS33  | OUT        |
    +| Dout[1]             | OUTPUT    | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
    -| Dout[0]             | OUTPUT    | LVCMOS33  | OUT        |
    +| Dout[0]             | OUTPUT    | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
     | Din[7]              | INPUT     | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
    @@ -231,6 +241,7 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will
     +---------------------+-----------+-----------+------------+
     | Din[2]              | INPUT     | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
    +
     | Din[1]              | INPUT     | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
     | Din[0]              | INPUT     | LVCMOS33  |            |
    @@ -241,7 +252,6 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will
     +---------------------+-----------+-----------+------------+
     | Ain[5]              | INPUT     | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
    -
     | Ain[4]              | INPUT     | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
     | Ain[3]              | INPUT     | LVCMOS33  |            |
    @@ -256,8 +266,6 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will
     +---------------------+-----------+-----------+------------+
     | nEN80               | INPUT     | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
    -| nWE80               | INPUT     | LVCMOS33  |            |
    -+---------------------+-----------+-----------+------------+
     | nWE                 | INPUT     | LVCMOS33  |            |
     +---------------------+-----------+-----------+------------+
     | PHI1                | INPUT     | LVCMOS33  | IN         |
    @@ -268,68 +276,75 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will
     Removed logic
     
     Block GSR_INST undriven or does not drive anything - clipped.
    -Signal Dout_0_.CN was merged into signal C14M_c
    -Signal GND undriven or does not drive anything - clipped.
    -Signal ufmefb/VCC undriven or does not drive anything - clipped.
    -Signal ufmefb/GND undriven or does not drive anything - clipped.
    +Block ram2e_ufm/VCC undriven or does not drive anything - clipped.
    +Block ram2e_ufm/GND undriven or does not drive anything - clipped.
    +Signal CKEout.CN was merged into signal C14M_c
    +Signal ram2e_ufm/ufmefb/VCC undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/GND undriven or does not drive anything - clipped.
     Signal FS_s_0_S1[15] undriven or does not drive anything - clipped.
     Signal FS_s_0_COUT[15] undriven or does not drive anything - clipped.
    -Signal ufmefb/CFGSTDBY undriven or does not drive anything - clipped.
    -Signal ufmefb/CFGWAKE undriven or does not drive anything - clipped.
    -Signal ufmefb/wbc_ufm_irq undriven or does not drive anything - clipped.
    -Signal ufmefb/TCOC undriven or does not drive anything - clipped.
    -Signal ufmefb/TCINT undriven or does not drive anything - clipped.
    -Signal ufmefb/SPIIRQO undriven or does not drive anything - clipped.
    -Signal ufmefb/SPICSNEN undriven or does not drive anything - clipped.
    -Signal ufmefb/SPIMCSN7 undriven or does not drive anything - clipped.
    -Signal ufmefb/SPIMCSN6 undriven or does not drive anything - clipped.
    -Signal ufmefb/SPIMCSN5 undriven or does not drive anything - clipped.
    -Signal ufmefb/SPIMCSN4 undriven or does not drive anything - clipped.
    -Signal ufmefb/SPIMCSN3 undriven or does not drive anything - clipped.
    -Signal ufmefb/SPIMCSN2 undriven or does not drive anything - clipped.
    -Signal ufmefb/SPIMCSN1 undriven or does not drive anything - clipped.
    -Signal ufmefb/SPIMCSN0 undriven or does not drive anything - clipped.
    -Signal ufmefb/SPIMOSIEN undriven or does not drive anything - clipped.
    -Signal ufmefb/SPIMOSIO undriven or does not drive anything - clipped.
    -Signal ufmefb/SPIMISOEN undriven or does not drive anything - clipped.
    -Signal ufmefb/SPIMISOO undriven or does not drive anything - clipped.
    -Signal ufmefb/SPISCKEN undriven or does not drive anything - clipped.
    -Signal ufmefb/SPISCKO undriven or does not drive anything - clipped.
    -Signal ufmefb/I2C2IRQO undriven or does not drive anything - clipped.
    -Signal ufmefb/I2C1IRQO undriven or does not drive anything - clipped.
    -Signal ufmefb/I2C2SDAOEN undriven or does not drive anything - clipped.
    -Signal ufmefb/I2C2SDAO undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/CFGSTDBY undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/CFGWAKE undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/wbc_ufm_irq undriven or does not drive anything -
    +     clipped.
    +Signal ram2e_ufm/ufmefb/TCOC undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/TCINT undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/SPIIRQO undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/SPICSNEN undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/SPIMCSN7 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/SPIMCSN6 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/SPIMCSN5 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/SPIMCSN4 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/SPIMCSN3 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/SPIMCSN2 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/SPIMCSN1 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/SPIMCSN0 undriven or does not drive anything - clipped.
     
    -Signal ufmefb/I2C2SCLOEN undriven or does not drive anything - clipped.
    -Signal ufmefb/I2C2SCLO undriven or does not drive anything - clipped.
    -Signal ufmefb/I2C1SDAOEN undriven or does not drive anything - clipped.
    -Signal ufmefb/I2C1SDAO undriven or does not drive anything - clipped.
    -Signal ufmefb/I2C1SCLOEN undriven or does not drive anything - clipped.
    -Signal ufmefb/I2C1SCLO undriven or does not drive anything - clipped.
    -Signal ufmefb/PLLDATO0 undriven or does not drive anything - clipped.
    -Signal ufmefb/PLLDATO1 undriven or does not drive anything - clipped.
    -Signal ufmefb/PLLDATO2 undriven or does not drive anything - clipped.
    -Signal ufmefb/PLLDATO3 undriven or does not drive anything - clipped.
    -Signal ufmefb/PLLDATO4 undriven or does not drive anything - clipped.
    -Signal ufmefb/PLLDATO5 undriven or does not drive anything - clipped.
    -Signal ufmefb/PLLDATO6 undriven or does not drive anything - clipped.
    -Signal ufmefb/PLLDATO7 undriven or does not drive anything - clipped.
    -Signal ufmefb/PLLADRO0 undriven or does not drive anything - clipped.
    -Signal ufmefb/PLLADRO1 undriven or does not drive anything - clipped.
    -Signal ufmefb/PLLADRO2 undriven or does not drive anything - clipped.
    -Signal ufmefb/PLLADRO3 undriven or does not drive anything - clipped.
    -Signal ufmefb/PLLADRO4 undriven or does not drive anything - clipped.
    -Signal ufmefb/PLLWEO undriven or does not drive anything - clipped.
    -Signal ufmefb/PLL1STBO undriven or does not drive anything - clipped.
    -Signal ufmefb/PLL0STBO undriven or does not drive anything - clipped.
    -Signal ufmefb/PLLRSTO undriven or does not drive anything - clipped.
    -Signal ufmefb/PLLCLKO undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/SPIMOSIEN undriven or does not drive anything - clipped.
    +     
    +Signal ram2e_ufm/ufmefb/SPIMOSIO undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/SPIMISOEN undriven or does not drive anything - clipped.
    +     
    +Signal ram2e_ufm/ufmefb/SPIMISOO undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/SPISCKEN undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/SPISCKO undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/I2C2IRQO undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/I2C1IRQO undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/I2C2SDAOEN undriven or does not drive anything -
    +     clipped.
    +Signal ram2e_ufm/ufmefb/I2C2SDAO undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/I2C2SCLOEN undriven or does not drive anything -
    +     clipped.
    +Signal ram2e_ufm/ufmefb/I2C2SCLO undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/I2C1SDAOEN undriven or does not drive anything -
    +     clipped.
    +Signal ram2e_ufm/ufmefb/I2C1SDAO undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/I2C1SCLOEN undriven or does not drive anything -
    +     clipped.
    +Signal ram2e_ufm/ufmefb/I2C1SCLO undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/PLLDATO0 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/PLLDATO1 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/PLLDATO2 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/PLLDATO3 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/PLLDATO4 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/PLLDATO5 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/PLLDATO6 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/PLLDATO7 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/PLLADRO0 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/PLLADRO1 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/PLLADRO2 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/PLLADRO3 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/PLLADRO4 undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/PLLWEO undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/PLL1STBO undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/PLL0STBO undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/PLLRSTO undriven or does not drive anything - clipped.
    +Signal ram2e_ufm/ufmefb/PLLCLKO undriven or does not drive anything - clipped.
     Signal FS_cry_0_S0[0] undriven or does not drive anything - clipped.
     Signal N_1 undriven or does not drive anything - clipped.
    -Block Vout_0_.CN was optimized away.
    -Block GND was optimized away.
    -Block ufmefb/VCC was optimized away.
    -Block ufmefb/GND was optimized away.
    +Block nCASout.CN was optimized away.
    +Block ram2e_ufm/ufmefb/VCC was optimized away.
    +Block ram2e_ufm/ufmefb/GND was optimized away.
     
          
     
    @@ -339,9 +354,10 @@ Block ufmefb/GND was optimized away.
     
        Desired WISHBONE clock frequency: 14.4 MHz
        Clock source:                     C14M_c
    -   Reset source:                     wb_rst
    +   Reset source:                     ram2e_ufm/wb_rst
        Functions mode:
           I2C #1 (Primary) Function:     DISABLED
    +
           I2C #2 (Secondary) Function:   DISABLED
           SPI Function:                  DISABLED
           Timer/Counter Function:        DISABLED
    @@ -357,7 +373,6 @@ Block ufmefb/GND was optimized away.
           None
        Timer/Counter Function Summary:
        ------------------------------
    -
           None
        UFM Function Summary:
        --------------------
    @@ -378,7 +393,7 @@ Block ufmefb/GND was optimized away.
     ASIC Components
     ---------------
     
    -Instance Name: ufmefb/EFBInst_0
    +Instance Name: ram2e_ufm/ufmefb/EFBInst_0
              Type: EFB
     
     
    @@ -388,7 +403,7 @@ Instance Name: ufmefb/EFBInst_0
     
        Total CPU Time: 0 secs  
        Total REAL Time: 0 secs  
    -   Peak Memory Usage: 58 MB
    +   Peak Memory Usage: 59 MB
             
     
     
    @@ -400,21 +415,6 @@ Instance Name: ufmefb/EFBInst_0
     
     
     
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
    -
     
     
     
    diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_pad.html b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_pad.html
    index 64c8bcb..330925e 100644
    --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_pad.html
    +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_pad.html
    @@ -14,7 +14,7 @@ Performance Grade:      4
     PACKAGE:          TQFP100
     Package Status:                     Final          Version 1.39
     
    -Thu Sep 21 05:35:00 2023
    +Thu Dec 28 23:10:10 2023
     
     Pinout by Port Name:
     +-----------+----------+---------------+-------+-----------+-----------+------------------------------------------------------------+
    @@ -31,7 +31,7 @@ Pinout by Port Name:
     | BA[0]     | 58/1     | LVCMOS33_OUT  | PR6C  |           |           | DRIVE:4mA SLEW:SLOW                                        |
     | BA[1]     | 60/1     | LVCMOS33_OUT  | PR6A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
     | C14M      | 62/1     | LVCMOS33_IN   | PR5D  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
    -| CKE       | 53/1     | LVCMOS33_OUT  | PR7B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| CKEout    | 53/1     | LVCMOS33_OUT  | PR7B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
     | DQMH      | 49/2     | LVCMOS33_OUT  | PB14D |           |           | DRIVE:4mA SLEW:SLOW                                        |
     | DQML      | 48/2     | LVCMOS33_OUT  | PB14C |           |           | DRIVE:4mA SLEW:SLOW                                        |
     | Din[0]    | 96/0     | LVCMOS33_IN   | PT6D  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
    @@ -42,28 +42,28 @@ Pinout by Port Name:
     | Din[5]    | 99/0     | LVCMOS33_IN   | PT6A  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
     | Din[6]    | 88/0     | LVCMOS33_IN   | PT9A  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
     | Din[7]    | 87/0     | LVCMOS33_IN   | PT9B  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
    -| Dout[0]   | 30/2     | LVCMOS33_OUT  | PB4D  |           |           | DRIVE:4mA SLEW:FAST                                        |
    -| Dout[1]   | 27/2     | LVCMOS33_OUT  | PB4A  |           |           | DRIVE:4mA SLEW:FAST                                        |
    -| Dout[2]   | 25/3     | LVCMOS33_OUT  | PL7D  |           |           | DRIVE:4mA SLEW:FAST                                        |
    -| Dout[3]   | 28/2     | LVCMOS33_OUT  | PB4B  |           |           | DRIVE:4mA SLEW:FAST                                        |
    -| Dout[4]   | 24/3     | LVCMOS33_OUT  | PL7C  |           |           | DRIVE:4mA SLEW:FAST                                        |
    -| Dout[5]   | 21/3     | LVCMOS33_OUT  | PL7B  |           |           | DRIVE:4mA SLEW:FAST                                        |
    -| Dout[6]   | 31/2     | LVCMOS33_OUT  | PB6A  |           |           | DRIVE:4mA SLEW:FAST                                        |
    -| Dout[7]   | 32/2     | LVCMOS33_OUT  | PB6B  |           |           | DRIVE:4mA SLEW:FAST                                        |
    +| Dout[0]   | 30/2     | LVCMOS33_OUT  | PB4D  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| Dout[1]   | 27/2     | LVCMOS33_OUT  | PB4A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| Dout[2]   | 25/3     | LVCMOS33_OUT  | PL7D  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| Dout[3]   | 28/2     | LVCMOS33_OUT  | PB4B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| Dout[4]   | 24/3     | LVCMOS33_OUT  | PL7C  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| Dout[5]   | 21/3     | LVCMOS33_OUT  | PL7B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| Dout[6]   | 31/2     | LVCMOS33_OUT  | PB6A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| Dout[7]   | 32/2     | LVCMOS33_OUT  | PB6B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
     | LED       | 35/2     | LVCMOS33_OUT  | PB6D  |           |           | DRIVE:4mA SLEW:SLOW                                        |
     | PHI1      | 85/0     | LVCMOS33_IN   | PT9D  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
    -| RA[0]     | 66/1     | LVCMOS33_OUT  | PR3D  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    -| RA[10]    | 64/1     | LVCMOS33_OUT  | PR5B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    -| RA[11]    | 59/1     | LVCMOS33_OUT  | PR6B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    -| RA[1]     | 68/1     | LVCMOS33_OUT  | PR3B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    -| RA[2]     | 70/1     | LVCMOS33_OUT  | PR2D  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    -| RA[3]     | 74/1     | LVCMOS33_OUT  | PR2B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    -| RA[4]     | 75/1     | LVCMOS33_OUT  | PR2A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    -| RA[5]     | 71/1     | LVCMOS33_OUT  | PR2C  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    -| RA[6]     | 69/1     | LVCMOS33_OUT  | PR3A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    -| RA[7]     | 67/1     | LVCMOS33_OUT  | PR3C  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    -| RA[8]     | 65/1     | LVCMOS33_OUT  | PR5A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    -| RA[9]     | 63/1     | LVCMOS33_OUT  | PR5C  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RAout[0]  | 66/1     | LVCMOS33_OUT  | PR3D  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RAout[10] | 64/1     | LVCMOS33_OUT  | PR5B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RAout[11] | 59/1     | LVCMOS33_OUT  | PR6B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RAout[1]  | 68/1     | LVCMOS33_OUT  | PR3B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RAout[2]  | 70/1     | LVCMOS33_OUT  | PR2D  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RAout[3]  | 74/1     | LVCMOS33_OUT  | PR2B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RAout[4]  | 75/1     | LVCMOS33_OUT  | PR2A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RAout[5]  | 71/1     | LVCMOS33_OUT  | PR2C  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RAout[6]  | 69/1     | LVCMOS33_OUT  | PR3A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RAout[7]  | 67/1     | LVCMOS33_OUT  | PR3C  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RAout[8]  | 65/1     | LVCMOS33_OUT  | PR5A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RAout[9]  | 63/1     | LVCMOS33_OUT  | PR5C  |           |           | DRIVE:4mA SLEW:SLOW                                        |
     | RD[0]     | 36/2     | LVCMOS33_BIDI | PB10A |           |           | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW  |
     | RD[1]     | 37/2     | LVCMOS33_BIDI | PB10B |           |           | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW  |
     | RD[2]     | 38/2     | LVCMOS33_BIDI | PB10C |           |           | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW  |
    @@ -81,15 +81,14 @@ Pinout by Port Name:
     | Vout[6]   | 14/3     | LVCMOS33_OUT  | PL5C  |           |           | DRIVE:4mA SLEW:SLOW                                        |
     | Vout[7]   | 12/3     | LVCMOS33_OUT  | PL5A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
     | nC07X     | 34/2     | LVCMOS33_IN   | PB6C  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
    -| nCAS      | 52/1     | LVCMOS33_OUT  | PR7C  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    -| nCS       | 57/1     | LVCMOS33_OUT  | PR6D  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| nCASout   | 52/1     | LVCMOS33_OUT  | PR7C  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| nCSout    | 57/1     | LVCMOS33_OUT  | PR6D  |           |           | DRIVE:4mA SLEW:SLOW                                        |
     | nDOE      | 20/3     | LVCMOS33_OUT  | PL7A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
     | nEN80     | 82/0     | LVCMOS33_IN   | PT10C |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
    -| nRAS      | 54/1     | LVCMOS33_OUT  | PR7A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    -| nRWE      | 51/1     | LVCMOS33_OUT  | PR7D  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| nRASout   | 54/1     | LVCMOS33_OUT  | PR7A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| nRWEout   | 51/1     | LVCMOS33_OUT  | PR7D  |           |           | DRIVE:4mA SLEW:SLOW                                        |
     | nVOE      | 10/3     | LVCMOS33_OUT  | PL3D  |           |           | DRIVE:4mA SLEW:SLOW                                        |
     | nWE       | 29/2     | LVCMOS33_IN   | PB4C  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
    -| nWE80     | 83/0     | LVCMOS33_IN   | PT10B |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
     +-----------+----------+---------------+-------+-----------+-----------+------------------------------------------------------------+
     
     Vccio by Bank:
    @@ -153,32 +152,32 @@ Vccio by Bank:
     | 47/2     |     unused, PULL:DOWN |            |               | PB14B |               |           |           |
     | 48/2     | DQML                  | LOCATED    | LVCMOS33_OUT  | PB14C | SN            |           |           |
     | 49/2     | DQMH                  | LOCATED    | LVCMOS33_OUT  | PB14D | SI/SISPI      |           |           |
    -| 51/1     | nRWE                  | LOCATED    | LVCMOS33_OUT  | PR7D  |               |           |           |
    -| 52/1     | nCAS                  | LOCATED    | LVCMOS33_OUT  | PR7C  |               |           |           |
    -| 53/1     | CKE                   | LOCATED    | LVCMOS33_OUT  | PR7B  |               |           |           |
    -| 54/1     | nRAS                  | LOCATED    | LVCMOS33_OUT  | PR7A  |               |           |           |
    -| 57/1     | nCS                   | LOCATED    | LVCMOS33_OUT  | PR6D  |               |           |           |
    +| 51/1     | nRWEout               | LOCATED    | LVCMOS33_OUT  | PR7D  |               |           |           |
    +| 52/1     | nCASout               | LOCATED    | LVCMOS33_OUT  | PR7C  |               |           |           |
    +| 53/1     | CKEout                | LOCATED    | LVCMOS33_OUT  | PR7B  |               |           |           |
    +| 54/1     | nRASout               | LOCATED    | LVCMOS33_OUT  | PR7A  |               |           |           |
    +| 57/1     | nCSout                | LOCATED    | LVCMOS33_OUT  | PR6D  |               |           |           |
     | 58/1     | BA[0]                 | LOCATED    | LVCMOS33_OUT  | PR6C  |               |           |           |
    -| 59/1     | RA[11]                | LOCATED    | LVCMOS33_OUT  | PR6B  |               |           |           |
    +| 59/1     | RAout[11]             | LOCATED    | LVCMOS33_OUT  | PR6B  |               |           |           |
     | 60/1     | BA[1]                 | LOCATED    | LVCMOS33_OUT  | PR6A  |               |           |           |
     | 62/1     | C14M                  | LOCATED    | LVCMOS33_IN   | PR5D  | PCLKC1_0      |           |           |
    -| 63/1     | RA[9]                 | LOCATED    | LVCMOS33_OUT  | PR5C  | PCLKT1_0      |           |           |
    -| 64/1     | RA[10]                | LOCATED    | LVCMOS33_OUT  | PR5B  |               |           |           |
    -| 65/1     | RA[8]                 | LOCATED    | LVCMOS33_OUT  | PR5A  |               |           |           |
    -| 66/1     | RA[0]                 | LOCATED    | LVCMOS33_OUT  | PR3D  |               |           |           |
    -| 67/1     | RA[7]                 | LOCATED    | LVCMOS33_OUT  | PR3C  |               |           |           |
    -| 68/1     | RA[1]                 | LOCATED    | LVCMOS33_OUT  | PR3B  |               |           |           |
    -| 69/1     | RA[6]                 | LOCATED    | LVCMOS33_OUT  | PR3A  |               |           |           |
    -| 70/1     | RA[2]                 | LOCATED    | LVCMOS33_OUT  | PR2D  |               |           |           |
    -| 71/1     | RA[5]                 | LOCATED    | LVCMOS33_OUT  | PR2C  |               |           |           |
    -| 74/1     | RA[3]                 | LOCATED    | LVCMOS33_OUT  | PR2B  |               |           |           |
    -| 75/1     | RA[4]                 | LOCATED    | LVCMOS33_OUT  | PR2A  |               |           |           |
    +| 63/1     | RAout[9]              | LOCATED    | LVCMOS33_OUT  | PR5C  | PCLKT1_0      |           |           |
    +| 64/1     | RAout[10]             | LOCATED    | LVCMOS33_OUT  | PR5B  |               |           |           |
    +| 65/1     | RAout[8]              | LOCATED    | LVCMOS33_OUT  | PR5A  |               |           |           |
    +| 66/1     | RAout[0]              | LOCATED    | LVCMOS33_OUT  | PR3D  |               |           |           |
    +| 67/1     | RAout[7]              | LOCATED    | LVCMOS33_OUT  | PR3C  |               |           |           |
    +| 68/1     | RAout[1]              | LOCATED    | LVCMOS33_OUT  | PR3B  |               |           |           |
    +| 69/1     | RAout[6]              | LOCATED    | LVCMOS33_OUT  | PR3A  |               |           |           |
    +| 70/1     | RAout[2]              | LOCATED    | LVCMOS33_OUT  | PR2D  |               |           |           |
    +| 71/1     | RAout[5]              | LOCATED    | LVCMOS33_OUT  | PR2C  |               |           |           |
    +| 74/1     | RAout[3]              | LOCATED    | LVCMOS33_OUT  | PR2B  |               |           |           |
    +| 75/1     | RAout[4]              | LOCATED    | LVCMOS33_OUT  | PR2A  |               |           |           |
     | 76/0     |     unused, PULL:DOWN |            |               | PT11D | DONE          |           |           |
     | 77/0     |     unused, PULL:DOWN |            |               | PT11C | INITN         |           |           |
     | 78/0     | Ain[4]                | LOCATED    | LVCMOS33_IN   | PT11A |               |           |           |
     | 81/0     |     unused, PULL:DOWN |            |               | PT10D | PROGRAMN      |           |           |
     | 82/0     | nEN80                 | LOCATED    | LVCMOS33_IN   | PT10C | JTAGENB       |           |           |
    -| 83/0     | nWE80                 | LOCATED    | LVCMOS33_IN   | PT10B |               |           |           |
    +| 83/0     |     unused, PULL:DOWN |            |               | PT10B |               |           |           |
     | 84/0     | Ain[5]                | LOCATED    | LVCMOS33_IN   | PT10A |               |           |           |
     | 85/0     | PHI1                  | LOCATED    | LVCMOS33_IN   | PT9D  | SDA/PCLKC0_0  |           |           |
     | 86/0     | Ain[6]                | LOCATED    | LVCMOS33_IN   | PT9C  | SCL/PCLKT0_0  |           |           |
    @@ -222,7 +221,7 @@ LOCATE  COMP  "Ain[7]"  SITE  "8";
     LOCATE  COMP  "BA[0]"  SITE  "58";
     LOCATE  COMP  "BA[1]"  SITE  "60";
     LOCATE  COMP  "C14M"  SITE  "62";
    -LOCATE  COMP  "CKE"  SITE  "53";
    +LOCATE  COMP  "CKEout"  SITE  "53";
     LOCATE  COMP  "DQMH"  SITE  "49";
     LOCATE  COMP  "DQML"  SITE  "48";
     LOCATE  COMP  "Din[0]"  SITE  "96";
    @@ -243,18 +242,18 @@ LOCATE  COMP  "Dout[6]"  SITE  "31";
     LOCATE  COMP  "Dout[7]"  SITE  "32";
     LOCATE  COMP  "LED"  SITE  "35";
     LOCATE  COMP  "PHI1"  SITE  "85";
    -LOCATE  COMP  "RA[0]"  SITE  "66";
    -LOCATE  COMP  "RA[10]"  SITE  "64";
    -LOCATE  COMP  "RA[11]"  SITE  "59";
    -LOCATE  COMP  "RA[1]"  SITE  "68";
    -LOCATE  COMP  "RA[2]"  SITE  "70";
    -LOCATE  COMP  "RA[3]"  SITE  "74";
    -LOCATE  COMP  "RA[4]"  SITE  "75";
    -LOCATE  COMP  "RA[5]"  SITE  "71";
    -LOCATE  COMP  "RA[6]"  SITE  "69";
    -LOCATE  COMP  "RA[7]"  SITE  "67";
    -LOCATE  COMP  "RA[8]"  SITE  "65";
    -LOCATE  COMP  "RA[9]"  SITE  "63";
    +LOCATE  COMP  "RAout[0]"  SITE  "66";
    +LOCATE  COMP  "RAout[10]"  SITE  "64";
    +LOCATE  COMP  "RAout[11]"  SITE  "59";
    +LOCATE  COMP  "RAout[1]"  SITE  "68";
    +LOCATE  COMP  "RAout[2]"  SITE  "70";
    +LOCATE  COMP  "RAout[3]"  SITE  "74";
    +LOCATE  COMP  "RAout[4]"  SITE  "75";
    +LOCATE  COMP  "RAout[5]"  SITE  "71";
    +LOCATE  COMP  "RAout[6]"  SITE  "69";
    +LOCATE  COMP  "RAout[7]"  SITE  "67";
    +LOCATE  COMP  "RAout[8]"  SITE  "65";
    +LOCATE  COMP  "RAout[9]"  SITE  "63";
     LOCATE  COMP  "RD[0]"  SITE  "36";
     LOCATE  COMP  "RD[1]"  SITE  "37";
     LOCATE  COMP  "RD[2]"  SITE  "38";
    @@ -272,15 +271,14 @@ LOCATE  COMP  "Vout[5]"  SITE  "16";
     LOCATE  COMP  "Vout[6]"  SITE  "14";
     LOCATE  COMP  "Vout[7]"  SITE  "12";
     LOCATE  COMP  "nC07X"  SITE  "34";
    -LOCATE  COMP  "nCAS"  SITE  "52";
    -LOCATE  COMP  "nCS"  SITE  "57";
    +LOCATE  COMP  "nCASout"  SITE  "52";
    +LOCATE  COMP  "nCSout"  SITE  "57";
     LOCATE  COMP  "nDOE"  SITE  "20";
     LOCATE  COMP  "nEN80"  SITE  "82";
    -LOCATE  COMP  "nRAS"  SITE  "54";
    -LOCATE  COMP  "nRWE"  SITE  "51";
    +LOCATE  COMP  "nRASout"  SITE  "54";
    +LOCATE  COMP  "nRWEout"  SITE  "51";
     LOCATE  COMP  "nVOE"  SITE  "10";
     LOCATE  COMP  "nWE"  SITE  "29";
    -LOCATE  COMP  "nWE80"  SITE  "83";
     
     
     
    @@ -292,7 +290,7 @@ Copyright (c) 1995 AT&T Corp.   All rights reserved.
     Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
     Copyright (c) 2001 Agere Systems   All rights reserved.
     Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    -Thu Sep 21 05:35:04 2023
    +Thu Dec 28 23:10:13 2023
     
     
     
    diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_par.html b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_par.html
    index 1dd7442..e121eb7 100644
    --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_par.html
    +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_par.html
    @@ -12,12 +12,12 @@ Copyright (c) 1995 AT&T Corp.   All rights reserved.
     Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
     Copyright (c) 2001 Agere Systems   All rights reserved.
     Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    -Thu Sep 21 05:34:51 2023
    +Thu Dec 28 23:10:01 2023
     
     C:/lscc/diamond/3.12/ispfpga\bin\nt64\par -f RAM2E_LCMXO2_640HC_impl1.p2t
     RAM2E_LCMXO2_640HC_impl1_map.ncd RAM2E_LCMXO2_640HC_impl1.dir
     RAM2E_LCMXO2_640HC_impl1.prf -gui -msgset
    -//Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/promote.xml
    +//Mac/iCloud/Repos/ram2e/CPLD/LCMXO2-640HC/promote.xml
     
     
     Preference file: RAM2E_LCMXO2_640HC_impl1.prf.
    @@ -26,7 +26,7 @@ Preference file: RAM2E_LCMXO2_640HC_impl1.prf.
     Level/       Number       Worst        Timing       Worst        Timing       Run          NCD
     Cost [ncd]   Unrouted     Slack        Score        Slack(hold)  Score(hold)  Time         Status
     ----------   --------     -----        ------       -----------  -----------  ----         ------
    -5_1   *      0            57.366       0            0.346        0            15           Completed
    +5_1   *      0            57.938       0            0.379        0            15           Completed
     * : Design saved.
     
     Total (real) run time for 1-seed: 15 secs 
    @@ -36,12 +36,12 @@ par done!
     Note: user must run 'Trace' for timing closure signoff.
     
     Lattice Place and Route Report for Design "RAM2E_LCMXO2_640HC_impl1_map.ncd"
    -Thu Sep 21 05:34:51 2023
    +Thu Dec 28 23:10:01 2023
     
     
     Best Par Run
     PAR: Place And Route Diamond (64-bit) 3.12.1.454.
    -Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/promote.xml -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF:parASE=1 RAM2E_LCMXO2_640HC_impl1_map.ncd RAM2E_LCMXO2_640HC_impl1.dir/5_1.ncd RAM2E_LCMXO2_640HC_impl1.prf
    +Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -msgset //Mac/iCloud/Repos/ram2e/CPLD/LCMXO2-640HC/promote.xml -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF:parASE=1 RAM2E_LCMXO2_640HC_impl1_map.ncd RAM2E_LCMXO2_640HC_impl1.dir/5_1.ncd RAM2E_LCMXO2_640HC_impl1.prf
     Preference file: RAM2E_LCMXO2_640HC_impl1.prf.
     Placement level-cost: 5-1.
     Routing Iterations: 6
    @@ -63,43 +63,43 @@ Ignore Preference Error(s):  True
     
     Device utilization summary:
     
    -   PIO (prelim)   70+4(JTAG)/80      93% used
    -                  70+4(JTAG)/79      94% bonded
    -   IOLOGIC           36/80           45% used
    +   PIO (prelim)   69+4(JTAG)/80      91% used
    +                  69+4(JTAG)/79      92% bonded
    +   IOLOGIC           29/80           36% used
     
    -   SLICE            120/320          37% used
    +   SLICE            148/320          46% used
     
        EFB                1/1           100% used
     
     
    -Number of Signals: 395
    -Number of Connections: 1126
    +Number of Signals: 459
    +Number of Connections: 1330
     
     Pin Constraint Summary:
    -   70 out of 70 pins locked (100% locked).
    +   69 out of 69 pins locked (100% locked).
     
     The following 1 signal is selected to use the primary clock routing resources:
    -    C14M_c (driver: C14M, clk load #: 84)
    +    C14M_c (driver: C14M, clk load #: 89)
     
     WARNING - par: Signal "C14M_c" is selected to use Primary clock resources. However, its driver comp "C14M" is located at "62", which is not a dedicated pin for connecting to Primary clock resources. General routing has to be used to route this signal, and it might suffer from excessive delay or skew.
     
     The following 1 signal is selected to use the secondary clock routing resources:
    -    N_576_i (driver: SLICE_20, clk load #: 0, sr load #: 0, ce load #: 17)
    +    N_187_i (driver: ram2e_ufm/SLICE_130, clk load #: 0, sr load #: 0, ce load #: 11)
     
     No signal is selected as Global Set/Reset.
     Starting Placer Phase 0.
    -............
    -Finished Placer Phase 0.  REAL time: 0 secs 
    +...........
    +Finished Placer Phase 0.  REAL time: 2 secs 
     
     Starting Placer Phase 1.
    -.....................
    -Placer score = 63243.
    -Finished Placer Phase 1.  REAL time: 8 secs 
    +....................
    +Placer score = 69810.
    +Finished Placer Phase 1.  REAL time: 9 secs 
     
     Starting Placer Phase 2.
     .
    -Placer score =  62715
    -Finished Placer Phase 2.  REAL time: 8 secs 
    +Placer score =  69262
    +Finished Placer Phase 2.  REAL time: 9 secs 
     
     
     
    @@ -112,8 +112,8 @@ Global Clock Resources:
       DCC        : 0 out of 8 (0%)
     
     Global Clocks:
    -  PRIMARY "C14M_c" from comp "C14M" on PIO site "62 (PR5D)", clk load = 84
    -  SECONDARY "N_576_i" from F1 on comp "SLICE_20" on site "R6C8A", clk load = 0, ce load = 17, sr load = 0
    +  PRIMARY "C14M_c" from comp "C14M" on PIO site "62 (PR5D)", clk load = 89
    +  SECONDARY "N_187_i" from F1 on comp "ram2e_ufm/SLICE_130" on site "R6C8B", clk load = 0, ce load = 11, sr load = 0
     
       PRIMARY  : 1 out of 8 (12%)
       SECONDARY: 1 out of 8 (12%)
    @@ -122,32 +122,32 @@ Global Clocks:
     
     
     I/O Usage Summary (final):
    -   70 + 4(JTAG) out of 80 (92.5%) PIO sites used.
    -   70 + 4(JTAG) out of 79 (93.7%) bonded PIO sites used.
    -   Number of PIO comps: 70; differential: 0.
    +   69 + 4(JTAG) out of 80 (91.3%) PIO sites used.
    +   69 + 4(JTAG) out of 79 (92.4%) bonded PIO sites used.
    +   Number of PIO comps: 69; differential: 0.
        Number of Vref pins used: 0.
     
     I/O Bank Usage Summary:
     +----------+----------------+------------+-----------+
     | I/O Bank | Usage          | Bank Vccio | Bank Vref |
     +----------+----------------+------------+-----------+
    -| 0        | 12 / 19 ( 63%) | 3.3V       | -         |
    +| 0        | 11 / 19 ( 57%) | 3.3V       | -         |
     | 1        | 20 / 20 (100%) | 3.3V       | -         |
     | 2        | 18 / 20 ( 90%) | 3.3V       | -         |
     | 3        | 20 / 20 (100%) | 3.3V       | -         |
     +----------+----------------+------------+-----------+
     
    -Total placer CPU time: 8 secs 
    +Total placer CPU time: 7 secs 
     
     Dumping design to file RAM2E_LCMXO2_640HC_impl1.dir/5_1.ncd.
     
    -0 connections routed; 1126 unrouted.
    +0 connections routed; 1330 unrouted.
     Starting router resource preassignment
     WARNING - par: The driver of primary clock net C14M_c is not placed on one of the sites dedicated for primary clocks. This primary clock will be routed to an H-spine through general routing resource and might suffer from excessive delay or skew.
     
     Completed router resource preassignment. Real time: 13 secs 
     
    -Start NBR router at 05:35:04 09/21/23
    +Start NBR router at 23:10:14 12/28/23
     
     *****************************************************************
     Info: NBR allows conflicts(one node used by more than one signal)
    @@ -162,32 +162,35 @@ Note: NBR uses a different method to calculate timing slacks. The
           your design.                                               
     *****************************************************************
     
    -Start NBR special constraint process at 05:35:05 09/21/23
    +Start NBR special constraint process at 23:10:14 12/28/23
     
    -Start NBR section for initial routing at 05:35:05 09/21/23
    +Start NBR section for initial routing at 23:10:14 12/28/23
     Level 4, iteration 1
    -14(0.03%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; 
    -Estimated worst slack/total negative slack<setup>: 57.366ns/0.000ns; real time: 14 secs 
    +19(0.04%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; 
    +Estimated worst slack/total negative slack<setup>: 58.137ns/0.000ns; real time: 13 secs 
     
     Info: Initial congestion level at 75% usage is 0
     Info: Initial congestion area  at 75% usage is 0 (0.00%)
     
    -Start NBR section for normal routing at 05:35:05 09/21/23
    +Start NBR section for normal routing at 23:10:14 12/28/23
     Level 4, iteration 1
    -4(0.01%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; 
    -Estimated worst slack/total negative slack<setup>: 57.366ns/0.000ns; real time: 14 secs 
    +8(0.02%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; 
    +Estimated worst slack/total negative slack<setup>: 57.938ns/0.000ns; real time: 13 secs 
     Level 4, iteration 2
    +1(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; 
    +Estimated worst slack/total negative slack<setup>: 57.938ns/0.000ns; real time: 13 secs 
    +Level 4, iteration 3
     0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; 
    -Estimated worst slack/total negative slack<setup>: 57.366ns/0.000ns; real time: 14 secs 
    +Estimated worst slack/total negative slack<setup>: 57.938ns/0.000ns; real time: 13 secs 
     
    -Start NBR section for setup/hold timing optimization with effort level 3 at 05:35:05 09/21/23
    +Start NBR section for setup/hold timing optimization with effort level 3 at 23:10:14 12/28/23
     
    -Start NBR section for re-routing at 05:35:05 09/21/23
    +Start NBR section for re-routing at 23:10:15 12/28/23
     Level 4, iteration 1
     0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; 
    -Estimated worst slack/total negative slack<setup>: 57.366ns/0.000ns; real time: 14 secs 
    +Estimated worst slack/total negative slack<setup>: 57.938ns/0.000ns; real time: 14 secs 
     
    -Start NBR section for post-routing at 05:35:05 09/21/23
    +Start NBR section for post-routing at 23:10:15 12/28/23
     
     End NBR router with 0 unrouted connection
     
    @@ -195,17 +198,17 @@ NBR Summary
     -----------
       Number of unrouted connections : 0 (0.00%)
       Number of connections with timing violations : 0 (0.00%)
    -  Estimated worst slack<setup> : 57.366ns
    +  Estimated worst slack<setup> : 57.938ns
       Timing score<setup> : 0
     -----------
     Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored.
     
     
     
    -Total CPU time 14 secs 
    -Total REAL time: 15 secs 
    +Total CPU time 13 secs 
    +Total REAL time: 14 secs 
     Completely routed.
    -End of route.  1126 routed (100.00%); 0 unrouted.
    +End of route.  1330 routed (100.00%); 0 unrouted.
     
     Hold time timing score: 0, hold timing errors: 0
     
    @@ -219,13 +222,13 @@ All signals are completely routed.
     
     PAR_SUMMARY::Run status = Completed
     PAR_SUMMARY::Number of unrouted conns = 0
    -PAR_SUMMARY::Worst  slack<setup/<ns>> = 57.366
    +PAR_SUMMARY::Worst  slack<setup/<ns>> = 57.938
     PAR_SUMMARY::Timing score<setup/<ns>> = 0.000
    -PAR_SUMMARY::Worst  slack<hold /<ns>> = 0.346
    +PAR_SUMMARY::Worst  slack<hold /<ns>> = 0.379
     PAR_SUMMARY::Timing score<hold /<ns>> = 0.000
     PAR_SUMMARY::Number of errors = 0
     
    -Total CPU  time to completion: 15 secs 
    +Total CPU  time to completion: 13 secs 
     Total REAL time to completion: 15 secs 
     
     par done!
    diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_scck.rpt b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_scck.rpt
    index 1e28830..649ec92 100644
    --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_scck.rpt
    +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_scck.rpt
    @@ -13,10 +13,10 @@ Hostname: ZANEMACWIN11
     
     Implementation : impl1
     
    -# Written on Thu Sep 21 05:34:35 2023
    +# Written on Thu Dec 28 23:09:48 2023
     
     ##### FILES SYNTAX CHECKED ##############################################
    -Constraint File(s):      "\\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E.sdc"
    +Constraint File(s):      "\\Mac\iCloud\Repos\ram2e\CPLD\RAM2E.sdc"
     
     #Run constraint checker to find more issues with constraints.
     #########################################################################
    @@ -33,7 +33,7 @@ Clock Summary
               Start      Requested     Requested     Clock        Clock                Clock
     Level     Clock      Frequency     Period        Type         Group                Load 
     ----------------------------------------------------------------------------------------
    -0 -       C14M       14.3 MHz      69.841        declared     default_clkgroup     111  
    +0 -       C14M       14.3 MHz      69.841        declared     default_clkgroup     122  
                                                                                             
     0 -       System     100.0 MHz     10.000        system       system_clkgroup      0    
     ========================================================================================
    @@ -45,7 +45,7 @@ Clock Load Summary
                Clock     Source         Clock Pin       Non-clock Pin     Non-clock Pin     
     Clock      Load      Pin            Seq Example     Seq Example       Comb Example      
     ----------------------------------------------------------------------------------------
    -C14M       111       C14M(port)     wb_rst.C        -                 un1_C14M.I[0](inv)
    +C14M       122       C14M(port)     DOEEN.C         -                 un1_C14M.I[0](inv)
                                                                                             
     System     0         -              -               -                 -                 
     ========================================================================================
    diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_summary.html b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_summary.html
    index 0aea46c..0f147ac 100644
    --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_summary.html
    +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_summary.html
    @@ -62,15 +62,15 @@
     
     
     Updated:
    -2023/09/21 05:35:24
    +2023/12/28 23:10:32
     
     
     Implementation Location:
    -//Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/impl1
    +//Mac/iCloud/Repos/ram2e/CPLD/LCMXO2-640HC/impl1
     
     
     Project File:
    -//Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/RAM2E_LCMXO2_640HC.ldf
    +//Mac/iCloud/Repos/ram2e/CPLD/LCMXO2-640HC/RAM2E_LCMXO2_640HC.ldf
     
     
     
    diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_synplify.html b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_synplify.html index e39a6e5..c31b427 100644 --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_synplify.html +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_synplify.html @@ -12,7 +12,7 @@ #OS: Windows 8 6.2 #Hostname: ZANEMACWIN11 -# Thu Sep 21 05:34:32 2023 +# Thu Dec 28 23:09:44 2023 #Implementation: impl1 @@ -57,30 +57,35 @@ Synopsys Verilog Compiler, Version comp202103synp2, Build 093R, Built Aug 10 202 @I::"C:\lscc\diamond\3.12\synpbase\lib\vlog\umr_capim.v" (library snps_haps) @I::"C:\lscc\diamond\3.12\synpbase\lib\vlog\scemi_objects.v" (library snps_haps) @I::"C:\lscc\diamond\3.12\synpbase\lib\vlog\scemi_pipes.svh" (library snps_haps) -@I::"\\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E-LCMXO2.v" (library work) -@I::"\\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\REFB.v" (library work) +@I::"\\Mac\iCloud\Repos\ram2e\CPLD\RAM2E.v" (library work) +@I::"\\Mac\iCloud\Repos\ram2e\CPLD\UFM-LCMXO2.v" (library work) +@I::"\\Mac\iCloud\Repos\ram2e\CPLD\LCMXO2-640HC\REFB.v" (library work) Verilog syntax check successful! - -Compiler output is up to date. No re-compile necessary - Selecting top level module RAM2E @N: CG364 :"C:\lscc\diamond\3.12\synpbase\lib\lucent\machxo2.v":1120:7:1120:9|Synthesizing module VHI in library work. Running optimization stage 1 on VHI ....... -Finished optimization stage 1 on VHI (CPU Time 0h:00m:00s, Memory Used current: 98MB peak: 99MB) +Finished optimization stage 1 on VHI (CPU Time 0h:00m:00s, Memory Used current: 98MB peak: 98MB) @N: CG364 :"C:\lscc\diamond\3.12\synpbase\lib\lucent\machxo2.v":1124:7:1124:9|Synthesizing module VLO in library work. Running optimization stage 1 on VLO ....... -Finished optimization stage 1 on VLO (CPU Time 0h:00m:00s, Memory Used current: 98MB peak: 99MB) +Finished optimization stage 1 on VLO (CPU Time 0h:00m:00s, Memory Used current: 98MB peak: 98MB) @N: CG364 :"C:\lscc\diamond\3.12\synpbase\lib\lucent\machxo2.v":1800:7:1800:9|Synthesizing module EFB in library work. Running optimization stage 1 on EFB ....... -Finished optimization stage 1 on EFB (CPU Time 0h:00m:00s, Memory Used current: 99MB peak: 99MB) -@N: CG364 :"\\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\REFB.v":8:7:8:10|Synthesizing module REFB in library work. +Finished optimization stage 1 on EFB (CPU Time 0h:00m:00s, Memory Used current: 98MB peak: 99MB) +@N: CG364 :"\\Mac\iCloud\Repos\ram2e\CPLD\LCMXO2-640HC\REFB.v":8:7:8:10|Synthesizing module REFB in library work. Running optimization stage 1 on REFB ....... -Finished optimization stage 1 on REFB (CPU Time 0h:00m:00s, Memory Used current: 99MB peak: 99MB) -@N: CG364 :"\\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E-LCMXO2.v":1:7:1:11|Synthesizing module RAM2E in library work. +Finished optimization stage 1 on REFB (CPU Time 0h:00m:00s, Memory Used current: 98MB peak: 99MB) +@N: CG364 :"\\Mac\iCloud\Repos\ram2e\CPLD\UFM-LCMXO2.v":1:7:1:15|Synthesizing module RAM2E_UFM in library work. +Running optimization stage 1 on RAM2E_UFM ....... +Finished optimization stage 1 on RAM2E_UFM (CPU Time 0h:00m:00s, Memory Used current: 100MB peak: 101MB) +@N: CG364 :"\\Mac\iCloud\Repos\ram2e\CPLD\RAM2E.v":1:7:1:11|Synthesizing module RAM2E in library work. Running optimization stage 1 on RAM2E ....... Finished optimization stage 1 on RAM2E (CPU Time 0h:00m:00s, Memory Used current: 101MB peak: 101MB) Running optimization stage 2 on RAM2E ....... -Finished optimization stage 2 on RAM2E (CPU Time 0h:00m:00s, Memory Used current: 101MB peak: 102MB) +@N: CL159 :"\\Mac\iCloud\Repos\ram2e\CPLD\RAM2E.v":11:15:11:19|Input nWE80 is unused. +Finished optimization stage 2 on RAM2E (CPU Time 0h:00m:00s, Memory Used current: 101MB peak: 101MB) +Running optimization stage 2 on RAM2E_UFM ....... +@N: CL159 :"\\Mac\iCloud\Repos\ram2e\CPLD\UFM-LCMXO2.v":10:7:10:11|Input Ready is unused. +Finished optimization stage 2 on RAM2E_UFM (CPU Time 0h:00m:00s, Memory Used current: 101MB peak: 102MB) Running optimization stage 2 on REFB ....... Finished optimization stage 2 on REFB (CPU Time 0h:00m:00s, Memory Used current: 101MB peak: 102MB) Running optimization stage 2 on EFB ....... @@ -90,12 +95,12 @@ Finished optimization stage 2 on VLO (CPU Time 0h:00m:00s, Memory Used current: Running optimization stage 2 on VHI ....... Finished optimization stage 2 on VHI (CPU Time 0h:00m:00s, Memory Used current: 101MB peak: 102MB) -At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 97MB peak: 98MB) +At c_ver Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 102MB peak: 102MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime Process completed successfully. -# Thu Sep 21 05:34:32 2023 +# Thu Dec 28 23:09:45 2023 ###########################################################] ###########################################################[ @@ -117,58 +122,58 @@ Synopsys Synopsys Netlist Linker, Version comp202103synp2, Build 093R, Built Aug @N|Running in 64-bit mode -At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 98MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime - -Process completed successfully. -# Thu Sep 21 05:34:33 2023 - -###########################################################] - -For a summary of runtime and memory usage for all design units, please see file: -========================================================== -@L: A:\\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\impl1\synwork\RAM2E_LCMXO2_640HC_impl1_comp.rt.csv - -@END - -At c_hdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 30MB peak: 31MB) - -Process took 0h:00m:01s realtime, 0h:00m:01s cputime - -Process completed successfully. -# Thu Sep 21 05:34:33 2023 - -###########################################################] -###########################################################[ - -Copyright (C) 1994-2021 Synopsys, Inc. -This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. -and may only be used pursuant to the terms and conditions of a written license agreement -with Synopsys, Inc. All other use, reproduction, modification, or distribution of the -Synopsys software or the associated documentation is strictly prohibited. -Tool: Synplify Pro (R) -Build: R-2021.03L-SP1 -Install: C:\lscc\diamond\3.12\synpbase -OS: Windows 6.2 - -Hostname: ZANEMACWIN11 - -Implementation : impl1 -Synopsys Synopsys Netlist Linker, Version comp202103synp2, Build 093R, Built Aug 10 2021 09:15:36, @ - -@N|Running in 64-bit mode -File \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\impl1\synwork\RAM2E_LCMXO2_640HC_impl1_comp.srs changed - recompiling - At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 99MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime Process completed successfully. -# Thu Sep 21 05:34:34 2023 +# Thu Dec 28 23:09:45 2023 ###########################################################] -# Thu Sep 21 05:34:34 2023 + +For a summary of runtime and memory usage for all design units, please see file: +========================================================== +@L: A:\\Mac\iCloud\Repos\ram2e\CPLD\LCMXO2-640HC\impl1\synwork\RAM2E_LCMXO2_640HC_impl1_comp.rt.csv + +@END + +At c_hdl Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 30MB peak: 31MB) + +Process took 0h:00m:01s realtime, 0h:00m:01s cputime + +Process completed successfully. +# Thu Dec 28 23:09:46 2023 + +###########################################################] +###########################################################[ + +Copyright (C) 1994-2021 Synopsys, Inc. +This Synopsys software and all associated documentation are proprietary to Synopsys, Inc. +and may only be used pursuant to the terms and conditions of a written license agreement +with Synopsys, Inc. All other use, reproduction, modification, or distribution of the +Synopsys software or the associated documentation is strictly prohibited. +Tool: Synplify Pro (R) +Build: R-2021.03L-SP1 +Install: C:\lscc\diamond\3.12\synpbase +OS: Windows 6.2 + +Hostname: ZANEMACWIN11 + +Implementation : impl1 +Synopsys Synopsys Netlist Linker, Version comp202103synp2, Build 093R, Built Aug 10 2021 09:15:36, @ + +@N|Running in 64-bit mode +File \\Mac\iCloud\Repos\ram2e\CPLD\LCMXO2-640HC\impl1\synwork\RAM2E_LCMXO2_640HC_impl1_comp.srs changed - recompiling + +At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 99MB peak: 99MB) + +Process took 0h:00m:01s realtime, 0h:00m:01s cputime + +Process completed successfully. +# Thu Dec 28 23:09:47 2023 + +###########################################################] +# Thu Dec 28 23:09:47 2023 Copyright (C) 1994-2021 Synopsys, Inc. @@ -187,14 +192,14 @@ Implementation : impl1 Synopsys Lattice Technology Pre-mapping, Version map202103lat, Build 070R, Built Oct 6 2021 11:12:38, @ -Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 128MB peak: 128MB) +Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 127MB peak: 127MB) -Done reading skeleton netlist (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 132MB peak: 140MB) +Done reading skeleton netlist (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 131MB peak: 140MB) -Reading constraint file: \\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E.sdc -@L: \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\impl1\RAM2E_LCMXO2_640HC_impl1_scck.rpt -See clock summary report "\\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\impl1\RAM2E_LCMXO2_640HC_impl1_scck.rpt" +Reading constraint file: \\Mac\iCloud\Repos\ram2e\CPLD\RAM2E.sdc +@L: \\Mac\iCloud\Repos\ram2e\CPLD\LCMXO2-640HC\impl1\RAM2E_LCMXO2_640HC_impl1_scck.rpt +See clock summary report "\\Mac\iCloud\Repos\ram2e\CPLD\LCMXO2-640HC\impl1\RAM2E_LCMXO2_640HC_impl1_scck.rpt" @N: MF916 |Option synthesis_strategy=base is enabled. @N: MF248 |Running in 64-bit mode. @N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) @@ -208,33 +213,32 @@ Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0 Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 149MB peak: 149MB) -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 150MB peak: 151MB) +Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 150MB peak: 152MB) -@N: FX493 |Applying initial value "0" on instance PHI1reg. -@W: FX474 |User-specified initial value defined for some sequential elements which can prevent optimum synthesis results from being achieved. -@N: FX493 |Applying initial value "0" on instance DOEEN. -@N: FX493 |Applying initial value "0" on instance RWSel. -@N: FX493 |Applying initial value "00000000" on instance RWBank[7:0]. -@N: FX493 |Applying initial value "1" on instance DQMH. -@N: FX493 |Applying initial value "0" on instance Ready. @N: FX493 |Applying initial value "0" on instance CmdBitbangMXO2. +@W: FX474 |User-specified initial value defined for some sequential elements which can prevent optimum synthesis results from being achieved. @N: FX493 |Applying initial value "0" on instance CmdExecMXO2. +@N: FX493 |Applying initial value "0" on instance PHI1r. +@N: FX493 |Applying initial value "0" on instance RWSel. +@N: FX493 |Applying initial value "0" on instance Ready. +@N: FX493 |Applying initial value "00000000" on instance RWBank[7:0]. @N: FX493 |Applying initial value "0" on instance CmdLEDGet. @N: FX493 |Applying initial value "0" on instance CmdLEDSet. @N: FX493 |Applying initial value "0" on instance CmdRWMaskSet. @N: FX493 |Applying initial value "0" on instance CmdSetRWBankFFLED. -@N: FX493 |Applying initial value "0" on instance CmdSetRWBankFFMXO2. -@A: FX681 :"\\mac\icloud\repos\ram2e\cpld\ram2e-lcmxo2.v":375:4:375:9|Initial value on register nRWE is non-zero which can prevent the register from being packed into a block RAM or DSP. -@N: FX493 |Applying initial value "1" on instance nRWE. -@N: FX493 |Applying initial value "0" on instance LEDEN. -@N: FX493 |Applying initial value "00000000" on instance RWMask[7:0]. -@A: FX681 :"\\mac\icloud\repos\ram2e\cpld\ram2e-lcmxo2.v":102:4:102:9|Initial value on register S[3:0] is non-zero which can prevent the register from being packed into a block RAM or DSP. -@N: FX493 |Applying initial value "0000" on instance S[3:0]. +@A: FX681 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":163:4:163:9|Initial value on register DQMH is non-zero which can prevent the register from being packed into a block RAM or DSP. +@N: FX493 |Applying initial value "1" on instance DQMH. +@A: FX681 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":163:4:163:9|Initial value on register DQML is non-zero which can prevent the register from being packed into a block RAM or DSP. @N: FX493 |Applying initial value "1" on instance DQML. -@N: FX493 |Applying initial value "0" on instance CKE. -@N: FX493 |Applying initial value "1" on instance nCS. -@N: FX493 |Applying initial value "1" on instance nRAS. +@A: FX681 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":21:4:21:9|Initial value on register S[3:0] is non-zero which can prevent the register from being packed into a block RAM or DSP. +@N: FX493 |Applying initial value "0000" on instance S[3:0]. +@N: FX493 |Applying initial value "1" on instance CKE. +@N: FX493 |Applying initial value "1" on instance nRWE. +@N: FX493 |Applying initial value "1" on instance nRWEout. @N: FX493 |Applying initial value "1" on instance nCAS. +@N: FX493 |Applying initial value "1" on instance nCASout. +@N: FX493 |Applying initial value "1" on instance nRAS. +@N: FX493 |Applying initial value "1" on instance nRASout. Starting clock optimization phase (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 181MB) @@ -245,11 +249,11 @@ Finished clock optimization phase (Real Time elapsed 0h:00m:01s; CPU Time elapse Starting clock optimization report phase (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 182MB peak: 182MB) -Finished clock optimization report phase (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 182MB peak: 182MB) +Finished clock optimization report phase (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 182MB peak: 182MB) @N: FX1184 |Applying syn_allowed_resources blockrams=2 on top level netlist RAM2E -Finished netlist restructuring (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 182MB peak: 182MB) +Finished netlist restructuring (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 182MB peak: 182MB) @@ -259,7 +263,7 @@ Clock Summary Start Requested Requested Clock Clock Clock Level Clock Frequency Period Type Group Load ---------------------------------------------------------------------------------------- -0 - C14M 14.3 MHz 69.841 declared default_clkgroup 111 +0 - C14M 14.3 MHz 69.841 declared default_clkgroup 122 0 - System 100.0 MHz 10.000 system system_clkgroup 0 ======================================================================================== @@ -272,7 +276,7 @@ Clock Load Summary Clock Source Clock Pin Non-clock Pin Non-clock Pin Clock Load Pin Seq Example Seq Example Comb Example ---------------------------------------------------------------------------------------- -C14M 111 C14M(port) wb_rst.C - un1_C14M.I[0](inv) +C14M 122 C14M(port) DOEEN.C - un1_C14M.I[0](inv) System 0 - - - - ======================================================================================== @@ -289,14 +293,14 @@ For details review file gcc_ICG_report.rpt #### START OF PREMAP CLOCK OPTIMIZATION REPORT #####[ -1 non-gated/non-generated clock tree(s) driving 111 clock pin(s) of sequential element(s) +1 non-gated/non-generated clock tree(s) driving 122 clock pin(s) of sequential element(s) 0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s) 0 instances converted, 0 sequential instances remain driven by gated/generated clocks =========================== Non-Gated/Non-Generated Clocks ============================ Clock Tree ID Driving Element Drive Element Type Fanout Sample Instance --------------------------------------------------------------------------------------- -@KP:ckid0_0 C14M port 111 nCAS +@KP:ckid0_0 C14M port 122 nRAS ======================================================================================= @@ -305,23 +309,23 @@ Clock Tree ID Driving Element Drive Element Type Fanout Sample I @N: FX1143 |Skipping assigning INTERNAL_VREF to iobanks, because the table of mapping from pin to iobank is not initialized. Finished Pre Mapping Phase. -Starting constraint checker (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:01s; Memory used current: 182MB peak: 182MB) +Starting constraint checker (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 183MB peak: 183MB) -Finished constraint checker preprocessing (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:01s; Memory used current: 183MB peak: 183MB) +Finished constraint checker preprocessing (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 183MB peak: 183MB) -Finished constraint checker (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:01s; Memory used current: 183MB peak: 183MB) +Finished constraint checker (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 184MB peak: 184MB) Pre-mapping successful! -At Mapper Exit (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:01s; Memory used current: 99MB peak: 185MB) +At Mapper Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 99MB peak: 185MB) -Process took 0h:00m:02s realtime, 0h:00m:01s cputime -# Thu Sep 21 05:34:37 2023 +Process took 0h:00m:01s realtime, 0h:00m:01s cputime +# Thu Dec 28 23:09:49 2023 ###########################################################] -# Thu Sep 21 05:34:37 2023 +# Thu Dec 28 23:09:49 2023 Copyright (C) 1994-2021 Synopsys, Inc. @@ -340,97 +344,97 @@ Implementation : impl1 Synopsys Lattice Technology Mapper, Version map202103lat, Build 070R, Built Oct 6 2021 11:12:38, @ -Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 128MB peak: 128MB) +Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 127MB peak: 127MB) @N: MF916 |Option synthesis_strategy=base is enabled. @N: MF248 |Running in 64-bit mode. @N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.) -Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 129MB peak: 140MB) +Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 128MB peak: 139MB) -Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 129MB peak: 140MB) +Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 128MB peak: 139MB) Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 143MB peak: 143MB) -Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 147MB) +Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 144MB peak: 146MB) -Starting Optimization and Mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 179MB peak: 179MB) +Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 178MB peak: 178MB) -@W: FA239 :"\\mac\icloud\repos\ram2e\cpld\ram2e-lcmxo2.v":376:8:376:9|ROM DOEEN_2 (in view: work.RAM2E(verilog)) mapped in logic. To map to a technology ROM, apply attribute syn_romstyle on this instance. -@N: MO106 :"\\mac\icloud\repos\ram2e\cpld\ram2e-lcmxo2.v":376:8:376:9|Found ROM DOEEN_2 (in view: work.RAM2E(verilog)) with 16 words by 1 bit. -Finished RTL optimizations (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 183MB peak: 183MB) +Finished RTL optimizations (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 183MB peak: 183MB) -@N: MO231 :"\\mac\icloud\repos\ram2e\cpld\ram2e-lcmxo2.v":102:4:102:9|Found counter in view:work.RAM2E(verilog) instance FS[15:0] +@N: MO231 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":14:23:14:28|Found counter in view:work.RAM2E(verilog) instance FS[15:0] @W: FX474 |User-specified initial value defined for some sequential elements which can prevent optimum synthesis results from being achieved. Starting factoring (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 186MB peak: 186MB) -Finished factoring (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 188MB peak: 188MB) +Finished factoring (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 190MB peak: 190MB) Available hyper_sources - for debug and ip models None Found -Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 189MB peak: 189MB) +Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 190MB peak: 190MB) -Starting Early Timing Optimization (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 189MB peak: 189MB) +Starting Early Timing Optimization (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 190MB peak: 190MB) -Finished Early Timing Optimization (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:01s; Memory used current: 189MB peak: 189MB) +Finished Early Timing Optimization (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 190MB peak: 190MB) -Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:01s; Memory used current: 189MB peak: 189MB) +Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 190MB peak: 191MB) -Finished preparing to map (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:01s; Memory used current: 189MB peak: 189MB) +Finished preparing to map (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:01s; Memory used current: 191MB peak: 191MB) -Finished technology mapping (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 205MB peak: 205MB) +Finished technology mapping (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 211MB peak: 211MB) Pass CPU time Worst Slack Luts / Registers ------------------------------------------------------------ - 1 0h:00m:02s 29.35ns 222 / 111 + 1 0h:00m:02s 33.71ns 284 / 122 -Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 205MB peak: 205MB) +Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 211MB peak: 211MB) @N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute. +@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":163:4:163:9|Boundary register BA_1_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell. +@A: BN291 :"\\mac\icloud\repos\ram2e\cpld\ram2e.v":163:4:163:9|Boundary register BA_0_.fb (in view: work.RAM2E(verilog)) is packed into a complex cell. To disable register packing, set syn_keep=1 on the net between the register and the complex cell. -Finished restoring hierarchy (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 206MB peak: 206MB) +Finished restoring hierarchy (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 212MB peak: 212MB) -Start Writing Netlists (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 168MB peak: 206MB) +Start Writing Netlists (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 174MB peak: 212MB) -Writing Analyst data base \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\impl1\synwork\RAM2E_LCMXO2_640HC_impl1_m.srm +Writing Analyst data base \\Mac\iCloud\Repos\ram2e\CPLD\LCMXO2-640HC\impl1\synwork\RAM2E_LCMXO2_640HC_impl1_m.srm -Finished Writing Netlist Databases (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:03s; Memory used current: 206MB peak: 206MB) +Finished Writing Netlist Databases (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 212MB peak: 212MB) Writing EDIF Netlist and constraint files -@N: FX1056 |Writing EDF file: \\Mac\iCloud\Repos\RAM2E\CPLD\LCMXO2-640HC\impl1\RAM2E_LCMXO2_640HC_impl1.edi +@N: FX1056 |Writing EDF file: \\Mac\iCloud\Repos\ram2e\CPLD\LCMXO2-640HC\impl1\RAM2E_LCMXO2_640HC_impl1.edi @N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF -Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:04s; Memory used current: 211MB peak: 211MB) +Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:03s; Memory used current: 217MB peak: 217MB) -Finished Writing Netlists (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:04s; Memory used current: 211MB peak: 211MB) +Finished Writing Netlists (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:03s; Memory used current: 217MB peak: 217MB) -Start final timing analysis (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:04s; Memory used current: 209MB peak: 211MB) +Start final timing analysis (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:04s; Memory used current: 215MB peak: 217MB) @W: MT246 :"\\mac\icloud\repos\ram2e\cpld\lcmxo2-640hc\refb.v":78:8:78:16|Blackbox EFB is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results) @N: MT615 |Found clock C14M with period 69.84ns ##### START OF TIMING REPORT #####[ -# Timing report written on Thu Sep 21 05:34:43 2023 +# Timing report written on Thu Dec 28 23:09:54 2023 # @@ -438,7 +442,7 @@ Top view: RAM2E Requested Frequency: 14.3 MHz Wire load mode: top Paths requested: 5 -Constraint File(s): \\Mac\iCloud\Repos\RAM2E\CPLD\RAM2E.sdc +Constraint File(s): \\Mac\iCloud\Repos\ram2e\CPLD\RAM2E.sdc @N: MT320 |This timing report is an estimate of place and route data. For final timing results, use the FPGA vendor place and route report. @@ -450,12 +454,12 @@ Performance Summary ******************* -Worst slack in design: 31.782 +Worst slack in design: 33.707 Requested Estimated Requested Estimated Clock Clock Starting Clock Frequency Frequency Period Period Slack Type Group ------------------------------------------------------------------------------------------------------------------- -C14M 14.3 MHz 131.4 MHz 69.841 7.610 31.782 declared default_clkgroup +C14M 14.3 MHz 128.0 MHz 69.841 7.813 33.707 declared default_clkgroup System 100.0 MHz NA 10.000 NA 67.088 system system_clkgroup =================================================================================================================== Estimated period and frequency reported as NA means no slack depends directly on the clock waveform @@ -473,7 +477,7 @@ Starting Ending | constraint slack | constraint slack | constraint sl ---------------------------------------------------------------------------------------------------------- System C14M | 69.841 67.088 | No paths - | No paths - | No paths - C14M System | 69.841 68.797 | No paths - | No paths - | No paths - -C14M C14M | 69.841 62.231 | No paths - | 34.920 31.782 | No paths - +C14M C14M | 69.841 62.028 | No paths - | 34.920 33.707 | No paths - ========================================================================================================== Note: 'No paths' indicates there are no paths in the design for that pair of clock edges. 'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups. @@ -496,41 +500,41 @@ Detailed Report for Clock: C14M Starting Points with Worst Slack ******************************** - Starting Arrival -Instance Reference Type Pin Net Time Slack - Clock ----------------------------------------------------------------------------- -S[2] C14M FD1S3AX Q S[2] 1.350 31.782 -S[3] C14M FD1S3AX Q S[3] 1.350 31.782 -S[0] C14M FD1S3AX Q S[0] 1.312 31.820 -S[1] C14M FD1S3AX Q S[1] 1.280 31.852 -FS[9] C14M FD1S3AX Q FS[9] 1.284 62.425 -FS[11] C14M FD1S3AX Q FS[11] 1.276 62.433 -FS[8] C14M FD1S3AX Q FS[8] 1.260 62.449 -FS[12] C14M FD1S3AX Q FS[12] 1.288 62.525 -FS[10] C14M FD1S3AX Q FS[10] 1.280 62.533 -RWSel C14M FD1P3AX Q RWSel 1.276 63.482 -============================================================================ + Starting Arrival +Instance Reference Type Pin Net Time Slack + Clock +--------------------------------------------------------------------------- +RA[0] C14M FD1P3AX Q RA[0] 1.108 33.707 +RA[3] C14M FD1P3AX Q RA[3] 1.108 33.707 +RA[1] C14M FD1P3AX Q RA[1] 1.044 33.771 +RA[2] C14M FD1P3AX Q RA[2] 1.044 33.771 +RA[4] C14M FD1P3AX Q RA[4] 1.044 33.771 +RA[5] C14M FD1P3AX Q RA[5] 1.044 33.771 +RA[6] C14M FD1P3AX Q RA[6] 1.044 33.771 +RA[7] C14M FD1P3AX Q RA[7] 1.044 33.771 +RA[8] C14M FD1P3AX Q RA[8] 1.044 33.771 +RA[9] C14M FD1P3AX Q RA[9] 1.044 33.771 +=========================================================================== Ending Points with Worst Slack ****************************** - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ----------------------------------------------------------------------------------- -Dout_0io[0] C14M OFS1P3DX SP N_576_i 34.449 31.782 -Dout_0io[1] C14M OFS1P3DX SP N_576_i 34.449 31.782 -Dout_0io[2] C14M OFS1P3DX SP N_576_i 34.449 31.782 -Dout_0io[3] C14M OFS1P3DX SP N_576_i 34.449 31.782 -Dout_0io[4] C14M OFS1P3DX SP N_576_i 34.449 31.782 -Dout_0io[5] C14M OFS1P3DX SP N_576_i 34.449 31.782 -Dout_0io[6] C14M OFS1P3DX SP N_576_i 34.449 31.782 -Dout_0io[7] C14M OFS1P3DX SP N_576_i 34.449 31.782 -Vout_0io[0] C14M OFS1P3DX SP Vout3 34.449 31.826 -Vout_0io[1] C14M OFS1P3DX SP Vout3 34.449 31.826 -================================================================================== + Starting Required +Instance Reference Type Pin Net Time Slack + Clock +--------------------------------------------------------------------------------- +RAout_0io[0] C14M OFS1P3DX D RA[0] 34.815 33.707 +RAout_0io[3] C14M OFS1P3DX D RA[3] 34.815 33.707 +RAout_0io[1] C14M OFS1P3DX D RA[1] 34.815 33.771 +RAout_0io[2] C14M OFS1P3DX D RA[2] 34.815 33.771 +RAout_0io[4] C14M OFS1P3DX D RA[4] 34.815 33.771 +RAout_0io[5] C14M OFS1P3DX D RA[5] 34.815 33.771 +RAout_0io[6] C14M OFS1P3DX D RA[6] 34.815 33.771 +RAout_0io[7] C14M OFS1P3DX D RA[7] 34.815 33.771 +RAout_0io[8] C14M OFS1P3DX D RA[8] 34.815 33.771 +RAout_0io[9] C14M OFS1P3DX D RA[9] 34.815 33.771 +================================================================================= @@ -540,30 +544,27 @@ Worst Path Information Path information for path number 1: Requested Period: 34.920 - - Setup time: 0.472 + - Setup time: 0.106 + Clock delay at ending point: 0.000 (ideal) - = Required time: 34.449 + = Required time: 34.815 - - Propagation time: 2.667 + - Propagation time: 1.108 - Clock delay at starting point: 0.000 (ideal) - = Slack (critical) : 31.782 + = Slack (critical) : 33.707 - Number of logic level(s): 1 - Starting point: S[2] / Q - Ending point: Dout_0io[0] / SP + Number of logic level(s): 0 + Starting point: RA[0] / Q + Ending point: RAout_0io[0] / D The start point is clocked by C14M [rising] (rise=0.000 fall=34.920 period=69.841) on pin CK The end point is clocked by C14M [falling] (rise=0.000 fall=34.920 period=69.841) on pin SCLK -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) ----------------------------------------------------------------------------------- -S[2] FD1S3AX Q Out 1.350 1.350 r - -S[2] Net - - - - 48 -S_RNII9DO1_2[1] ORCALUT4 B In 0.000 1.350 r - -S_RNII9DO1_2[1] ORCALUT4 Z Out 1.317 2.667 r - -N_576_i Net - - - - 18 -Dout_0io[0] OFS1P3DX SP In 0.000 2.667 r - -================================================================================== +Instance / Net Pin Pin Arrival No. of +Name Type Name Dir Delay Time Fan Out(s) +--------------------------------------------------------------------------------- +RA[0] FD1P3AX Q Out 1.108 1.108 r - +RA[0] Net - - - - 3 +RAout_0io[0] OFS1P3DX D In 0.000 1.108 r - +================================================================================= @@ -577,40 +578,40 @@ Detailed Report for Clock: System Starting Points with Worst Slack ******************************** - Starting Arrival -Instance Reference Type Pin Net Time Slack - Clock ------------------------------------------------------------------------------------------ -ufmefb.EFBInst_0 System EFB WBACKO wb_ack 0.000 67.088 -ufmefb.EFBInst_0 System EFB WBDATO0 wb_dato[0] 0.000 69.313 -ufmefb.EFBInst_0 System EFB WBDATO1 wb_dato[1] 0.000 69.313 -ufmefb.EFBInst_0 System EFB WBDATO2 wb_dato[2] 0.000 69.313 -ufmefb.EFBInst_0 System EFB WBDATO3 wb_dato[3] 0.000 69.313 -ufmefb.EFBInst_0 System EFB WBDATO4 wb_dato[4] 0.000 69.313 -ufmefb.EFBInst_0 System EFB WBDATO5 wb_dato[5] 0.000 69.313 -ufmefb.EFBInst_0 System EFB WBDATO6 wb_dato[6] 0.000 69.313 -ufmefb.EFBInst_0 System EFB WBDATO7 wb_dato[7] 0.000 69.313 -========================================================================================= + Starting Arrival +Instance Reference Type Pin Net Time Slack + Clock +--------------------------------------------------------------------------------------------------- +ram2e_ufm.ufmefb.EFBInst_0 System EFB WBACKO wb_ack 0.000 67.088 +ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO0 wb_dato[0] 0.000 69.313 +ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO1 wb_dato[1] 0.000 69.313 +ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO2 wb_dato[2] 0.000 69.313 +ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO3 wb_dato[3] 0.000 69.313 +ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO4 wb_dato[4] 0.000 69.313 +ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO5 wb_dato[5] 0.000 69.313 +ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO6 wb_dato[6] 0.000 69.313 +ram2e_ufm.ufmefb.EFBInst_0 System EFB WBDATO7 wb_dato[7] 0.000 69.313 +=================================================================================================== Ending Points with Worst Slack ****************************** - Starting Required -Instance Reference Type Pin Net Time Slack - Clock ----------------------------------------------------------------------------------------------------- -RWMask[0] System FD1P3AX SP N_88 69.369 67.088 -RWMask[1] System FD1P3AX SP N_88 69.369 67.088 -RWMask[2] System FD1P3AX SP N_88 69.369 67.088 -RWMask[3] System FD1P3AX SP N_88 69.369 67.088 -RWMask[4] System FD1P3AX SP N_88 69.369 67.088 -RWMask[5] System FD1P3AX SP N_88 69.369 67.088 -RWMask[6] System FD1P3AX SP N_88 69.369 67.088 -RWMask[7] System FD1P3AX SP N_88 69.369 67.088 -LEDEN System FD1P3AX SP un1_LEDEN_0_sqmuxa_1_i_0[0] 69.369 67.736 -wb_cyc_stb System FD1P3AX SP N_104 69.369 67.736 -==================================================================================================== + Starting Required +Instance Reference Type Pin Net Time Slack + Clock +---------------------------------------------------------------------------------------------------------------------- +ram2e_ufm.RWMask[0] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0_0[0] 69.369 67.088 +ram2e_ufm.RWMask[1] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0_0[0] 69.369 67.088 +ram2e_ufm.RWMask[2] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0_0[0] 69.369 67.088 +ram2e_ufm.RWMask[3] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0_0[0] 69.369 67.088 +ram2e_ufm.RWMask[4] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0_0[0] 69.369 67.088 +ram2e_ufm.RWMask[5] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0_0[0] 69.369 67.088 +ram2e_ufm.RWMask[6] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0_0[0] 69.369 67.088 +ram2e_ufm.RWMask[7] System FD1P3AX SP un1_RWMask_0_sqmuxa_1_i_0_0[0] 69.369 67.088 +ram2e_ufm.LEDEN System FD1P3AX SP un1_LEDEN_0_sqmuxa_1_i_0_0[0] 69.369 67.736 +ram2e_ufm.wb_cyc_stb System FD1P3AX SP un1_CmdSetRWBankFFChip13_1_i_0_0[0] 69.369 67.736 +====================================================================================================================== @@ -630,24 +631,24 @@ Path information for path number 1: = Slack (non-critical) : 67.088 Number of logic level(s): 2 - Starting point: ufmefb.EFBInst_0 / WBACKO - Ending point: RWMask[0] / SP + Starting point: ram2e_ufm.ufmefb.EFBInst_0 / WBACKO + Ending point: ram2e_ufm.RWMask[0] / SP The start point is clocked by System [rising] The end point is clocked by C14M [rising] (rise=0.000 fall=34.920 period=69.841) on pin CK -Instance / Net Pin Pin Arrival No. of -Name Type Name Dir Delay Time Fan Out(s) ------------------------------------------------------------------------------------------------------- -ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 r - -wb_ack Net - - - - 5 -un1_RWMask_0_sqmuxa_1_i_0_RNO[0] ORCALUT4 A In 0.000 0.000 r - -un1_RWMask_0_sqmuxa_1_i_0_RNO[0] ORCALUT4 Z Out 1.017 1.017 r - -un1_RWMask_0_sqmuxa_1_i_a2_0_1[0] Net - - - - 1 -un1_RWMask_0_sqmuxa_1_i_0[0] ORCALUT4 D In 0.000 1.017 r - -un1_RWMask_0_sqmuxa_1_i_0[0] ORCALUT4 Z Out 1.265 2.282 r - -N_88 Net - - - - 8 -RWMask[0] FD1P3AX SP In 0.000 2.282 r - -====================================================================================================== +Instance / Net Pin Pin Arrival No. of +Name Type Name Dir Delay Time Fan Out(s) +------------------------------------------------------------------------------------------------------------------ +ram2e_ufm.ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 r - +wb_ack Net - - - - 5 +ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0_a3_0_0[0] ORCALUT4 B In 0.000 0.000 r - +ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0_a3_0_0[0] ORCALUT4 Z Out 1.017 1.017 r - +un1_RWMask_0_sqmuxa_1_i_0_a3_0_0[0] Net - - - - 1 +ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0_0[0] ORCALUT4 D In 0.000 1.017 r - +ram2e_ufm.un1_RWMask_0_sqmuxa_1_i_0_0[0] ORCALUT4 Z Out 1.265 2.282 r - +un1_RWMask_0_sqmuxa_1_i_0_0[0] Net - - - - 8 +ram2e_ufm.RWMask[0] FD1P3AX SP In 0.000 2.282 r - +================================================================================================================== @@ -655,46 +656,48 @@ RWMask[0] FD1P3AX SP In 0.000 Timing exceptions that could not be applied -Finished final timing analysis (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:04s; Memory used current: 210MB peak: 211MB) +Finished final timing analysis (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:04s; Memory used current: 216MB peak: 217MB) -Finished timing report (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:04s; Memory used current: 210MB peak: 211MB) +Finished timing report (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:04s; Memory used current: 216MB peak: 217MB) --------------------------------------- Resource Usage Report Part: lcmxo2_640hc-4 -Register bits: 111 of 640 (17%) +Register bits: 122 of 640 (19%) PIC Latch: 0 -I/O cells: 70 +I/O cells: 69 Details: BB: 8 CCU2D: 9 EFB: 1 -FD1P3AX: 48 +FD1P3AX: 61 FD1P3IX: 1 -FD1S3AX: 22 -FD1S3IX: 4 +FD1S3AX: 21 +FD1S3AY: 4 +FD1S3IX: 6 GSR: 1 -IB: 22 +IB: 21 IFS1P3DX: 1 INV: 1 OB: 40 -OFS1P3BX: 6 -OFS1P3DX: 27 +OFS1P3BX: 5 +OFS1P3DX: 21 OFS1P3IX: 2 -ORCALUT4: 221 +ORCALUT4: 277 +PFUMX: 3 PUR: 1 -VHI: 2 -VLO: 2 +VHI: 3 +VLO: 3 Mapper successful! -At Mapper Exit (Real Time elapsed 0h:00m:06s; CPU Time elapsed 0h:00m:04s; Memory used current: 77MB peak: 211MB) +At Mapper Exit (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:04s; Memory used current: 79MB peak: 217MB) -Process took 0h:00m:06s realtime, 0h:00m:04s cputime -# Thu Sep 21 05:34:44 2023 +Process took 0h:00m:04s realtime, 0h:00m:04s cputime +# Thu Dec 28 23:09:54 2023 ###########################################################] diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_tw1.html b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_tw1.html index 266503b..323a4c3 100644 --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_tw1.html +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_tw1.html @@ -22,7 +22,7 @@ Setup and Hold Report -------------------------------------------------------------------------------- Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.1.454 -Thu Sep 21 05:34:48 2023 +Thu Dec 28 23:09:59 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -32,7 +32,7 @@ Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. Report Information ------------------ -Command line: trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2E_LCMXO2_640HC_impl1.tw1 -gui -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/promote.xml RAM2E_LCMXO2_640HC_impl1_map.ncd RAM2E_LCMXO2_640HC_impl1.prf +Command line: trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2E_LCMXO2_640HC_impl1.tw1 -gui -msgset //Mac/iCloud/Repos/ram2e/CPLD/LCMXO2-640HC/promote.xml RAM2E_LCMXO2_640HC_impl1_map.ncd RAM2E_LCMXO2_640HC_impl1.prf Design file: ram2e_lcmxo2_640hc_impl1_map.ncd Preference file: ram2e_lcmxo2_640hc_impl1.prf Device,speed: LCMXO2-640HC,4 @@ -41,8 +41,8 @@ Report level: verbose report, limited to 1 item per preference Preference Summary -
  • FREQUENCY PORT "C14M" 14.300000 MHz (0 errors)
  • 1491 items scored, 0 timing errors detected. -Report: 87.268MHz is the maximum frequency for this preference. +
  • FREQUENCY PORT "C14M" 14.300000 MHz (0 errors)
  • 1611 items scored, 0 timing errors detected. +Report: 90.967MHz is the maximum frequency for this preference. BLOCK ASYNCPATHS BLOCK RESETPATHS @@ -52,48 +52,48 @@ BLOCK RESETPATHS ================================================================================ Preference: FREQUENCY PORT "C14M" 14.300000 MHz ; - 1491 items scored, 0 timing errors detected. + 1611 items scored, 0 timing errors detected. -------------------------------------------------------------------------------- -Passed: The following path meets requirements by 58.471ns +Passed: The following path meets requirements by 58.937ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q FS[11] (from C14M_c +) - Destination: FF Data in nRWE_0io (to C14M_c +) + Source: FF Q S[2] (from C14M_c +) + Destination: FF Data in ram2e_ufm/wb_adr[0] (to C14M_c +) - Delay: 11.306ns (30.3% logic, 69.7% route), 7 logic levels. + Delay: 10.827ns (31.6% logic, 68.4% route), 7 logic levels. Constraint Details: - 11.306ns physical path delay SLICE_3 to nRWE_MGIOL meets + 10.827ns physical path delay SLICE_34 to ram2e_ufm/SLICE_47 meets 69.930ns delay constraint less - 0.153ns DO_SET requirement (totaling 69.777ns) by 58.471ns + 0.166ns DIN_SET requirement (totaling 69.764ns) by 58.937ns Physical Path Details: - Data path SLICE_3 to nRWE_MGIOL: + Data path SLICE_34 to ram2e_ufm/SLICE_47: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 SLICE_3.CLK to SLICE_3.Q0 SLICE_3 (from C14M_c) -ROUTE 19 e 1.234 SLICE_3.Q0 to SLICE_64.A1 FS[11] -CTOF_DEL --- 0.495 SLICE_64.A1 to SLICE_64.F1 SLICE_64 -ROUTE 4 e 1.234 SLICE_64.F1 to SLICE_97.D0 N_577 -CTOF_DEL --- 0.495 SLICE_97.D0 to SLICE_97.F0 SLICE_97 -ROUTE 3 e 1.234 SLICE_97.F0 to SLICE_75.D1 N_489 -CTOF_DEL --- 0.495 SLICE_75.D1 to SLICE_75.F1 SLICE_75 -ROUTE 3 e 0.480 SLICE_75.F1 to SLICE_75.A0 N_628 -CTOF_DEL --- 0.495 SLICE_75.A0 to SLICE_75.F0 SLICE_75 -ROUTE 2 e 1.234 SLICE_75.F0 to SLICE_71.C1 N_640 -CTOF_DEL --- 0.495 SLICE_71.C1 to SLICE_71.F1 SLICE_71 -ROUTE 1 e 1.234 SLICE_71.F1 to SLICE_115.A0 un1_nCS61_1_i -CTOF_DEL --- 0.495 SLICE_115.A0 to SLICE_115.F0 SLICE_115 -ROUTE 1 e 1.234 SLICE_115.F0 to *WE_MGIOL.OPOS nRWE_r_0 (to C14M_c) +REG_DEL --- 0.452 SLICE_34.CLK to SLICE_34.Q0 SLICE_34 (from C14M_c) +ROUTE 50 e 1.234 SLICE_34.Q0 to SLICE_35.A0 S[2] +CTOF_DEL --- 0.495 SLICE_35.A0 to SLICE_35.F0 SLICE_35 +ROUTE 7 e 1.234 SLICE_35.F0 to *m/SLICE_80.D1 N_551 +CTOF_DEL --- 0.495 *m/SLICE_80.D1 to *m/SLICE_80.F1 ram2e_ufm/SLICE_80 +ROUTE 8 e 1.234 *m/SLICE_80.F1 to *m/SLICE_98.B1 ram2e_ufm/N_777 +CTOF_DEL --- 0.495 *m/SLICE_98.B1 to *m/SLICE_98.F1 ram2e_ufm/SLICE_98 +ROUTE 5 e 1.234 *m/SLICE_98.F1 to *m/SLICE_99.C0 ram2e_ufm/N_781 +CTOF_DEL --- 0.495 *m/SLICE_99.C0 to *m/SLICE_99.F0 ram2e_ufm/SLICE_99 +ROUTE 1 e 1.234 *m/SLICE_99.F0 to *m/SLICE_86.C0 ram2e_ufm/wb_adr_7_i_i_1[0] +CTOF_DEL --- 0.495 *m/SLICE_86.C0 to *m/SLICE_86.F0 ram2e_ufm/SLICE_86 +ROUTE 1 e 1.234 *m/SLICE_86.F0 to *m/SLICE_47.C0 ram2e_ufm/wb_adr_7_i_i_4[0] +CTOF_DEL --- 0.495 *m/SLICE_47.C0 to *m/SLICE_47.F0 ram2e_ufm/SLICE_47 +ROUTE 1 e 0.001 *m/SLICE_47.F0 to */SLICE_47.DI0 ram2e_ufm/wb_adr_7_i_i[0] (to C14M_c) -------- - 11.306 (30.3% logic, 69.7% route), 7 logic levels. + 10.827 (31.6% logic, 68.4% route), 7 logic levels. -Report: 87.268MHz is the maximum frequency for this preference. +Report: 90.967MHz is the maximum frequency for this preference. Report Summary -------------- @@ -101,7 +101,7 @@ Report: 87.268MHz is the maximum frequency for this preference. Preference | Constraint| Actual|Levels ---------------------------------------------------------------------------- | | | -FREQUENCY PORT "C14M" 14.300000 MHz ; | 14.300 MHz| 87.268 MHz| 7 +FREQUENCY PORT "C14M" 14.300000 MHz ; | 14.300 MHz| 90.967 MHz| 7 | | | ---------------------------------------------------------------------------- @@ -114,7 +114,7 @@ All preferences were met. Found 1 clocks: -Clock Domain: C14M_c Source: C14M.PAD Loads: 84 +Clock Domain: C14M_c Source: C14M.PAD Loads: 89 Covered under: FREQUENCY PORT "C14M" 14.300000 MHz ; @@ -124,11 +124,11 @@ Clock Domain: C14M_c Source: C14M.PAD Loads: 84 Timing errors: 0 Score: 0 Cumulative negative slack: 0 -Constraints cover 1491 paths, 1 nets, and 933 connections (82.86% coverage) +Constraints cover 1611 paths, 1 nets, and 1095 connections (82.33% coverage) -------------------------------------------------------------------------------- Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.1.454 -Thu Sep 21 05:34:48 2023 +Thu Dec 28 23:09:59 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -138,7 +138,7 @@ Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. Report Information ------------------ -Command line: trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2E_LCMXO2_640HC_impl1.tw1 -gui -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/promote.xml RAM2E_LCMXO2_640HC_impl1_map.ncd RAM2E_LCMXO2_640HC_impl1.prf +Command line: trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2E_LCMXO2_640HC_impl1.tw1 -gui -msgset //Mac/iCloud/Repos/ram2e/CPLD/LCMXO2-640HC/promote.xml RAM2E_LCMXO2_640HC_impl1_map.ncd RAM2E_LCMXO2_640HC_impl1.prf Design file: ram2e_lcmxo2_640hc_impl1_map.ncd Preference file: ram2e_lcmxo2_640hc_impl1.prf Device,speed: LCMXO2-640HC,M @@ -147,7 +147,7 @@ Report level: verbose report, limited to 1 item per preference Preference Summary -
  • FREQUENCY PORT "C14M" 14.300000 MHz (0 errors)
  • 1491 items scored, 0 timing errors detected. +
  • FREQUENCY PORT "C14M" 14.300000 MHz (0 errors)
  • 1611 items scored, 0 timing errors detected. BLOCK ASYNCPATHS BLOCK RESETPATHS @@ -157,7 +157,7 @@ BLOCK RESETPATHS ================================================================================ Preference: FREQUENCY PORT "C14M" 14.300000 MHz ; - 1491 items scored, 0 timing errors detected. + 1611 items scored, 0 timing errors detected. -------------------------------------------------------------------------------- @@ -182,7 +182,7 @@ Passed: The following path meets requirements by 0.447ns Name Fanout Delay (ns) Site Resource REG_DEL --- 0.133 SLICE_0.CLK to SLICE_0.Q1 SLICE_0 (from C14M_c) -ROUTE 5 e 0.199 SLICE_0.Q1 to SLICE_0.A1 FS[0] +ROUTE 6 e 0.199 SLICE_0.Q1 to SLICE_0.A1 FS[0] CTOF_DEL --- 0.101 SLICE_0.A1 to SLICE_0.F1 SLICE_0 ROUTE 1 e 0.001 SLICE_0.F1 to SLICE_0.DI1 FS_s[0] (to C14M_c) -------- @@ -207,7 +207,7 @@ All preferences were met. Found 1 clocks: -Clock Domain: C14M_c Source: C14M.PAD Loads: 84 +Clock Domain: C14M_c Source: C14M.PAD Loads: 89 Covered under: FREQUENCY PORT "C14M" 14.300000 MHz ; @@ -217,7 +217,7 @@ Clock Domain: C14M_c Source: C14M.PAD Loads: 84 Timing errors: 0 Score: 0 Cumulative negative slack: 0 -Constraints cover 1491 paths, 1 nets, and 933 connections (82.86% coverage) +Constraints cover 1611 paths, 1 nets, and 1095 connections (82.33% coverage) diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_twr.html b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_twr.html index a7358ba..9b7e706 100644 --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_twr.html +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_twr.html @@ -22,7 +22,7 @@ Setup and Hold Report -------------------------------------------------------------------------------- Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.1.454 -Thu Sep 21 05:35:07 2023 +Thu Dec 28 23:10:16 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -32,7 +32,7 @@ Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. Report Information ------------------ -Command line: trce -v 10 -gt -sethld -sp 4 -sphld m -o RAM2E_LCMXO2_640HC_impl1.twr -gui -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/promote.xml RAM2E_LCMXO2_640HC_impl1.ncd RAM2E_LCMXO2_640HC_impl1.prf +Command line: trce -v 10 -gt -sethld -sp 4 -sphld m -o RAM2E_LCMXO2_640HC_impl1.twr -gui -msgset //Mac/iCloud/Repos/ram2e/CPLD/LCMXO2-640HC/promote.xml RAM2E_LCMXO2_640HC_impl1.ncd RAM2E_LCMXO2_640HC_impl1.prf Design file: ram2e_lcmxo2_640hc_impl1.ncd Preference file: ram2e_lcmxo2_640hc_impl1.prf Device,speed: LCMXO2-640HC,4 @@ -41,8 +41,8 @@ Report level: verbose report, limited to 10 items per preference Preference Summary -
  • FREQUENCY PORT "C14M" 14.300000 MHz (0 errors)
  • 1491 items scored, 0 timing errors detected. -Report: 79.592MHz is the maximum frequency for this preference. +
  • FREQUENCY PORT "C14M" 14.300000 MHz (0 errors)
  • 1611 items scored, 0 timing errors detected. +Report: 83.389MHz is the maximum frequency for this preference. BLOCK ASYNCPATHS BLOCK RESETPATHS @@ -52,536 +52,550 @@ BLOCK RESETPATHS ================================================================================ Preference: FREQUENCY PORT "C14M" 14.300000 MHz ; - 1491 items scored, 0 timing errors detected. + 1611 items scored, 0 timing errors detected. -------------------------------------------------------------------------------- -Passed: The following path meets requirements by 57.366ns +Passed: The following path meets requirements by 57.938ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q FS[11] (from C14M_c +) - Destination: FF Data in nRWE_0io (to C14M_c +) + Source: FF Q S[0] (from C14M_c +) + Destination: FF Data in ram2e_ufm/wb_adr[0] (to C14M_c +) - Delay: 12.584ns (27.2% logic, 72.8% route), 7 logic levels. + Delay: 11.826ns (24.8% logic, 75.2% route), 6 logic levels. Constraint Details: - 12.584ns physical path delay SLICE_3 to nRWE_MGIOL meets - 69.930ns delay constraint less - -0.173ns skew and - 0.153ns DO_SET requirement (totaling 69.950ns) by 57.366ns - - Physical Path Details: - - Data path SLICE_3 to nRWE_MGIOL: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R2C8C.CLK to R2C8C.Q0 SLICE_3 (from C14M_c) -ROUTE 19 2.765 R2C8C.Q0 to R2C6A.A1 FS[11] -CTOF_DEL --- 0.495 R2C6A.A1 to R2C6A.F1 SLICE_64 -ROUTE 4 0.791 R2C6A.F1 to R3C6A.C0 N_577 -CTOF_DEL --- 0.495 R3C6A.C0 to R3C6A.F0 SLICE_97 -ROUTE 3 1.345 R3C6A.F0 to R3C9C.B1 N_489 -CTOF_DEL --- 0.495 R3C9C.B1 to R3C9C.F1 SLICE_75 -ROUTE 3 0.453 R3C9C.F1 to R3C9C.C0 N_628 -CTOF_DEL --- 0.495 R3C9C.C0 to R3C9C.F0 SLICE_75 -ROUTE 2 0.993 R3C9C.F0 to R5C9A.A1 N_640 -CTOF_DEL --- 0.495 R5C9A.A1 to R5C9A.F1 SLICE_71 -ROUTE 1 0.623 R5C9A.F1 to R5C10B.D0 un1_nCS61_1_i -CTOF_DEL --- 0.495 R5C10B.D0 to R5C10B.F0 SLICE_115 -ROUTE 1 2.192 R5C10B.F0 to IOL_R7D.OPOS nRWE_r_0 (to C14M_c) - -------- - 12.584 (27.2% logic, 72.8% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path C14M to SLICE_3: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 3.070 62.PADDI to R2C8C.CLK C14M_c - -------- - 3.070 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path C14M to nRWE_MGIOL: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 3.243 62.PADDI to IOL_R7D.CLK C14M_c - -------- - 3.243 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 57.494ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS[11] (from C14M_c +) - Destination: FF Data in nRAS_0io (to C14M_c +) - - Delay: 12.456ns (23.5% logic, 76.5% route), 6 logic levels. - - Constraint Details: - - 12.456ns physical path delay SLICE_3 to nRAS_MGIOL meets - 69.930ns delay constraint less - -0.173ns skew and - 0.153ns DO_SET requirement (totaling 69.950ns) by 57.494ns - - Physical Path Details: - - Data path SLICE_3 to nRAS_MGIOL: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R2C8C.CLK to R2C8C.Q0 SLICE_3 (from C14M_c) -ROUTE 19 2.765 R2C8C.Q0 to R2C6A.A1 FS[11] -CTOF_DEL --- 0.495 R2C6A.A1 to R2C6A.F1 SLICE_64 -ROUTE 4 0.791 R2C6A.F1 to R3C6A.C0 N_577 -CTOF_DEL --- 0.495 R3C6A.C0 to R3C6A.F0 SLICE_97 -ROUTE 3 1.345 R3C6A.F0 to R3C9C.B1 N_489 -CTOF_DEL --- 0.495 R3C9C.B1 to R3C9C.F1 SLICE_75 -ROUTE 3 1.003 R3C9C.F1 to R3C10D.A0 N_628 -CTOF_DEL --- 0.495 R3C10D.A0 to R3C10D.F0 SLICE_83 -ROUTE 2 1.505 R3C10D.F0 to R5C10A.A0 N_559_1 -CTOF_DEL --- 0.495 R5C10A.A0 to R5C10A.F0 SLICE_80 -ROUTE 1 2.120 R5C10A.F0 to IOL_R7A.OPOS nRAS_2_iv_i (to C14M_c) - -------- - 12.456 (23.5% logic, 76.5% route), 6 logic levels. - - Clock Skew Details: - - Source Clock Path C14M to SLICE_3: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 3.070 62.PADDI to R2C8C.CLK C14M_c - -------- - 3.070 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path C14M to nRAS_MGIOL: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 3.243 62.PADDI to IOL_R7A.CLK C14M_c - -------- - 3.243 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 57.502ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS[11] (from C14M_c +) - Destination: FF Data in nRWE_0io (to C14M_c +) - - Delay: 12.448ns (23.5% logic, 76.5% route), 6 logic levels. - - Constraint Details: - - 12.448ns physical path delay SLICE_3 to nRWE_MGIOL meets - 69.930ns delay constraint less - -0.173ns skew and - 0.153ns DO_SET requirement (totaling 69.950ns) by 57.502ns - - Physical Path Details: - - Data path SLICE_3 to nRWE_MGIOL: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R2C8C.CLK to R2C8C.Q0 SLICE_3 (from C14M_c) -ROUTE 19 2.765 R2C8C.Q0 to R2C6A.A1 FS[11] -CTOF_DEL --- 0.495 R2C6A.A1 to R2C6A.F1 SLICE_64 -ROUTE 4 0.791 R2C6A.F1 to R3C6A.C0 N_577 -CTOF_DEL --- 0.495 R3C6A.C0 to R3C6A.F0 SLICE_97 -ROUTE 3 1.345 R3C6A.F0 to R3C9C.B1 N_489 -CTOF_DEL --- 0.495 R3C9C.B1 to R3C9C.F1 SLICE_75 -ROUTE 3 0.710 R3C9C.F1 to R3C9B.B0 N_628 -CTOF_DEL --- 0.495 R3C9B.B0 to R3C9B.F0 SLICE_76 -ROUTE 3 1.718 R3C9B.F0 to R5C10B.C0 nCAS_0_sqmuxa -CTOF_DEL --- 0.495 R5C10B.C0 to R5C10B.F0 SLICE_115 -ROUTE 1 2.192 R5C10B.F0 to IOL_R7D.OPOS nRWE_r_0 (to C14M_c) - -------- - 12.448 (23.5% logic, 76.5% route), 6 logic levels. - - Clock Skew Details: - - Source Clock Path C14M to SLICE_3: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 3.070 62.PADDI to R2C8C.CLK C14M_c - -------- - 3.070 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path C14M to nRWE_MGIOL: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 3.243 62.PADDI to IOL_R7D.CLK C14M_c - -------- - 3.243 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 57.921ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS[11] (from C14M_c +) - Destination: FF Data in nCS_0io (to C14M_c +) - - Delay: 12.029ns (24.3% logic, 75.7% route), 6 logic levels. - - Constraint Details: - - 12.029ns physical path delay SLICE_3 to nCS_MGIOL meets - 69.930ns delay constraint less - -0.173ns skew and - 0.153ns DO_SET requirement (totaling 69.950ns) by 57.921ns - - Physical Path Details: - - Data path SLICE_3 to nCS_MGIOL: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R2C8C.CLK to R2C8C.Q0 SLICE_3 (from C14M_c) -ROUTE 19 2.765 R2C8C.Q0 to R2C6A.A1 FS[11] -CTOF_DEL --- 0.495 R2C6A.A1 to R2C6A.F1 SLICE_64 -ROUTE 4 0.791 R2C6A.F1 to R3C6A.C0 N_577 -CTOF_DEL --- 0.495 R3C6A.C0 to R3C6A.F0 SLICE_97 -ROUTE 3 1.345 R3C6A.F0 to R3C9C.B1 N_489 -CTOF_DEL --- 0.495 R3C9C.B1 to R3C9C.F1 SLICE_75 -ROUTE 3 1.003 R3C9C.F1 to R3C10D.A0 N_628 -CTOF_DEL --- 0.495 R3C10D.A0 to R3C10D.F0 SLICE_83 -ROUTE 2 1.505 R3C10D.F0 to R6C10A.A0 N_559_1 -CTOF_DEL --- 0.495 R6C10A.A0 to R6C10A.F0 SLICE_79 -ROUTE 1 1.693 R6C10A.F0 to IOL_R6D.OPOS N_559_i (to C14M_c) - -------- - 12.029 (24.3% logic, 75.7% route), 6 logic levels. - - Clock Skew Details: - - Source Clock Path C14M to SLICE_3: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 3.070 62.PADDI to R2C8C.CLK C14M_c - -------- - 3.070 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path C14M to nCS_MGIOL: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 3.243 62.PADDI to IOL_R6D.CLK C14M_c - -------- - 3.243 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 58.106ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS[11] (from C14M_c +) - Destination: FF Data in nCAS_0io (to C14M_c +) - - Delay: 11.844ns (24.7% logic, 75.3% route), 6 logic levels. - - Constraint Details: - - 11.844ns physical path delay SLICE_3 to nCAS_MGIOL meets - 69.930ns delay constraint less - -0.173ns skew and - 0.153ns DO_SET requirement (totaling 69.950ns) by 58.106ns - - Physical Path Details: - - Data path SLICE_3 to nCAS_MGIOL: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R2C8C.CLK to R2C8C.Q0 SLICE_3 (from C14M_c) -ROUTE 19 2.765 R2C8C.Q0 to R2C6A.A1 FS[11] -CTOF_DEL --- 0.495 R2C6A.A1 to R2C6A.F1 SLICE_64 -ROUTE 4 0.791 R2C6A.F1 to R3C6A.C0 N_577 -CTOF_DEL --- 0.495 R3C6A.C0 to R3C6A.F0 SLICE_97 -ROUTE 3 1.345 R3C6A.F0 to R3C9C.B1 N_489 -CTOF_DEL --- 0.495 R3C9C.B1 to R3C9C.F1 SLICE_75 -ROUTE 3 0.710 R3C9C.F1 to R3C9B.B0 N_628 -CTOF_DEL --- 0.495 R3C9B.B0 to R3C9B.F0 SLICE_76 -ROUTE 3 1.511 R3C9B.F0 to R5C9C.A0 nCAS_0_sqmuxa -CTOF_DEL --- 0.495 R5C9C.A0 to R5C9C.F0 SLICE_78 -ROUTE 1 1.795 R5C9C.F0 to IOL_R7C.OPOS N_561_i (to C14M_c) - -------- - 11.844 (24.7% logic, 75.3% route), 6 logic levels. - - Clock Skew Details: - - Source Clock Path C14M to SLICE_3: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 3.070 62.PADDI to R2C8C.CLK C14M_c - -------- - 3.070 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path C14M to nCAS_MGIOL: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 3.243 62.PADDI to IOL_R7C.CLK C14M_c - -------- - 3.243 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 58.447ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q FS[11] (from C14M_c +) - Destination: FF Data in RA_0io[10] (to C14M_c +) - - Delay: 11.503ns (25.4% logic, 74.6% route), 6 logic levels. - - Constraint Details: - - 11.503ns physical path delay SLICE_3 to RA[10]_MGIOL meets - 69.930ns delay constraint less - -0.173ns skew and - 0.153ns DO_SET requirement (totaling 69.950ns) by 58.447ns - - Physical Path Details: - - Data path SLICE_3 to RA[10]_MGIOL: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R2C8C.CLK to R2C8C.Q0 SLICE_3 (from C14M_c) -ROUTE 19 2.765 R2C8C.Q0 to R2C6A.A1 FS[11] -CTOF_DEL --- 0.495 R2C6A.A1 to R2C6A.F1 SLICE_64 -ROUTE 4 0.791 R2C6A.F1 to R3C6A.C0 N_577 -CTOF_DEL --- 0.495 R3C6A.C0 to R3C6A.F0 SLICE_97 -ROUTE 3 1.345 R3C6A.F0 to R3C9C.B1 N_489 -CTOF_DEL --- 0.495 R3C9C.B1 to R3C9C.F1 SLICE_75 -ROUTE 3 0.710 R3C9C.F1 to R3C9B.B0 N_628 -CTOF_DEL --- 0.495 R3C9B.B0 to R3C9B.F0 SLICE_76 -ROUTE 3 1.170 R3C9B.F0 to R5C9D.D1 nCAS_0_sqmuxa -CTOF_DEL --- 0.495 R5C9D.D1 to R5C9D.F1 SLICE_69 -ROUTE 1 1.795 R5C9D.F1 to IOL_R5B.OPOS RA_42[10] (to C14M_c) - -------- - 11.503 (25.4% logic, 74.6% route), 6 logic levels. - - Clock Skew Details: - - Source Clock Path C14M to SLICE_3: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 3.070 62.PADDI to R2C8C.CLK C14M_c - -------- - 3.070 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path C14M to RA[10]_MGIOL: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 3.243 62.PADDI to IOL_R5B.CLK C14M_c - -------- - 3.243 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 58.587ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q S[2] (from C14M_c +) - Destination: FF Data in wb_adr[0] (to C14M_c +) - - Delay: 11.177ns (26.2% logic, 73.8% route), 6 logic levels. - - Constraint Details: - - 11.177ns physical path delay SLICE_34 to SLICE_35 meets + 11.826ns physical path delay SLICE_33 to ram2e_ufm/SLICE_47 meets 69.930ns delay constraint less 0.000ns skew and - 0.166ns DIN_SET requirement (totaling 69.764ns) by 58.587ns + 0.166ns DIN_SET requirement (totaling 69.764ns) by 57.938ns Physical Path Details: - Data path SLICE_34 to SLICE_35: + Data path SLICE_33 to ram2e_ufm/SLICE_47: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R4C9C.CLK to R4C9C.Q0 SLICE_34 (from C14M_c) -ROUTE 48 1.873 R4C9C.Q0 to R6C9B.B0 S[2] -CTOF_DEL --- 0.495 R6C9B.B0 to R6C9B.F0 SLICE_47 -ROUTE 7 2.435 R6C9B.F0 to R4C5B.B0 S_RNII9DO1[1] -CTOF_DEL --- 0.495 R4C5B.B0 to R4C5B.F0 SLICE_73 -ROUTE 8 1.931 R4C5B.F0 to R4C4C.D0 N_455 -CTOF_DEL --- 0.495 R4C4C.D0 to R4C4C.F0 SLICE_89 -ROUTE 1 0.626 R4C4C.F0 to R4C4A.D0 N_378 -CTOF_DEL --- 0.495 R4C4A.D0 to R4C4A.F0 SLICE_85 -ROUTE 1 1.385 R4C4A.F0 to R2C4B.D0 wb_adr_7_0_4[0] -CTOF_DEL --- 0.495 R2C4B.D0 to R2C4B.F0 SLICE_35 -ROUTE 1 0.000 R2C4B.F0 to R2C4B.DI0 wb_adr_7[0] (to C14M_c) +REG_DEL --- 0.452 R6C10C.CLK to R6C10C.Q0 SLICE_33 (from C14M_c) +ROUTE 37 2.491 R6C10C.Q0 to R6C9B.D1 S[0] +CTOF_DEL --- 0.495 R6C9B.D1 to R6C9B.F1 ram2e_ufm/SLICE_80 +ROUTE 8 2.866 R6C9B.F1 to R3C5B.B1 ram2e_ufm/N_777 +CTOF_DEL --- 0.495 R3C5B.B1 to R3C5B.F1 ram2e_ufm/SLICE_89 +ROUTE 6 1.040 R3C5B.F1 to R4C5C.B1 ram2e_ufm/N_783 +CTOF_DEL --- 0.495 R4C5C.B1 to R4C5C.F1 ram2e_ufm/SLICE_68 +ROUTE 1 0.967 R4C5C.F1 to R4C5A.A0 ram2e_ufm/wb_adr_7_i_i_3[0] +CTOF_DEL --- 0.495 R4C5A.A0 to R4C5A.F0 ram2e_ufm/SLICE_86 +ROUTE 1 1.535 R4C5A.F0 to R3C6C.B0 ram2e_ufm/wb_adr_7_i_i_4[0] +CTOF_DEL --- 0.495 R3C6C.B0 to R3C6C.F0 ram2e_ufm/SLICE_47 +ROUTE 1 0.000 R3C6C.F0 to R3C6C.DI0 ram2e_ufm/wb_adr_7_i_i[0] (to C14M_c) -------- - 11.177 (26.2% logic, 73.8% route), 6 logic levels. + 11.826 (24.8% logic, 75.2% route), 6 logic levels. + + Clock Skew Details: + + Source Clock Path C14M to SLICE_33: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 3.070 62.PADDI to R6C10C.CLK C14M_c + -------- + 3.070 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path C14M to ram2e_ufm/SLICE_47: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 3.070 62.PADDI to R3C6C.CLK C14M_c + -------- + 3.070 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 57.944ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q S[3] (from C14M_c +) + Destination: FF Data in ram2e_ufm/wb_adr[0] (to C14M_c +) + + Delay: 11.820ns (29.0% logic, 71.0% route), 7 logic levels. + + Constraint Details: + + 11.820ns physical path delay SLICE_34 to ram2e_ufm/SLICE_47 meets + 69.930ns delay constraint less + 0.000ns skew and + 0.166ns DIN_SET requirement (totaling 69.764ns) by 57.944ns + + Physical Path Details: + + Data path SLICE_34 to ram2e_ufm/SLICE_47: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R6C10D.CLK to R6C10D.Q1 SLICE_34 (from C14M_c) +ROUTE 45 1.001 R6C10D.Q1 to R6C10A.A0 S[3] +CTOF_DEL --- 0.495 R6C10A.A0 to R6C10A.F0 SLICE_35 +ROUTE 7 0.989 R6C10A.F0 to R6C9B.A1 N_551 +CTOF_DEL --- 0.495 R6C9B.A1 to R6C9B.F1 ram2e_ufm/SLICE_80 +ROUTE 8 2.866 R6C9B.F1 to R3C5B.B1 ram2e_ufm/N_777 +CTOF_DEL --- 0.495 R3C5B.B1 to R3C5B.F1 ram2e_ufm/SLICE_89 +ROUTE 6 1.040 R3C5B.F1 to R4C5C.B1 ram2e_ufm/N_783 +CTOF_DEL --- 0.495 R4C5C.B1 to R4C5C.F1 ram2e_ufm/SLICE_68 +ROUTE 1 0.967 R4C5C.F1 to R4C5A.A0 ram2e_ufm/wb_adr_7_i_i_3[0] +CTOF_DEL --- 0.495 R4C5A.A0 to R4C5A.F0 ram2e_ufm/SLICE_86 +ROUTE 1 1.535 R4C5A.F0 to R3C6C.B0 ram2e_ufm/wb_adr_7_i_i_4[0] +CTOF_DEL --- 0.495 R3C6C.B0 to R3C6C.F0 ram2e_ufm/SLICE_47 +ROUTE 1 0.000 R3C6C.F0 to R3C6C.DI0 ram2e_ufm/wb_adr_7_i_i[0] (to C14M_c) + -------- + 11.820 (29.0% logic, 71.0% route), 7 logic levels. Clock Skew Details: Source Clock Path C14M to SLICE_34: Name Fanout Delay (ns) Site Resource -ROUTE 84 3.070 62.PADDI to R4C9C.CLK C14M_c +ROUTE 89 3.070 62.PADDI to R6C10D.CLK C14M_c -------- 3.070 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path C14M to SLICE_35: + Destination Clock Path C14M to ram2e_ufm/SLICE_47: Name Fanout Delay (ns) Site Resource -ROUTE 84 3.070 62.PADDI to R2C4B.CLK C14M_c +ROUTE 89 3.070 62.PADDI to R3C6C.CLK C14M_c -------- 3.070 (0.0% logic, 100.0% route), 0 logic levels. -Passed: The following path meets requirements by 58.757ns +Passed: The following path meets requirements by 58.190ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q FS[12] (from C14M_c +) - Destination: FF Data in nRWE_0io (to C14M_c +) + Source: FF Q FS[15] (from C14M_c +) + Destination: FF Data in ram2e_ufm/wb_adr[0] (to C14M_c +) - Delay: 11.193ns (30.6% logic, 69.4% route), 7 logic levels. + Delay: 11.574ns (25.3% logic, 74.7% route), 6 logic levels. Constraint Details: - 11.193ns physical path delay SLICE_3 to nRWE_MGIOL meets - 69.930ns delay constraint less - -0.173ns skew and - 0.153ns DO_SET requirement (totaling 69.950ns) by 58.757ns - - Physical Path Details: - - Data path SLICE_3 to nRWE_MGIOL: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R2C8C.CLK to R2C8C.Q1 SLICE_3 (from C14M_c) -ROUTE 22 1.463 R2C8C.Q1 to R3C6A.A1 FS[12] -CTOF_DEL --- 0.495 R3C6A.A1 to R3C6A.F1 SLICE_97 -ROUTE 2 0.702 R3C6A.F1 to R3C6A.B0 N_456 -CTOF_DEL --- 0.495 R3C6A.B0 to R3C6A.F0 SLICE_97 -ROUTE 3 1.345 R3C6A.F0 to R3C9C.B1 N_489 -CTOF_DEL --- 0.495 R3C9C.B1 to R3C9C.F1 SLICE_75 -ROUTE 3 0.453 R3C9C.F1 to R3C9C.C0 N_628 -CTOF_DEL --- 0.495 R3C9C.C0 to R3C9C.F0 SLICE_75 -ROUTE 2 0.993 R3C9C.F0 to R5C9A.A1 N_640 -CTOF_DEL --- 0.495 R5C9A.A1 to R5C9A.F1 SLICE_71 -ROUTE 1 0.623 R5C9A.F1 to R5C10B.D0 un1_nCS61_1_i -CTOF_DEL --- 0.495 R5C10B.D0 to R5C10B.F0 SLICE_115 -ROUTE 1 2.192 R5C10B.F0 to IOL_R7D.OPOS nRWE_r_0 (to C14M_c) - -------- - 11.193 (30.6% logic, 69.4% route), 7 logic levels. - - Clock Skew Details: - - Source Clock Path C14M to SLICE_3: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 3.070 62.PADDI to R2C8C.CLK C14M_c - -------- - 3.070 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path C14M to nRWE_MGIOL: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 3.243 62.PADDI to IOL_R7D.CLK C14M_c - -------- - 3.243 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 58.784ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q S[0] (from C14M_c +) - Destination: FF Data in wb_adr[0] (to C14M_c +) - - Delay: 10.980ns (26.7% logic, 73.3% route), 6 logic levels. - - Constraint Details: - - 10.980ns physical path delay SLICE_33 to SLICE_35 meets + 11.574ns physical path delay SLICE_1 to ram2e_ufm/SLICE_47 meets 69.930ns delay constraint less 0.000ns skew and - 0.166ns DIN_SET requirement (totaling 69.764ns) by 58.784ns + 0.166ns DIN_SET requirement (totaling 69.764ns) by 58.190ns Physical Path Details: - Data path SLICE_33 to SLICE_35: + Data path SLICE_1 to ram2e_ufm/SLICE_47: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R4C9D.CLK to R4C9D.Q0 SLICE_33 (from C14M_c) -ROUTE 30 1.676 R4C9D.Q0 to R6C9B.C0 S[0] -CTOF_DEL --- 0.495 R6C9B.C0 to R6C9B.F0 SLICE_47 -ROUTE 7 2.435 R6C9B.F0 to R4C5B.B0 S_RNII9DO1[1] -CTOF_DEL --- 0.495 R4C5B.B0 to R4C5B.F0 SLICE_73 -ROUTE 8 1.931 R4C5B.F0 to R4C4C.D0 N_455 -CTOF_DEL --- 0.495 R4C4C.D0 to R4C4C.F0 SLICE_89 -ROUTE 1 0.626 R4C4C.F0 to R4C4A.D0 N_378 -CTOF_DEL --- 0.495 R4C4A.D0 to R4C4A.F0 SLICE_85 -ROUTE 1 1.385 R4C4A.F0 to R2C4B.D0 wb_adr_7_0_4[0] -CTOF_DEL --- 0.495 R2C4B.D0 to R2C4B.F0 SLICE_35 -ROUTE 1 0.000 R2C4B.F0 to R2C4B.DI0 wb_adr_7[0] (to C14M_c) +REG_DEL --- 0.452 R2C11A.CLK to R2C11A.Q0 SLICE_1 (from C14M_c) +ROUTE 9 2.239 R2C11A.Q0 to R6C9B.C1 FS[15] +CTOF_DEL --- 0.495 R6C9B.C1 to R6C9B.F1 ram2e_ufm/SLICE_80 +ROUTE 8 2.866 R6C9B.F1 to R3C5B.B1 ram2e_ufm/N_777 +CTOF_DEL --- 0.495 R3C5B.B1 to R3C5B.F1 ram2e_ufm/SLICE_89 +ROUTE 6 1.040 R3C5B.F1 to R4C5C.B1 ram2e_ufm/N_783 +CTOF_DEL --- 0.495 R4C5C.B1 to R4C5C.F1 ram2e_ufm/SLICE_68 +ROUTE 1 0.967 R4C5C.F1 to R4C5A.A0 ram2e_ufm/wb_adr_7_i_i_3[0] +CTOF_DEL --- 0.495 R4C5A.A0 to R4C5A.F0 ram2e_ufm/SLICE_86 +ROUTE 1 1.535 R4C5A.F0 to R3C6C.B0 ram2e_ufm/wb_adr_7_i_i_4[0] +CTOF_DEL --- 0.495 R3C6C.B0 to R3C6C.F0 ram2e_ufm/SLICE_47 +ROUTE 1 0.000 R3C6C.F0 to R3C6C.DI0 ram2e_ufm/wb_adr_7_i_i[0] (to C14M_c) -------- - 10.980 (26.7% logic, 73.3% route), 6 logic levels. + 11.574 (25.3% logic, 74.7% route), 6 logic levels. Clock Skew Details: - Source Clock Path C14M to SLICE_33: + Source Clock Path C14M to SLICE_1: Name Fanout Delay (ns) Site Resource -ROUTE 84 3.070 62.PADDI to R4C9D.CLK C14M_c +ROUTE 89 3.070 62.PADDI to R2C11A.CLK C14M_c -------- 3.070 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path C14M to SLICE_35: + Destination Clock Path C14M to ram2e_ufm/SLICE_47: Name Fanout Delay (ns) Site Resource -ROUTE 84 3.070 62.PADDI to R2C4B.CLK C14M_c +ROUTE 89 3.070 62.PADDI to R3C6C.CLK C14M_c -------- 3.070 (0.0% logic, 100.0% route), 0 logic levels. -Passed: The following path meets requirements by 29.415ns (weighted slack = 58.830ns) +Passed: The following path meets requirements by 58.271ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q S[2] (from C14M_c +) + Destination: FF Data in ram2e_ufm/wb_adr[0] (to C14M_c +) + + Delay: 11.493ns (29.8% logic, 70.2% route), 7 logic levels. + + Constraint Details: + + 11.493ns physical path delay SLICE_34 to ram2e_ufm/SLICE_47 meets + 69.930ns delay constraint less + 0.000ns skew and + 0.166ns DIN_SET requirement (totaling 69.764ns) by 58.271ns + + Physical Path Details: + + Data path SLICE_34 to ram2e_ufm/SLICE_47: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R6C10D.CLK to R6C10D.Q0 SLICE_34 (from C14M_c) +ROUTE 50 0.674 R6C10D.Q0 to R6C10A.D0 S[2] +CTOF_DEL --- 0.495 R6C10A.D0 to R6C10A.F0 SLICE_35 +ROUTE 7 0.989 R6C10A.F0 to R6C9B.A1 N_551 +CTOF_DEL --- 0.495 R6C9B.A1 to R6C9B.F1 ram2e_ufm/SLICE_80 +ROUTE 8 2.866 R6C9B.F1 to R3C5B.B1 ram2e_ufm/N_777 +CTOF_DEL --- 0.495 R3C5B.B1 to R3C5B.F1 ram2e_ufm/SLICE_89 +ROUTE 6 1.040 R3C5B.F1 to R4C5C.B1 ram2e_ufm/N_783 +CTOF_DEL --- 0.495 R4C5C.B1 to R4C5C.F1 ram2e_ufm/SLICE_68 +ROUTE 1 0.967 R4C5C.F1 to R4C5A.A0 ram2e_ufm/wb_adr_7_i_i_3[0] +CTOF_DEL --- 0.495 R4C5A.A0 to R4C5A.F0 ram2e_ufm/SLICE_86 +ROUTE 1 1.535 R4C5A.F0 to R3C6C.B0 ram2e_ufm/wb_adr_7_i_i_4[0] +CTOF_DEL --- 0.495 R3C6C.B0 to R3C6C.F0 ram2e_ufm/SLICE_47 +ROUTE 1 0.000 R3C6C.F0 to R3C6C.DI0 ram2e_ufm/wb_adr_7_i_i[0] (to C14M_c) + -------- + 11.493 (29.8% logic, 70.2% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path C14M to SLICE_34: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 3.070 62.PADDI to R6C10D.CLK C14M_c + -------- + 3.070 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path C14M to ram2e_ufm/SLICE_47: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 3.070 62.PADDI to R3C6C.CLK C14M_c + -------- + 3.070 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 58.733ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) Source: FF Q S[0] (from C14M_c +) - Destination: FF Data in Dout_0io[0] (to C14M_c -) + Destination: FF Data in ram2e_ufm/wb_dati[2] (to C14M_c +) - Delay: 5.676ns (16.7% logic, 83.3% route), 2 logic levels. + Delay: 11.031ns (26.5% logic, 73.5% route), 6 logic levels. Constraint Details: - 5.676ns physical path delay SLICE_33 to Dout[0]_MGIOL meets - 34.965ns delay constraint less - -0.173ns skew and - 0.047ns CE_SET requirement (totaling 35.091ns) by 29.415ns + 11.031ns physical path delay SLICE_33 to ram2e_ufm/SLICE_53 meets + 69.930ns delay constraint less + 0.000ns skew and + 0.166ns DIN_SET requirement (totaling 69.764ns) by 58.733ns Physical Path Details: - Data path SLICE_33 to Dout[0]_MGIOL: + Data path SLICE_33 to ram2e_ufm/SLICE_53: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.452 R4C9D.CLK to R4C9D.Q0 SLICE_33 (from C14M_c) -ROUTE 30 1.881 R4C9D.Q0 to R6C8A.A1 S[0] -CTOF_DEL --- 0.495 R6C8A.A1 to R6C8A.F1 SLICE_20 -ROUTE 17 2.848 R6C8A.F1 to IOL_B4D.CE N_576_i (to C14M_c) +REG_DEL --- 0.452 R6C10C.CLK to R6C10C.Q0 SLICE_33 (from C14M_c) +ROUTE 37 2.491 R6C10C.Q0 to R6C9B.D1 S[0] +CTOF_DEL --- 0.495 R6C9B.D1 to R6C9B.F1 ram2e_ufm/SLICE_80 +ROUTE 8 1.621 R6C9B.F1 to R2C7D.D1 ram2e_ufm/N_777 +CTOF_DEL --- 0.495 R2C7D.D1 to R2C7D.F1 ram2e_ufm/SLICE_70 +ROUTE 3 0.981 R2C7D.F1 to R2C6D.A1 ram2e_ufm/N_807 +CTOF_DEL --- 0.495 R2C6D.A1 to R2C6D.F1 ram2e_ufm/SLICE_111 +ROUTE 3 2.253 R2C6D.F1 to R3C4C.A1 ram2e_ufm/N_611 +CTOF_DEL --- 0.495 R3C4C.A1 to R3C4C.F1 ram2e_ufm/SLICE_73 +ROUTE 2 0.758 R3C4C.F1 to R2C4B.C0 ram2e_ufm/wb_dati_7_0_0_o3_0[2] +CTOF_DEL --- 0.495 R2C4B.C0 to R2C4B.F0 ram2e_ufm/SLICE_53 +ROUTE 1 0.000 R2C4B.F0 to R2C4B.DI0 ram2e_ufm/wb_dati_7[2] (to C14M_c) -------- - 5.676 (16.7% logic, 83.3% route), 2 logic levels. + 11.031 (26.5% logic, 73.5% route), 6 logic levels. Clock Skew Details: Source Clock Path C14M to SLICE_33: Name Fanout Delay (ns) Site Resource -ROUTE 84 3.070 62.PADDI to R4C9D.CLK C14M_c +ROUTE 89 3.070 62.PADDI to R6C10C.CLK C14M_c -------- 3.070 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path C14M to Dout[0]_MGIOL: + Destination Clock Path C14M to ram2e_ufm/SLICE_53: Name Fanout Delay (ns) Site Resource -ROUTE 84 3.243 62.PADDI to IOL_B4D.CLK C14M_c +ROUTE 89 3.070 62.PADDI to R2C4B.CLK C14M_c -------- - 3.243 (0.0% logic, 100.0% route), 0 logic levels. + 3.070 (0.0% logic, 100.0% route), 0 logic levels. -Report: 79.592MHz is the maximum frequency for this preference. + +Passed: The following path meets requirements by 58.733ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q S[0] (from C14M_c +) + Destination: FF Data in ram2e_ufm/wb_dati[5] (to C14M_c +) + + Delay: 11.031ns (26.5% logic, 73.5% route), 6 logic levels. + + Constraint Details: + + 11.031ns physical path delay SLICE_33 to ram2e_ufm/SLICE_54 meets + 69.930ns delay constraint less + 0.000ns skew and + 0.166ns DIN_SET requirement (totaling 69.764ns) by 58.733ns + + Physical Path Details: + + Data path SLICE_33 to ram2e_ufm/SLICE_54: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R6C10C.CLK to R6C10C.Q0 SLICE_33 (from C14M_c) +ROUTE 37 2.491 R6C10C.Q0 to R6C9B.D1 S[0] +CTOF_DEL --- 0.495 R6C9B.D1 to R6C9B.F1 ram2e_ufm/SLICE_80 +ROUTE 8 1.621 R6C9B.F1 to R2C7D.D1 ram2e_ufm/N_777 +CTOF_DEL --- 0.495 R2C7D.D1 to R2C7D.F1 ram2e_ufm/SLICE_70 +ROUTE 3 0.981 R2C7D.F1 to R2C6D.A1 ram2e_ufm/N_807 +CTOF_DEL --- 0.495 R2C6D.A1 to R2C6D.F1 ram2e_ufm/SLICE_111 +ROUTE 3 2.253 R2C6D.F1 to R3C4C.A1 ram2e_ufm/N_611 +CTOF_DEL --- 0.495 R3C4C.A1 to R3C4C.F1 ram2e_ufm/SLICE_73 +ROUTE 2 0.758 R3C4C.F1 to R2C4D.C1 ram2e_ufm/wb_dati_7_0_0_o3_0[2] +CTOF_DEL --- 0.495 R2C4D.C1 to R2C4D.F1 ram2e_ufm/SLICE_54 +ROUTE 1 0.000 R2C4D.F1 to R2C4D.DI1 ram2e_ufm/wb_dati_7[5] (to C14M_c) + -------- + 11.031 (26.5% logic, 73.5% route), 6 logic levels. + + Clock Skew Details: + + Source Clock Path C14M to SLICE_33: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 3.070 62.PADDI to R6C10C.CLK C14M_c + -------- + 3.070 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path C14M to ram2e_ufm/SLICE_54: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 3.070 62.PADDI to R2C4D.CLK C14M_c + -------- + 3.070 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 58.739ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q S[3] (from C14M_c +) + Destination: FF Data in ram2e_ufm/wb_dati[5] (to C14M_c +) + + Delay: 11.025ns (31.0% logic, 69.0% route), 7 logic levels. + + Constraint Details: + + 11.025ns physical path delay SLICE_34 to ram2e_ufm/SLICE_54 meets + 69.930ns delay constraint less + 0.000ns skew and + 0.166ns DIN_SET requirement (totaling 69.764ns) by 58.739ns + + Physical Path Details: + + Data path SLICE_34 to ram2e_ufm/SLICE_54: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R6C10D.CLK to R6C10D.Q1 SLICE_34 (from C14M_c) +ROUTE 45 1.001 R6C10D.Q1 to R6C10A.A0 S[3] +CTOF_DEL --- 0.495 R6C10A.A0 to R6C10A.F0 SLICE_35 +ROUTE 7 0.989 R6C10A.F0 to R6C9B.A1 N_551 +CTOF_DEL --- 0.495 R6C9B.A1 to R6C9B.F1 ram2e_ufm/SLICE_80 +ROUTE 8 1.621 R6C9B.F1 to R2C7D.D1 ram2e_ufm/N_777 +CTOF_DEL --- 0.495 R2C7D.D1 to R2C7D.F1 ram2e_ufm/SLICE_70 +ROUTE 3 0.981 R2C7D.F1 to R2C6D.A1 ram2e_ufm/N_807 +CTOF_DEL --- 0.495 R2C6D.A1 to R2C6D.F1 ram2e_ufm/SLICE_111 +ROUTE 3 2.253 R2C6D.F1 to R3C4C.A1 ram2e_ufm/N_611 +CTOF_DEL --- 0.495 R3C4C.A1 to R3C4C.F1 ram2e_ufm/SLICE_73 +ROUTE 2 0.758 R3C4C.F1 to R2C4D.C1 ram2e_ufm/wb_dati_7_0_0_o3_0[2] +CTOF_DEL --- 0.495 R2C4D.C1 to R2C4D.F1 ram2e_ufm/SLICE_54 +ROUTE 1 0.000 R2C4D.F1 to R2C4D.DI1 ram2e_ufm/wb_dati_7[5] (to C14M_c) + -------- + 11.025 (31.0% logic, 69.0% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path C14M to SLICE_34: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 3.070 62.PADDI to R6C10D.CLK C14M_c + -------- + 3.070 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path C14M to ram2e_ufm/SLICE_54: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 3.070 62.PADDI to R2C4D.CLK C14M_c + -------- + 3.070 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 58.739ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q S[3] (from C14M_c +) + Destination: FF Data in ram2e_ufm/wb_dati[2] (to C14M_c +) + + Delay: 11.025ns (31.0% logic, 69.0% route), 7 logic levels. + + Constraint Details: + + 11.025ns physical path delay SLICE_34 to ram2e_ufm/SLICE_53 meets + 69.930ns delay constraint less + 0.000ns skew and + 0.166ns DIN_SET requirement (totaling 69.764ns) by 58.739ns + + Physical Path Details: + + Data path SLICE_34 to ram2e_ufm/SLICE_53: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R6C10D.CLK to R6C10D.Q1 SLICE_34 (from C14M_c) +ROUTE 45 1.001 R6C10D.Q1 to R6C10A.A0 S[3] +CTOF_DEL --- 0.495 R6C10A.A0 to R6C10A.F0 SLICE_35 +ROUTE 7 0.989 R6C10A.F0 to R6C9B.A1 N_551 +CTOF_DEL --- 0.495 R6C9B.A1 to R6C9B.F1 ram2e_ufm/SLICE_80 +ROUTE 8 1.621 R6C9B.F1 to R2C7D.D1 ram2e_ufm/N_777 +CTOF_DEL --- 0.495 R2C7D.D1 to R2C7D.F1 ram2e_ufm/SLICE_70 +ROUTE 3 0.981 R2C7D.F1 to R2C6D.A1 ram2e_ufm/N_807 +CTOF_DEL --- 0.495 R2C6D.A1 to R2C6D.F1 ram2e_ufm/SLICE_111 +ROUTE 3 2.253 R2C6D.F1 to R3C4C.A1 ram2e_ufm/N_611 +CTOF_DEL --- 0.495 R3C4C.A1 to R3C4C.F1 ram2e_ufm/SLICE_73 +ROUTE 2 0.758 R3C4C.F1 to R2C4B.C0 ram2e_ufm/wb_dati_7_0_0_o3_0[2] +CTOF_DEL --- 0.495 R2C4B.C0 to R2C4B.F0 ram2e_ufm/SLICE_53 +ROUTE 1 0.000 R2C4B.F0 to R2C4B.DI0 ram2e_ufm/wb_dati_7[2] (to C14M_c) + -------- + 11.025 (31.0% logic, 69.0% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path C14M to SLICE_34: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 3.070 62.PADDI to R6C10D.CLK C14M_c + -------- + 3.070 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path C14M to ram2e_ufm/SLICE_53: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 3.070 62.PADDI to R2C4B.CLK C14M_c + -------- + 3.070 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 58.780ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q S[0] (from C14M_c +) + Destination: FF Data in ram2e_ufm/wb_adr[0] (to C14M_c +) + + Delay: 10.984ns (26.6% logic, 73.4% route), 6 logic levels. + + Constraint Details: + + 10.984ns physical path delay SLICE_33 to ram2e_ufm/SLICE_47 meets + 69.930ns delay constraint less + 0.000ns skew and + 0.166ns DIN_SET requirement (totaling 69.764ns) by 58.780ns + + Physical Path Details: + + Data path SLICE_33 to ram2e_ufm/SLICE_47: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R6C10C.CLK to R6C10C.Q0 SLICE_33 (from C14M_c) +ROUTE 37 2.491 R6C10C.Q0 to R6C9B.D1 S[0] +CTOF_DEL --- 0.495 R6C9B.D1 to R6C9B.F1 ram2e_ufm/SLICE_80 +ROUTE 8 2.182 R6C9B.F1 to R2C5A.C1 ram2e_ufm/N_777 +CTOF_DEL --- 0.495 R2C5A.C1 to R2C5A.F1 ram2e_ufm/SLICE_98 +ROUTE 5 1.413 R2C5A.F1 to R4C5B.D0 ram2e_ufm/N_781 +CTOF_DEL --- 0.495 R4C5B.D0 to R4C5B.F0 ram2e_ufm/SLICE_126 +ROUTE 1 0.436 R4C5B.F0 to R4C5A.C0 ram2e_ufm/N_753 +CTOF_DEL --- 0.495 R4C5A.C0 to R4C5A.F0 ram2e_ufm/SLICE_86 +ROUTE 1 1.535 R4C5A.F0 to R3C6C.B0 ram2e_ufm/wb_adr_7_i_i_4[0] +CTOF_DEL --- 0.495 R3C6C.B0 to R3C6C.F0 ram2e_ufm/SLICE_47 +ROUTE 1 0.000 R3C6C.F0 to R3C6C.DI0 ram2e_ufm/wb_adr_7_i_i[0] (to C14M_c) + -------- + 10.984 (26.6% logic, 73.4% route), 6 logic levels. + + Clock Skew Details: + + Source Clock Path C14M to SLICE_33: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 3.070 62.PADDI to R6C10C.CLK C14M_c + -------- + 3.070 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path C14M to ram2e_ufm/SLICE_47: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 3.070 62.PADDI to R3C6C.CLK C14M_c + -------- + 3.070 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 58.786ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q S[3] (from C14M_c +) + Destination: FF Data in ram2e_ufm/wb_adr[0] (to C14M_c +) + + Delay: 10.978ns (31.2% logic, 68.8% route), 7 logic levels. + + Constraint Details: + + 10.978ns physical path delay SLICE_34 to ram2e_ufm/SLICE_47 meets + 69.930ns delay constraint less + 0.000ns skew and + 0.166ns DIN_SET requirement (totaling 69.764ns) by 58.786ns + + Physical Path Details: + + Data path SLICE_34 to ram2e_ufm/SLICE_47: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R6C10D.CLK to R6C10D.Q1 SLICE_34 (from C14M_c) +ROUTE 45 1.001 R6C10D.Q1 to R6C10A.A0 S[3] +CTOF_DEL --- 0.495 R6C10A.A0 to R6C10A.F0 SLICE_35 +ROUTE 7 0.989 R6C10A.F0 to R6C9B.A1 N_551 +CTOF_DEL --- 0.495 R6C9B.A1 to R6C9B.F1 ram2e_ufm/SLICE_80 +ROUTE 8 2.182 R6C9B.F1 to R2C5A.C1 ram2e_ufm/N_777 +CTOF_DEL --- 0.495 R2C5A.C1 to R2C5A.F1 ram2e_ufm/SLICE_98 +ROUTE 5 1.413 R2C5A.F1 to R4C5B.D0 ram2e_ufm/N_781 +CTOF_DEL --- 0.495 R4C5B.D0 to R4C5B.F0 ram2e_ufm/SLICE_126 +ROUTE 1 0.436 R4C5B.F0 to R4C5A.C0 ram2e_ufm/N_753 +CTOF_DEL --- 0.495 R4C5A.C0 to R4C5A.F0 ram2e_ufm/SLICE_86 +ROUTE 1 1.535 R4C5A.F0 to R3C6C.B0 ram2e_ufm/wb_adr_7_i_i_4[0] +CTOF_DEL --- 0.495 R3C6C.B0 to R3C6C.F0 ram2e_ufm/SLICE_47 +ROUTE 1 0.000 R3C6C.F0 to R3C6C.DI0 ram2e_ufm/wb_adr_7_i_i[0] (to C14M_c) + -------- + 10.978 (31.2% logic, 68.8% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path C14M to SLICE_34: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 3.070 62.PADDI to R6C10D.CLK C14M_c + -------- + 3.070 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path C14M to ram2e_ufm/SLICE_47: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 3.070 62.PADDI to R3C6C.CLK C14M_c + -------- + 3.070 (0.0% logic, 100.0% route), 0 logic levels. + +Report: 83.389MHz is the maximum frequency for this preference. Report Summary -------------- @@ -589,7 +603,7 @@ Report: 79.592MHz is the maximum frequency for this preference. Preference | Constraint| Actual|Levels ---------------------------------------------------------------------------- | | | -FREQUENCY PORT "C14M" 14.300000 MHz ; | 14.300 MHz| 79.592 MHz| 7 +FREQUENCY PORT "C14M" 14.300000 MHz ; | 14.300 MHz| 83.389 MHz| 6 | | | ---------------------------------------------------------------------------- @@ -602,7 +616,7 @@ All preferences were met. Found 1 clocks: -Clock Domain: C14M_c Source: C14M.PAD Loads: 84 +Clock Domain: C14M_c Source: C14M.PAD Loads: 89 Covered under: FREQUENCY PORT "C14M" 14.300000 MHz ; @@ -612,11 +626,11 @@ Clock Domain: C14M_c Source: C14M.PAD Loads: 84 Timing errors: 0 Score: 0 Cumulative negative slack: 0 -Constraints cover 1491 paths, 1 nets, and 933 connections (82.86% coverage) +Constraints cover 1611 paths, 1 nets, and 1095 connections (82.33% coverage) -------------------------------------------------------------------------------- Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.1.454 -Thu Sep 21 05:35:07 2023 +Thu Dec 28 23:10:16 2023 Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. Copyright (c) 1995 AT&T Corp. All rights reserved. @@ -626,7 +640,7 @@ Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. Report Information ------------------ -Command line: trce -v 10 -gt -sethld -sp 4 -sphld m -o RAM2E_LCMXO2_640HC_impl1.twr -gui -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/promote.xml RAM2E_LCMXO2_640HC_impl1.ncd RAM2E_LCMXO2_640HC_impl1.prf +Command line: trce -v 10 -gt -sethld -sp 4 -sphld m -o RAM2E_LCMXO2_640HC_impl1.twr -gui -msgset //Mac/iCloud/Repos/ram2e/CPLD/LCMXO2-640HC/promote.xml RAM2E_LCMXO2_640HC_impl1.ncd RAM2E_LCMXO2_640HC_impl1.prf Design file: ram2e_lcmxo2_640hc_impl1.ncd Preference file: ram2e_lcmxo2_640hc_impl1.prf Device,speed: LCMXO2-640HC,m @@ -635,7 +649,7 @@ Report level: verbose report, limited to 10 items per preference Preference Summary -
  • FREQUENCY PORT "C14M" 14.300000 MHz (0 errors)
  • 1491 items scored, 0 timing errors detected. +
  • FREQUENCY PORT "C14M" 14.300000 MHz (0 errors)
  • 1611 items scored, 0 timing errors detected. BLOCK ASYNCPATHS BLOCK RESETPATHS @@ -645,137 +659,51 @@ BLOCK RESETPATHS ================================================================================ Preference: FREQUENCY PORT "C14M" 14.300000 MHz ; - 1491 items scored, 0 timing errors detected. + 1611 items scored, 0 timing errors detected. -------------------------------------------------------------------------------- -Passed: The following path meets requirements by 0.346ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q wb_dati[3] (from C14M_c +) - Destination: EFB Port ufmefb/EFBInst_0(ASIC) (to C14M_c +) - - Delay: 0.305ns (43.6% logic, 56.4% route), 1 logic levels. - - Constraint Details: - - 0.305ns physical path delay SLICE_41 to ufmefb/EFBInst_0 meets - -0.095ns WBDATI_HLD and - 0.000ns delay constraint less - -0.054ns skew requirement (totaling -0.041ns) by 0.346ns - - Physical Path Details: - - Data path SLICE_41 to ufmefb/EFBInst_0: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R2C3B.CLK to R2C3B.Q1 SLICE_41 (from C14M_c) -ROUTE 1 0.172 R2C3B.Q1 to EFB.WBDATI3 wb_dati[3] (to C14M_c) - -------- - 0.305 (43.6% logic, 56.4% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path C14M to SLICE_41: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 1.059 62.PADDI to R2C3B.CLK C14M_c - -------- - 1.059 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path C14M to ufmefb/EFBInst_0: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 1.113 62.PADDI to EFB.WBCLKI C14M_c - -------- - 1.113 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.348ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q wb_dati[4] (from C14M_c +) - Destination: EFB Port ufmefb/EFBInst_0(ASIC) (to C14M_c +) - - Delay: 0.305ns (43.6% logic, 56.4% route), 1 logic levels. - - Constraint Details: - - 0.305ns physical path delay SLICE_42 to ufmefb/EFBInst_0 meets - -0.097ns WBDATI_HLD and - 0.000ns delay constraint less - -0.054ns skew requirement (totaling -0.043ns) by 0.348ns - - Physical Path Details: - - Data path SLICE_42 to ufmefb/EFBInst_0: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R2C3D.CLK to R2C3D.Q0 SLICE_42 (from C14M_c) -ROUTE 1 0.172 R2C3D.Q0 to EFB.WBDATI4 wb_dati[4] (to C14M_c) - -------- - 0.305 (43.6% logic, 56.4% route), 1 logic levels. - - Clock Skew Details: - - Source Clock Path C14M to SLICE_42: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 1.059 62.PADDI to R2C3D.CLK C14M_c - -------- - 1.059 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path C14M to ufmefb/EFBInst_0: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 1.113 62.PADDI to EFB.WBCLKI C14M_c - -------- - 1.113 (0.0% logic, 100.0% route), 0 logic levels. - - Passed: The following path meets requirements by 0.379ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q FS[0] (from C14M_c +) - Destination: FF Data in FS[0] (to C14M_c +) + Source: FF Q FS[15] (from C14M_c +) + Destination: FF Data in FS[15] (to C14M_c +) Delay: 0.366ns (63.9% logic, 36.1% route), 2 logic levels. Constraint Details: - 0.366ns physical path delay SLICE_0 to SLICE_0 meets + 0.366ns physical path delay SLICE_1 to SLICE_1 meets -0.013ns DIN_HLD and 0.000ns delay constraint less 0.000ns skew requirement (totaling -0.013ns) by 0.379ns Physical Path Details: - Data path SLICE_0 to SLICE_0: + Data path SLICE_1 to SLICE_1: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R2C7A.CLK to R2C7A.Q1 SLICE_0 (from C14M_c) -ROUTE 5 0.132 R2C7A.Q1 to R2C7A.A1 FS[0] -CTOF_DEL --- 0.101 R2C7A.A1 to R2C7A.F1 SLICE_0 -ROUTE 1 0.000 R2C7A.F1 to R2C7A.DI1 FS_s[0] (to C14M_c) +REG_DEL --- 0.133 R2C11A.CLK to R2C11A.Q0 SLICE_1 (from C14M_c) +ROUTE 9 0.132 R2C11A.Q0 to R2C11A.A0 FS[15] +CTOF_DEL --- 0.101 R2C11A.A0 to R2C11A.F0 SLICE_1 +ROUTE 1 0.000 R2C11A.F0 to R2C11A.DI0 FS_s[15] (to C14M_c) -------- 0.366 (63.9% logic, 36.1% route), 2 logic levels. Clock Skew Details: - Source Clock Path C14M to SLICE_0: + Source Clock Path C14M to SLICE_1: Name Fanout Delay (ns) Site Resource -ROUTE 84 1.059 62.PADDI to R2C7A.CLK C14M_c +ROUTE 89 1.059 62.PADDI to R2C11A.CLK C14M_c -------- 1.059 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path C14M to SLICE_0: + Destination Clock Path C14M to SLICE_1: Name Fanout Delay (ns) Site Resource -ROUTE 84 1.059 62.PADDI to R2C7A.CLK C14M_c +ROUTE 89 1.059 62.PADDI to R2C11A.CLK C14M_c -------- 1.059 (0.0% logic, 100.0% route), 0 logic levels. @@ -784,188 +712,8 @@ Passed: The following path meets requirements by 0.379ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q CmdBitbangMXO2 (from C14M_c +) - Destination: FF Data in CmdBitbangMXO2 (to C14M_c +) - - Delay: 0.366ns (63.9% logic, 36.1% route), 2 logic levels. - - Constraint Details: - - 0.366ns physical path delay SLICE_12 to SLICE_12 meets - -0.013ns DIN_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.013ns) by 0.379ns - - Physical Path Details: - - Data path SLICE_12 to SLICE_12: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R4C6C.CLK to R4C6C.Q0 SLICE_12 (from C14M_c) -ROUTE 2 0.132 R4C6C.Q0 to R4C6C.A0 CmdBitbangMXO2 -CTOF_DEL --- 0.101 R4C6C.A0 to R4C6C.F0 SLICE_12 -ROUTE 1 0.000 R4C6C.F0 to R4C6C.DI0 CmdBitbangMXO2_4 (to C14M_c) - -------- - 0.366 (63.9% logic, 36.1% route), 2 logic levels. - - Clock Skew Details: - - Source Clock Path C14M to SLICE_12: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 1.059 62.PADDI to R4C6C.CLK C14M_c - -------- - 1.059 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path C14M to SLICE_12: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 1.059 62.PADDI to R4C6C.CLK C14M_c - -------- - 1.059 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.379ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q CmdLEDSet (from C14M_c +) - Destination: FF Data in CmdLEDSet (to C14M_c +) - - Delay: 0.366ns (63.9% logic, 36.1% route), 2 logic levels. - - Constraint Details: - - 0.366ns physical path delay SLICE_15 to SLICE_15 meets - -0.013ns DIN_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.013ns) by 0.379ns - - Physical Path Details: - - Data path SLICE_15 to SLICE_15: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R5C5B.CLK to R5C5B.Q0 SLICE_15 (from C14M_c) -ROUTE 2 0.132 R5C5B.Q0 to R5C5B.A0 CmdLEDSet -CTOF_DEL --- 0.101 R5C5B.A0 to R5C5B.F0 SLICE_15 -ROUTE 1 0.000 R5C5B.F0 to R5C5B.DI0 CmdLEDSet_4 (to C14M_c) - -------- - 0.366 (63.9% logic, 36.1% route), 2 logic levels. - - Clock Skew Details: - - Source Clock Path C14M to SLICE_15: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 1.059 62.PADDI to R5C5B.CLK C14M_c - -------- - 1.059 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path C14M to SLICE_15: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 1.059 62.PADDI to R5C5B.CLK C14M_c - -------- - 1.059 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.379ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q CmdRWMaskSet (from C14M_c +) - Destination: FF Data in CmdRWMaskSet (to C14M_c +) - - Delay: 0.366ns (63.9% logic, 36.1% route), 2 logic levels. - - Constraint Details: - - 0.366ns physical path delay SLICE_16 to SLICE_16 meets - -0.013ns DIN_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.013ns) by 0.379ns - - Physical Path Details: - - Data path SLICE_16 to SLICE_16: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R5C5C.CLK to R5C5C.Q0 SLICE_16 (from C14M_c) -ROUTE 2 0.132 R5C5C.Q0 to R5C5C.A0 CmdRWMaskSet -CTOF_DEL --- 0.101 R5C5C.A0 to R5C5C.F0 SLICE_16 -ROUTE 1 0.000 R5C5C.F0 to R5C5C.DI0 CmdRWMaskSet_4 (to C14M_c) - -------- - 0.366 (63.9% logic, 36.1% route), 2 logic levels. - - Clock Skew Details: - - Source Clock Path C14M to SLICE_16: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 1.059 62.PADDI to R5C5C.CLK C14M_c - -------- - 1.059 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path C14M to SLICE_16: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 1.059 62.PADDI to R5C5C.CLK C14M_c - -------- - 1.059 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.379ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q CmdSetRWBankFFLED (from C14M_c +) - Destination: FF Data in CmdSetRWBankFFLED (to C14M_c +) - - Delay: 0.366ns (63.9% logic, 36.1% route), 2 logic levels. - - Constraint Details: - - 0.366ns physical path delay SLICE_17 to SLICE_17 meets - -0.013ns DIN_HLD and - 0.000ns delay constraint less - 0.000ns skew requirement (totaling -0.013ns) by 0.379ns - - Physical Path Details: - - Data path SLICE_17 to SLICE_17: - - Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R6C5C.CLK to R6C5C.Q0 SLICE_17 (from C14M_c) -ROUTE 2 0.132 R6C5C.Q0 to R6C5C.A0 CmdSetRWBankFFLED -CTOF_DEL --- 0.101 R6C5C.A0 to R6C5C.F0 SLICE_17 -ROUTE 1 0.000 R6C5C.F0 to R6C5C.DI0 CmdSetRWBankFFLED_4 (to C14M_c) - -------- - 0.366 (63.9% logic, 36.1% route), 2 logic levels. - - Clock Skew Details: - - Source Clock Path C14M to SLICE_17: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 1.059 62.PADDI to R6C5C.CLK C14M_c - -------- - 1.059 (0.0% logic, 100.0% route), 0 logic levels. - - Destination Clock Path C14M to SLICE_17: - - Name Fanout Delay (ns) Site Resource -ROUTE 84 1.059 62.PADDI to R6C5C.CLK C14M_c - -------- - 1.059 (0.0% logic, 100.0% route), 0 logic levels. - - -Passed: The following path meets requirements by 0.379ns - - Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - - Source: FF Q CmdSetRWBankFFMXO2 (from C14M_c +) - Destination: FF Data in CmdSetRWBankFFMXO2 (to C14M_c +) + Source: FF Q CmdTout[2] (from C14M_c +) + Destination: FF Data in CmdTout[2] (to C14M_c +) Delay: 0.366ns (63.9% logic, 36.1% route), 2 logic levels. @@ -981,10 +729,10 @@ Passed: The following path meets requirements by 0.379ns Data path SLICE_18 to SLICE_18: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R6C6D.CLK to R6C6D.Q0 SLICE_18 (from C14M_c) -ROUTE 2 0.132 R6C6D.Q0 to R6C6D.A0 CmdSetRWBankFFMXO2 -CTOF_DEL --- 0.101 R6C6D.A0 to R6C6D.F0 SLICE_18 -ROUTE 1 0.000 R6C6D.F0 to R6C6D.DI0 CmdSetRWBankFFMXO2_4 (to C14M_c) +REG_DEL --- 0.133 R5C9B.CLK to R5C9B.Q1 SLICE_18 (from C14M_c) +ROUTE 2 0.132 R5C9B.Q1 to R5C9B.A1 CmdTout[2] +CTOF_DEL --- 0.101 R5C9B.A1 to R5C9B.F1 SLICE_18 +ROUTE 1 0.000 R5C9B.F1 to R5C9B.DI1 N_369_i (to C14M_c) -------- 0.366 (63.9% logic, 36.1% route), 2 logic levels. @@ -993,14 +741,14 @@ ROUTE 1 0.000 R6C6D.F0 to R6C6D.DI0 CmdSetRWBankFFMXO2_4 Source Clock Path C14M to SLICE_18: Name Fanout Delay (ns) Site Resource -ROUTE 84 1.059 62.PADDI to R6C6D.CLK C14M_c +ROUTE 89 1.059 62.PADDI to R5C9B.CLK C14M_c -------- 1.059 (0.0% logic, 100.0% route), 0 logic levels. Destination Clock Path C14M to SLICE_18: Name Fanout Delay (ns) Site Resource -ROUTE 84 1.059 62.PADDI to R6C6D.CLK C14M_c +ROUTE 89 1.059 62.PADDI to R5C9B.CLK C14M_c -------- 1.059 (0.0% logic, 100.0% route), 0 logic levels. @@ -1009,8 +757,188 @@ Passed: The following path meets requirements by 0.379ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q FS[11] (from C14M_c +) - Destination: FF Data in FS[11] (to C14M_c +) + Source: FF Q CmdTout[1] (from C14M_c +) + Destination: FF Data in CmdTout[1] (to C14M_c +) + + Delay: 0.366ns (63.9% logic, 36.1% route), 2 logic levels. + + Constraint Details: + + 0.366ns physical path delay SLICE_18 to SLICE_18 meets + -0.013ns DIN_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.013ns) by 0.379ns + + Physical Path Details: + + Data path SLICE_18 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C9B.CLK to R5C9B.Q0 SLICE_18 (from C14M_c) +ROUTE 3 0.132 R5C9B.Q0 to R5C9B.A0 CmdTout[1] +CTOF_DEL --- 0.101 R5C9B.A0 to R5C9B.F0 SLICE_18 +ROUTE 1 0.000 R5C9B.F0 to R5C9B.DI0 N_368_i (to C14M_c) + -------- + 0.366 (63.9% logic, 36.1% route), 2 logic levels. + + Clock Skew Details: + + Source Clock Path C14M to SLICE_18: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 1.059 62.PADDI to R5C9B.CLK C14M_c + -------- + 1.059 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path C14M to SLICE_18: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 1.059 62.PADDI to R5C9B.CLK C14M_c + -------- + 1.059 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.379ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS[13] (from C14M_c +) + Destination: FF Data in FS[13] (to C14M_c +) + + Delay: 0.366ns (63.9% logic, 36.1% route), 2 logic levels. + + Constraint Details: + + 0.366ns physical path delay SLICE_2 to SLICE_2 meets + -0.013ns DIN_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.013ns) by 0.379ns + + Physical Path Details: + + Data path SLICE_2 to SLICE_2: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R2C10D.CLK to R2C10D.Q0 SLICE_2 (from C14M_c) +ROUTE 19 0.132 R2C10D.Q0 to R2C10D.A0 FS[13] +CTOF_DEL --- 0.101 R2C10D.A0 to R2C10D.F0 SLICE_2 +ROUTE 1 0.000 R2C10D.F0 to R2C10D.DI0 FS_s[13] (to C14M_c) + -------- + 0.366 (63.9% logic, 36.1% route), 2 logic levels. + + Clock Skew Details: + + Source Clock Path C14M to SLICE_2: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 1.059 62.PADDI to R2C10D.CLK C14M_c + -------- + 1.059 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path C14M to SLICE_2: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 1.059 62.PADDI to R2C10D.CLK C14M_c + -------- + 1.059 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.379ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RA[9] (from C14M_c +) + Destination: FF Data in RA[9] (to C14M_c +) + + Delay: 0.366ns (63.9% logic, 36.1% route), 2 logic levels. + + Constraint Details: + + 0.366ns physical path delay SLICE_24 to SLICE_24 meets + -0.013ns DIN_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.013ns) by 0.379ns + + Physical Path Details: + + Data path SLICE_24 to SLICE_24: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C11A.CLK to R5C11A.Q1 SLICE_24 (from C14M_c) +ROUTE 2 0.132 R5C11A.Q1 to R5C11A.A1 RA[9] +CTOF_DEL --- 0.101 R5C11A.A1 to R5C11A.F1 SLICE_24 +ROUTE 1 0.000 R5C11A.F1 to R5C11A.DI1 RA_35[9] (to C14M_c) + -------- + 0.366 (63.9% logic, 36.1% route), 2 logic levels. + + Clock Skew Details: + + Source Clock Path C14M to SLICE_24: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 1.059 62.PADDI to R5C11A.CLK C14M_c + -------- + 1.059 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path C14M to SLICE_24: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 1.059 62.PADDI to R5C11A.CLK C14M_c + -------- + 1.059 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.379ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RA[11] (from C14M_c +) + Destination: FF Data in RA[11] (to C14M_c +) + + Delay: 0.366ns (63.9% logic, 36.1% route), 2 logic levels. + + Constraint Details: + + 0.366ns physical path delay SLICE_25 to SLICE_25 meets + -0.013ns DIN_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.013ns) by 0.379ns + + Physical Path Details: + + Data path SLICE_25 to SLICE_25: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C10B.CLK to R5C10B.Q1 SLICE_25 (from C14M_c) +ROUTE 2 0.132 R5C10B.Q1 to R5C10B.A1 RA[11] +CTOF_DEL --- 0.101 R5C10B.A1 to R5C10B.F1 SLICE_25 +ROUTE 1 0.000 R5C10B.F1 to R5C10B.DI1 RA_35[11] (to C14M_c) + -------- + 0.366 (63.9% logic, 36.1% route), 2 logic levels. + + Clock Skew Details: + + Source Clock Path C14M to SLICE_25: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 1.059 62.PADDI to R5C10B.CLK C14M_c + -------- + 1.059 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path C14M to SLICE_25: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 1.059 62.PADDI to R5C10B.CLK C14M_c + -------- + 1.059 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.379ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS[12] (from C14M_c +) + Destination: FF Data in FS[12] (to C14M_c +) Delay: 0.366ns (63.9% logic, 36.1% route), 2 logic levels. @@ -1026,10 +954,10 @@ Passed: The following path meets requirements by 0.379ns Data path SLICE_3 to SLICE_3: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R2C8C.CLK to R2C8C.Q0 SLICE_3 (from C14M_c) -ROUTE 19 0.132 R2C8C.Q0 to R2C8C.A0 FS[11] -CTOF_DEL --- 0.101 R2C8C.A0 to R2C8C.F0 SLICE_3 -ROUTE 1 0.000 R2C8C.F0 to R2C8C.DI0 FS_s[11] (to C14M_c) +REG_DEL --- 0.133 R2C10C.CLK to R2C10C.Q1 SLICE_3 (from C14M_c) +ROUTE 24 0.132 R2C10C.Q1 to R2C10C.A1 FS[12] +CTOF_DEL --- 0.101 R2C10C.A1 to R2C10C.F1 SLICE_3 +ROUTE 1 0.000 R2C10C.F1 to R2C10C.DI1 FS_s[12] (to C14M_c) -------- 0.366 (63.9% logic, 36.1% route), 2 logic levels. @@ -1038,14 +966,14 @@ ROUTE 1 0.000 R2C8C.F0 to R2C8C.DI0 FS_s[11] (to C14M_c) Source Clock Path C14M to SLICE_3: Name Fanout Delay (ns) Site Resource -ROUTE 84 1.059 62.PADDI to R2C8C.CLK C14M_c +ROUTE 89 1.059 62.PADDI to R2C10C.CLK C14M_c -------- 1.059 (0.0% logic, 100.0% route), 0 logic levels. Destination Clock Path C14M to SLICE_3: Name Fanout Delay (ns) Site Resource -ROUTE 84 1.059 62.PADDI to R2C8C.CLK C14M_c +ROUTE 89 1.059 62.PADDI to R2C10C.CLK C14M_c -------- 1.059 (0.0% logic, 100.0% route), 0 logic levels. @@ -1054,43 +982,133 @@ Passed: The following path meets requirements by 0.379ns Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) - Source: FF Q Ready (from C14M_c +) - Destination: FF Data in Ready (to C14M_c +) + Source: FF Q FS[10] (from C14M_c +) + Destination: FF Data in FS[10] (to C14M_c +) Delay: 0.366ns (63.9% logic, 36.1% route), 2 logic levels. Constraint Details: - 0.366ns physical path delay SLICE_32 to SLICE_32 meets + 0.366ns physical path delay SLICE_4 to SLICE_4 meets -0.013ns DIN_HLD and 0.000ns delay constraint less 0.000ns skew requirement (totaling -0.013ns) by 0.379ns Physical Path Details: - Data path SLICE_32 to SLICE_32: + Data path SLICE_4 to SLICE_4: Name Fanout Delay (ns) Site Resource -REG_DEL --- 0.133 R2C9C.CLK to R2C9C.Q0 SLICE_32 (from C14M_c) -ROUTE 2 0.132 R2C9C.Q0 to R2C9C.A0 Ready -CTOF_DEL --- 0.101 R2C9C.A0 to R2C9C.F0 SLICE_32 -ROUTE 1 0.000 R2C9C.F0 to R2C9C.DI0 N_876_0 (to C14M_c) +REG_DEL --- 0.133 R2C10B.CLK to R2C10B.Q1 SLICE_4 (from C14M_c) +ROUTE 19 0.132 R2C10B.Q1 to R2C10B.A1 FS[10] +CTOF_DEL --- 0.101 R2C10B.A1 to R2C10B.F1 SLICE_4 +ROUTE 1 0.000 R2C10B.F1 to R2C10B.DI1 FS_s[10] (to C14M_c) -------- 0.366 (63.9% logic, 36.1% route), 2 logic levels. Clock Skew Details: - Source Clock Path C14M to SLICE_32: + Source Clock Path C14M to SLICE_4: Name Fanout Delay (ns) Site Resource -ROUTE 84 1.059 62.PADDI to R2C9C.CLK C14M_c +ROUTE 89 1.059 62.PADDI to R2C10B.CLK C14M_c -------- 1.059 (0.0% logic, 100.0% route), 0 logic levels. - Destination Clock Path C14M to SLICE_32: + Destination Clock Path C14M to SLICE_4: Name Fanout Delay (ns) Site Resource -ROUTE 84 1.059 62.PADDI to R2C9C.CLK C14M_c +ROUTE 89 1.059 62.PADDI to R2C10B.CLK C14M_c + -------- + 1.059 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.379ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS[7] (from C14M_c +) + Destination: FF Data in FS[7] (to C14M_c +) + + Delay: 0.366ns (63.9% logic, 36.1% route), 2 logic levels. + + Constraint Details: + + 0.366ns physical path delay SLICE_5 to SLICE_5 meets + -0.013ns DIN_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.013ns) by 0.379ns + + Physical Path Details: + + Data path SLICE_5 to SLICE_5: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R2C10A.CLK to R2C10A.Q0 SLICE_5 (from C14M_c) +ROUTE 4 0.132 R2C10A.Q0 to R2C10A.A0 FS[7] +CTOF_DEL --- 0.101 R2C10A.A0 to R2C10A.F0 SLICE_5 +ROUTE 1 0.000 R2C10A.F0 to R2C10A.DI0 FS_s[7] (to C14M_c) + -------- + 0.366 (63.9% logic, 36.1% route), 2 logic levels. + + Clock Skew Details: + + Source Clock Path C14M to SLICE_5: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 1.059 62.PADDI to R2C10A.CLK C14M_c + -------- + 1.059 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path C14M to SLICE_5: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 1.059 62.PADDI to R2C10A.CLK C14M_c + -------- + 1.059 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.379ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS[6] (from C14M_c +) + Destination: FF Data in FS[6] (to C14M_c +) + + Delay: 0.366ns (63.9% logic, 36.1% route), 2 logic levels. + + Constraint Details: + + 0.366ns physical path delay SLICE_6 to SLICE_6 meets + -0.013ns DIN_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.013ns) by 0.379ns + + Physical Path Details: + + Data path SLICE_6 to SLICE_6: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R2C9D.CLK to R2C9D.Q1 SLICE_6 (from C14M_c) +ROUTE 4 0.132 R2C9D.Q1 to R2C9D.A1 FS[6] +CTOF_DEL --- 0.101 R2C9D.A1 to R2C9D.F1 SLICE_6 +ROUTE 1 0.000 R2C9D.F1 to R2C9D.DI1 FS_s[6] (to C14M_c) + -------- + 0.366 (63.9% logic, 36.1% route), 2 logic levels. + + Clock Skew Details: + + Source Clock Path C14M to SLICE_6: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 1.059 62.PADDI to R2C9D.CLK C14M_c + -------- + 1.059 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path C14M to SLICE_6: + + Name Fanout Delay (ns) Site Resource +ROUTE 89 1.059 62.PADDI to R2C9D.CLK C14M_c -------- 1.059 (0.0% logic, 100.0% route), 0 logic levels. @@ -1100,7 +1118,7 @@ ROUTE 84 1.059 62.PADDI to R2C9C.CLK C14M_c Preference(MIN Delays) | Constraint| Actual|Levels ---------------------------------------------------------------------------- | | | -FREQUENCY PORT "C14M" 14.300000 MHz ; | -| -| 1 +FREQUENCY PORT "C14M" 14.300000 MHz ; | -| -| 2 | | | ---------------------------------------------------------------------------- @@ -1113,7 +1131,7 @@ All preferences were met. Found 1 clocks: -Clock Domain: C14M_c Source: C14M.PAD Loads: 84 +Clock Domain: C14M_c Source: C14M.PAD Loads: 89 Covered under: FREQUENCY PORT "C14M" 14.300000 MHz ; @@ -1123,7 +1141,7 @@ Clock Domain: C14M_c Source: C14M.PAD Loads: 84 Timing errors: 0 Score: 0 Cumulative negative slack: 0 -Constraints cover 1491 paths, 1 nets, and 933 connections (82.86% coverage) +Constraints cover 1611 paths, 1 nets, and 1095 connections (82.33% coverage) diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_vo.sdf b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_vo.sdf index 5fa7701..a190de7 100644 --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_vo.sdf +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_vo.sdf @@ -1,7 +1,7 @@ (DELAYFILE (SDFVERSION "3.0") (DESIGN "RAM2E") - (DATE "Thu Sep 21 05:35:15 2023") + (DATE "Thu Dec 28 23:10:23 2023") (VENDOR "Lattice") (PROGRAM "ldbanno") (VERSION "Diamond (64-bit) 3.12.1.454") @@ -233,9 +233,30 @@ (INSTANCE SLICE_9) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + ) + (CELL + (CELLTYPE "SLICE_10") + (INSTANCE SLICE_10) + (DELAY + (ABSOLUTE (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) @@ -251,8 +272,30 @@ ) ) (CELL - (CELLTYPE "SLICE_10") - (INSTANCE SLICE_10) + (CELLTYPE "SLICE_11") + (INSTANCE SLICE_11) + (DELAY + (ABSOLUTE + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + ) + (CELL + (CELLTYPE "SLICE_12") + (INSTANCE SLICE_12) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -261,6 +304,7 @@ (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) (IOPATH CLK Q1 (392:422:452)(392:422:452)) @@ -279,13 +323,15 @@ ) ) (CELL - (CELLTYPE "SLICE_11") - (INSTANCE SLICE_11) + (CELLTYPE "SLICE_13") + (INSTANCE SLICE_13) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) @@ -303,60 +349,11 @@ (WIDTH (negedge CLK) (1250:1250:1250)) ) ) - (CELL - (CELLTYPE "SLICE_12") - (INSTANCE SLICE_12) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - (IOPATH CLK Q0 (392:422:452)(392:422:452)) - ) - ) - (TIMINGCHECK - (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) - (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1250:1250:1250)) - (WIDTH (negedge CLK) (1250:1250:1250)) - ) - ) - (CELL - (CELLTYPE "SLICE_13") - (INSTANCE SLICE_13) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - (IOPATH CLK Q0 (392:422:452)(392:422:452)) - ) - ) - (TIMINGCHECK - (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) - (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1250:1250:1250)) - (WIDTH (negedge CLK) (1250:1250:1250)) - ) - ) (CELL (CELLTYPE "SLICE_14") (INSTANCE SLICE_14) (DELAY (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) @@ -381,12 +378,12 @@ (INSTANCE SLICE_15) (DELAY (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) ) @@ -406,6 +403,7 @@ (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) @@ -429,12 +427,12 @@ (INSTANCE SLICE_17) (DELAY (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) ) @@ -451,30 +449,6 @@ (CELL (CELLTYPE "SLICE_18") (INSTANCE SLICE_18) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - (IOPATH CLK Q0 (392:422:452)(392:422:452)) - ) - ) - (TIMINGCHECK - (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) - (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1250:1250:1250)) - (WIDTH (negedge CLK) (1250:1250:1250)) - ) - ) - (CELL - (CELLTYPE "SLICE_19") - (INSTANCE SLICE_19) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -498,6 +472,29 @@ (WIDTH (negedge CLK) (1250:1250:1250)) ) ) + (CELL + (CELLTYPE "SLICE_19") + (INSTANCE SLICE_19) + (DELAY + (ABSOLUTE + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37)) + (SETUPHOLD LSR (posedge CLK) (225:249:274)(-225:-183:-141)) + ) + (TIMINGCHECK + (WIDTH (posedge LSR) (4000:4000:4000)) + (WIDTH (negedge LSR) (4000:4000:4000)) + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + ) (CELL (CELLTYPE "SLICE_20") (INSTANCE SLICE_20) @@ -512,10 +509,13 @@ (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) + (IOPATH CLK Q1 (392:422:452)(392:422:452)) ) ) (TIMINGCHECK + (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11)) (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) ) (TIMINGCHECK (WIDTH (posedge CLK) (1250:1250:1250)) @@ -531,12 +531,15 @@ (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) + (IOPATH CLK Q1 (392:422:452)(392:422:452)) ) ) (TIMINGCHECK + (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11)) (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) ) @@ -552,9 +555,10 @@ (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) (IOPATH CLK Q1 (392:422:452)(392:422:452)) @@ -575,11 +579,11 @@ (INSTANCE SLICE_23) (DELAY (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) (IOPATH CLK Q1 (392:422:452)(392:422:452)) @@ -600,10 +604,11 @@ (INSTANCE SLICE_24) (DELAY (ABSOLUTE - (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) @@ -625,11 +630,13 @@ (INSTANCE SLICE_25) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) (IOPATH CLK Q1 (392:422:452)(392:422:452)) @@ -651,9 +658,9 @@ (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) @@ -676,7 +683,7 @@ (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) @@ -700,11 +707,11 @@ (INSTANCE SLICE_28) (DELAY (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) (IOPATH CLK Q1 (392:422:452)(392:422:452)) @@ -725,8 +732,8 @@ (INSTANCE SLICE_29) (DELAY (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) @@ -751,9 +758,9 @@ (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) @@ -775,6 +782,7 @@ (INSTANCE SLICE_31) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) @@ -878,19 +886,17 @@ (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) - (IOPATH CLK Q1 (392:422:452)(392:422:452)) ) ) (TIMINGCHECK - (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11)) (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) - (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) + (SETUPHOLD LSR (posedge CLK) (225:249:274)(-225:-183:-141)) ) (TIMINGCHECK + (WIDTH (posedge LSR) (4000:4000:4000)) + (WIDTH (negedge LSR) (4000:4000:4000)) (WIDTH (posedge CLK) (1250:1250:1250)) (WIDTH (negedge CLK) (1250:1250:1250)) ) @@ -900,18 +906,19 @@ (INSTANCE SLICE_36) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) - (IOPATH CLK Q1 (392:422:452)(392:422:452)) ) ) (TIMINGCHECK - (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11)) (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) - (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) ) (TIMINGCHECK (WIDTH (posedge CLK) (1250:1250:1250)) @@ -923,20 +930,19 @@ (INSTANCE SLICE_37) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) - (IOPATH CLK Q1 (392:422:452)(392:422:452)) ) ) (TIMINGCHECK - (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11)) (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) - (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) ) (TIMINGCHECK (WIDTH (posedge CLK) (1250:1250:1250)) @@ -948,8 +954,154 @@ (INSTANCE SLICE_38) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_39") + (INSTANCE ram2e_ufm\/SLICE_39) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_40") + (INSTANCE ram2e_ufm\/SLICE_40) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_41") + (INSTANCE ram2e_ufm\/SLICE_41) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_42") + (INSTANCE ram2e_ufm\/SLICE_42) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_43") + (INSTANCE ram2e_ufm\/SLICE_43) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + (IOPATH CLK Q1 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_44") + (INSTANCE ram2e_ufm\/SLICE_44) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) @@ -968,21 +1120,22 @@ ) ) (CELL - (CELLTYPE "SLICE_39") - (INSTANCE SLICE_39) + (CELLTYPE "ram2e_ufm_SLICE_45") + (INSTANCE ram2e_ufm\/SLICE_45) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) + (IOPATH CLK Q1 (392:422:452)(392:422:452)) ) ) (TIMINGCHECK + (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11)) (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) ) @@ -992,8 +1145,33 @@ ) ) (CELL - (CELLTYPE "SLICE_40") - (INSTANCE SLICE_40) + (CELLTYPE "ram2e_ufm_SLICE_46") + (INSTANCE ram2e_ufm\/SLICE_46) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + (IOPATH CLK Q1 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_47") + (INSTANCE ram2e_ufm\/SLICE_47) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1019,8 +1197,31 @@ ) ) (CELL - (CELLTYPE "SLICE_41") - (INSTANCE SLICE_41) + (CELLTYPE "ram2e_ufm_SLICE_48") + (INSTANCE ram2e_ufm\/SLICE_48) + (DELAY + (ABSOLUTE + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + (IOPATH CLK Q1 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_49") + (INSTANCE ram2e_ufm\/SLICE_49) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1028,6 +1229,29 @@ (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + (IOPATH CLK Q1 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_50") + (INSTANCE ram2e_ufm\/SLICE_50) + (DELAY + (ABSOLUTE + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) @@ -1045,8 +1269,32 @@ ) ) (CELL - (CELLTYPE "SLICE_42") - (INSTANCE SLICE_42) + (CELLTYPE "ram2e_ufm_SLICE_51") + (INSTANCE ram2e_ufm\/SLICE_51) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_52") + (INSTANCE ram2e_ufm\/SLICE_52) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1072,8 +1320,8 @@ ) ) (CELL - (CELLTYPE "SLICE_43") - (INSTANCE SLICE_43) + (CELLTYPE "ram2e_ufm_SLICE_53") + (INSTANCE ram2e_ufm\/SLICE_53) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1082,6 +1330,7 @@ (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH A0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) (IOPATH CLK Q1 (392:422:452)(392:422:452)) @@ -1098,12 +1347,67 @@ ) ) (CELL - (CELLTYPE "SLICE_44") - (INSTANCE SLICE_44) + (CELLTYPE "ram2e_ufm_SLICE_54") + (INSTANCE ram2e_ufm\/SLICE_54) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + (IOPATH CLK Q1 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_55") + (INSTANCE ram2e_ufm\/SLICE_55) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + (IOPATH CLK Q0 (392:422:452)(392:422:452)) + (IOPATH CLK Q1 (392:422:452)(392:422:452)) + ) + ) + (TIMINGCHECK + (SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11)) + (SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79)) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1250:1250:1250)) + (WIDTH (negedge CLK) (1250:1250:1250)) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_56") + (INSTANCE ram2e_ufm\/SLICE_56) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) @@ -1125,13 +1429,15 @@ ) ) (CELL - (CELLTYPE "SLICE_45") - (INSTANCE SLICE_45) + (CELLTYPE "ram2e_ufm_SLICE_57") + (INSTANCE ram2e_ufm\/SLICE_57) (DELAY (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) (IOPATH CLK Q0 (392:422:452)(392:422:452)) ) @@ -1148,8 +1454,8 @@ ) ) (CELL - (CELLTYPE "SLICE_46") - (INSTANCE SLICE_46) + (CELLTYPE "ram2e_ufm_SLICE_58") + (INSTANCE ram2e_ufm\/SLICE_58) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1173,8 +1479,54 @@ ) ) (CELL - (CELLTYPE "SLICE_47") - (INSTANCE SLICE_47) + (CELLTYPE "ram2e_ufm_SUM0_i_m3_0_SLICE_59") + (INSTANCE ram2e_ufm\/SUM0_i_m3_0\/SLICE_59) + (DELAY + (ABSOLUTE + (IOPATH D1 OFX0 (457:589:721)(457:589:721)) + (IOPATH B1 OFX0 (457:589:721)(457:589:721)) + (IOPATH A1 OFX0 (457:589:721)(457:589:721)) + (IOPATH D0 OFX0 (457:589:721)(457:589:721)) + (IOPATH B0 OFX0 (457:589:721)(457:589:721)) + (IOPATH A0 OFX0 (457:589:721)(457:589:721)) + (IOPATH M0 OFX0 (322:349:376)(322:349:376)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_un1_CS_0_sqmuxa_0_0_0_SLICE_60") + (INSTANCE ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60) + (DELAY + (ABSOLUTE + (IOPATH D1 OFX0 (457:589:721)(457:589:721)) + (IOPATH C1 OFX0 (457:589:721)(457:589:721)) + (IOPATH B1 OFX0 (457:589:721)(457:589:721)) + (IOPATH A1 OFX0 (457:589:721)(457:589:721)) + (IOPATH C0 OFX0 (457:589:721)(457:589:721)) + (IOPATH B0 OFX0 (457:589:721)(457:589:721)) + (IOPATH A0 OFX0 (457:589:721)(457:589:721)) + (IOPATH M0 OFX0 (322:349:376)(322:349:376)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_CKE_7_SLICE_61") + (INSTANCE ram2e_ufm\/CKE_7\/SLICE_61) + (DELAY + (ABSOLUTE + (IOPATH D1 OFX0 (457:589:721)(457:589:721)) + (IOPATH C1 OFX0 (457:589:721)(457:589:721)) + (IOPATH A1 OFX0 (457:589:721)(457:589:721)) + (IOPATH D0 OFX0 (457:589:721)(457:589:721)) + (IOPATH B0 OFX0 (457:589:721)(457:589:721)) + (IOPATH A0 OFX0 (457:589:721)(457:589:721)) + (IOPATH M0 OFX0 (322:349:376)(322:349:376)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_62") + (INSTANCE ram2e_ufm\/SLICE_62) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1189,8 +1541,8 @@ ) ) (CELL - (CELLTYPE "SLICE_48") - (INSTANCE SLICE_48) + (CELLTYPE "ram2e_ufm_SLICE_63") + (INSTANCE ram2e_ufm\/SLICE_63) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1205,8 +1557,23 @@ ) ) (CELL - (CELLTYPE "SLICE_49") - (INSTANCE SLICE_49) + (CELLTYPE "ram2e_ufm_SLICE_64") + (INSTANCE ram2e_ufm\/SLICE_64) + (DELAY + (ABSOLUTE + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_65") + (INSTANCE ram2e_ufm\/SLICE_65) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1221,8 +1588,8 @@ ) ) (CELL - (CELLTYPE "SLICE_50") - (INSTANCE SLICE_50) + (CELLTYPE "ram2e_ufm_SLICE_66") + (INSTANCE ram2e_ufm\/SLICE_66) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1237,8 +1604,23 @@ ) ) (CELL - (CELLTYPE "SLICE_51") - (INSTANCE SLICE_51) + (CELLTYPE "ram2e_ufm_SLICE_67") + (INSTANCE ram2e_ufm\/SLICE_67) + (DELAY + (ABSOLUTE + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_68") + (INSTANCE ram2e_ufm\/SLICE_68) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1253,8 +1635,8 @@ ) ) (CELL - (CELLTYPE "SLICE_52") - (INSTANCE SLICE_52) + (CELLTYPE "ram2e_ufm_SLICE_69") + (INSTANCE ram2e_ufm\/SLICE_69) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1269,8 +1651,52 @@ ) ) (CELL - (CELLTYPE "SLICE_53") - (INSTANCE SLICE_53) + (CELLTYPE "ram2e_ufm_SLICE_70") + (INSTANCE ram2e_ufm\/SLICE_70) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_71") + (INSTANCE ram2e_ufm\/SLICE_71) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_72") + (INSTANCE ram2e_ufm\/SLICE_72) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_73") + (INSTANCE ram2e_ufm\/SLICE_73) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1285,8 +1711,81 @@ ) ) (CELL - (CELLTYPE "SLICE_54") - (INSTANCE SLICE_54) + (CELLTYPE "ram2e_ufm_SLICE_74") + (INSTANCE ram2e_ufm\/SLICE_74) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_75") + (INSTANCE ram2e_ufm\/SLICE_75) + (DELAY + (ABSOLUTE + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_76") + (INSTANCE ram2e_ufm\/SLICE_76) + (DELAY + (ABSOLUTE + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_77") + (INSTANCE ram2e_ufm\/SLICE_77) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_78") + (INSTANCE ram2e_ufm\/SLICE_78) + (DELAY + (ABSOLUTE + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_79") + (INSTANCE ram2e_ufm\/SLICE_79) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1301,8 +1800,8 @@ ) ) (CELL - (CELLTYPE "SLICE_55") - (INSTANCE SLICE_55) + (CELLTYPE "ram2e_ufm_SLICE_80") + (INSTANCE ram2e_ufm\/SLICE_80) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1317,22 +1816,8 @@ ) ) (CELL - (CELLTYPE "SLICE_56") - (INSTANCE SLICE_56) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_57") - (INSTANCE SLICE_57) + (CELLTYPE "ram2e_ufm_SLICE_81") + (INSTANCE ram2e_ufm\/SLICE_81) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1347,8 +1832,8 @@ ) ) (CELL - (CELLTYPE "SLICE_58") - (INSTANCE SLICE_58) + (CELLTYPE "ram2e_ufm_SLICE_82") + (INSTANCE ram2e_ufm\/SLICE_82) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1363,8 +1848,23 @@ ) ) (CELL - (CELLTYPE "SLICE_59") - (INSTANCE SLICE_59) + (CELLTYPE "ram2e_ufm_SLICE_83") + (INSTANCE ram2e_ufm\/SLICE_83) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_84") + (INSTANCE ram2e_ufm\/SLICE_84) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1379,126 +1879,8 @@ ) ) (CELL - (CELLTYPE "SLICE_60") - (INSTANCE SLICE_60) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_61") - (INSTANCE SLICE_61) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_62") - (INSTANCE SLICE_62) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_63") - (INSTANCE SLICE_63) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_64") - (INSTANCE SLICE_64) - (DELAY - (ABSOLUTE - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_65") - (INSTANCE SLICE_65) - (DELAY - (ABSOLUTE - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_66") - (INSTANCE SLICE_66) - (DELAY - (ABSOLUTE - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_67") - (INSTANCE SLICE_67) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_68") - (INSTANCE SLICE_68) + (CELLTYPE "ram2e_ufm_SLICE_85") + (INSTANCE ram2e_ufm\/SLICE_85) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1513,8 +1895,66 @@ ) ) (CELL - (CELLTYPE "SLICE_69") - (INSTANCE SLICE_69) + (CELLTYPE "ram2e_ufm_SLICE_86") + (INSTANCE ram2e_ufm\/SLICE_86) + (DELAY + (ABSOLUTE + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_87") + (INSTANCE ram2e_ufm\/SLICE_87) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_88") + (INSTANCE ram2e_ufm\/SLICE_88) + (DELAY + (ABSOLUTE + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_89") + (INSTANCE ram2e_ufm\/SLICE_89) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_90") + (INSTANCE ram2e_ufm\/SLICE_90) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1529,8 +1969,8 @@ ) ) (CELL - (CELLTYPE "SLICE_70") - (INSTANCE SLICE_70) + (CELLTYPE "ram2e_ufm_SLICE_91") + (INSTANCE ram2e_ufm\/SLICE_91) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1545,8 +1985,8 @@ ) ) (CELL - (CELLTYPE "SLICE_71") - (INSTANCE SLICE_71) + (CELLTYPE "ram2e_ufm_SLICE_92") + (INSTANCE ram2e_ufm\/SLICE_92) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1561,8 +2001,37 @@ ) ) (CELL - (CELLTYPE "SLICE_72") - (INSTANCE SLICE_72) + (CELLTYPE "ram2e_ufm_SLICE_93") + (INSTANCE ram2e_ufm\/SLICE_93) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_94") + (INSTANCE ram2e_ufm\/SLICE_94) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_95") + (INSTANCE ram2e_ufm\/SLICE_95) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1577,8 +2046,8 @@ ) ) (CELL - (CELLTYPE "SLICE_73") - (INSTANCE SLICE_73) + (CELLTYPE "ram2e_ufm_SLICE_96") + (INSTANCE ram2e_ufm\/SLICE_96) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1593,52 +2062,8 @@ ) ) (CELL - (CELLTYPE "SLICE_74") - (INSTANCE SLICE_74) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_75") - (INSTANCE SLICE_75) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_76") - (INSTANCE SLICE_76) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_77") - (INSTANCE SLICE_77) + (CELLTYPE "ram2e_ufm_SLICE_97") + (INSTANCE ram2e_ufm\/SLICE_97) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1652,11 +2077,26 @@ ) ) (CELL - (CELLTYPE "SLICE_78") - (INSTANCE SLICE_78) + (CELLTYPE "ram2e_ufm_SLICE_98") + (INSTANCE ram2e_ufm\/SLICE_98) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_99") + (INSTANCE ram2e_ufm\/SLICE_99) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) @@ -1666,36 +2106,8 @@ ) ) (CELL - (CELLTYPE "SLICE_79") - (INSTANCE SLICE_79) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_80") - (INSTANCE SLICE_80) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_81") - (INSTANCE SLICE_81) + (CELLTYPE "ram2e_ufm_SLICE_100") + (INSTANCE ram2e_ufm\/SLICE_100) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1710,8 +2122,93 @@ ) ) (CELL - (CELLTYPE "SLICE_82") - (INSTANCE SLICE_82) + (CELLTYPE "ram2e_ufm_SLICE_101") + (INSTANCE ram2e_ufm\/SLICE_101) + (DELAY + (ABSOLUTE + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_102") + (INSTANCE ram2e_ufm\/SLICE_102) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_103") + (INSTANCE ram2e_ufm\/SLICE_103) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_104") + (INSTANCE ram2e_ufm\/SLICE_104) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_105") + (INSTANCE ram2e_ufm\/SLICE_105) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_106") + (INSTANCE ram2e_ufm\/SLICE_106) + (DELAY + (ABSOLUTE + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_107") + (INSTANCE ram2e_ufm\/SLICE_107) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1726,8 +2223,140 @@ ) ) (CELL - (CELLTYPE "SLICE_83") - (INSTANCE SLICE_83) + (CELLTYPE "ram2e_ufm_SLICE_108") + (INSTANCE ram2e_ufm\/SLICE_108) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_109") + (INSTANCE ram2e_ufm\/SLICE_109) + (DELAY + (ABSOLUTE + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_110") + (INSTANCE ram2e_ufm\/SLICE_110) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_111") + (INSTANCE ram2e_ufm\/SLICE_111) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_112") + (INSTANCE ram2e_ufm\/SLICE_112) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_113") + (INSTANCE ram2e_ufm\/SLICE_113) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_114") + (INSTANCE ram2e_ufm\/SLICE_114) + (DELAY + (ABSOLUTE + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_115") + (INSTANCE ram2e_ufm\/SLICE_115) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_116") + (INSTANCE ram2e_ufm\/SLICE_116) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_117") + (INSTANCE ram2e_ufm\/SLICE_117) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1742,8 +2371,8 @@ ) ) (CELL - (CELLTYPE "SLICE_84") - (INSTANCE SLICE_84) + (CELLTYPE "ram2e_ufm_SLICE_118") + (INSTANCE ram2e_ufm\/SLICE_118) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1758,8 +2387,8 @@ ) ) (CELL - (CELLTYPE "SLICE_85") - (INSTANCE SLICE_85) + (CELLTYPE "ram2e_ufm_SLICE_119") + (INSTANCE ram2e_ufm\/SLICE_119) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1774,8 +2403,8 @@ ) ) (CELL - (CELLTYPE "SLICE_86") - (INSTANCE SLICE_86) + (CELLTYPE "ram2e_ufm_SLICE_120") + (INSTANCE ram2e_ufm\/SLICE_120) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1790,81 +2419,8 @@ ) ) (CELL - (CELLTYPE "SLICE_87") - (INSTANCE SLICE_87) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_88") - (INSTANCE SLICE_88) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_89") - (INSTANCE SLICE_89) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_90") - (INSTANCE SLICE_90) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_91") - (INSTANCE SLICE_91) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_92") - (INSTANCE SLICE_92) + (CELLTYPE "ram2e_ufm_SLICE_121") + (INSTANCE ram2e_ufm\/SLICE_121) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1879,8 +2435,8 @@ ) ) (CELL - (CELLTYPE "SLICE_93") - (INSTANCE SLICE_93) + (CELLTYPE "ram2e_ufm_SLICE_122") + (INSTANCE ram2e_ufm\/SLICE_122) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1895,8 +2451,23 @@ ) ) (CELL - (CELLTYPE "SLICE_94") - (INSTANCE SLICE_94) + (CELLTYPE "ram2e_ufm_SLICE_123") + (INSTANCE ram2e_ufm\/SLICE_123) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_124") + (INSTANCE ram2e_ufm\/SLICE_124) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1911,8 +2482,8 @@ ) ) (CELL - (CELLTYPE "SLICE_95") - (INSTANCE SLICE_95) + (CELLTYPE "ram2e_ufm_SLICE_125") + (INSTANCE ram2e_ufm\/SLICE_125) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -1927,80 +2498,8 @@ ) ) (CELL - (CELLTYPE "SLICE_96") - (INSTANCE SLICE_96) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_97") - (INSTANCE SLICE_97) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_98") - (INSTANCE SLICE_98) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_99") - (INSTANCE SLICE_99) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_100") - (INSTANCE SLICE_100) - (DELAY - (ABSOLUTE - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_101") - (INSTANCE SLICE_101) + (CELLTYPE "ram2e_ufm_SLICE_126") + (INSTANCE ram2e_ufm\/SLICE_126) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -2015,8 +2514,8 @@ ) ) (CELL - (CELLTYPE "SLICE_102") - (INSTANCE SLICE_102) + (CELLTYPE "ram2e_ufm_SLICE_127") + (INSTANCE ram2e_ufm\/SLICE_127) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -2031,8 +2530,23 @@ ) ) (CELL - (CELLTYPE "SLICE_103") - (INSTANCE SLICE_103) + (CELLTYPE "ram2e_ufm_SLICE_128") + (INSTANCE ram2e_ufm\/SLICE_128) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_129") + (INSTANCE ram2e_ufm\/SLICE_129) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -2047,8 +2561,22 @@ ) ) (CELL - (CELLTYPE "SLICE_104") - (INSTANCE SLICE_104) + (CELLTYPE "ram2e_ufm_SLICE_130") + (INSTANCE ram2e_ufm\/SLICE_130) + (DELAY + (ABSOLUTE + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_131") + (INSTANCE ram2e_ufm\/SLICE_131) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -2063,8 +2591,8 @@ ) ) (CELL - (CELLTYPE "SLICE_105") - (INSTANCE SLICE_105) + (CELLTYPE "ram2e_ufm_SLICE_132") + (INSTANCE ram2e_ufm\/SLICE_132) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -2079,50 +2607,23 @@ ) ) (CELL - (CELLTYPE "SLICE_106") - (INSTANCE SLICE_106) - (DELAY - (ABSOLUTE - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_107") - (INSTANCE SLICE_107) + (CELLTYPE "ram2e_ufm_SLICE_133") + (INSTANCE ram2e_ufm\/SLICE_133) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_108") - (INSTANCE SLICE_108) - (DELAY - (ABSOLUTE (IOPATH C1 F1 (367:431:495)(367:431:495)) (IOPATH B1 F1 (367:431:495)(367:431:495)) (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH D0 F0 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) ) ) ) (CELL - (CELLTYPE "SLICE_109") - (INSTANCE SLICE_109) + (CELLTYPE "ram2e_ufm_SLICE_134") + (INSTANCE ram2e_ufm\/SLICE_134) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -2137,8 +2638,152 @@ ) ) (CELL - (CELLTYPE "SLICE_110") - (INSTANCE SLICE_110) + (CELLTYPE "ram2e_ufm_SLICE_135") + (INSTANCE ram2e_ufm\/SLICE_135) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_136") + (INSTANCE ram2e_ufm\/SLICE_136) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_137") + (INSTANCE ram2e_ufm\/SLICE_137) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "SLICE_138") + (INSTANCE SLICE_138) + (DELAY + (ABSOLUTE + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "SLICE_139") + (INSTANCE SLICE_139) + (DELAY + (ABSOLUTE + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + (IOPATH A0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_140") + (INSTANCE ram2e_ufm\/SLICE_140) + (DELAY + (ABSOLUTE + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_141") + (INSTANCE ram2e_ufm\/SLICE_141) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_142") + (INSTANCE ram2e_ufm\/SLICE_142) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_143") + (INSTANCE ram2e_ufm\/SLICE_143) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_144") + (INSTANCE ram2e_ufm\/SLICE_144) + (DELAY + (ABSOLUTE + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH D0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_145") + (INSTANCE ram2e_ufm\/SLICE_145) + (DELAY + (ABSOLUTE + (IOPATH D1 F1 (367:431:495)(367:431:495)) + (IOPATH C1 F1 (367:431:495)(367:431:495)) + (IOPATH B1 F1 (367:431:495)(367:431:495)) + (IOPATH A1 F1 (367:431:495)(367:431:495)) + (IOPATH C0 F0 (367:431:495)(367:431:495)) + (IOPATH B0 F0 (367:431:495)(367:431:495)) + ) + ) + ) + (CELL + (CELLTYPE "ram2e_ufm_SLICE_146") + (INSTANCE ram2e_ufm\/SLICE_146) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -2153,22 +2798,8 @@ ) ) (CELL - (CELLTYPE "SLICE_111") - (INSTANCE SLICE_111) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_112") - (INSTANCE SLICE_112) + (CELLTYPE "ram2e_ufm_SLICE_147") + (INSTANCE ram2e_ufm\/SLICE_147) (DELAY (ABSOLUTE (IOPATH D1 F1 (367:431:495)(367:431:495)) @@ -2177,103 +2808,6 @@ (IOPATH A1 F1 (367:431:495)(367:431:495)) (IOPATH C0 F0 (367:431:495)(367:431:495)) (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_113") - (INSTANCE SLICE_113) - (DELAY - (ABSOLUTE - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_114") - (INSTANCE SLICE_114) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_115") - (INSTANCE SLICE_115) - (DELAY - (ABSOLUTE - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_116") - (INSTANCE SLICE_116) - (DELAY - (ABSOLUTE - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_117") - (INSTANCE SLICE_117) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B1 F1 (367:431:495)(367:431:495)) - (IOPATH A1 F1 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_118") - (INSTANCE SLICE_118) - (DELAY - (ABSOLUTE - (IOPATH D1 F1 (367:431:495)(367:431:495)) - (IOPATH C1 F1 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) - ) - ) - ) - (CELL - (CELLTYPE "SLICE_119") - (INSTANCE SLICE_119) - (DELAY - (ABSOLUTE - (IOPATH D0 F0 (367:431:495)(367:431:495)) - (IOPATH C0 F0 (367:431:495)(367:431:495)) - (IOPATH B0 F0 (367:431:495)(367:431:495)) - (IOPATH A0 F0 (367:431:495)(367:431:495)) ) ) ) @@ -2315,56 +2849,6 @@ (WIDTH (negedge C14M) (3330:3330:3330)) ) ) - (CELL - (CELLTYPE "DQMH") - (INSTANCE DQMH_I) - (DELAY - (ABSOLUTE - (IOPATH IOLDO DQMH (3411:3517:3624)(3411:3517:3624)) - ) - ) - ) - (CELL - (CELLTYPE "DQMH_MGIOL") - (INSTANCE DQMH_MGIOL) - (DELAY - (ABSOLUTE - (IOPATH CLK IOLDO (546:556:567)(546:556:567)) - ) - ) - (TIMINGCHECK - (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) - ) - ) - (CELL - (CELLTYPE "DQML") - (INSTANCE DQML_I) - (DELAY - (ABSOLUTE - (IOPATH IOLDO DQML (3411:3517:3624)(3411:3517:3624)) - ) - ) - ) - (CELL - (CELLTYPE "DQML_MGIOL") - (INSTANCE DQML_MGIOL) - (DELAY - (ABSOLUTE - (IOPATH CLK IOLDO (546:556:567)(546:556:567)) - ) - ) - (TIMINGCHECK - (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) - ) - ) (CELL (CELLTYPE "RD_7_") (INSTANCE RD\[7\]_I) @@ -2478,117 +2962,17 @@ ) ) (CELL - (CELLTYPE "RA_11_") - (INSTANCE RA\[11\]_I) + (CELLTYPE "DQMH") + (INSTANCE DQMH_I) (DELAY (ABSOLUTE - (IOPATH IOLDO RA11 (3411:3517:3624)(3411:3517:3624)) + (IOPATH IOLDO DQMH (3411:3517:3624)(3411:3517:3624)) ) ) ) (CELL - (CELLTYPE "RA_11__MGIOL") - (INSTANCE RA\[11\]_MGIOL) - (DELAY - (ABSOLUTE - (IOPATH CLK IOLDO (546:556:567)(546:556:567)) - ) - ) - (TIMINGCHECK - (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) - ) - ) - (CELL - (CELLTYPE "RA_10_") - (INSTANCE RA\[10\]_I) - (DELAY - (ABSOLUTE - (IOPATH IOLDO RA10 (3411:3517:3624)(3411:3517:3624)) - ) - ) - ) - (CELL - (CELLTYPE "RA_10__MGIOL") - (INSTANCE RA\[10\]_MGIOL) - (DELAY - (ABSOLUTE - (IOPATH CLK IOLDO (546:556:567)(546:556:567)) - ) - ) - (TIMINGCHECK - (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) - ) - ) - (CELL - (CELLTYPE "RA_9_") - (INSTANCE RA\[9\]_I) - (DELAY - (ABSOLUTE - (IOPATH IOLDO RA9 (3411:3517:3624)(3411:3517:3624)) - ) - ) - ) - (CELL - (CELLTYPE "RA_9__MGIOL") - (INSTANCE RA\[9\]_MGIOL) - (DELAY - (ABSOLUTE - (IOPATH CLK IOLDO (546:556:567)(546:556:567)) - ) - ) - (TIMINGCHECK - (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) - ) - ) - (CELL - (CELLTYPE "RA_8_") - (INSTANCE RA\[8\]_I) - (DELAY - (ABSOLUTE - (IOPATH IOLDO RA8 (3411:3517:3624)(3411:3517:3624)) - ) - ) - ) - (CELL - (CELLTYPE "RA_8__MGIOL") - (INSTANCE RA\[8\]_MGIOL) - (DELAY - (ABSOLUTE - (IOPATH CLK IOLDO (546:556:567)(546:556:567)) - ) - ) - (TIMINGCHECK - (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) - ) - ) - (CELL - (CELLTYPE "RA_7_") - (INSTANCE RA\[7\]_I) - (DELAY - (ABSOLUTE - (IOPATH IOLDO RA7 (3411:3517:3624)(3411:3517:3624)) - ) - ) - ) - (CELL - (CELLTYPE "RA_7__MGIOL") - (INSTANCE RA\[7\]_MGIOL) + (CELLTYPE "DQMH_MGIOL") + (INSTANCE DQMH_MGIOL) (DELAY (ABSOLUTE (IOPATH CLK IOLDO (546:556:567)(546:556:567)) @@ -2604,17 +2988,17 @@ ) ) (CELL - (CELLTYPE "RA_6_") - (INSTANCE RA\[6\]_I) + (CELLTYPE "DQML") + (INSTANCE DQML_I) (DELAY (ABSOLUTE - (IOPATH IOLDO RA6 (3411:3517:3624)(3411:3517:3624)) + (IOPATH IOLDO DQML (3411:3517:3624)(3411:3517:3624)) ) ) ) (CELL - (CELLTYPE "RA_6__MGIOL") - (INSTANCE RA\[6\]_MGIOL) + (CELLTYPE "DQML_MGIOL") + (INSTANCE DQML_MGIOL) (DELAY (ABSOLUTE (IOPATH CLK IOLDO (546:556:567)(546:556:567)) @@ -2630,127 +3014,305 @@ ) ) (CELL - (CELLTYPE "RA_5_") - (INSTANCE RA\[5\]_I) + (CELLTYPE "RAout_11_") + (INSTANCE RAout\[11\]_I) (DELAY (ABSOLUTE - (IOPATH IOLDO RA5 (3411:3517:3624)(3411:3517:3624)) + (IOPATH IOLDO RAout11 (3411:3517:3624)(3411:3517:3624)) ) ) ) (CELL - (CELLTYPE "RA_5__MGIOL") - (INSTANCE RA\[5\]_MGIOL) + (CELLTYPE "RAout_11__MGIOL") + (INSTANCE RAout\[11\]_MGIOL) (DELAY (ABSOLUTE (IOPATH CLK IOLDO (546:556:567)(546:556:567)) ) ) - (TIMINGCHECK - (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36)) - ) (TIMINGCHECK (WIDTH (posedge CLK) (1855:1855:1855)) (WIDTH (negedge CLK) (1855:1855:1855)) ) + (TIMINGCHECK + (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) + ) ) (CELL - (CELLTYPE "RA_4_") - (INSTANCE RA\[4\]_I) + (CELLTYPE "RAout_10_") + (INSTANCE RAout\[10\]_I) (DELAY (ABSOLUTE - (IOPATH IOLDO RA4 (3411:3517:3624)(3411:3517:3624)) + (IOPATH IOLDO RAout10 (3411:3517:3624)(3411:3517:3624)) ) ) ) (CELL - (CELLTYPE "RA_4__MGIOL") - (INSTANCE RA\[4\]_MGIOL) + (CELLTYPE "RAout_10__MGIOL") + (INSTANCE RAout\[10\]_MGIOL) (DELAY (ABSOLUTE (IOPATH CLK IOLDO (546:556:567)(546:556:567)) ) ) - (TIMINGCHECK - (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36)) - ) (TIMINGCHECK (WIDTH (posedge CLK) (1855:1855:1855)) (WIDTH (negedge CLK) (1855:1855:1855)) ) + (TIMINGCHECK + (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) + ) ) (CELL - (CELLTYPE "RA_3_") - (INSTANCE RA\[3\]_I) + (CELLTYPE "RAout_9_") + (INSTANCE RAout\[9\]_I) (DELAY (ABSOLUTE - (IOPATH PADDO RA3 (3411:3517:3624)(3411:3517:3624)) + (IOPATH IOLDO RAout9 (3411:3517:3624)(3411:3517:3624)) ) ) ) (CELL - (CELLTYPE "RA_2_") - (INSTANCE RA\[2\]_I) - (DELAY - (ABSOLUTE - (IOPATH IOLDO RA2 (3411:3517:3624)(3411:3517:3624)) - ) - ) - ) - (CELL - (CELLTYPE "RA_2__MGIOL") - (INSTANCE RA\[2\]_MGIOL) + (CELLTYPE "RAout_9__MGIOL") + (INSTANCE RAout\[9\]_MGIOL) (DELAY (ABSOLUTE (IOPATH CLK IOLDO (546:556:567)(546:556:567)) ) ) - (TIMINGCHECK - (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36)) - ) (TIMINGCHECK (WIDTH (posedge CLK) (1855:1855:1855)) (WIDTH (negedge CLK) (1855:1855:1855)) ) + (TIMINGCHECK + (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) + ) ) (CELL - (CELLTYPE "RA_1_") - (INSTANCE RA\[1\]_I) + (CELLTYPE "RAout_8_") + (INSTANCE RAout\[8\]_I) (DELAY (ABSOLUTE - (IOPATH IOLDO RA1 (3411:3517:3624)(3411:3517:3624)) + (IOPATH IOLDO RAout8 (3411:3517:3624)(3411:3517:3624)) ) ) ) (CELL - (CELLTYPE "RA_1__MGIOL") - (INSTANCE RA\[1\]_MGIOL) + (CELLTYPE "RAout_8__MGIOL") + (INSTANCE RAout\[8\]_MGIOL) (DELAY (ABSOLUTE (IOPATH CLK IOLDO (546:556:567)(546:556:567)) ) ) (TIMINGCHECK - (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36)) + (WIDTH (posedge CLK) (1855:1855:1855)) + (WIDTH (negedge CLK) (1855:1855:1855)) + ) + (TIMINGCHECK + (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) + ) + ) + (CELL + (CELLTYPE "RAout_7_") + (INSTANCE RAout\[7\]_I) + (DELAY + (ABSOLUTE + (IOPATH IOLDO RAout7 (3411:3517:3624)(3411:3517:3624)) + ) + ) + ) + (CELL + (CELLTYPE "RAout_7__MGIOL") + (INSTANCE RAout\[7\]_MGIOL) + (DELAY + (ABSOLUTE + (IOPATH CLK IOLDO (546:556:567)(546:556:567)) + ) ) (TIMINGCHECK (WIDTH (posedge CLK) (1855:1855:1855)) (WIDTH (negedge CLK) (1855:1855:1855)) ) + (TIMINGCHECK + (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) + ) ) (CELL - (CELLTYPE "RA_0_") - (INSTANCE RA\[0\]_I) + (CELLTYPE "RAout_6_") + (INSTANCE RAout\[6\]_I) (DELAY (ABSOLUTE - (IOPATH PADDO RA0 (3411:3517:3624)(3411:3517:3624)) + (IOPATH IOLDO RAout6 (3411:3517:3624)(3411:3517:3624)) ) ) ) + (CELL + (CELLTYPE "RAout_6__MGIOL") + (INSTANCE RAout\[6\]_MGIOL) + (DELAY + (ABSOLUTE + (IOPATH CLK IOLDO (546:556:567)(546:556:567)) + ) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1855:1855:1855)) + (WIDTH (negedge CLK) (1855:1855:1855)) + ) + (TIMINGCHECK + (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) + ) + ) + (CELL + (CELLTYPE "RAout_5_") + (INSTANCE RAout\[5\]_I) + (DELAY + (ABSOLUTE + (IOPATH IOLDO RAout5 (3411:3517:3624)(3411:3517:3624)) + ) + ) + ) + (CELL + (CELLTYPE "RAout_5__MGIOL") + (INSTANCE RAout\[5\]_MGIOL) + (DELAY + (ABSOLUTE + (IOPATH CLK IOLDO (546:556:567)(546:556:567)) + ) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1855:1855:1855)) + (WIDTH (negedge CLK) (1855:1855:1855)) + ) + (TIMINGCHECK + (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) + ) + ) + (CELL + (CELLTYPE "RAout_4_") + (INSTANCE RAout\[4\]_I) + (DELAY + (ABSOLUTE + (IOPATH IOLDO RAout4 (3411:3517:3624)(3411:3517:3624)) + ) + ) + ) + (CELL + (CELLTYPE "RAout_4__MGIOL") + (INSTANCE RAout\[4\]_MGIOL) + (DELAY + (ABSOLUTE + (IOPATH CLK IOLDO (546:556:567)(546:556:567)) + ) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1855:1855:1855)) + (WIDTH (negedge CLK) (1855:1855:1855)) + ) + (TIMINGCHECK + (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) + ) + ) + (CELL + (CELLTYPE "RAout_3_") + (INSTANCE RAout\[3\]_I) + (DELAY + (ABSOLUTE + (IOPATH IOLDO RAout3 (3411:3517:3624)(3411:3517:3624)) + ) + ) + ) + (CELL + (CELLTYPE "RAout_3__MGIOL") + (INSTANCE RAout\[3\]_MGIOL) + (DELAY + (ABSOLUTE + (IOPATH CLK IOLDO (546:556:567)(546:556:567)) + ) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1855:1855:1855)) + (WIDTH (negedge CLK) (1855:1855:1855)) + ) + (TIMINGCHECK + (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) + ) + ) + (CELL + (CELLTYPE "RAout_2_") + (INSTANCE RAout\[2\]_I) + (DELAY + (ABSOLUTE + (IOPATH IOLDO RAout2 (3411:3517:3624)(3411:3517:3624)) + ) + ) + ) + (CELL + (CELLTYPE "RAout_2__MGIOL") + (INSTANCE RAout\[2\]_MGIOL) + (DELAY + (ABSOLUTE + (IOPATH CLK IOLDO (546:556:567)(546:556:567)) + ) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1855:1855:1855)) + (WIDTH (negedge CLK) (1855:1855:1855)) + ) + (TIMINGCHECK + (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) + ) + ) + (CELL + (CELLTYPE "RAout_1_") + (INSTANCE RAout\[1\]_I) + (DELAY + (ABSOLUTE + (IOPATH IOLDO RAout1 (3411:3517:3624)(3411:3517:3624)) + ) + ) + ) + (CELL + (CELLTYPE "RAout_1__MGIOL") + (INSTANCE RAout\[1\]_MGIOL) + (DELAY + (ABSOLUTE + (IOPATH CLK IOLDO (546:556:567)(546:556:567)) + ) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1855:1855:1855)) + (WIDTH (negedge CLK) (1855:1855:1855)) + ) + (TIMINGCHECK + (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) + ) + ) + (CELL + (CELLTYPE "RAout_0_") + (INSTANCE RAout\[0\]_I) + (DELAY + (ABSOLUTE + (IOPATH IOLDO RAout0 (3411:3517:3624)(3411:3517:3624)) + ) + ) + ) + (CELL + (CELLTYPE "RAout_0__MGIOL") + (INSTANCE RAout\[0\]_MGIOL) + (DELAY + (ABSOLUTE + (IOPATH CLK IOLDO (546:556:567)(546:556:567)) + ) + ) + (TIMINGCHECK + (WIDTH (posedge CLK) (1855:1855:1855)) + (WIDTH (negedge CLK) (1855:1855:1855)) + ) + (TIMINGCHECK + (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) + ) + ) (CELL (CELLTYPE "BA_1_") (INSTANCE BA\[1\]_I) @@ -2770,6 +3332,7 @@ ) (TIMINGCHECK (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) + (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36)) (SETUPHOLD LSR (posedge CLK) (100:100:100)(-90:-90:-90)) ) (TIMINGCHECK @@ -2796,6 +3359,7 @@ ) (TIMINGCHECK (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) + (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36)) (SETUPHOLD LSR (posedge CLK) (100:100:100)(-90:-90:-90)) ) (TIMINGCHECK @@ -2804,128 +3368,112 @@ ) ) (CELL - (CELLTYPE "nRWE") - (INSTANCE nRWE_I) + (CELLTYPE "nRWEout") + (INSTANCE nRWEout_I) (DELAY (ABSOLUTE - (IOPATH IOLDO nRWE (3411:3517:3624)(3411:3517:3624)) + (IOPATH IOLDO nRWEout (3411:3517:3624)(3411:3517:3624)) ) ) ) (CELL - (CELLTYPE "nRWE_MGIOL") - (INSTANCE nRWE_MGIOL) + (CELLTYPE "nRWEout_MGIOL") + (INSTANCE nRWEout_MGIOL) (DELAY (ABSOLUTE (IOPATH CLK IOLDO (546:556:567)(546:556:567)) ) ) - (TIMINGCHECK - (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) - ) (TIMINGCHECK (WIDTH (posedge CLK) (1855:1855:1855)) (WIDTH (negedge CLK) (1855:1855:1855)) ) + (TIMINGCHECK + (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) + ) ) (CELL - (CELLTYPE "nCAS") - (INSTANCE nCAS_I) + (CELLTYPE "nCASout") + (INSTANCE nCASout_I) (DELAY (ABSOLUTE - (IOPATH IOLDO nCAS (3411:3517:3624)(3411:3517:3624)) + (IOPATH IOLDO nCASout (3411:3517:3624)(3411:3517:3624)) ) ) ) (CELL - (CELLTYPE "nCAS_MGIOL") - (INSTANCE nCAS_MGIOL) + (CELLTYPE "nCASout_MGIOL") + (INSTANCE nCASout_MGIOL) (DELAY (ABSOLUTE (IOPATH CLK IOLDO (546:556:567)(546:556:567)) ) ) - (TIMINGCHECK - (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) - ) (TIMINGCHECK (WIDTH (posedge CLK) (1855:1855:1855)) (WIDTH (negedge CLK) (1855:1855:1855)) ) + (TIMINGCHECK + (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) + ) ) (CELL - (CELLTYPE "nRAS") - (INSTANCE nRAS_I) + (CELLTYPE "nRASout") + (INSTANCE nRASout_I) (DELAY (ABSOLUTE - (IOPATH IOLDO nRAS (3411:3517:3624)(3411:3517:3624)) + (IOPATH IOLDO nRASout (3411:3517:3624)(3411:3517:3624)) ) ) ) (CELL - (CELLTYPE "nRAS_MGIOL") - (INSTANCE nRAS_MGIOL) + (CELLTYPE "nRASout_MGIOL") + (INSTANCE nRASout_MGIOL) (DELAY (ABSOLUTE (IOPATH CLK IOLDO (546:556:567)(546:556:567)) ) ) - (TIMINGCHECK - (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) - ) (TIMINGCHECK (WIDTH (posedge CLK) (1855:1855:1855)) (WIDTH (negedge CLK) (1855:1855:1855)) ) + (TIMINGCHECK + (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) + ) ) (CELL - (CELLTYPE "nCS") - (INSTANCE nCS_I) + (CELLTYPE "nCSout") + (INSTANCE nCSout_I) (DELAY (ABSOLUTE - (IOPATH IOLDO nCS (3411:3517:3624)(3411:3517:3624)) + (IOPATH PADDO nCSout (3411:3517:3624)(3411:3517:3624)) ) ) ) (CELL - (CELLTYPE "nCS_MGIOL") - (INSTANCE nCS_MGIOL) + (CELLTYPE "CKEout") + (INSTANCE CKEout_I) + (DELAY + (ABSOLUTE + (IOPATH IOLDO CKEout (3411:3517:3624)(3411:3517:3624)) + ) + ) + ) + (CELL + (CELLTYPE "CKEout_MGIOL") + (INSTANCE CKEout_MGIOL) (DELAY (ABSOLUTE (IOPATH CLK IOLDO (546:556:567)(546:556:567)) ) ) - (TIMINGCHECK - (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) - ) (TIMINGCHECK (WIDTH (posedge CLK) (1855:1855:1855)) (WIDTH (negedge CLK) (1855:1855:1855)) ) - ) - (CELL - (CELLTYPE "CKE") - (INSTANCE CKE_I) - (DELAY - (ABSOLUTE - (IOPATH IOLDO CKE (3411:3517:3624)(3411:3517:3624)) - ) - ) - ) - (CELL - (CELLTYPE "CKE_MGIOL") - (INSTANCE CKE_MGIOL) - (DELAY - (ABSOLUTE - (IOPATH CLK IOLDO (546:556:567)(546:556:567)) - ) - ) (TIMINGCHECK - (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) + (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) ) ) (CELL @@ -2955,12 +3503,12 @@ ) ) (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) + (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) + (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36)) ) (TIMINGCHECK - (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36)) + (WIDTH (posedge CLK) (1855:1855:1855)) + (WIDTH (negedge CLK) (1855:1855:1855)) ) ) (CELL @@ -2981,12 +3529,12 @@ ) ) (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) + (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) + (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36)) ) (TIMINGCHECK - (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36)) + (WIDTH (posedge CLK) (1855:1855:1855)) + (WIDTH (negedge CLK) (1855:1855:1855)) ) ) (CELL @@ -3007,12 +3555,12 @@ ) ) (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) + (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) + (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36)) ) (TIMINGCHECK - (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36)) + (WIDTH (posedge CLK) (1855:1855:1855)) + (WIDTH (negedge CLK) (1855:1855:1855)) ) ) (CELL @@ -3033,12 +3581,12 @@ ) ) (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) + (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) + (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36)) ) (TIMINGCHECK - (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36)) + (WIDTH (posedge CLK) (1855:1855:1855)) + (WIDTH (negedge CLK) (1855:1855:1855)) ) ) (CELL @@ -3059,12 +3607,12 @@ ) ) (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) + (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) + (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36)) ) (TIMINGCHECK - (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36)) + (WIDTH (posedge CLK) (1855:1855:1855)) + (WIDTH (negedge CLK) (1855:1855:1855)) ) ) (CELL @@ -3085,12 +3633,12 @@ ) ) (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) + (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) + (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36)) ) (TIMINGCHECK - (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36)) + (WIDTH (posedge CLK) (1855:1855:1855)) + (WIDTH (negedge CLK) (1855:1855:1855)) ) ) (CELL @@ -3111,12 +3659,12 @@ ) ) (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) + (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) + (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36)) ) (TIMINGCHECK - (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36)) + (WIDTH (posedge CLK) (1855:1855:1855)) + (WIDTH (negedge CLK) (1855:1855:1855)) ) ) (CELL @@ -3137,12 +3685,12 @@ ) ) (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) + (SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86)) + (SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36)) ) (TIMINGCHECK - (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36)) + (WIDTH (posedge CLK) (1855:1855:1855)) + (WIDTH (negedge CLK) (1855:1855:1855)) ) ) (CELL @@ -3159,209 +3707,73 @@ (INSTANCE Dout\[7\]_I) (DELAY (ABSOLUTE - (IOPATH IOLDO Dout7 (3725:3847:3970)(3725:3847:3970)) + (IOPATH PADDO Dout7 (4370:4474:4579)(4370:4474:4579)) ) ) ) - (CELL - (CELLTYPE "Dout_7__MGIOL") - (INSTANCE Dout\[7\]_MGIOL) - (DELAY - (ABSOLUTE - (IOPATH CLK IOLDO (546:556:567)(546:556:567)) - ) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) - ) - (TIMINGCHECK - (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36)) - ) - ) (CELL (CELLTYPE "Dout_6_") (INSTANCE Dout\[6\]_I) (DELAY (ABSOLUTE - (IOPATH IOLDO Dout6 (3725:3847:3970)(3725:3847:3970)) + (IOPATH PADDO Dout6 (4370:4474:4579)(4370:4474:4579)) ) ) ) - (CELL - (CELLTYPE "Dout_6__MGIOL") - (INSTANCE Dout\[6\]_MGIOL) - (DELAY - (ABSOLUTE - (IOPATH CLK IOLDO (546:556:567)(546:556:567)) - ) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) - ) - (TIMINGCHECK - (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36)) - ) - ) (CELL (CELLTYPE "Dout_5_") (INSTANCE Dout\[5\]_I) (DELAY (ABSOLUTE - (IOPATH IOLDO Dout5 (3725:3847:3970)(3725:3847:3970)) + (IOPATH PADDO Dout5 (4370:4474:4579)(4370:4474:4579)) ) ) ) - (CELL - (CELLTYPE "Dout_5__MGIOL") - (INSTANCE Dout\[5\]_MGIOL) - (DELAY - (ABSOLUTE - (IOPATH CLK IOLDO (546:556:567)(546:556:567)) - ) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) - ) - (TIMINGCHECK - (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36)) - ) - ) (CELL (CELLTYPE "Dout_4_") (INSTANCE Dout\[4\]_I) (DELAY (ABSOLUTE - (IOPATH IOLDO Dout4 (3725:3847:3970)(3725:3847:3970)) + (IOPATH PADDO Dout4 (4370:4474:4579)(4370:4474:4579)) ) ) ) - (CELL - (CELLTYPE "Dout_4__MGIOL") - (INSTANCE Dout\[4\]_MGIOL) - (DELAY - (ABSOLUTE - (IOPATH CLK IOLDO (546:556:567)(546:556:567)) - ) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) - ) - (TIMINGCHECK - (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36)) - ) - ) (CELL (CELLTYPE "Dout_3_") (INSTANCE Dout\[3\]_I) (DELAY (ABSOLUTE - (IOPATH IOLDO Dout3 (3725:3847:3970)(3725:3847:3970)) + (IOPATH PADDO Dout3 (4370:4474:4579)(4370:4474:4579)) ) ) ) - (CELL - (CELLTYPE "Dout_3__MGIOL") - (INSTANCE Dout\[3\]_MGIOL) - (DELAY - (ABSOLUTE - (IOPATH CLK IOLDO (546:556:567)(546:556:567)) - ) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) - ) - (TIMINGCHECK - (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36)) - ) - ) (CELL (CELLTYPE "Dout_2_") (INSTANCE Dout\[2\]_I) (DELAY (ABSOLUTE - (IOPATH IOLDO Dout2 (3725:3847:3970)(3725:3847:3970)) + (IOPATH PADDO Dout2 (4370:4474:4579)(4370:4474:4579)) ) ) ) - (CELL - (CELLTYPE "Dout_2__MGIOL") - (INSTANCE Dout\[2\]_MGIOL) - (DELAY - (ABSOLUTE - (IOPATH CLK IOLDO (546:556:567)(546:556:567)) - ) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) - ) - (TIMINGCHECK - (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36)) - ) - ) (CELL (CELLTYPE "Dout_1_") (INSTANCE Dout\[1\]_I) (DELAY (ABSOLUTE - (IOPATH IOLDO Dout1 (3725:3847:3970)(3725:3847:3970)) + (IOPATH PADDO Dout1 (4370:4474:4579)(4370:4474:4579)) ) ) ) - (CELL - (CELLTYPE "Dout_1__MGIOL") - (INSTANCE Dout\[1\]_MGIOL) - (DELAY - (ABSOLUTE - (IOPATH CLK IOLDO (546:556:567)(546:556:567)) - ) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) - ) - (TIMINGCHECK - (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36)) - ) - ) (CELL (CELLTYPE "Dout_0_") (INSTANCE Dout\[0\]_I) (DELAY (ABSOLUTE - (IOPATH IOLDO Dout0 (3725:3847:3970)(3725:3847:3970)) + (IOPATH PADDO Dout0 (4370:4474:4579)(4370:4474:4579)) ) ) ) - (CELL - (CELLTYPE "Dout_0__MGIOL") - (INSTANCE Dout\[0\]_MGIOL) - (DELAY - (ABSOLUTE - (IOPATH CLK IOLDO (546:556:567)(546:556:567)) - ) - ) - (TIMINGCHECK - (WIDTH (posedge CLK) (1855:1855:1855)) - (WIDTH (negedge CLK) (1855:1855:1855)) - ) - (TIMINGCHECK - (SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86)) - (SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36)) - ) - ) (CELL (CELLTYPE "Din_7_") (INSTANCE Din\[7\]_I) @@ -3596,19 +4008,6 @@ (WIDTH (negedge nEN80) (3330:3330:3330)) ) ) - (CELL - (CELLTYPE "nWE80") - (INSTANCE nWE80_I) - (DELAY - (ABSOLUTE - (IOPATH nWE80 PADDI (1007:1069:1132)(1007:1069:1132)) - ) - ) - (TIMINGCHECK - (WIDTH (posedge nWE80) (3330:3330:3330)) - (WIDTH (negedge nWE80) (3330:3330:3330)) - ) - ) (CELL (CELLTYPE "nWE") (INSTANCE nWE_I) @@ -3653,7 +4052,7 @@ ) (CELL (CELLTYPE "EFB_Buffer_Block") - (INSTANCE ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20) + (INSTANCE ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20) (DELAY (ABSOLUTE (IOPATH WBCLKIin WBDATO0out (978:3628:6278)(978:3628:6278)) @@ -3699,11 +4098,12 @@ (INSTANCE ) (DELAY (ABSOLUTE - (INTERCONNECT SLICE_0/Q1 SLICE_0/A1 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_0/Q1 SLICE_39/D1 (805:898:992)(805:898:992)) - (INTERCONNECT SLICE_0/Q1 SLICE_52/C1 (816:964:1113)(816:964:1113)) - (INTERCONNECT SLICE_0/Q1 SLICE_75/C1 (1180:1360:1540)(1180:1360:1540)) - (INTERCONNECT SLICE_0/Q1 SLICE_119/C0 (805:952:1100)(805:952:1100)) + (INTERCONNECT SLICE_0/Q1 SLICE_0/A1 (481:575:669)(481:575:669)) + (INTERCONNECT SLICE_0/Q1 ram2e_ufm\/SLICE_51/B1 (1363:1554:1746)(1363:1554:1746)) + (INTERCONNECT SLICE_0/Q1 ram2e_ufm\/SLICE_69/C1 (1291:1472:1653)(1291:1472:1653)) + (INTERCONNECT SLICE_0/Q1 ram2e_ufm\/SLICE_105/B0 (1522:1716:1910)(1522:1716:1910)) + (INTERCONNECT SLICE_0/Q1 ram2e_ufm\/SLICE_108/B0 (788:918:1049)(788:918:1049)) + (INTERCONNECT SLICE_0/Q1 ram2e_ufm\/SLICE_146/D0 (546:608:671)(546:608:671)) (INTERCONNECT SLICE_0/F1 SLICE_0/DI1 (0:0:0)(0:0:0)) (INTERCONNECT C14M_I/PADDI SLICE_0/CLK (2666:2868:3070)(2666:2868:3070)) (INTERCONNECT C14M_I/PADDI SLICE_1/CLK (2666:2868:3070)(2666:2868:3070)) @@ -3723,18 +4123,20 @@ (INTERCONNECT C14M_I/PADDI SLICE_8/CLK (2666:2868:3070)(2666:2868:3070)) (INTERCONNECT C14M_I/PADDI SLICE_9/CLK (2666:2868:3070)(2666:2868:3070)) (INTERCONNECT C14M_I/PADDI SLICE_10/CLK (2666:2868:3070)(2666:2868:3070)) - (INTERCONNECT C14M_I/PADDI SLICE_10/CLK (2666:2868:3070)(2666:2868:3070)) (INTERCONNECT C14M_I/PADDI SLICE_11/CLK (2666:2868:3070)(2666:2868:3070)) (INTERCONNECT C14M_I/PADDI SLICE_12/CLK (2666:2868:3070)(2666:2868:3070)) + (INTERCONNECT C14M_I/PADDI SLICE_12/CLK (2666:2868:3070)(2666:2868:3070)) (INTERCONNECT C14M_I/PADDI SLICE_13/CLK (2666:2868:3070)(2666:2868:3070)) (INTERCONNECT C14M_I/PADDI SLICE_14/CLK (2666:2868:3070)(2666:2868:3070)) (INTERCONNECT C14M_I/PADDI SLICE_15/CLK (2666:2868:3070)(2666:2868:3070)) (INTERCONNECT C14M_I/PADDI SLICE_16/CLK (2666:2868:3070)(2666:2868:3070)) (INTERCONNECT C14M_I/PADDI SLICE_17/CLK (2666:2868:3070)(2666:2868:3070)) (INTERCONNECT C14M_I/PADDI SLICE_18/CLK (2666:2868:3070)(2666:2868:3070)) - (INTERCONNECT C14M_I/PADDI SLICE_19/CLK (2666:2868:3070)(2666:2868:3070)) + (INTERCONNECT C14M_I/PADDI SLICE_18/CLK (2666:2868:3070)(2666:2868:3070)) (INTERCONNECT C14M_I/PADDI SLICE_19/CLK (2666:2868:3070)(2666:2868:3070)) (INTERCONNECT C14M_I/PADDI SLICE_20/CLK (2666:2868:3070)(2666:2868:3070)) + (INTERCONNECT C14M_I/PADDI SLICE_20/CLK (2666:2868:3070)(2666:2868:3070)) + (INTERCONNECT C14M_I/PADDI SLICE_21/CLK (2666:2868:3070)(2666:2868:3070)) (INTERCONNECT C14M_I/PADDI SLICE_21/CLK (2666:2868:3070)(2666:2868:3070)) (INTERCONNECT C14M_I/PADDI SLICE_22/CLK (2666:2868:3070)(2666:2868:3070)) (INTERCONNECT C14M_I/PADDI SLICE_22/CLK (2666:2868:3070)(2666:2868:3070)) @@ -3761,44 +4163,93 @@ (INTERCONNECT C14M_I/PADDI SLICE_34/CLK (2666:2868:3070)(2666:2868:3070)) (INTERCONNECT C14M_I/PADDI SLICE_34/CLK (2666:2868:3070)(2666:2868:3070)) (INTERCONNECT C14M_I/PADDI SLICE_35/CLK (2666:2868:3070)(2666:2868:3070)) - (INTERCONNECT C14M_I/PADDI SLICE_35/CLK (2666:2868:3070)(2666:2868:3070)) - (INTERCONNECT C14M_I/PADDI SLICE_36/CLK (2666:2868:3070)(2666:2868:3070)) (INTERCONNECT C14M_I/PADDI SLICE_36/CLK (2666:2868:3070)(2666:2868:3070)) (INTERCONNECT C14M_I/PADDI SLICE_37/CLK (2666:2868:3070)(2666:2868:3070)) - (INTERCONNECT C14M_I/PADDI SLICE_37/CLK (2666:2868:3070)(2666:2868:3070)) (INTERCONNECT C14M_I/PADDI SLICE_38/CLK (2666:2868:3070)(2666:2868:3070)) - (INTERCONNECT C14M_I/PADDI SLICE_38/CLK (2666:2868:3070)(2666:2868:3070)) - (INTERCONNECT C14M_I/PADDI SLICE_39/CLK (2666:2868:3070)(2666:2868:3070)) - (INTERCONNECT C14M_I/PADDI SLICE_40/CLK (2666:2868:3070)(2666:2868:3070)) - (INTERCONNECT C14M_I/PADDI SLICE_40/CLK (2666:2868:3070)(2666:2868:3070)) - (INTERCONNECT C14M_I/PADDI SLICE_41/CLK (2666:2868:3070)(2666:2868:3070)) - (INTERCONNECT C14M_I/PADDI SLICE_41/CLK (2666:2868:3070)(2666:2868:3070)) - (INTERCONNECT C14M_I/PADDI SLICE_42/CLK (2666:2868:3070)(2666:2868:3070)) - (INTERCONNECT C14M_I/PADDI SLICE_42/CLK (2666:2868:3070)(2666:2868:3070)) - (INTERCONNECT C14M_I/PADDI SLICE_43/CLK (2666:2868:3070)(2666:2868:3070)) - (INTERCONNECT C14M_I/PADDI SLICE_43/CLK (2666:2868:3070)(2666:2868:3070)) - (INTERCONNECT C14M_I/PADDI SLICE_44/CLK (2666:2868:3070)(2666:2868:3070)) - (INTERCONNECT C14M_I/PADDI SLICE_45/CLK (2666:2868:3070)(2666:2868:3070)) - (INTERCONNECT C14M_I/PADDI SLICE_46/CLK (2666:2868:3070)(2666:2868:3070)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_39/CLK (2666:2868:3070) + (2666:2868:3070)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_40/CLK (2666:2868:3070) + (2666:2868:3070)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_41/CLK (2666:2868:3070) + (2666:2868:3070)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_42/CLK (2666:2868:3070) + (2666:2868:3070)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_43/CLK (2666:2868:3070) + (2666:2868:3070)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_43/CLK (2666:2868:3070) + (2666:2868:3070)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_44/CLK (2666:2868:3070) + (2666:2868:3070)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_44/CLK (2666:2868:3070) + (2666:2868:3070)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_45/CLK (2666:2868:3070) + (2666:2868:3070)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_45/CLK (2666:2868:3070) + (2666:2868:3070)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_46/CLK (2666:2868:3070) + (2666:2868:3070)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_46/CLK (2666:2868:3070) + (2666:2868:3070)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_47/CLK (2666:2868:3070) + (2666:2868:3070)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_47/CLK (2666:2868:3070) + (2666:2868:3070)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_48/CLK (2666:2868:3070) + (2666:2868:3070)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_48/CLK (2666:2868:3070) + (2666:2868:3070)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_49/CLK (2666:2868:3070) + (2666:2868:3070)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_49/CLK (2666:2868:3070) + (2666:2868:3070)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_50/CLK (2666:2868:3070) + (2666:2868:3070)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_50/CLK (2666:2868:3070) + (2666:2868:3070)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_51/CLK (2666:2868:3070) + (2666:2868:3070)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_52/CLK (2666:2868:3070) + (2666:2868:3070)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_52/CLK (2666:2868:3070) + (2666:2868:3070)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_53/CLK (2666:2868:3070) + (2666:2868:3070)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_53/CLK (2666:2868:3070) + (2666:2868:3070)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_54/CLK (2666:2868:3070) + (2666:2868:3070)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_54/CLK (2666:2868:3070) + (2666:2868:3070)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_55/CLK (2666:2868:3070) + (2666:2868:3070)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_55/CLK (2666:2868:3070) + (2666:2868:3070)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_56/CLK (2666:2868:3070) + (2666:2868:3070)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_57/CLK (2666:2868:3070) + (2666:2868:3070)) + (INTERCONNECT C14M_I/PADDI ram2e_ufm\/SLICE_58/CLK (2666:2868:3070) + (2666:2868:3070)) (INTERCONNECT C14M_I/PADDI DQMH_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) (INTERCONNECT C14M_I/PADDI DQML_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) - (INTERCONNECT C14M_I/PADDI RA\[11\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) - (INTERCONNECT C14M_I/PADDI RA\[10\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) - (INTERCONNECT C14M_I/PADDI RA\[9\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) - (INTERCONNECT C14M_I/PADDI RA\[8\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) - (INTERCONNECT C14M_I/PADDI RA\[7\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) - (INTERCONNECT C14M_I/PADDI RA\[6\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) - (INTERCONNECT C14M_I/PADDI RA\[5\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) - (INTERCONNECT C14M_I/PADDI RA\[4\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) - (INTERCONNECT C14M_I/PADDI RA\[2\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) - (INTERCONNECT C14M_I/PADDI RA\[1\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) + (INTERCONNECT C14M_I/PADDI RAout\[11\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) + (INTERCONNECT C14M_I/PADDI RAout\[10\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) + (INTERCONNECT C14M_I/PADDI RAout\[9\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) + (INTERCONNECT C14M_I/PADDI RAout\[8\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) + (INTERCONNECT C14M_I/PADDI RAout\[7\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) + (INTERCONNECT C14M_I/PADDI RAout\[6\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) + (INTERCONNECT C14M_I/PADDI RAout\[5\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) + (INTERCONNECT C14M_I/PADDI RAout\[4\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) + (INTERCONNECT C14M_I/PADDI RAout\[3\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) + (INTERCONNECT C14M_I/PADDI RAout\[2\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) + (INTERCONNECT C14M_I/PADDI RAout\[1\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) + (INTERCONNECT C14M_I/PADDI RAout\[0\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) (INTERCONNECT C14M_I/PADDI BA\[1\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) (INTERCONNECT C14M_I/PADDI BA\[0\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) - (INTERCONNECT C14M_I/PADDI nRWE_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) - (INTERCONNECT C14M_I/PADDI nCAS_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) - (INTERCONNECT C14M_I/PADDI nRAS_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) - (INTERCONNECT C14M_I/PADDI nCS_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) - (INTERCONNECT C14M_I/PADDI CKE_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) + (INTERCONNECT C14M_I/PADDI nRWEout_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) + (INTERCONNECT C14M_I/PADDI nCASout_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) + (INTERCONNECT C14M_I/PADDI nRASout_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) + (INTERCONNECT C14M_I/PADDI CKEout_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) (INTERCONNECT C14M_I/PADDI Vout\[7\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) (INTERCONNECT C14M_I/PADDI Vout\[6\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) (INTERCONNECT C14M_I/PADDI Vout\[5\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) @@ -3807,1123 +4258,1722 @@ (INTERCONNECT C14M_I/PADDI Vout\[2\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) (INTERCONNECT C14M_I/PADDI Vout\[1\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) (INTERCONNECT C14M_I/PADDI Vout\[0\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) - (INTERCONNECT C14M_I/PADDI Dout\[7\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) - (INTERCONNECT C14M_I/PADDI Dout\[6\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) - (INTERCONNECT C14M_I/PADDI Dout\[5\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) - (INTERCONNECT C14M_I/PADDI Dout\[4\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) - (INTERCONNECT C14M_I/PADDI Dout\[3\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) - (INTERCONNECT C14M_I/PADDI Dout\[2\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) - (INTERCONNECT C14M_I/PADDI Dout\[1\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) - (INTERCONNECT C14M_I/PADDI Dout\[0\]_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) (INTERCONNECT C14M_I/PADDI PHI1_MGIOL/CLK (2813:3028:3243)(2813:3028:3243)) (INTERCONNECT C14M_I/PADDI - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBCLKIin (2813:3028:3243) - (2813:3028:3243)) + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBCLKIin + (2813:3028:3243)(2813:3028:3243)) (INTERCONNECT SLICE_0/FCO SLICE_8/FCI (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_1/Q0 SLICE_1/A0 (483:579:675)(483:579:675)) - (INTERCONNECT SLICE_1/Q0 SLICE_45/B0 (1154:1318:1482)(1154:1318:1482)) - (INTERCONNECT SLICE_1/Q0 SLICE_51/A0 (1090:1255:1421)(1090:1255:1421)) - (INTERCONNECT SLICE_1/Q0 SLICE_53/C1 (1293:1476:1659)(1293:1476:1659)) - (INTERCONNECT SLICE_1/Q0 SLICE_56/A1 (1824:2054:2284)(1824:2054:2284)) - (INTERCONNECT SLICE_1/Q0 SLICE_72/B0 (769:896:1023)(769:896:1023)) - (INTERCONNECT SLICE_1/Q0 SLICE_73/A0 (1824:2054:2284)(1824:2054:2284)) - (INTERCONNECT SLICE_1/Q0 SLICE_75/A0 (763:893:1024)(763:893:1024)) - (INTERCONNECT SLICE_1/Q0 SLICE_83/D1 (1244:1375:1507)(1244:1375:1507)) - (INTERCONNECT SLICE_1/Q0 SLICE_86/D1 (1609:1772:1935)(1609:1772:1935)) - (INTERCONNECT SLICE_1/Q0 SLICE_103/C0 (928:1079:1231)(928:1079:1231)) + (INTERCONNECT SLICE_1/Q0 SLICE_1/A0 (479:571:663)(479:571:663)) + (INTERCONNECT SLICE_1/Q0 SLICE_9/B1 (1593:1795:1997)(1593:1795:1997)) + (INTERCONNECT SLICE_1/Q0 ram2e_ufm\/SLICE_57/B1 (1675:1872:2069)(1675:1872:2069)) + (INTERCONNECT SLICE_1/Q0 ram2e_ufm\/SLICE_57/B0 (1675:1872:2069)(1675:1872:2069)) + (INTERCONNECT SLICE_1/Q0 ram2e_ufm\/SLICE_69/D1 (982:1084:1186)(982:1084:1186)) + (INTERCONNECT SLICE_1/Q0 ram2e_ufm\/SLICE_80/C1 (1808:2023:2239)(1808:2023:2239)) + (INTERCONNECT SLICE_1/Q0 ram2e_ufm\/SLICE_105/C0 (993:1150:1307)(993:1150:1307)) + (INTERCONNECT SLICE_1/Q0 ram2e_ufm\/SLICE_120/B1 (1593:1795:1997)(1593:1795:1997)) + (INTERCONNECT SLICE_1/Q0 ram2e_ufm\/SLICE_120/B0 (1593:1795:1997)(1593:1795:1997)) (INTERCONNECT SLICE_1/F0 SLICE_1/DI0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_2/FCO SLICE_1/FCI (0:0:0)(0:0:0)) (INTERCONNECT SLICE_2/Q1 SLICE_2/A1 (481:575:669)(481:575:669)) - (INTERCONNECT SLICE_2/Q1 SLICE_37/B1 (1059:1223:1388)(1059:1223:1388)) - (INTERCONNECT SLICE_2/Q1 SLICE_37/B0 (1059:1223:1388)(1059:1223:1388)) - (INTERCONNECT SLICE_2/Q1 SLICE_38/C0 (1155:1341:1528)(1155:1341:1528)) - (INTERCONNECT SLICE_2/Q1 SLICE_39/C1 (557:674:792)(557:674:792)) - (INTERCONNECT SLICE_2/Q1 SLICE_44/D0 (546:608:671)(546:608:671)) - (INTERCONNECT SLICE_2/Q1 SLICE_45/C1 (813:963:1113)(813:963:1113)) - (INTERCONNECT SLICE_2/Q1 SLICE_45/C0 (813:963:1113)(813:963:1113)) - (INTERCONNECT SLICE_2/Q1 SLICE_56/D1 (1192:1321:1450)(1192:1321:1450)) - (INTERCONNECT SLICE_2/Q1 SLICE_66/B1 (1059:1223:1388)(1059:1223:1388)) - (INTERCONNECT SLICE_2/Q1 SLICE_73/C0 (1203:1387:1571)(1203:1387:1571)) - (INTERCONNECT SLICE_2/Q1 SLICE_86/B1 (1761:1993:2225)(1761:1993:2225)) - (INTERCONNECT SLICE_2/Q1 SLICE_97/D0 (910:1004:1098)(910:1004:1098)) - (INTERCONNECT SLICE_2/Q0 SLICE_2/A0 (483:579:675)(483:579:675)) - (INTERCONNECT SLICE_2/Q0 SLICE_35/A0 (1202:1373:1544)(1202:1373:1544)) - (INTERCONNECT SLICE_2/Q0 SLICE_44/A1 (1074:1238:1402)(1074:1238:1402)) - (INTERCONNECT SLICE_2/Q0 SLICE_46/D0 (543:607:671)(543:607:671)) - (INTERCONNECT SLICE_2/Q0 SLICE_56/A0 (1217:1389:1562)(1217:1389:1562)) - (INTERCONNECT SLICE_2/Q0 SLICE_60/A0 (1566:1768:1971)(1566:1768:1971)) - (INTERCONNECT SLICE_2/Q0 SLICE_61/D1 (1007:1114:1221)(1007:1114:1221)) - (INTERCONNECT SLICE_2/Q0 SLICE_65/A0 (1202:1373:1544)(1202:1373:1544)) - (INTERCONNECT SLICE_2/Q0 SLICE_66/D0 (543:607:671)(543:607:671)) - (INTERCONNECT SLICE_2/Q0 SLICE_73/D0 (1007:1114:1221)(1007:1114:1221)) - (INTERCONNECT SLICE_2/Q0 SLICE_74/A0 (1202:1373:1544)(1202:1373:1544)) - (INTERCONNECT SLICE_2/Q0 SLICE_88/A1 (1587:1791:1996)(1587:1791:1996)) - (INTERCONNECT SLICE_2/Q0 SLICE_90/A1 (2366:2646:2926)(2366:2646:2926)) - (INTERCONNECT SLICE_2/Q0 SLICE_92/C1 (1018:1180:1342)(1018:1180:1342)) - (INTERCONNECT SLICE_2/Q0 SLICE_97/A0 (1581:1785:1989)(1581:1785:1989)) - (INTERCONNECT SLICE_2/Q0 SLICE_108/C0 (1715:1944:2173)(1715:1944:2173)) - (INTERCONNECT SLICE_2/Q0 SLICE_116/A0 (2366:2646:2926)(2366:2646:2926)) + (INTERCONNECT SLICE_2/Q1 SLICE_23/B1 (793:924:1055)(793:924:1055)) + (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_49/D1 (995:1098:1201)(995:1098:1201)) + (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_49/D0 (995:1098:1201)(995:1098:1201)) + (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_50/B0 (1607:1810:2013)(1607:1810:2013)) + (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_51/D1 (921:1016:1111)(921:1016:1111)) + (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_56/C1 (889:1042:1195)(889:1042:1195)) + (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_57/D1 (926:1021:1117)(926:1021:1117)) + (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_57/D0 (926:1021:1117)(926:1021:1117)) + (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_66/B1 (1490:1688:1886)(1490:1688:1886)) + (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_70/B1 (1607:1810:2013)(1607:1810:2013)) + (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_81/D1 (995:1098:1201)(995:1098:1201)) + (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_85/D1 (1290:1417:1544)(1290:1417:1544)) + (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_89/A1 (1575:1775:1976)(1575:1775:1976)) + (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_98/D1 (995:1098:1201)(995:1098:1201)) + (INTERCONNECT SLICE_2/Q1 ram2e_ufm\/SLICE_109/C1 (1376:1566:1756)(1376:1566:1756)) + (INTERCONNECT SLICE_2/Q0 SLICE_2/A0 (479:571:663)(479:571:663)) + (INTERCONNECT SLICE_2/Q0 SLICE_23/B0 (786:914:1043)(786:914:1043)) + (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_56/B0 (786:914:1043)(786:914:1043)) + (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_58/D0 (1283:1407:1532)(1283:1407:1532)) + (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_64/D0 (2000:2193:2387)(2000:2193:2387)) + (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_70/D0 (2053:2244:2436)(2053:2244:2436)) + (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_75/D0 (2053:2244:2436)(2053:2244:2436)) + (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_76/D0 (1673:1831:1990)(1673:1831:1990)) + (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_81/C0 (2011:2259:2508)(2011:2259:2508)) + (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_85/B1 (1852:2079:2307)(1852:2079:2307)) + (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_89/D1 (2063:2255:2448)(2063:2255:2448)) + (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_97/D1 (2063:2255:2448)(2063:2255:2448)) + (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_103/D1 (2063:2255:2448)(2063:2255:2448)) + (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_111/C0 (1684:1897:2111)(1684:1897:2111)) + (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_125/B1 (2669:2961:3253)(2669:2961:3253)) + (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_128/D1 (2063:2255:2448)(2063:2255:2448)) + (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_128/D0 (2063:2255:2448)(2063:2255:2448)) + (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_131/C1 (2391:2672:2954)(2391:2672:2954)) + (INTERCONNECT SLICE_2/Q0 ram2e_ufm\/SLICE_131/D0 (2053:2244:2436)(2053:2244:2436)) (INTERCONNECT SLICE_2/F1 SLICE_2/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_2/F0 SLICE_2/DI0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_3/FCO SLICE_2/FCI (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_3/Q1 SLICE_3/A1 (481:575:669)(481:575:669)) - (INTERCONNECT SLICE_3/Q1 SLICE_44/C1 (552:669:786)(552:669:786)) - (INTERCONNECT SLICE_3/Q1 SLICE_46/A1 (776:906:1036)(776:906:1036)) - (INTERCONNECT SLICE_3/Q1 SLICE_56/C0 (1280:1460:1640)(1280:1460:1640)) - (INTERCONNECT SLICE_3/Q1 SLICE_58/D1 (1351:1491:1632)(1351:1491:1632)) - (INTERCONNECT SLICE_3/Q1 SLICE_60/C0 (972:1126:1280)(972:1126:1280)) - (INTERCONNECT SLICE_3/Q1 SLICE_61/B1 (1583:1783:1983)(1583:1783:1983)) - (INTERCONNECT SLICE_3/Q1 SLICE_62/C1 (972:1126:1280)(972:1126:1280)) - (INTERCONNECT SLICE_3/Q1 SLICE_64/A0 (776:906:1036)(776:906:1036)) - (INTERCONNECT SLICE_3/Q1 SLICE_65/C0 (972:1126:1280)(972:1126:1280)) - (INTERCONNECT SLICE_3/Q1 SLICE_66/A0 (776:906:1036)(776:906:1036)) - (INTERCONNECT SLICE_3/Q1 SLICE_70/D1 (1351:1491:1632)(1351:1491:1632)) - (INTERCONNECT SLICE_3/Q1 SLICE_73/B1 (1583:1783:1983)(1583:1783:1983)) - (INTERCONNECT SLICE_3/Q1 SLICE_74/C0 (972:1126:1280)(972:1126:1280)) - (INTERCONNECT SLICE_3/Q1 SLICE_84/C1 (972:1126:1280)(972:1126:1280)) - (INTERCONNECT SLICE_3/Q1 SLICE_88/D1 (1336:1467:1599)(1336:1467:1599)) - (INTERCONNECT SLICE_3/Q1 SLICE_90/A0 (1551:1748:1946)(1551:1748:1946)) - (INTERCONNECT SLICE_3/Q1 SLICE_92/A1 (1551:1748:1946)(1551:1748:1946)) - (INTERCONNECT SLICE_3/Q1 SLICE_95/A1 (776:906:1036)(776:906:1036)) - (INTERCONNECT SLICE_3/Q1 SLICE_97/A1 (1140:1301:1463)(1140:1301:1463)) - (INTERCONNECT SLICE_3/Q1 SLICE_108/B1 (1578:1777:1977)(1578:1777:1977)) - (INTERCONNECT SLICE_3/Q1 SLICE_108/B0 (1578:1777:1977)(1578:1777:1977)) - (INTERCONNECT SLICE_3/Q0 SLICE_3/A0 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_3/Q0 SLICE_44/D1 (866:961:1056)(866:961:1056)) - (INTERCONNECT SLICE_3/Q0 SLICE_50/A1 (1498:1688:1879)(1498:1688:1879)) - (INTERCONNECT SLICE_3/Q0 SLICE_58/A0 (2248:2503:2759)(2248:2503:2759)) - (INTERCONNECT SLICE_3/Q0 SLICE_61/D0 (1673:1831:1990)(1673:1831:1990)) - (INTERCONNECT SLICE_3/Q0 SLICE_62/A1 (1883:2107:2331)(1883:2107:2331)) - (INTERCONNECT SLICE_3/Q0 SLICE_64/A1 (2253:2509:2765)(2253:2509:2765)) - (INTERCONNECT SLICE_3/Q0 SLICE_65/C1 (1674:1886:2099)(1674:1886:2099)) - (INTERCONNECT SLICE_3/Q0 SLICE_66/C0 (2381:2661:2942)(2381:2661:2942)) - (INTERCONNECT SLICE_3/Q0 SLICE_68/B0 (2655:2945:3236)(2655:2945:3236)) - (INTERCONNECT SLICE_3/Q0 SLICE_70/A0 (2248:2503:2759)(2248:2503:2759)) - (INTERCONNECT SLICE_3/Q0 SLICE_73/A1 (1498:1688:1879)(1498:1688:1879)) - (INTERCONNECT SLICE_3/Q0 SLICE_74/C1 (2001:2248:2496)(2001:2248:2496)) - (INTERCONNECT SLICE_3/Q0 SLICE_89/A1 (1498:1688:1879)(1498:1688:1879)) - (INTERCONNECT SLICE_3/Q0 SLICE_90/C1 (1684:1897:2111)(1684:1897:2111)) - (INTERCONNECT SLICE_3/Q0 SLICE_92/D1 (2458:2692:2927)(2458:2692:2927)) - (INTERCONNECT SLICE_3/Q0 SLICE_104/D1 (2413:2635:2858)(2413:2635:2858)) - (INTERCONNECT SLICE_3/Q0 SLICE_104/D0 (2413:2635:2858)(2413:2635:2858)) - (INTERCONNECT SLICE_3/Q0 SLICE_116/D0 (2785:3054:3324)(2785:3054:3324)) + (INTERCONNECT SLICE_3/Q1 SLICE_3/A1 (479:571:663)(479:571:663)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_56/D0 (803:890:978)(803:890:978)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_58/C1 (2000:2253:2506)(2000:2253:2506)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_68/D0 (2316:2549:2782)(2316:2549:2782)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_70/B0 (2226:2491:2757)(2226:2491:2757)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_75/A0 (2194:2457:2720)(2194:2457:2720)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_76/A0 (2579:2875:3172)(2579:2875:3172)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_81/D0 (2696:2962:3228)(2696:2962:3228)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_85/C1 (1947:2202:2457)(1947:2202:2457)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_90/B1 (2933:3266:3600)(2933:3266:3600)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_93/B0 (3391:3765:4140)(3391:3765:4140)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_97/A1 (3739:4144:4549)(3739:4144:4549)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_99/A0 (3739:4144:4549)(3739:4144:4549)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_103/A1 (2912:3245:3578)(2912:3245:3578)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_104/B0 (2944:3279:3615)(2944:3279:3615)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_111/D1 (2369:2600:2831)(2369:2600:2831)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_114/B0 (2611:2910:3209)(2611:2910:3209)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_124/C1 (814:956:1099)(814:956:1099)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_126/A1 (2189:2451:2714)(2189:2451:2714)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_126/A0 (2189:2451:2714)(2189:2451:2714)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_128/A1 (4066:4506:4946)(4066:4506:4946)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_128/C0 (3540:3934:4329)(3540:3934:4329)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_131/B1 (2923:3255:3588)(2923:3255:3588)) + (INTERCONNECT SLICE_3/Q1 ram2e_ufm\/SLICE_131/A0 (2564:2859:3154)(2564:2859:3154)) + (INTERCONNECT SLICE_3/Q0 SLICE_3/A0 (481:575:669)(481:575:669)) + (INTERCONNECT SLICE_3/Q0 SLICE_22/B0 (770:892:1014)(770:892:1014)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_56/A0 (751:878:1006)(751:878:1006)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_64/C1 (1633:1850:2068)(1633:1850:2068)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_64/A0 (1505:1698:1891)(1505:1698:1891)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_68/A0 (2270:2529:2789)(2270:2529:2789)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_73/C0 (1701:1918:2135)(1701:1918:2135)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_75/A1 (1875:2100:2325)(1875:2100:2325)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_76/A1 (2255:2513:2771)(2255:2513:2771)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_88/A1 (2255:2513:2771)(2255:2513:2771)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_90/A1 (2255:2513:2771)(2255:2513:2771)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_93/A1 (2619:2908:3198)(2619:2908:3198)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_99/D1 (2065:2259:2454)(2065:2259:2454)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_104/C1 (1701:1918:2135)(1701:1918:2135)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_109/D0 (2060:2254:2448)(2060:2254:2448)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_110/B1 (1901:2128:2355)(1901:2128:2355)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_114/A0 (1505:1698:1891)(1505:1698:1891)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_123/C1 (1701:1918:2135)(1701:1918:2135)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_123/C0 (1701:1918:2135)(1701:1918:2135)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_125/C1 (2076:2325:2575)(2076:2325:2575)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_125/C0 (2076:2325:2575)(2076:2325:2575)) + (INTERCONNECT SLICE_3/Q0 ram2e_ufm\/SLICE_128/C1 (1701:1918:2135)(1701:1918:2135)) (INTERCONNECT SLICE_3/F1 SLICE_3/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_3/F0 SLICE_3/DI0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_4/FCO SLICE_3/FCI (0:0:0)(0:0:0)) (INTERCONNECT SLICE_4/Q1 SLICE_4/A1 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_4/Q1 SLICE_46/B1 (796:925:1055)(796:925:1055)) - (INTERCONNECT SLICE_4/Q1 SLICE_50/C1 (1345:1529:1714)(1345:1529:1714)) - (INTERCONNECT SLICE_4/Q1 SLICE_58/D0 (1329:1458:1587)(1329:1458:1587)) - (INTERCONNECT SLICE_4/Q1 SLICE_60/D0 (954:1050:1147)(954:1050:1147)) - (INTERCONNECT SLICE_4/Q1 SLICE_61/A0 (1534:1728:1922)(1534:1728:1922)) - (INTERCONNECT SLICE_4/Q1 SLICE_62/D0 (954:1050:1147)(954:1050:1147)) - (INTERCONNECT SLICE_4/Q1 SLICE_64/B0 (796:925:1055)(796:925:1055)) - (INTERCONNECT SLICE_4/Q1 SLICE_65/D0 (954:1050:1147)(954:1050:1147)) - (INTERCONNECT SLICE_4/Q1 SLICE_68/C0 (1710:1926:2142)(1710:1926:2142)) - (INTERCONNECT SLICE_4/Q1 SLICE_70/D0 (1329:1458:1587)(1329:1458:1587)) - (INTERCONNECT SLICE_4/Q1 SLICE_74/D0 (954:1050:1147)(954:1050:1147)) - (INTERCONNECT SLICE_4/Q1 SLICE_84/D1 (954:1050:1147)(954:1050:1147)) - (INTERCONNECT SLICE_4/Q1 SLICE_89/D1 (1334:1463:1593)(1334:1463:1593)) - (INTERCONNECT SLICE_4/Q1 SLICE_90/D1 (1324:1452:1581)(1324:1452:1581)) - (INTERCONNECT SLICE_4/Q1 SLICE_95/B1 (796:925:1055)(796:925:1055)) - (INTERCONNECT SLICE_4/Q1 SLICE_97/D1 (918:1011:1104)(918:1011:1104)) - (INTERCONNECT SLICE_4/Q1 SLICE_104/A0 (1909:2135:2362)(1909:2135:2362)) - (INTERCONNECT SLICE_4/Q1 SLICE_108/A1 (1544:1739:1934)(1544:1739:1934)) - (INTERCONNECT SLICE_4/Q1 SLICE_108/A0 (1544:1739:1934)(1544:1739:1934)) - (INTERCONNECT SLICE_4/Q0 SLICE_4/A0 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_4/Q0 SLICE_46/C1 (892:1043:1195)(892:1043:1195)) - (INTERCONNECT SLICE_4/Q0 SLICE_50/B1 (1556:1751:1947)(1556:1751:1947)) - (INTERCONNECT SLICE_4/Q0 SLICE_50/B0 (1556:1751:1947)(1556:1751:1947)) - (INTERCONNECT SLICE_4/Q0 SLICE_58/C0 (1355:1549:1743)(1355:1549:1743)) - (INTERCONNECT SLICE_4/Q0 SLICE_61/B0 (1546:1740:1935)(1546:1740:1935)) - (INTERCONNECT SLICE_4/Q0 SLICE_62/B0 (1171:1333:1495)(1171:1333:1495)) - (INTERCONNECT SLICE_4/Q0 SLICE_64/B1 (796:925:1055)(796:925:1055)) - (INTERCONNECT SLICE_4/Q0 SLICE_65/B1 (1171:1333:1495)(1171:1333:1495)) - (INTERCONNECT SLICE_4/Q0 SLICE_68/D0 (1349:1488:1628)(1349:1488:1628)) - (INTERCONNECT SLICE_4/Q0 SLICE_70/C0 (1355:1549:1743)(1355:1549:1743)) - (INTERCONNECT SLICE_4/Q0 SLICE_74/B1 (1171:1333:1495)(1171:1333:1495)) - (INTERCONNECT SLICE_4/Q0 SLICE_89/B0 (1556:1751:1947)(1556:1751:1947)) - (INTERCONNECT SLICE_4/Q0 SLICE_90/B1 (1546:1740:1935)(1546:1740:1935)) - (INTERCONNECT SLICE_4/Q0 SLICE_104/B1 (1591:1798:2006)(1591:1798:2006)) - (INTERCONNECT SLICE_4/Q0 SLICE_104/B0 (1591:1798:2006)(1591:1798:2006)) - (INTERCONNECT SLICE_4/Q0 SLICE_105/B1 (1546:1740:1935)(1546:1740:1935)) - (INTERCONNECT SLICE_4/Q0 SLICE_105/B0 (1546:1740:1935)(1546:1740:1935)) - (INTERCONNECT SLICE_4/Q0 SLICE_108/C1 (1652:1869:2087)(1652:1869:2087)) - (INTERCONNECT SLICE_4/Q0 SLICE_116/B1 (1556:1751:1947)(1556:1751:1947)) - (INTERCONNECT SLICE_4/Q0 SLICE_116/B0 (1556:1751:1947)(1556:1751:1947)) + (INTERCONNECT SLICE_4/Q1 SLICE_21/A1 (738:861:985)(738:861:985)) + (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_47/D0 (1944:2145:2347)(1944:2145:2347)) + (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_68/B0 (1510:1714:1919)(1510:1714:1919)) + (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_73/B0 (1885:2122:2359)(1885:2122:2359)) + (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_75/B1 (1495:1698:1901)(1495:1698:1901)) + (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_76/B1 (1495:1698:1901)(1495:1698:1901)) + (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_88/B1 (1495:1698:1901)(1495:1698:1901)) + (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_90/D1 (1612:1778:1944)(1612:1778:1944)) + (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_93/B1 (1484:1686:1888)(1484:1686:1888)) + (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_99/B1 (1874:2110:2346)(1874:2110:2346)) + (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_104/A1 (1822:2053:2285)(1822:2053:2285)) + (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_110/D1 (1981:2179:2377)(1981:2179:2377)) + (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_114/C1 (1628:1849:2071)(1628:1849:2071)) + (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_123/D0 (1268:1404:1541)(1268:1404:1541)) + (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_126/C1 (1279:1470:1662)(1279:1470:1662)) + (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_126/C0 (1279:1470:1662)(1279:1470:1662)) + (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_128/B1 (1885:2122:2359)(1885:2122:2359)) + (INTERCONNECT SLICE_4/Q1 ram2e_ufm\/SLICE_128/B0 (1885:2122:2359)(1885:2122:2359)) + (INTERCONNECT SLICE_4/Q0 SLICE_4/A0 (481:575:669)(481:575:669)) + (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_64/B1 (1617:1822:2027)(1617:1822:2027)) + (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_68/C1 (1703:1929:2155)(1703:1929:2155)) + (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_73/D0 (1750:1919:2089)(1750:1919:2089)) + (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_75/C1 (805:948:1092)(805:948:1092)) + (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_81/B1 (1222:1392:1563)(1222:1392:1563)) + (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_93/D1 (2452:2690:2928)(2452:2690:2928)) + (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_104/B1 (1617:1822:2027)(1617:1822:2027)) + (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_110/C1 (1703:1929:2155)(1703:1929:2155)) + (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_111/B0 (1222:1392:1563)(1222:1392:1563)) + (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_114/B1 (1617:1822:2027)(1617:1822:2027)) + (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_123/B1 (1617:1822:2027)(1617:1822:2027)) + (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_123/B0 (1617:1822:2027)(1617:1822:2027)) + (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_125/D1 (2447:2683:2920)(2447:2683:2920)) + (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_125/B0 (2362:2631:2901)(2362:2631:2901)) + (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_126/B1 (1607:1811:2015)(1607:1811:2015)) + (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_126/B0 (1607:1811:2015)(1607:1811:2015)) + (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_134/B0 (1591:1793:1996)(1591:1793:1996)) + (INTERCONNECT SLICE_4/Q0 ram2e_ufm\/SLICE_145/B0 (2694:3000:3306)(2694:3000:3306)) (INTERCONNECT SLICE_4/F1 SLICE_4/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_4/F0 SLICE_4/DI0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_5/FCO SLICE_4/FCI (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_5/Q1 SLICE_5/A1 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_5/Q1 SLICE_46/D1 (871:966:1062)(871:966:1062)) - (INTERCONNECT SLICE_5/Q1 SLICE_50/D1 (1641:1803:1966)(1641:1803:1966)) - (INTERCONNECT SLICE_5/Q1 SLICE_58/B0 (1868:2098:2328)(1868:2098:2328)) - (INTERCONNECT SLICE_5/Q1 SLICE_61/C0 (2022:2271:2521)(2022:2271:2521)) - (INTERCONNECT SLICE_5/Q1 SLICE_62/A0 (1134:1293:1452)(1134:1293:1452)) - (INTERCONNECT SLICE_5/Q1 SLICE_64/C1 (555:670:786)(555:670:786)) - (INTERCONNECT SLICE_5/Q1 SLICE_65/A1 (1134:1293:1452)(1134:1293:1452)) - (INTERCONNECT SLICE_5/Q1 SLICE_68/A0 (1894:2119:2344)(1894:2119:2344)) - (INTERCONNECT SLICE_5/Q1 SLICE_70/B0 (1868:2098:2328)(1868:2098:2328)) - (INTERCONNECT SLICE_5/Q1 SLICE_85/A1 (1524:1717:1910)(1524:1717:1910)) - (INTERCONNECT SLICE_5/Q1 SLICE_86/A1 (1894:2119:2344)(1894:2119:2344)) - (INTERCONNECT SLICE_5/Q1 SLICE_89/C0 (1325:1507:1690)(1325:1507:1690)) - (INTERCONNECT SLICE_5/Q1 SLICE_104/A1 (1894:2119:2344)(1894:2119:2344)) - (INTERCONNECT SLICE_5/Q1 SLICE_116/A1 (1888:2112:2337)(1888:2112:2337)) - (INTERCONNECT SLICE_5/Q0 SLICE_5/A0 (481:575:669)(481:575:669)) - (INTERCONNECT SLICE_5/Q0 SLICE_32/C1 (539:648:757)(539:648:757)) - (INTERCONNECT SLICE_5/Q0 SLICE_63/B1 (1473:1665:1858)(1473:1665:1858)) - (INTERCONNECT SLICE_5/Q0 SLICE_75/D1 (1558:1717:1877)(1558:1717:1877)) + (INTERCONNECT SLICE_5/Q1 SLICE_5/A1 (481:575:669)(481:575:669)) + (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_68/D1 (1879:2074:2269)(1879:2074:2269)) + (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_68/C0 (1366:1555:1744)(1366:1555:1744)) + (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_70/A1 (1012:1172:1333)(1012:1172:1333)) + (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_73/A0 (1195:1362:1530)(1195:1362:1530)) + (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_81/A1 (1387:1580:1773)(1387:1580:1773)) + (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_93/C1 (1890:2141:2392)(1890:2141:2392)) + (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_99/A1 (1533:1737:1942)(1533:1737:1942)) + (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_104/D1 (1698:1869:2041)(1698:1869:2041)) + (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_107/B0 (1038:1200:1363)(1038:1200:1363)) + (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_110/A1 (1892:2126:2361)(1892:2126:2361)) + (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_114/A1 (1897:2132:2367)(1897:2132:2367)) + (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_123/D1 (1698:1869:2041)(1698:1869:2041)) + (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_123/A0 (1897:2132:2367)(1897:2132:2367)) + (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_125/A1 (2126:2383:2640)(2126:2383:2640)) + (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_125/D0 (1323:1462:1601)(1323:1462:1601)) + (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_126/D1 (1552:1712:1872)(1552:1712:1872)) + (INTERCONNECT SLICE_5/Q1 ram2e_ufm\/SLICE_145/C0 (1890:2141:2392)(1890:2141:2392)) + (INTERCONNECT SLICE_5/Q0 SLICE_5/A0 (479:571:663)(479:571:663)) + (INTERCONNECT SLICE_5/Q0 SLICE_20/B0 (781:909:1037)(781:909:1037)) + (INTERCONNECT SLICE_5/Q0 ram2e_ufm\/SLICE_108/C1 (920:1067:1214)(920:1067:1214)) + (INTERCONNECT SLICE_5/Q0 ram2e_ufm\/SLICE_146/A0 (1446:1638:1831)(1446:1638:1831)) (INTERCONNECT SLICE_5/F1 SLICE_5/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_5/F0 SLICE_5/DI0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_6/FCO SLICE_5/FCI (0:0:0)(0:0:0)) (INTERCONNECT SLICE_6/Q1 SLICE_6/A1 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_6/Q1 SLICE_32/D1 (539:599:659)(539:599:659)) - (INTERCONNECT SLICE_6/Q1 SLICE_63/D1 (1241:1369:1498)(1241:1369:1498)) - (INTERCONNECT SLICE_6/Q1 SLICE_75/A1 (1451:1645:1839)(1451:1645:1839)) - (INTERCONNECT SLICE_6/Q0 SLICE_6/A0 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_6/Q0 SLICE_55/B1 (1156:1316:1477)(1156:1316:1477)) - (INTERCONNECT SLICE_6/Q0 SLICE_55/B0 (1156:1316:1477)(1156:1316:1477)) - (INTERCONNECT SLICE_6/Q0 SLICE_72/D1 (539:599:659)(539:599:659)) - (INTERCONNECT SLICE_6/Q0 SLICE_76/A1 (1124:1282:1440)(1124:1282:1440)) + (INTERCONNECT SLICE_6/Q1 ram2e_ufm\/SLICE_134/D1 (544:604:665)(544:604:665)) + (INTERCONNECT SLICE_6/Q1 ram2e_ufm\/SLICE_134/D0 (544:604:665)(544:604:665)) + (INTERCONNECT SLICE_6/Q1 ram2e_ufm\/SLICE_146/C0 (555:670:786)(555:670:786)) + (INTERCONNECT SLICE_6/Q0 SLICE_6/A0 (481:575:669)(481:575:669)) + (INTERCONNECT SLICE_6/Q0 ram2e_ufm\/SLICE_107/D0 (528:582:636)(528:582:636)) + (INTERCONNECT SLICE_6/Q0 ram2e_ufm\/SLICE_108/A1 (1184:1351:1519)(1184:1351:1519)) + (INTERCONNECT SLICE_6/Q0 ram2e_ufm\/SLICE_146/B0 (1216:1386:1556)(1216:1386:1556)) (INTERCONNECT SLICE_6/F1 SLICE_6/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_6/F0 SLICE_6/DI0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_7/FCO SLICE_6/FCI (0:0:0)(0:0:0)) (INTERCONNECT SLICE_7/Q1 SLICE_7/A1 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_7/Q1 SLICE_55/A1 (1124:1282:1440)(1124:1282:1440)) - (INTERCONNECT SLICE_7/Q1 SLICE_71/B1 (1858:2087:2316)(1858:2087:2316)) - (INTERCONNECT SLICE_7/Q1 SLICE_76/D1 (914:1006:1099)(914:1006:1099)) - (INTERCONNECT SLICE_7/Q1 SLICE_78/D1 (1616:1777:1938)(1616:1777:1938)) - (INTERCONNECT SLICE_7/Q1 SLICE_119/A0 (749:874:1000)(749:874:1000)) - (INTERCONNECT SLICE_7/Q0 SLICE_7/A0 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_7/Q0 SLICE_55/A0 (1119:1276:1434)(1119:1276:1434)) - (INTERCONNECT SLICE_7/Q0 SLICE_63/B0 (1151:1311:1471)(1151:1311:1471)) - (INTERCONNECT SLICE_7/Q0 SLICE_72/A1 (749:874:1000)(749:874:1000)) + (INTERCONNECT SLICE_7/Q1 SLICE_35/B1 (2395:2672:2950)(2395:2672:2950)) + (INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_57/A1 (1183:1347:1512)(1183:1347:1512)) + (INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_67/D0 (1783:1960:2138)(1783:1960:2138)) + (INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_71/A1 (2363:2638:2913)(2363:2638:2913)) + (INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_72/B1 (2389:2666:2943)(2389:2666:2943)) + (INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_107/D1 (2528:2770:3012)(2528:2770:3012)) + (INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_108/B1 (3097:3442:3787)(3097:3442:3787)) + (INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_122/A1 (2331:2611:2891)(2331:2611:2891)) + (INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_122/A0 (2331:2611:2891)(2331:2611:2891)) + (INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_124/D1 (1783:1960:2138)(1783:1960:2138)) + (INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_124/D0 (1783:1960:2138)(1783:1960:2138)) + (INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_129/B1 (3097:3443:3789)(3097:3443:3789)) + (INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_129/B0 (3097:3443:3789)(3097:3443:3789)) + (INTERCONNECT SLICE_7/Q1 ram2e_ufm\/SLICE_146/D1 (2528:2770:3012)(2528:2770:3012)) + (INTERCONNECT SLICE_7/Q0 SLICE_7/A0 (483:579:675)(483:579:675)) + (INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_67/B1 (1164:1329:1494)(1164:1329:1494)) + (INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_67/B0 (1164:1329:1494)(1164:1329:1494)) + (INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_71/D1 (922:1019:1116)(922:1019:1116)) + (INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_107/A0 (758:888:1018)(758:888:1018)) + (INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_122/D1 (1260:1394:1528)(1260:1394:1528)) + (INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_122/D0 (1260:1394:1528)(1260:1394:1528)) + (INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_124/A1 (1502:1696:1891)(1502:1696:1891)) + (INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_124/A0 (1502:1696:1891)(1502:1696:1891)) + (INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_131/A1 (740:861:983)(740:861:983)) + (INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_134/C1 (559:678:798)(559:678:798)) + (INTERCONNECT SLICE_7/Q0 ram2e_ufm\/SLICE_134/C0 (559:678:798)(559:678:798)) (INTERCONNECT SLICE_7/F1 SLICE_7/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_7/F0 SLICE_7/DI0 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_8/FCO SLICE_7/FCI (0:0:0)(0:0:0)) (INTERCONNECT SLICE_8/Q1 SLICE_8/A1 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_8/Q1 SLICE_55/D0 (909:1001:1093)(909:1001:1093)) - (INTERCONNECT SLICE_8/Q1 SLICE_63/A0 (1119:1276:1434)(1119:1276:1434)) - (INTERCONNECT SLICE_8/Q1 SLICE_119/B0 (781:909:1037)(781:909:1037)) - (INTERCONNECT SLICE_8/Q0 SLICE_8/A0 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_8/Q0 SLICE_55/C0 (1353:1539:1725)(1353:1539:1725)) - (INTERCONNECT SLICE_8/Q0 SLICE_63/C0 (1353:1539:1725)(1353:1539:1725)) - (INTERCONNECT SLICE_8/Q0 SLICE_119/D0 (1706:1868:2031)(1706:1868:2031)) + (INTERCONNECT SLICE_8/Q1 ram2e_ufm\/SLICE_57/C1 (989:1143:1298)(989:1143:1298)) + (INTERCONNECT SLICE_8/Q1 ram2e_ufm\/SLICE_67/C1 (1364:1551:1738)(1364:1551:1738)) + (INTERCONNECT SLICE_8/Q1 ram2e_ufm\/SLICE_122/C1 (1364:1551:1738)(1364:1551:1738)) + (INTERCONNECT SLICE_8/Q1 ram2e_ufm\/SLICE_122/C0 (1364:1551:1738)(1364:1551:1738)) + (INTERCONNECT SLICE_8/Q1 ram2e_ufm\/SLICE_134/A1 (1188:1353:1518)(1188:1353:1518)) + (INTERCONNECT SLICE_8/Q0 SLICE_8/A0 (483:579:675)(483:579:675)) + (INTERCONNECT SLICE_8/Q0 ram2e_ufm\/SLICE_67/A1 (1133:1295:1458)(1133:1295:1458)) + (INTERCONNECT SLICE_8/Q0 ram2e_ufm\/SLICE_122/B1 (1165:1330:1495)(1165:1330:1495)) + (INTERCONNECT SLICE_8/Q0 ram2e_ufm\/SLICE_122/B0 (1165:1330:1495)(1165:1330:1495)) + (INTERCONNECT SLICE_8/Q0 ram2e_ufm\/SLICE_124/C0 (918:1068:1219)(918:1068:1219)) + (INTERCONNECT SLICE_8/Q0 ram2e_ufm\/SLICE_129/A0 (1497:1691:1885)(1497:1691:1885)) + (INTERCONNECT SLICE_8/Q0 ram2e_ufm\/SLICE_131/D1 (530:586:642)(530:586:642)) + (INTERCONNECT SLICE_8/Q0 ram2e_ufm\/SLICE_134/B1 (779:910:1042)(779:910:1042)) (INTERCONNECT SLICE_8/F1 SLICE_8/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_8/F0 SLICE_8/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT PHI1_I/PADDI SLICE_9/C1 (1532:1704:1876)(1532:1704:1876)) - (INTERCONNECT PHI1_I/PADDI nVOE_I/PADDO (2804:3086:3368)(2804:3086:3368)) - (INTERCONNECT PHI1_I/PADDI PHI1_MGIOL/DI (544:554:565)(544:554:565)) - (INTERCONNECT PHI1_MGIOL/IN SLICE_9/B1 (1392:1572:1753)(1392:1572:1753)) - (INTERCONNECT SLICE_32/Q0 SLICE_9/A1 (1433:1620:1808)(1433:1620:1808)) - (INTERCONNECT SLICE_32/Q0 SLICE_32/A0 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_31/Q0 SLICE_9/D0 (984:1084:1185)(984:1084:1185)) - (INTERCONNECT SLICE_31/Q0 SLICE_12/D0 (1440:1568:1696)(1440:1568:1696)) - (INTERCONNECT SLICE_31/Q0 SLICE_13/D1 (1440:1568:1696)(1440:1568:1696)) - (INTERCONNECT SLICE_31/Q0 SLICE_13/C0 (1778:1996:2214)(1778:1996:2214)) - (INTERCONNECT SLICE_31/Q0 SLICE_14/A0 (2040:2267:2495)(2040:2267:2495)) - (INTERCONNECT SLICE_31/Q0 SLICE_15/D0 (2200:2394:2588)(2200:2394:2588)) - (INTERCONNECT SLICE_31/Q0 SLICE_16/D0 (2200:2394:2588)(2200:2394:2588)) - (INTERCONNECT SLICE_31/Q0 SLICE_17/D0 (2194:2387:2581)(2194:2387:2581)) - (INTERCONNECT SLICE_31/Q0 SLICE_18/D0 (2157:2354:2551)(2157:2354:2551)) - (INTERCONNECT SLICE_31/Q0 SLICE_19/A1 (2035:2262:2489)(2035:2262:2489)) - (INTERCONNECT SLICE_31/Q0 SLICE_19/A0 (2035:2262:2489)(2035:2262:2489)) - (INTERCONNECT SLICE_31/Q0 SLICE_49/A1 (2040:2267:2495)(2040:2267:2495)) - (INTERCONNECT SLICE_31/Q0 SLICE_49/A0 (2040:2267:2495)(2040:2267:2495)) - (INTERCONNECT SLICE_31/Q0 SLICE_53/D1 (973:1072:1172)(973:1072:1172)) - (INTERCONNECT SLICE_31/Q0 SLICE_57/C1 (995:1150:1306)(995:1150:1306)) - (INTERCONNECT SLICE_31/Q0 SLICE_67/B0 (1590:1790:1990)(1590:1790:1990)) - (INTERCONNECT SLICE_31/Q0 SLICE_82/C1 (1836:2052:2269)(1836:2052:2269)) - (INTERCONNECT SLICE_31/Q0 SLICE_87/D1 (1825:1986:2148)(1825:1986:2148)) - (INTERCONNECT SLICE_31/Q0 SLICE_91/C0 (1836:2052:2269)(1836:2052:2269)) - (INTERCONNECT SLICE_9/Q0 SLICE_9/A0 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_9/Q0 SLICE_19/B1 (1382:1575:1769)(1382:1575:1769)) - (INTERCONNECT SLICE_9/Q0 SLICE_19/B0 (1382:1575:1769)(1382:1575:1769)) - (INTERCONNECT SLICE_9/Q0 SLICE_82/D1 (1140:1265:1391)(1140:1265:1391)) + (INTERCONNECT SLICE_33/Q1 SLICE_9/D1 (885:985:1086)(885:985:1086)) + (INTERCONNECT SLICE_33/Q1 SLICE_9/B0 (1127:1295:1464)(1127:1295:1464)) + (INTERCONNECT SLICE_33/Q1 SLICE_33/D0 (538:599:660)(538:599:660)) + (INTERCONNECT SLICE_33/Q1 SLICE_35/D1 (538:599:660)(538:599:660)) + (INTERCONNECT SLICE_33/Q1 SLICE_36/D1 (1276:1411:1547)(1276:1411:1547)) + (INTERCONNECT SLICE_33/Q1 SLICE_37/A1 (2975:3305:3635)(2975:3305:3635)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_56/B1 (1143:1314:1485)(1143:1314:1485)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/CKE_7\/SLICE_61/B0 (1518:1721:1925) + (1518:1721:1925)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_67/A0 (1533:1730:1928)(1533:1730:1928)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_69/C0 (1661:1883:2105)(1661:1883:2105)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_72/A0 (768:899:1030)(768:899:1030)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_74/D0 (890:991:1092)(890:991:1092)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_77/B0 (1502:1703:1904)(1502:1703:1904)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_78/C1 (912:1070:1228)(912:1070:1228)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_80/B1 (1123:1292:1461)(1123:1292:1461)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_92/A1 (1148:1312:1476)(1148:1312:1476)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_95/C1 (2025:2278:2532)(2025:2278:2532)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_96/C1 (1704:1923:2142)(1704:1923:2142)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_102/C1 (949:1102:1256)(949:1102:1256)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_106/C1 (574:695:816)(574:695:816)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_112/D1 (2765:3029:3294)(2765:3029:3294)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_113/D0 (1693:1857:2021)(1693:1857:2021)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_115/C1 (1704:1923:2142)(1704:1923:2142)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_117/C1 (927:1088:1250)(927:1088:1250)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_117/C0 (927:1088:1250)(927:1088:1250)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_118/C1 (927:1088:1250)(927:1088:1250)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_118/C0 (927:1088:1250)(927:1088:1250)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_119/C1 (574:695:816)(574:695:816)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_119/C0 (574:695:816)(574:695:816)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_121/C1 (1282:1472:1662)(1282:1472:1662)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_121/C0 (1282:1472:1662)(1282:1472:1662)) + (INTERCONNECT SLICE_33/Q1 ram2e_ufm\/SLICE_127/A1 (2273:2534:2796)(2273:2534:2796)) + (INTERCONNECT SLICE_33/Q1 SLICE_138/A1 (753:882:1012)(753:882:1012)) + (INTERCONNECT SLICE_33/Q1 SLICE_138/B0 (1123:1292:1461)(1123:1292:1461)) + (INTERCONNECT SLICE_35/F0 SLICE_9/C1 (559:681:804)(559:681:804)) + (INTERCONNECT SLICE_35/F0 SLICE_9/C0 (559:681:804)(559:681:804)) + (INTERCONNECT SLICE_35/F0 SLICE_35/A1 (483:582:681)(483:582:681)) + (INTERCONNECT SLICE_35/F0 SLICE_35/DI0 (7:16:25)(7:16:25)) + (INTERCONNECT SLICE_35/F0 ram2e_ufm\/SLICE_80/A1 (740:864:989)(740:864:989)) + (INTERCONNECT SLICE_35/F0 ram2e_ufm\/SLICE_92/C1 (929:1083:1238)(929:1083:1238)) + (INTERCONNECT SLICE_35/F0 ram2e_ufm\/SLICE_129/C0 (1293:1479:1665)(1293:1479:1665)) + (INTERCONNECT SLICE_33/Q0 SLICE_9/A1 (786:917:1048)(786:917:1048)) + (INTERCONNECT SLICE_33/Q0 SLICE_9/A0 (786:917:1048)(786:917:1048)) + (INTERCONNECT SLICE_33/Q0 SLICE_35/C1 (536:648:760)(536:648:760)) + (INTERCONNECT SLICE_33/Q0 SLICE_36/B1 (1198:1364:1531)(1198:1364:1531)) + (INTERCONNECT SLICE_33/Q0 SLICE_37/D1 (956:1054:1153)(956:1054:1153)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_56/D1 (825:916:1008)(825:916:1008)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_69/B0 (1442:1634:1826)(1442:1634:1826)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_71/C0 (1581:1792:2003)(1581:1792:2003)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_72/A1 (786:917:1048)(786:917:1048)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_74/A0 (1551:1748:1946)(1551:1748:1946)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_77/A0 (1931:2161:2392)(1931:2161:2392)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_78/A1 (1035:1192:1349)(1035:1192:1349)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_80/D1 (2096:2293:2491)(2096:2293:2491)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_92/A0 (1166:1330:1494)(1166:1330:1494)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_95/B1 (2160:2423:2686)(2160:2423:2686)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_96/B1 (1067:1226:1386)(1067:1226:1386)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_106/A1 (1551:1748:1946)(1551:1748:1946)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_107/B1 (1431:1622:1813)(1431:1622:1813)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_112/B1 (1442:1634:1826)(1442:1634:1826)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_113/A0 (1551:1748:1946)(1551:1748:1946)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_115/D0 (1689:1860:2031)(1689:1860:2031)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_117/A1 (786:917:1048)(786:917:1048)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_117/A0 (786:917:1048)(786:917:1048)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_118/B1 (1156:1326:1497)(1156:1326:1497)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_118/B0 (1156:1326:1497)(1156:1326:1497)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_119/B1 (1067:1226:1386)(1067:1226:1386)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_119/B0 (1067:1226:1386)(1067:1226:1386)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_120/A1 (786:917:1048)(786:917:1048)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_120/A0 (786:917:1048)(786:917:1048)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_121/A1 (1931:2161:2392)(1931:2161:2392)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_121/A0 (1931:2161:2392)(1931:2161:2392)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_127/C1 (1929:2179:2429)(1929:2179:2429)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_127/C0 (1929:2179:2429)(1929:2179:2429)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_129/D1 (1689:1860:2031)(1689:1860:2031)) + (INTERCONNECT SLICE_33/Q0 ram2e_ufm\/SLICE_129/D0 (1689:1860:2031)(1689:1860:2031)) + (INTERCONNECT SLICE_33/Q0 SLICE_138/C1 (2107:2359:2612)(2107:2359:2612)) + (INTERCONNECT SLICE_33/Q0 SLICE_138/C0 (2107:2359:2612)(2107:2359:2612)) + (INTERCONNECT ram2e_ufm\/CKE_7\/SLICE_61/OFX0 SLICE_9/D0 (857:949:1042) + (857:949:1042)) (INTERCONNECT SLICE_9/F0 SLICE_9/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_20/F1 SLICE_9/CE (2142:2382:2622)(2142:2382:2622)) - (INTERCONNECT SLICE_20/F1 SLICE_12/CE (2142:2382:2622)(2142:2382:2622)) - (INTERCONNECT SLICE_20/F1 SLICE_13/CE (2142:2382:2622)(2142:2382:2622)) - (INTERCONNECT SLICE_20/F1 SLICE_14/CE (2142:2382:2622)(2142:2382:2622)) - (INTERCONNECT SLICE_20/F1 SLICE_15/CE (2142:2382:2622)(2142:2382:2622)) - (INTERCONNECT SLICE_20/F1 SLICE_16/CE (2142:2382:2622)(2142:2382:2622)) - (INTERCONNECT SLICE_20/F1 SLICE_17/CE (2142:2382:2622)(2142:2382:2622)) - (INTERCONNECT SLICE_20/F1 SLICE_18/CE (2142:2382:2622)(2142:2382:2622)) - (INTERCONNECT SLICE_20/F1 SLICE_19/CE (2142:2382:2622)(2142:2382:2622)) - (INTERCONNECT SLICE_20/F1 SLICE_19/CE (2142:2382:2622)(2142:2382:2622)) - (INTERCONNECT SLICE_20/F1 Dout\[7\]_MGIOL/CE (2324:2586:2848)(2324:2586:2848)) - (INTERCONNECT SLICE_20/F1 Dout\[6\]_MGIOL/CE (2324:2586:2848)(2324:2586:2848)) - (INTERCONNECT SLICE_20/F1 Dout\[5\]_MGIOL/CE (2324:2586:2848)(2324:2586:2848)) - (INTERCONNECT SLICE_20/F1 Dout\[4\]_MGIOL/CE (2324:2586:2848)(2324:2586:2848)) - (INTERCONNECT SLICE_20/F1 Dout\[3\]_MGIOL/CE (2324:2586:2848)(2324:2586:2848)) - (INTERCONNECT SLICE_20/F1 Dout\[2\]_MGIOL/CE (2324:2586:2848)(2324:2586:2848)) - (INTERCONNECT SLICE_20/F1 Dout\[1\]_MGIOL/CE (2324:2586:2848)(2324:2586:2848)) - (INTERCONNECT SLICE_20/F1 Dout\[0\]_MGIOL/CE (2324:2586:2848)(2324:2586:2848)) - (INTERCONNECT SLICE_9/F1 SLICE_33/B1 (1222:1388:1554)(1222:1388:1554)) - (INTERCONNECT SLICE_9/F1 SLICE_33/B0 (1222:1388:1554)(1222:1388:1554)) - (INTERCONNECT SLICE_9/F1 SLICE_34/B1 (1222:1388:1554)(1222:1388:1554)) - (INTERCONNECT SLICE_9/F1 SLICE_34/B0 (1222:1388:1554)(1222:1388:1554)) - (INTERCONNECT SLICE_57/F0 SLICE_10/D1 (808:897:986)(808:897:986)) - (INTERCONNECT SLICE_57/F0 SLICE_10/D0 (808:897:986)(808:897:986)) - (INTERCONNECT SLICE_57/F0 SLICE_11/B1 (1050:1207:1364)(1050:1207:1364)) - (INTERCONNECT SLICE_57/F0 SLICE_57/D1 (523:579:635)(523:579:635)) - (INTERCONNECT SLICE_57/F0 SLICE_82/A0 (1018:1172:1327)(1018:1172:1327)) - (INTERCONNECT SLICE_82/F1 SLICE_10/C1 (555:670:785)(555:670:785)) - (INTERCONNECT SLICE_82/F1 SLICE_10/C0 (555:670:785)(555:670:785)) - (INTERCONNECT SLICE_82/F1 SLICE_11/C1 (555:670:785)(555:670:785)) - (INTERCONNECT SLICE_82/F1 SLICE_82/D0 (523:579:635)(523:579:635)) - (INTERCONNECT SLICE_10/Q0 SLICE_10/B1 (780:909:1038)(780:909:1038)) - (INTERCONNECT SLICE_10/Q0 SLICE_10/A0 (483:579:675)(483:579:675)) - (INTERCONNECT SLICE_10/Q0 SLICE_11/D1 (538:599:660)(538:599:660)) - (INTERCONNECT SLICE_10/Q0 SLICE_49/C1 (554:673:792)(554:673:792)) - (INTERCONNECT SLICE_10/Q0 SLICE_77/D1 (881:982:1083)(881:982:1083)) - (INTERCONNECT SLICE_10/Q0 SLICE_81/D1 (881:982:1083)(881:982:1083)) - (INTERCONNECT SLICE_10/Q0 SLICE_87/A1 (753:882:1012)(753:882:1012)) - (INTERCONNECT SLICE_10/Q0 SLICE_91/D1 (543:607:671)(543:607:671)) - (INTERCONNECT SLICE_10/Q1 SLICE_10/A1 (483:579:675)(483:579:675)) - (INTERCONNECT SLICE_10/Q1 SLICE_11/B0 (769:896:1023)(769:896:1023)) - (INTERCONNECT SLICE_10/Q1 SLICE_18/C1 (811:974:1138)(811:974:1138)) - (INTERCONNECT SLICE_10/Q1 SLICE_49/D0 (534:608:682)(534:608:682)) - (INTERCONNECT SLICE_10/Q1 SLICE_54/A1 (1016:1190:1365)(1016:1190:1365)) - (INTERCONNECT SLICE_10/Q1 SLICE_87/A0 (747:876:1005)(747:876:1005)) - (INTERCONNECT SLICE_10/Q1 SLICE_93/A0 (1016:1190:1365)(1016:1190:1365)) - (INTERCONNECT SLICE_10/Q1 SLICE_94/D0 (534:608:682)(534:608:682)) - (INTERCONNECT SLICE_10/F1 SLICE_10/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_9/Q0 CKEout_MGIOL/OPOS (1081:1188:1296)(1081:1188:1296)) + (INTERCONNECT SLICE_9/F1 ram2e_ufm\/SLICE_56/CE (1172:1292:1412)(1172:1292:1412)) + (INTERCONNECT SLICE_31/Q0 SLICE_10/D0 (795:880:965)(795:880:965)) + (INTERCONNECT SLICE_31/Q0 SLICE_18/B1 (1437:1625:1813)(1437:1625:1813)) + (INTERCONNECT SLICE_31/Q0 SLICE_18/B0 (1437:1625:1813)(1437:1625:1813)) + (INTERCONNECT SLICE_31/Q0 ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60/M0 + (1487:1630:1774)(1487:1630:1774)) + (INTERCONNECT SLICE_31/Q0 ram2e_ufm\/SLICE_77/B1 (1437:1625:1813)(1437:1625:1813)) + (INTERCONNECT SLICE_31/Q0 ram2e_ufm\/SLICE_79/C0 (2267:2540:2814)(2267:2540:2814)) + (INTERCONNECT SLICE_31/Q0 ram2e_ufm\/SLICE_80/D0 (1886:2072:2259)(1886:2072:2259)) + (INTERCONNECT SLICE_31/Q0 ram2e_ufm\/SLICE_83/B0 (1437:1625:1813)(1437:1625:1813)) + (INTERCONNECT SLICE_31/Q0 ram2e_ufm\/SLICE_130/C1 (1576:1783:1990)(1576:1783:1990)) + (INTERCONNECT SLICE_31/Q0 ram2e_ufm\/SLICE_130/C0 (1576:1783:1990)(1576:1783:1990)) + (INTERCONNECT SLICE_31/Q0 ram2e_ufm\/SLICE_133/A1 (2139:2388:2637)(2139:2388:2637)) + (INTERCONNECT SLICE_10/Q0 SLICE_10/A0 (481:575:669)(481:575:669)) + (INTERCONNECT SLICE_10/Q0 SLICE_18/C1 (552:669:786)(552:669:786)) + (INTERCONNECT SLICE_10/Q0 SLICE_18/D0 (528:582:636)(528:582:636)) + (INTERCONNECT SLICE_10/Q0 ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60/C0 + (552:669:786)(552:669:786)) (INTERCONNECT SLICE_10/F0 SLICE_10/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_77/F0 SLICE_10/LSR (887:985:1084)(887:985:1084)) - (INTERCONNECT SLICE_77/F0 SLICE_10/LSR (887:985:1084)(887:985:1084)) - (INTERCONNECT SLICE_77/F0 SLICE_11/LSR (887:985:1084)(887:985:1084)) - (INTERCONNECT SLICE_11/F1 SLICE_11/C0 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_11/Q0 SLICE_11/A0 (481:575:669)(481:575:669)) - (INTERCONNECT SLICE_11/Q0 SLICE_18/A1 (1012:1172:1333)(1012:1172:1333)) - (INTERCONNECT SLICE_11/Q0 SLICE_49/B0 (1110:1275:1440)(1110:1275:1440)) - (INTERCONNECT SLICE_11/Q0 SLICE_54/A0 (751:878:1006)(751:878:1006)) - (INTERCONNECT SLICE_11/Q0 SLICE_87/B0 (1414:1609:1804)(1414:1609:1804)) - (INTERCONNECT SLICE_11/Q0 SLICE_91/B1 (1414:1609:1804)(1414:1609:1804)) - (INTERCONNECT SLICE_11/Q0 SLICE_94/A0 (740:865:991)(740:865:991)) + (INTERCONNECT ram2e_ufm\/SLICE_119/F1 SLICE_10/CE (882:979:1076)(882:979:1076)) + (INTERCONNECT ram2e_ufm\/SLICE_119/F1 SLICE_18/CE (1647:1803:1960)(1647:1803:1960)) + (INTERCONNECT ram2e_ufm\/SLICE_119/F1 SLICE_18/CE (1647:1803:1960)(1647:1803:1960)) + (INTERCONNECT SLICE_10/F1 nCSout_I/PADDO (1694:1892:2090)(1694:1892:2090)) + (INTERCONNECT SLICE_11/Q0 SLICE_11/B1 (796:925:1054)(796:925:1054)) + (INTERCONNECT SLICE_11/Q0 SLICE_11/B0 (796:925:1054)(796:925:1054)) + (INTERCONNECT SLICE_11/Q0 SLICE_26/D1 (554:615:676)(554:615:676)) + (INTERCONNECT SLICE_11/Q0 SLICE_26/D0 (554:615:676)(554:615:676)) + (INTERCONNECT SLICE_11/Q0 ram2e_ufm\/SLICE_91/D1 (533:592:652)(533:592:652)) + (INTERCONNECT SLICE_26/Q1 SLICE_11/A1 (756:882:1009)(756:882:1009)) + (INTERCONNECT SLICE_26/Q1 SLICE_11/A0 (756:882:1009)(756:882:1009)) + (INTERCONNECT SLICE_26/Q1 SLICE_26/A1 (481:575:669)(481:575:669)) + (INTERCONNECT SLICE_26/Q1 SLICE_26/A0 (756:882:1009)(756:882:1009)) + (INTERCONNECT SLICE_26/Q1 ram2e_ufm\/SLICE_91/B1 (777:906:1036)(777:906:1036)) + (INTERCONNECT SLICE_26/Q0 SLICE_11/D0 (525:582:639)(525:582:639)) + (INTERCONNECT SLICE_26/Q0 SLICE_26/B1 (778:905:1032)(778:905:1032)) + (INTERCONNECT SLICE_26/Q0 SLICE_26/B0 (778:905:1032)(778:905:1032)) + (INTERCONNECT SLICE_26/Q0 ram2e_ufm\/CKE_7\/SLICE_61/A1 (751:878:1006) + (751:878:1006)) + (INTERCONNECT SLICE_26/Q0 ram2e_ufm\/SLICE_91/C1 (879:1031:1183)(879:1031:1183)) (INTERCONNECT SLICE_11/F0 SLICE_11/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[0\]_I/PADDI SLICE_12/D1 (1655:1773:1892)(1655:1773:1892)) - (INTERCONNECT Din\[0\]_I/PADDI SLICE_14/D1 (2443:2640:2837)(2443:2640:2837)) - (INTERCONNECT Din\[0\]_I/PADDI SLICE_21/D0 (1655:1773:1892)(1655:1773:1892)) - (INTERCONNECT Din\[0\]_I/PADDI SLICE_23/A0 (1865:2049:2233)(1865:2049:2233)) - (INTERCONNECT Din\[0\]_I/PADDI SLICE_27/B0 (2224:2445:2667)(2224:2445:2667)) - (INTERCONNECT Din\[0\]_I/PADDI SLICE_54/C1 (2781:3068:3355)(2781:3068:3355)) - (INTERCONNECT Din\[0\]_I/PADDI SLICE_92/A0 (2556:2806:3057)(2556:2806:3057)) - (INTERCONNECT Din\[0\]_I/PADDI SLICE_94/C1 (2041:2247:2453)(2041:2247:2453)) - (INTERCONNECT Din\[0\]_I/PADDI SLICE_95/A0 (1463:1605:1747)(1463:1605:1747)) - (INTERCONNECT Din\[0\]_I/PADDI SLICE_98/D1 (2400:2583:2766)(2400:2583:2766)) - (INTERCONNECT Din\[0\]_I/PADDI SLICE_99/A0 (2948:3233:3519)(2948:3233:3519)) - (INTERCONNECT Din\[0\]_I/PADDI SLICE_100/B1 (2272:2491:2710)(2272:2491:2710)) - (INTERCONNECT Din\[0\]_I/PADDI SLICE_118/A0 (2948:3233:3519)(2948:3233:3519)) - (INTERCONNECT Din\[0\]_I/PADDI RD\[0\]_I/PADDO (3036:3277:3518)(3036:3277:3518)) - (INTERCONNECT Din\[2\]_I/PADDI SLICE_12/B1 (1969:2167:2366)(1969:2167:2366)) - (INTERCONNECT Din\[2\]_I/PADDI SLICE_24/D0 (2418:2615:2812)(2418:2615:2812)) - (INTERCONNECT Din\[2\]_I/PADDI SLICE_28/B0 (1953:2150:2347)(1953:2150:2347)) - (INTERCONNECT Din\[2\]_I/PADDI SLICE_36/C0 (2168:2378:2589)(2168:2378:2589)) - (INTERCONNECT Din\[2\]_I/PADDI SLICE_54/B1 (2333:2563:2793)(2333:2563:2793)) - (INTERCONNECT Din\[2\]_I/PADDI SLICE_94/B1 (2296:2529:2763)(2296:2529:2763)) - (INTERCONNECT Din\[2\]_I/PADDI SLICE_98/B1 (1964:2162:2360)(1964:2162:2360)) - (INTERCONNECT Din\[2\]_I/PADDI SLICE_99/B1 (1964:2162:2360)(1964:2162:2360)) - (INTERCONNECT Din\[2\]_I/PADDI SLICE_100/A1 (2291:2517:2744)(2291:2517:2744)) - (INTERCONNECT Din\[2\]_I/PADDI SLICE_118/B0 (1964:2162:2360)(1964:2162:2360)) - (INTERCONNECT Din\[2\]_I/PADDI RD\[2\]_I/PADDO (2592:2802:3012)(2592:2802:3012)) - (INTERCONNECT Din\[3\]_I/PADDI SLICE_12/A1 (1810:2009:2208)(1810:2009:2208)) - (INTERCONNECT Din\[3\]_I/PADDI SLICE_24/B1 (2533:2801:3069)(2533:2801:3069)) - (INTERCONNECT Din\[3\]_I/PADDI SLICE_28/B1 (1821:2020:2220)(1821:2020:2220)) - (INTERCONNECT Din\[3\]_I/PADDI SLICE_36/C1 (1478:1659:1840)(1478:1659:1840)) - (INTERCONNECT Din\[3\]_I/PADDI SLICE_81/A1 (1810:2009:2208)(1810:2009:2208)) - (INTERCONNECT Din\[3\]_I/PADDI SLICE_93/C0 (1981:2201:2422)(1981:2201:2422)) - (INTERCONNECT Din\[3\]_I/PADDI SLICE_94/D1 (1600:1733:1867)(1600:1733:1867)) - (INTERCONNECT Din\[3\]_I/PADDI SLICE_98/C1 (2356:2609:2862)(2356:2609:2862)) - (INTERCONNECT Din\[3\]_I/PADDI SLICE_99/D1 (2345:2543:2741)(2345:2543:2741)) - (INTERCONNECT Din\[3\]_I/PADDI SLICE_100/C1 (2726:3011:3296)(2726:3011:3296)) - (INTERCONNECT Din\[3\]_I/PADDI RD\[3\]_I/PADDO (3968:4326:4684)(3968:4326:4684)) - (INTERCONNECT SLICE_12/F1 SLICE_12/C0 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_16/F1 SLICE_12/B0 (1040:1196:1352)(1040:1196:1352)) - (INTERCONNECT SLICE_16/F1 SLICE_13/D0 (798:886:974)(798:886:974)) - (INTERCONNECT SLICE_16/F1 SLICE_16/C0 (280:362:445)(280:362:445)) - (INTERCONNECT SLICE_12/Q0 SLICE_12/A0 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_12/Q0 SLICE_110/A0 (733:853:974)(733:853:974)) - (INTERCONNECT SLICE_12/F0 SLICE_12/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT Din\[7\]_I/PADDI SLICE_13/C1 (2164:2373:2582)(2164:2373:2582)) - (INTERCONNECT Din\[7\]_I/PADDI SLICE_26/D1 (2088:2248:2409)(2088:2248:2409)) - (INTERCONNECT Din\[7\]_I/PADDI SLICE_30/C1 (1724:1907:2090)(1724:1907:2090)) - (INTERCONNECT Din\[7\]_I/PADDI SLICE_38/C1 (2452:2698:2944)(2452:2698:2944)) - (INTERCONNECT Din\[7\]_I/PADDI SLICE_91/A0 (2255:2484:2713)(2255:2484:2713)) - (INTERCONNECT Din\[7\]_I/PADDI SLICE_93/D0 (2816:3039:3263)(2816:3039:3263)) - (INTERCONNECT Din\[7\]_I/PADDI SLICE_98/C0 (2420:2670:2920)(2420:2670:2920)) - (INTERCONNECT Din\[7\]_I/PADDI RD\[7\]_I/PADDO (2582:2790:2998)(2582:2790:2998)) - (INTERCONNECT Din\[5\]_I/PADDI SLICE_13/A1 (1856:2039:2222)(1856:2039:2222)) - (INTERCONNECT Din\[5\]_I/PADDI SLICE_25/B1 (2579:2831:3083)(2579:2831:3083)) - (INTERCONNECT Din\[5\]_I/PADDI SLICE_29/C1 (1641:1812:1983)(1641:1812:1983)) - (INTERCONNECT Din\[5\]_I/PADDI SLICE_37/A1 (1729:1905:2082)(1729:1905:2082)) - (INTERCONNECT Din\[5\]_I/PADDI SLICE_93/C1 (2354:2593:2833)(2354:2593:2833)) - (INTERCONNECT Din\[5\]_I/PADDI SLICE_98/A0 (2596:2843:3090)(2596:2843:3090)) - (INTERCONNECT Din\[5\]_I/PADDI SLICE_99/B0 (2628:2877:3127)(2628:2877:3127)) - (INTERCONNECT Din\[5\]_I/PADDI RD\[5\]_I/PADDO (3018:3255:3492)(3018:3255:3492)) - (INTERCONNECT SLICE_100/F1 SLICE_13/B0 (1034:1189:1345)(1034:1189:1345)) - (INTERCONNECT SLICE_100/F1 SLICE_100/B0 (511:606:702)(511:606:702)) + (INTERCONNECT ram2e_ufm\/SLICE_118/F1 SLICE_11/CE (1245:1369:1494)(1245:1369:1494)) + (INTERCONNECT ram2e_ufm\/SLICE_118/F1 SLICE_26/CE (1245:1369:1494)(1245:1369:1494)) + (INTERCONNECT ram2e_ufm\/SLICE_118/F1 SLICE_26/CE (1245:1369:1494)(1245:1369:1494)) + (INTERCONNECT SLICE_11/F1 ram2e_ufm\/CKE_7\/SLICE_61/C1 (541:653:766)(541:653:766)) + (INTERCONNECT SLICE_13/F1 SLICE_12/D1 (520:573:626)(520:573:626)) + (INTERCONNECT ram2e_ufm\/SLICE_62/F1 SLICE_12/C1 (534:645:756)(534:645:756)) + (INTERCONNECT ram2e_ufm\/SLICE_62/F1 ram2e_ufm\/SLICE_62/D0 (523:579:635) + (523:579:635)) + (INTERCONNECT ram2e_ufm\/SLICE_84/F1 SLICE_12/B1 (781:910:1039)(781:910:1039)) + (INTERCONNECT ram2e_ufm\/SLICE_84/F1 ram2e_ufm\/SLICE_84/C0 (280:362:445) + (280:362:445)) + (INTERCONNECT ram2e_ufm\/SLICE_84/F1 ram2e_ufm\/SLICE_87/B0 (1108:1272:1436) + (1108:1272:1436)) + (INTERCONNECT ram2e_ufm\/SLICE_77/F1 SLICE_12/A1 (751:875:999)(751:875:999)) + (INTERCONNECT ram2e_ufm\/SLICE_77/F1 SLICE_12/B0 (1110:1271:1433)(1110:1271:1433)) + (INTERCONNECT ram2e_ufm\/SLICE_77/F1 SLICE_13/C1 (890:1040:1191)(890:1040:1191)) + (INTERCONNECT ram2e_ufm\/SLICE_77/F1 SLICE_13/C0 (890:1040:1191)(890:1040:1191)) + (INTERCONNECT SLICE_12/Q1 SLICE_12/D0 (550:615:680)(550:615:680)) + (INTERCONNECT SLICE_12/Q1 SLICE_13/B1 (792:925:1058)(792:925:1058)) + (INTERCONNECT SLICE_12/Q1 SLICE_13/B0 (792:925:1058)(792:925:1058)) + (INTERCONNECT SLICE_12/Q1 SLICE_19/C1 (817:971:1125)(817:971:1125)) + (INTERCONNECT SLICE_12/Q1 ram2e_ufm\/SLICE_40/D1 (532:590:648)(532:590:648)) + (INTERCONNECT SLICE_12/Q1 ram2e_ufm\/SUM0_i_m3_0\/SLICE_59/D1 (534:598:662) + (534:598:662)) + (INTERCONNECT SLICE_12/Q1 ram2e_ufm\/SLICE_62/C1 (561:681:801)(561:681:801)) + (INTERCONNECT SLICE_12/Q1 ram2e_ufm\/SLICE_62/C0 (561:681:801)(561:681:801)) + (INTERCONNECT SLICE_12/Q1 ram2e_ufm\/SLICE_65/B0 (1375:1577:1779)(1375:1577:1779)) + (INTERCONNECT SLICE_12/Q1 ram2e_ufm\/SLICE_84/B0 (776:908:1040)(776:908:1040)) + (INTERCONNECT SLICE_12/Q1 ram2e_ufm\/SLICE_87/A0 (760:890:1021)(760:890:1021)) + (INTERCONNECT SLICE_12/Q1 ram2e_ufm\/SLICE_101/B0 (776:908:1040)(776:908:1040)) + (INTERCONNECT ram2e_ufm\/SLICE_63/F0 SLICE_12/C0 (541:653:766)(541:653:766)) + (INTERCONNECT SLICE_13/Q0 SLICE_12/A0 (766:894:1023)(766:894:1023)) + (INTERCONNECT SLICE_13/Q0 SLICE_13/A1 (766:894:1023)(766:894:1023)) (INTERCONNECT SLICE_13/Q0 SLICE_13/A0 (481:575:669)(481:575:669)) - (INTERCONNECT SLICE_13/Q0 SLICE_39/A0 (1010:1164:1319)(1010:1164:1319)) - (INTERCONNECT SLICE_13/Q0 SLICE_53/D0 (535:596:658)(535:596:658)) - (INTERCONNECT SLICE_13/Q0 SLICE_57/A1 (1010:1164:1319)(1010:1164:1319)) + (INTERCONNECT SLICE_13/Q0 SLICE_15/B1 (1042:1199:1356)(1042:1199:1356)) + (INTERCONNECT SLICE_13/Q0 SLICE_19/A1 (1754:1973:2192)(1754:1973:2192)) + (INTERCONNECT SLICE_13/Q0 ram2e_ufm\/SLICE_40/B1 (1797:2019:2242)(1797:2019:2242)) + (INTERCONNECT SLICE_13/Q0 ram2e_ufm\/SLICE_62/A1 (766:894:1023)(766:894:1023)) + (INTERCONNECT SLICE_13/Q0 ram2e_ufm\/SLICE_62/A0 (766:894:1023)(766:894:1023)) + (INTERCONNECT SLICE_13/Q0 ram2e_ufm\/SLICE_63/B1 (1760:1987:2214)(1760:1987:2214)) + (INTERCONNECT SLICE_13/Q0 ram2e_ufm\/SLICE_83/D1 (1555:1709:1864)(1555:1709:1864)) + (INTERCONNECT SLICE_13/Q0 ram2e_ufm\/SLICE_84/B1 (1760:1987:2214)(1760:1987:2214)) + (INTERCONNECT SLICE_13/Q0 ram2e_ufm\/SLICE_94/C0 (1566:1775:1985)(1566:1775:1985)) + (INTERCONNECT SLICE_13/Q0 ram2e_ufm\/SLICE_100/C0 (1191:1368:1545)(1191:1368:1545)) + (INTERCONNECT SLICE_12/F1 SLICE_12/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_12/F0 SLICE_12/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_82/F0 SLICE_12/LSR (887:985:1084)(887:985:1084)) + (INTERCONNECT ram2e_ufm\/SLICE_82/F0 SLICE_12/LSR (887:985:1084)(887:985:1084)) + (INTERCONNECT ram2e_ufm\/SLICE_82/F0 SLICE_13/LSR (887:985:1084)(887:985:1084)) + (INTERCONNECT SLICE_12/Q0 ram2e_ufm\/SLICE_40/C1 (570:687:804)(570:687:804)) + (INTERCONNECT SLICE_12/Q0 ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60/C1 + (570:687:804)(570:687:804)) + (INTERCONNECT SLICE_12/Q0 ram2e_ufm\/SLICE_63/D1 (1625:1786:1947)(1625:1786:1947)) + (INTERCONNECT SLICE_12/Q0 ram2e_ufm\/SLICE_63/A0 (736:853:971)(736:853:971)) + (INTERCONNECT SLICE_12/Q0 ram2e_ufm\/SLICE_65/D1 (934:1028:1123)(934:1028:1123)) + (INTERCONNECT SLICE_12/Q0 ram2e_ufm\/SLICE_65/D0 (934:1028:1123)(934:1028:1123)) + (INTERCONNECT SLICE_12/Q0 ram2e_ufm\/SLICE_77/D1 (886:983:1080)(886:983:1080)) + (INTERCONNECT SLICE_12/Q0 ram2e_ufm\/SLICE_82/C1 (570:687:804)(570:687:804)) + (INTERCONNECT SLICE_12/Q0 ram2e_ufm\/SLICE_83/C0 (570:687:804)(570:687:804)) + (INTERCONNECT ram2e_ufm\/SLICE_87/F1 SLICE_13/D1 (273:306:340)(273:306:340)) + (INTERCONNECT ram2e_ufm\/SLICE_87/F1 SLICE_13/D0 (527:589:651)(527:589:651)) + (INTERCONNECT ram2e_ufm\/SLICE_87/F1 ram2e_ufm\/SLICE_62/B0 (769:899:1029) + (769:899:1029)) + (INTERCONNECT ram2e_ufm\/SLICE_87/F1 ram2e_ufm\/SLICE_87/C0 (284:372:461) + (284:372:461)) (INTERCONNECT SLICE_13/F0 SLICE_13/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_13/F1 SLICE_77/C1 (547:660:773)(547:660:773)) - (INTERCONNECT SLICE_13/F1 SLICE_81/A0 (746:869:993)(746:869:993)) - (INTERCONNECT Din\[1\]_I/PADDI SLICE_14/C1 (1735:1918:2101)(1735:1918:2101)) - (INTERCONNECT Din\[1\]_I/PADDI SLICE_15/A1 (2652:2915:3179)(2652:2915:3179)) - (INTERCONNECT Din\[1\]_I/PADDI SLICE_16/B1 (2346:2575:2804)(2346:2575:2804)) - (INTERCONNECT Din\[1\]_I/PADDI SLICE_17/B1 (2716:2977:3238)(2716:2977:3238)) - (INTERCONNECT Din\[1\]_I/PADDI SLICE_23/A1 (2631:2891:3152)(2631:2891:3152)) - (INTERCONNECT Din\[1\]_I/PADDI SLICE_27/D1 (2421:2616:2811)(2421:2616:2811)) - (INTERCONNECT Din\[1\]_I/PADDI SLICE_35/C1 (2160:2367:2575)(2160:2367:2575)) - (INTERCONNECT Din\[1\]_I/PADDI SLICE_54/D1 (2442:2640:2838)(2442:2640:2838)) - (INTERCONNECT Din\[1\]_I/PADDI SLICE_54/D0 (2442:2640:2838)(2442:2640:2838)) - (INTERCONNECT Din\[1\]_I/PADDI SLICE_93/A1 (2652:2915:3179)(2652:2915:3179)) - (INTERCONNECT Din\[1\]_I/PADDI SLICE_94/A1 (1934:2127:2321)(1934:2127:2321)) - (INTERCONNECT Din\[1\]_I/PADDI SLICE_100/D0 (1724:1852:1980)(1724:1852:1980)) - (INTERCONNECT Din\[1\]_I/PADDI SLICE_118/C1 (2485:2733:2981)(2485:2733:2981)) - (INTERCONNECT Din\[1\]_I/PADDI RD\[1\]_I/PADDO (2214:2389:2564)(2214:2389:2564)) - (INTERCONNECT Din\[4\]_I/PADDI SLICE_14/B1 (2287:2517:2747)(2287:2517:2747)) - (INTERCONNECT Din\[4\]_I/PADDI SLICE_15/D1 (2378:2576:2775)(2378:2576:2775)) - (INTERCONNECT Din\[4\]_I/PADDI SLICE_16/D1 (2378:2576:2775)(2378:2576:2775)) - (INTERCONNECT Din\[4\]_I/PADDI SLICE_17/D1 (2747:2977:3208)(2747:2977:3208)) - (INTERCONNECT Din\[4\]_I/PADDI SLICE_25/C0 (2047:2262:2477)(2047:2262:2477)) - (INTERCONNECT Din\[4\]_I/PADDI SLICE_29/B0 (2605:2868:3131)(2605:2868:3131)) - (INTERCONNECT Din\[4\]_I/PADDI SLICE_37/D0 (2768:2992:3217)(2768:2992:3217)) - (INTERCONNECT Din\[4\]_I/PADDI SLICE_81/B1 (2283:2511:2740)(2283:2511:2740)) - (INTERCONNECT Din\[4\]_I/PADDI SLICE_93/B1 (2283:2511:2740)(2283:2511:2740)) - (INTERCONNECT Din\[4\]_I/PADDI SLICE_94/B0 (2283:2511:2740)(2283:2511:2740)) - (INTERCONNECT Din\[4\]_I/PADDI SLICE_100/C0 (2056:2273:2490)(2056:2273:2490)) - (INTERCONNECT Din\[4\]_I/PADDI SLICE_118/D1 (2747:2977:3208)(2747:2977:3208)) - (INTERCONNECT Din\[4\]_I/PADDI RD\[4\]_I/PADDO (2954:3194:3434)(2954:3194:3434)) - (INTERCONNECT SLICE_99/F1 SLICE_14/A1 (479:572:665)(479:572:665)) - (INTERCONNECT SLICE_99/F1 SLICE_99/C0 (280:362:445)(280:362:445)) - (INTERCONNECT SLICE_14/F1 SLICE_14/D0 (520:573:626)(520:573:626)) - (INTERCONNECT SLICE_18/F1 SLICE_14/C0 (536:650:764)(536:650:764)) - (INTERCONNECT SLICE_18/F1 SLICE_15/B1 (1044:1209:1374)(1044:1209:1374)) - (INTERCONNECT SLICE_18/F1 SLICE_16/A1 (1012:1174:1337)(1012:1174:1337)) - (INTERCONNECT SLICE_18/F1 SLICE_17/C1 (541:658:775)(541:658:775)) - (INTERCONNECT SLICE_18/F1 SLICE_18/C0 (282:367:453)(282:367:453)) - (INTERCONNECT SLICE_14/Q0 SLICE_14/B0 (773:896:1019)(773:896:1019)) - (INTERCONNECT SLICE_14/Q0 SLICE_117/A1 (741:861:982)(741:861:982)) + (INTERCONNECT ram2e_ufm\/SLICE_40/F1 SLICE_14/C1 (811:957:1103)(811:957:1103)) + (INTERCONNECT ram2e_ufm\/SLICE_40/F1 ram2e_ufm\/SLICE_39/B0 (777:908:1040) + (777:908:1040)) + (INTERCONNECT ram2e_ufm\/SLICE_40/F1 ram2e_ufm\/SLICE_40/C0 (282:367:453) + (282:367:453)) + (INTERCONNECT ram2e_ufm\/SLICE_40/F1 ram2e_ufm\/SLICE_41/D0 (800:891:982) + (800:891:982)) + (INTERCONNECT Din\[5\]_I/PADDI SLICE_14/B1 (1956:2151:2346)(1956:2151:2346)) + (INTERCONNECT Din\[5\]_I/PADDI SLICE_16/A1 (1929:2122:2315)(1929:2122:2315)) + (INTERCONNECT Din\[5\]_I/PADDI SLICE_29/A1 (2251:2478:2706)(2251:2478:2706)) + (INTERCONNECT Din\[5\]_I/PADDI ram2e_ufm\/SLICE_39/B1 (1956:2151:2346) + (1956:2151:2346)) + (INTERCONNECT Din\[5\]_I/PADDI ram2e_ufm\/SLICE_45/B1 (2326:2553:2780) + (2326:2553:2780)) + (INTERCONNECT Din\[5\]_I/PADDI ram2e_ufm\/SLICE_49/B1 (2690:2948:3207) + (2690:2948:3207)) + (INTERCONNECT Din\[5\]_I/PADDI ram2e_ufm\/SUM0_i_m3_0\/SLICE_59/A1 + (2267:2497:2727)(2267:2497:2727)) + (INTERCONNECT Din\[5\]_I/PADDI ram2e_ufm\/SUM0_i_m3_0\/SLICE_59/D0 + (1719:1846:1974)(1719:1846:1974)) + (INTERCONNECT Din\[5\]_I/PADDI ram2e_ufm\/SLICE_100/B1 (2320:2546:2773) + (2320:2546:2773)) + (INTERCONNECT Din\[5\]_I/PADDI ram2e_ufm\/SLICE_101/B1 (2299:2531:2764) + (2299:2531:2764)) + (INTERCONNECT Din\[5\]_I/PADDI ram2e_ufm\/SLICE_116/B1 (1961:2156:2352) + (1961:2156:2352)) + (INTERCONNECT Din\[5\]_I/PADDI ram2e_ufm\/SLICE_147/C0 (2052:2269:2486) + (2052:2269:2486)) + (INTERCONNECT Din\[3\]_I/PADDI SLICE_14/A1 (2246:2470:2695)(2246:2470:2695)) + (INTERCONNECT Din\[3\]_I/PADDI SLICE_16/B1 (3038:3331:3624)(3038:3331:3624)) + (INTERCONNECT Din\[3\]_I/PADDI SLICE_28/B1 (3408:3733:4058)(3408:3733:4058)) + (INTERCONNECT Din\[3\]_I/PADDI ram2e_ufm\/SLICE_39/D1 (2733:2959:3185) + (2733:2959:3185)) + (INTERCONNECT Din\[3\]_I/PADDI ram2e_ufm\/SLICE_44/B1 (3408:3733:4058) + (3408:3733:4058)) + (INTERCONNECT Din\[3\]_I/PADDI ram2e_ufm\/SLICE_48/A1 (3006:3296:3587) + (3006:3296:3587)) + (INTERCONNECT Din\[3\]_I/PADDI ram2e_ufm\/SUM0_i_m3_0\/SLICE_59/B1 + (2663:2923:3184)(2663:2923:3184)) + (INTERCONNECT Din\[3\]_I/PADDI ram2e_ufm\/SUM0_i_m3_0\/SLICE_59/B0 + (2663:2923:3184)(2663:2923:3184)) + (INTERCONNECT Din\[3\]_I/PADDI ram2e_ufm\/SLICE_100/C1 (2036:2249:2462) + (2036:2249:2462)) + (INTERCONNECT Din\[3\]_I/PADDI ram2e_ufm\/SLICE_101/C1 (2759:3041:3324) + (2759:3041:3324)) + (INTERCONNECT Din\[3\]_I/PADDI ram2e_ufm\/SLICE_116/A1 (2958:3251:3544) + (2958:3251:3544)) + (INTERCONNECT Din\[3\]_I/PADDI ram2e_ufm\/SLICE_141/B0 (2648:2907:3166) + (2648:2907:3166)) + (INTERCONNECT ram2e_ufm\/SLICE_142/F1 SLICE_14/D0 (266:290:315)(266:290:315)) + (INTERCONNECT SLICE_14/F1 SLICE_14/C0 (280:362:445)(280:362:445)) + (INTERCONNECT SLICE_14/F1 SLICE_17/C1 (537:645:753)(537:645:753)) + (INTERCONNECT Din\[1\]_I/PADDI SLICE_14/B0 (2210:2433:2656)(2210:2433:2656)) + (INTERCONNECT Din\[1\]_I/PADDI SLICE_15/A1 (1871:2055:2240)(1871:2055:2240)) + (INTERCONNECT Din\[1\]_I/PADDI SLICE_15/A0 (1871:2055:2240)(1871:2055:2240)) + (INTERCONNECT Din\[1\]_I/PADDI SLICE_16/D1 (1661:1780:1899)(1661:1780:1899)) + (INTERCONNECT Din\[1\]_I/PADDI SLICE_16/D0 (1661:1780:1899)(1661:1780:1899)) + (INTERCONNECT Din\[1\]_I/PADDI SLICE_17/D0 (2031:2182:2333)(2031:2182:2333)) + (INTERCONNECT Din\[1\]_I/PADDI SLICE_27/A1 (2173:2393:2613)(2173:2393:2613)) + (INTERCONNECT Din\[1\]_I/PADDI ram2e_ufm\/SLICE_39/D0 (2401:2584:2767) + (2401:2584:2767)) + (INTERCONNECT Din\[1\]_I/PADDI ram2e_ufm\/SLICE_41/A1 (2505:2760:3016) + (2505:2760:3016)) + (INTERCONNECT Din\[1\]_I/PADDI ram2e_ufm\/SLICE_43/B1 (1872:2056:2240) + (1872:2056:2240)) + (INTERCONNECT Din\[1\]_I/PADDI ram2e_ufm\/SLICE_47/A1 (2162:2380:2598) + (2162:2380:2598)) + (INTERCONNECT Din\[1\]_I/PADDI ram2e_ufm\/SUM0_i_m3_0\/SLICE_59/M0 + (1626:1733:1841)(1626:1733:1841)) + (INTERCONNECT Din\[1\]_I/PADDI ram2e_ufm\/SLICE_87/B1 (2537:2795:3053) + (2537:2795:3053)) + (INTERCONNECT Din\[1\]_I/PADDI ram2e_ufm\/SLICE_100/D1 (2290:2479:2669) + (2290:2479:2669)) + (INTERCONNECT Din\[1\]_I/PADDI ram2e_ufm\/SLICE_116/A0 (1871:2055:2240) + (1871:2055:2240)) + (INTERCONNECT Din\[1\]_I/PADDI ram2e_ufm\/SLICE_143/D1 (2765:2979:3194) + (2765:2979:3194)) + (INTERCONNECT Din\[2\]_I/PADDI SLICE_14/A0 (2284:2506:2729)(2284:2506:2729)) + (INTERCONNECT Din\[2\]_I/PADDI SLICE_17/A1 (1915:2105:2296)(1915:2105:2296)) + (INTERCONNECT Din\[2\]_I/PADDI SLICE_28/D0 (1636:1752:1869)(1636:1752:1869)) + (INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_39/A0 (2981:3270:3560) + (2981:3270:3560)) + (INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_41/B1 (2316:2541:2766) + (2316:2541:2766)) + (INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_42/D1 (1641:1758:1875) + (1641:1758:1875)) + (INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_44/B0 (3037:3330:3623) + (3037:3330:3623)) + (INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_48/C0 (2016:2219:2423) + (2016:2219:2423)) + (INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_100/A1 (2210:2423:2637) + (2210:2423:2637)) + (INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_116/B0 (1947:2140:2333) + (1947:2140:2333)) + (INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_133/D1 (2016:2165:2315) + (2016:2165:2315)) + (INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_133/D0 (2016:2165:2315) + (2016:2165:2315)) + (INTERCONNECT Din\[2\]_I/PADDI ram2e_ufm\/SLICE_144/A1 (2981:3270:3560) + (2981:3270:3560)) (INTERCONNECT SLICE_14/F0 SLICE_14/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_98/F1 SLICE_15/C1 (541:655:769)(541:655:769)) - (INTERCONNECT SLICE_98/F1 SLICE_16/B0 (1106:1275:1445)(1106:1275:1445)) - (INTERCONNECT SLICE_98/F1 SLICE_17/A1 (483:582:681)(483:582:681)) - (INTERCONNECT SLICE_98/F1 SLICE_98/B0 (515:616:718)(515:616:718)) - (INTERCONNECT SLICE_15/F1 SLICE_15/C0 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_15/Q0 SLICE_15/A0 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_15/Q0 SLICE_86/D0 (1119:1240:1362)(1119:1240:1362)) + (INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_14/CE (1815:2020:2225)(1815:2020:2225)) + (INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_15/CE (1815:2020:2225)(1815:2020:2225)) + (INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_16/CE (1815:2020:2225)(1815:2020:2225)) + (INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_17/CE (1815:2020:2225)(1815:2020:2225)) + (INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_27/CE (1815:2020:2225)(1815:2020:2225)) + (INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_27/CE (1815:2020:2225)(1815:2020:2225)) + (INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_28/CE (1815:2020:2225)(1815:2020:2225)) + (INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_28/CE (1815:2020:2225)(1815:2020:2225)) + (INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_29/CE (1815:2020:2225)(1815:2020:2225)) + (INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_29/CE (1815:2020:2225)(1815:2020:2225)) + (INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_30/CE (1815:2020:2225)(1815:2020:2225)) + (INTERCONNECT ram2e_ufm\/SLICE_130/F1 SLICE_30/CE (1815:2020:2225)(1815:2020:2225)) + (INTERCONNECT ram2e_ufm\/SLICE_130/F1 ram2e_ufm\/SLICE_39/CE (1815:2020:2225) + (1815:2020:2225)) + (INTERCONNECT ram2e_ufm\/SLICE_130/F1 ram2e_ufm\/SLICE_40/CE (1815:2020:2225) + (1815:2020:2225)) + (INTERCONNECT ram2e_ufm\/SLICE_130/F1 ram2e_ufm\/SLICE_41/CE (1815:2020:2225) + (1815:2020:2225)) + (INTERCONNECT SLICE_14/Q0 ram2e_ufm\/SLICE_147/D1 (520:573:626)(520:573:626)) + (INTERCONNECT Din\[7\]_I/PADDI SLICE_15/C1 (2190:2401:2613)(2190:2401:2613)) + (INTERCONNECT Din\[7\]_I/PADDI SLICE_15/C0 (2190:2401:2613)(2190:2401:2613)) + (INTERCONNECT Din\[7\]_I/PADDI SLICE_16/C1 (2517:2763:3010)(2517:2763:3010)) + (INTERCONNECT Din\[7\]_I/PADDI SLICE_16/B0 (2421:2645:2870)(2421:2645:2870)) + (INTERCONNECT Din\[7\]_I/PADDI SLICE_17/B0 (2785:3041:3297)(2785:3041:3297)) + (INTERCONNECT Din\[7\]_I/PADDI SLICE_30/B1 (2406:2629:2852)(2406:2629:2852)) + (INTERCONNECT Din\[7\]_I/PADDI ram2e_ufm\/SLICE_41/B0 (1955:2151:2347) + (1955:2151:2347)) + (INTERCONNECT Din\[7\]_I/PADDI ram2e_ufm\/SLICE_46/B1 (2776:3031:3286) + (2776:3031:3286)) + (INTERCONNECT Din\[7\]_I/PADDI ram2e_ufm\/SLICE_50/B1 (3140:3426:3713) + (3140:3426:3713)) + (INTERCONNECT Din\[7\]_I/PADDI ram2e_ufm\/SUM0_i_m3_0\/SLICE_59/A0 + (2389:2611:2833)(2389:2611:2833)) + (INTERCONNECT Din\[7\]_I/PADDI ram2e_ufm\/SLICE_94/D1 (2534:2721:2908) + (2534:2721:2908)) + (INTERCONNECT Din\[7\]_I/PADDI ram2e_ufm\/SLICE_100/B0 (2776:3031:3286) + (2776:3031:3286)) + (INTERCONNECT Din\[7\]_I/PADDI ram2e_ufm\/SLICE_142/B1 (1955:2151:2347) + (1955:2151:2347)) + (INTERCONNECT Din\[7\]_I/PADDI ram2e_ufm\/SLICE_143/D0 (2071:2230:2389) + (2071:2230:2389)) + (INTERCONNECT SLICE_17/F1 SLICE_15/D0 (539:600:661)(539:600:661)) + (INTERCONNECT SLICE_17/F1 SLICE_16/C0 (550:666:782)(550:666:782)) + (INTERCONNECT SLICE_17/F1 SLICE_17/C0 (280:362:445)(280:362:445)) + (INTERCONNECT Din\[4\]_I/PADDI SLICE_15/B0 (1827:2026:2225)(1827:2026:2225)) + (INTERCONNECT Din\[4\]_I/PADDI SLICE_16/A0 (1795:1991:2188)(1795:1991:2188)) + (INTERCONNECT Din\[4\]_I/PADDI SLICE_17/A0 (2165:2393:2622)(2165:2393:2622)) + (INTERCONNECT Din\[4\]_I/PADDI SLICE_29/A0 (3295:3621:3948)(3295:3621:3948)) + (INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_41/D1 (2678:2912:3147) + (2678:2912:3147)) + (INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_45/C0 (3423:3774:4125) + (3423:3774:4125)) + (INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_49/C0 (3460:3807:4155) + (3460:3807:4155)) + (INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_84/D1 (2710:2938:3167) + (2710:2938:3167)) + (INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_94/C1 (2711:2993:3276) + (2711:2993:3276)) + (INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_100/A0 (2910:3203:3496) + (2910:3203:3496)) + (INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_133/B1 (2952:3248:3545) + (2952:3248:3545)) + (INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_133/B0 (2952:3248:3545) + (2952:3248:3545)) + (INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_142/D1 (2678:2912:3147) + (2678:2912:3147)) + (INTERCONNECT Din\[4\]_I/PADDI ram2e_ufm\/SLICE_142/D0 (2678:2912:3147) + (2678:2912:3147)) (INTERCONNECT SLICE_15/F0 SLICE_15/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_16/Q0 SLICE_16/A0 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_16/Q0 SLICE_114/C0 (803:944:1086)(803:944:1086)) + (INTERCONNECT SLICE_15/Q0 ram2e_ufm\/SLICE_79/D1 (1116:1235:1355)(1116:1235:1355)) + (INTERCONNECT SLICE_15/F1 ram2e_ufm\/SLICE_101/C0 (868:1015:1163)(868:1015:1163)) (INTERCONNECT SLICE_16/F0 SLICE_16/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_17/F1 SLICE_17/C0 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_17/Q0 SLICE_17/A0 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_17/Q0 SLICE_117/B1 (768:888:1008)(768:888:1008)) + (INTERCONNECT SLICE_16/Q0 ram2e_ufm\/SLICE_85/A0 (740:863:986)(740:863:986)) + (INTERCONNECT SLICE_16/F1 ram2e_ufm\/SLICE_84/A1 (736:854:973)(736:854:973)) + (INTERCONNECT SLICE_16/F1 ram2e_ufm\/SLICE_101/A0 (1070:1231:1392)(1070:1231:1392)) + (INTERCONNECT Din\[0\]_I/PADDI SLICE_17/B1 (1956:2151:2346)(1956:2151:2346)) + (INTERCONNECT Din\[0\]_I/PADDI SLICE_27/A0 (2256:2484:2712)(2256:2484:2712)) + (INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_39/C1 (2438:2689:2941) + (2438:2689:2941)) + (INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_41/C1 (2438:2689:2941) + (2438:2689:2941)) + (INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_42/A1 (2631:2891:3152) + (2631:2891:3152)) + (INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_42/D0 (2094:2254:2414) + (2094:2254:2414)) + (INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_43/D0 (2785:3011:3238) + (2785:3011:3238)) + (INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_65/C1 (1730:1912:2095) + (1730:1912:2095)) + (INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_86/C1 (1714:1895:2076) + (1714:1895:2076)) + (INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_94/D0 (2453:2644:2835) + (2453:2644:2835)) + (INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_116/C0 (1725:1907:2089) + (1725:1907:2089)) + (INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_133/C1 (1730:1912:2095) + (1730:1912:2095)) + (INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_141/C1 (2438:2689:2941) + (2438:2689:2941)) + (INTERCONNECT Din\[0\]_I/PADDI ram2e_ufm\/SLICE_142/C1 (2438:2689:2941) + (2438:2689:2941)) (INTERCONNECT SLICE_17/F0 SLICE_17/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_77/F1 SLICE_18/D1 (533:593:654)(533:593:654)) - (INTERCONNECT SLICE_77/F1 SLICE_77/B0 (511:606:702)(511:606:702)) - (INTERCONNECT SLICE_100/F0 SLICE_18/B0 (762:883:1004)(762:883:1004)) + (INTERCONNECT SLICE_17/Q0 ram2e_ufm\/SLICE_147/B1 (765:883:1001)(765:883:1001)) + (INTERCONNECT SLICE_18/Q0 SLICE_18/D1 (534:591:648)(534:591:648)) (INTERCONNECT SLICE_18/Q0 SLICE_18/A0 (479:571:663)(479:571:663)) - (INTERCONNECT SLICE_18/Q0 SLICE_117/D1 (523:578:633)(523:578:633)) + (INTERCONNECT SLICE_18/Q0 ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60/A0 + (744:866:989)(744:866:989)) + (INTERCONNECT SLICE_18/Q1 SLICE_18/A1 (479:571:663)(479:571:663)) + (INTERCONNECT SLICE_18/Q1 ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60/B0 + (765:888:1011)(765:888:1011)) + (INTERCONNECT SLICE_18/F1 SLICE_18/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_18/F0 SLICE_18/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_19/Q0 SLICE_19/D1 (541:598:655)(541:598:655)) - (INTERCONNECT SLICE_19/Q0 SLICE_19/D0 (541:598:655)(541:598:655)) - (INTERCONNECT SLICE_19/Q0 SLICE_82/B1 (783:908:1033)(783:908:1033)) - (INTERCONNECT SLICE_19/Q1 SLICE_19/C1 (542:652:762)(542:652:762)) - (INTERCONNECT SLICE_19/Q1 SLICE_82/A1 (741:861:982)(741:861:982)) - (INTERCONNECT SLICE_19/F1 SLICE_19/DI1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_19/F0 SLICE_19/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_34/Q0 SLICE_20/D1 (821:941:1061)(821:941:1061)) - (INTERCONNECT SLICE_34/Q0 SLICE_20/D0 (821:941:1061)(821:941:1061)) - (INTERCONNECT SLICE_34/Q0 SLICE_34/C1 (986:1147:1309)(986:1147:1309)) - (INTERCONNECT SLICE_34/Q0 SLICE_34/A0 (487:587:687)(487:587:687)) - (INTERCONNECT SLICE_34/Q0 SLICE_35/B1 (1899:2158:2417)(1899:2158:2417)) - (INTERCONNECT SLICE_34/Q0 SLICE_36/B1 (2274:2565:2857)(2274:2565:2857)) - (INTERCONNECT SLICE_34/Q0 SLICE_36/B0 (2274:2565:2857)(2274:2565:2857)) - (INTERCONNECT SLICE_34/Q0 SLICE_37/C1 (1278:1490:1702)(1278:1490:1702)) - (INTERCONNECT SLICE_34/Q0 SLICE_37/C0 (1278:1490:1702)(1278:1490:1702)) - (INTERCONNECT SLICE_34/Q0 SLICE_38/B1 (1509:1734:1959)(1509:1734:1959)) - (INTERCONNECT SLICE_34/Q0 SLICE_38/B0 (1509:1734:1959)(1509:1734:1959)) - (INTERCONNECT SLICE_34/Q0 SLICE_40/C0 (2048:2327:2606)(2048:2327:2606)) - (INTERCONNECT SLICE_34/Q0 SLICE_41/B0 (1899:2158:2417)(1899:2158:2417)) - (INTERCONNECT SLICE_34/Q0 SLICE_42/B1 (1899:2158:2417)(1899:2158:2417)) - (INTERCONNECT SLICE_34/Q0 SLICE_44/LSR (887:993:1100)(887:993:1100)) - (INTERCONNECT SLICE_34/Q0 SLICE_47/B0 (1433:1653:1873)(1433:1653:1873)) - (INTERCONNECT SLICE_34/Q0 SLICE_48/D1 (562:631:701)(562:631:701)) - (INTERCONNECT SLICE_34/Q0 SLICE_51/D1 (531:594:657)(531:594:657)) - (INTERCONNECT SLICE_34/Q0 SLICE_53/B1 (1889:2147:2405)(1889:2147:2405)) - (INTERCONNECT SLICE_34/Q0 SLICE_53/B0 (1889:2147:2405)(1889:2147:2405)) - (INTERCONNECT SLICE_34/Q0 SLICE_57/D0 (2022:2244:2467)(2022:2244:2467)) - (INTERCONNECT SLICE_34/Q0 SLICE_59/A0 (772:907:1042)(772:907:1042)) - (INTERCONNECT SLICE_34/Q0 SLICE_66/A1 (2227:2514:2802)(2227:2514:2802)) - (INTERCONNECT SLICE_34/Q0 SLICE_67/A0 (749:898:1048)(749:898:1048)) - (INTERCONNECT SLICE_34/Q0 SLICE_68/A1 (2242:2531:2820)(2242:2531:2820)) - (INTERCONNECT SLICE_34/Q0 SLICE_69/B0 (804:941:1079)(804:941:1079)) - (INTERCONNECT SLICE_34/Q0 SLICE_71/A0 (772:907:1042)(772:907:1042)) - (INTERCONNECT SLICE_34/Q0 SLICE_72/A0 (2596:2915:3235)(2596:2915:3235)) - (INTERCONNECT SLICE_34/Q0 SLICE_79/B1 (1433:1653:1873)(1433:1653:1873)) - (INTERCONNECT SLICE_34/Q0 SLICE_80/A1 (1136:1302:1469)(1136:1302:1469)) - (INTERCONNECT SLICE_34/Q0 SLICE_83/B1 (2644:2967:3291)(2644:2967:3291)) - (INTERCONNECT SLICE_34/Q0 SLICE_84/B0 (1899:2158:2417)(1899:2158:2417)) - (INTERCONNECT SLICE_34/Q0 SLICE_92/B0 (2654:2978:3303)(2654:2978:3303)) - (INTERCONNECT SLICE_34/Q0 SLICE_95/B0 (2259:2549:2839)(2259:2549:2839)) - (INTERCONNECT SLICE_34/Q0 SLICE_96/B1 (1063:1251:1439)(1063:1251:1439)) - (INTERCONNECT SLICE_34/Q0 SLICE_101/B1 (2644:2967:3291)(2644:2967:3291)) - (INTERCONNECT SLICE_34/Q0 SLICE_101/B0 (2644:2967:3291)(2644:2967:3291)) - (INTERCONNECT SLICE_34/Q0 SLICE_102/D1 (2782:3070:3359)(2782:3070:3359)) - (INTERCONNECT SLICE_34/Q0 SLICE_102/D0 (2782:3070:3359)(2782:3070:3359)) - (INTERCONNECT SLICE_34/Q0 SLICE_103/A1 (749:898:1048)(749:898:1048)) - (INTERCONNECT SLICE_34/Q0 SLICE_103/A0 (749:898:1048)(749:898:1048)) - (INTERCONNECT SLICE_34/Q0 SLICE_107/C1 (2793:3136:3480)(2793:3136:3480)) - (INTERCONNECT SLICE_34/Q0 SLICE_107/C0 (2793:3136:3480)(2793:3136:3480)) - (INTERCONNECT SLICE_34/Q0 SLICE_109/D1 (2037:2261:2485)(2037:2261:2485)) - (INTERCONNECT SLICE_34/Q0 SLICE_109/D0 (2037:2261:2485)(2037:2261:2485)) - (INTERCONNECT SLICE_34/Q0 SLICE_110/B1 (2654:2978:3303)(2654:2978:3303)) - (INTERCONNECT SLICE_34/Q0 SLICE_110/B0 (2654:2978:3303)(2654:2978:3303)) - (INTERCONNECT SLICE_34/Q0 SLICE_114/D1 (1267:1424:1581)(1267:1424:1581)) - (INTERCONNECT SLICE_33/Q1 SLICE_20/C1 (1265:1451:1638)(1265:1451:1638)) - (INTERCONNECT SLICE_33/Q1 SLICE_20/C0 (1265:1451:1638)(1265:1451:1638)) - (INTERCONNECT SLICE_33/Q1 SLICE_33/C0 (557:673:789)(557:673:789)) - (INTERCONNECT SLICE_33/Q1 SLICE_47/A0 (756:884:1012)(756:884:1012)) - (INTERCONNECT SLICE_33/Q1 SLICE_48/C1 (2019:2270:2521)(2019:2270:2521)) - (INTERCONNECT SLICE_33/Q1 SLICE_57/A0 (1447:1641:1836)(1447:1641:1836)) - (INTERCONNECT SLICE_33/Q1 SLICE_59/B0 (788:918:1049)(788:918:1049)) - (INTERCONNECT SLICE_33/Q1 SLICE_67/D1 (546:608:671)(546:608:671)) - (INTERCONNECT SLICE_33/Q1 SLICE_69/A0 (2218:2479:2741)(2218:2479:2741)) - (INTERCONNECT SLICE_33/Q1 SLICE_71/D0 (1681:1842:2003)(1681:1842:2003)) - (INTERCONNECT SLICE_33/Q1 SLICE_79/A1 (1453:1648:1843)(1453:1648:1843)) - (INTERCONNECT SLICE_33/Q1 SLICE_80/B1 (1548:1744:1941)(1548:1744:1941)) - (INTERCONNECT SLICE_33/Q1 SLICE_96/A1 (1453:1648:1843)(1453:1648:1843)) - (INTERCONNECT SLICE_33/Q1 SLICE_101/D1 (1681:1842:2003)(1681:1842:2003)) - (INTERCONNECT SLICE_33/Q1 SLICE_101/D0 (1681:1842:2003)(1681:1842:2003)) - (INTERCONNECT SLICE_33/Q1 SLICE_102/B1 (1548:1744:1941)(1548:1744:1941)) - (INTERCONNECT SLICE_33/Q1 SLICE_102/B0 (1548:1744:1941)(1548:1744:1941)) - (INTERCONNECT SLICE_33/Q1 SLICE_106/C1 (557:673:789)(557:673:789)) - (INTERCONNECT SLICE_33/Q1 SLICE_106/C0 (557:673:789)(557:673:789)) - (INTERCONNECT SLICE_33/Q1 SLICE_107/A0 (1516:1710:1904)(1516:1710:1904)) - (INTERCONNECT SLICE_33/Q1 SLICE_112/B1 (1923:2152:2381)(1923:2152:2381)) - (INTERCONNECT SLICE_34/Q1 SLICE_20/B1 (1060:1260:1460)(1060:1260:1460)) - (INTERCONNECT SLICE_34/Q1 SLICE_20/B0 (1060:1260:1460)(1060:1260:1460)) - (INTERCONNECT SLICE_34/Q1 SLICE_21/A1 (1503:1742:1982)(1503:1742:1982)) - (INTERCONNECT SLICE_34/Q1 SLICE_21/A0 (1503:1742:1982)(1503:1742:1982)) - (INTERCONNECT SLICE_34/Q1 SLICE_22/A1 (1858:2128:2398)(1858:2128:2398)) - (INTERCONNECT SLICE_34/Q1 SLICE_22/A0 (1858:2128:2398)(1858:2128:2398)) - (INTERCONNECT SLICE_34/Q1 SLICE_27/A1 (1503:1742:1982)(1503:1742:1982)) - (INTERCONNECT SLICE_34/Q1 SLICE_27/A0 (1503:1742:1982)(1503:1742:1982)) - (INTERCONNECT SLICE_34/Q1 SLICE_28/A1 (1503:1742:1982)(1503:1742:1982)) - (INTERCONNECT SLICE_34/Q1 SLICE_28/A0 (1503:1742:1982)(1503:1742:1982)) - (INTERCONNECT SLICE_34/Q1 SLICE_29/A1 (1503:1742:1982)(1503:1742:1982)) - (INTERCONNECT SLICE_34/Q1 SLICE_29/A0 (1503:1742:1982)(1503:1742:1982)) - (INTERCONNECT SLICE_34/Q1 SLICE_30/A1 (1503:1742:1982)(1503:1742:1982)) - (INTERCONNECT SLICE_34/Q1 SLICE_30/A0 (1503:1742:1982)(1503:1742:1982)) - (INTERCONNECT SLICE_34/Q1 SLICE_34/A1 (481:575:669)(481:575:669)) - (INTERCONNECT SLICE_34/Q1 SLICE_34/C0 (557:673:789)(557:673:789)) - (INTERCONNECT SLICE_34/Q1 SLICE_39/B0 (1050:1249:1448)(1050:1249:1448)) - (INTERCONNECT SLICE_34/Q1 SLICE_44/B0 (778:942:1106)(778:942:1106)) - (INTERCONNECT SLICE_34/Q1 SLICE_47/D0 (1198:1363:1528)(1198:1363:1528)) - (INTERCONNECT SLICE_34/Q1 SLICE_48/A1 (1788:2051:2315)(1788:2051:2315)) - (INTERCONNECT SLICE_34/Q1 SLICE_51/C1 (557:673:789)(557:673:789)) - (INTERCONNECT SLICE_34/Q1 SLICE_51/C0 (557:673:789)(557:673:789)) - (INTERCONNECT SLICE_34/Q1 SLICE_53/A1 (1503:1742:1982)(1503:1742:1982)) - (INTERCONNECT SLICE_34/Q1 SLICE_57/C0 (819:1005:1191)(819:1005:1191)) - (INTERCONNECT SLICE_34/Q1 SLICE_59/D0 (1198:1363:1528)(1198:1363:1528)) - (INTERCONNECT SLICE_34/Q1 SLICE_67/D0 (536:632:728)(536:632:728)) - (INTERCONNECT SLICE_34/Q1 SLICE_69/C0 (1589:1842:2095)(1589:1842:2095)) - (INTERCONNECT SLICE_34/Q1 SLICE_71/B0 (1820:2086:2352)(1820:2086:2352)) - (INTERCONNECT SLICE_34/Q1 SLICE_72/D0 (1642:1846:2050)(1642:1846:2050)) - (INTERCONNECT SLICE_34/Q1 SLICE_79/D1 (1198:1363:1528)(1198:1363:1528)) - (INTERCONNECT SLICE_34/Q1 SLICE_80/D1 (1942:2171:2401)(1942:2171:2401)) - (INTERCONNECT SLICE_34/Q1 SLICE_83/A1 (1488:1726:1964)(1488:1726:1964)) - (INTERCONNECT SLICE_34/Q1 SLICE_96/D1 (818:950:1082)(818:950:1082)) - (INTERCONNECT SLICE_34/Q1 SLICE_101/A1 (1488:1726:1964)(1488:1726:1964)) - (INTERCONNECT SLICE_34/Q1 SLICE_101/A0 (1488:1726:1964)(1488:1726:1964)) - (INTERCONNECT SLICE_34/Q1 SLICE_102/A1 (1868:2139:2410)(1868:2139:2410)) - (INTERCONNECT SLICE_34/Q1 SLICE_102/A0 (1868:2139:2410)(1868:2139:2410)) - (INTERCONNECT SLICE_34/Q1 SLICE_103/D1 (536:632:728)(536:632:728)) - (INTERCONNECT SLICE_34/Q1 SLICE_103/D0 (536:632:728)(536:632:728)) - (INTERCONNECT SLICE_34/Q1 SLICE_107/D1 (1658:1863:2069)(1658:1863:2069)) - (INTERCONNECT SLICE_34/Q1 SLICE_107/D0 (1658:1863:2069)(1658:1863:2069)) - (INTERCONNECT SLICE_34/Q1 SLICE_110/D1 (802:932:1063)(802:932:1063)) - (INTERCONNECT SLICE_34/Q1 SLICE_111/D1 (1699:1895:2092)(1699:1895:2092)) - (INTERCONNECT SLICE_34/Q1 SLICE_111/D0 (1699:1895:2092)(1699:1895:2092)) - (INTERCONNECT SLICE_34/Q1 SLICE_112/A1 (1488:1726:1964)(1488:1726:1964)) - (INTERCONNECT SLICE_34/Q1 SLICE_112/A0 (1488:1726:1964)(1488:1726:1964)) - (INTERCONNECT SLICE_34/Q1 SLICE_113/A1 (2279:2573:2867)(2279:2573:2867)) - (INTERCONNECT SLICE_34/Q1 SLICE_113/A0 (2279:2573:2867)(2279:2573:2867)) - (INTERCONNECT SLICE_33/Q0 SLICE_20/A1 (1477:1679:1881)(1477:1679:1881)) - (INTERCONNECT SLICE_33/Q0 SLICE_20/A0 (1477:1679:1881)(1477:1679:1881)) - (INTERCONNECT SLICE_33/Q0 SLICE_21/C1 (984:1143:1303)(984:1143:1303)) - (INTERCONNECT SLICE_33/Q0 SLICE_22/D1 (832:939:1047)(832:939:1047)) - (INTERCONNECT SLICE_33/Q0 SLICE_22/D0 (832:939:1047)(832:939:1047)) - (INTERCONNECT SLICE_33/Q0 SLICE_33/A0 (485:583:681)(485:583:681)) - (INTERCONNECT SLICE_33/Q0 SLICE_47/A1 (775:908:1042)(775:908:1042)) - (INTERCONNECT SLICE_33/Q0 SLICE_47/C0 (1304:1490:1676)(1304:1490:1676)) - (INTERCONNECT SLICE_33/Q0 SLICE_48/B1 (1155:1330:1505)(1155:1330:1505)) - (INTERCONNECT SLICE_33/Q0 SLICE_48/A0 (775:908:1042)(775:908:1042)) - (INTERCONNECT SLICE_33/Q0 SLICE_57/B0 (1444:1651:1859)(1444:1651:1859)) - (INTERCONNECT SLICE_33/Q0 SLICE_59/C0 (903:1061:1219)(903:1061:1219)) - (INTERCONNECT SLICE_33/Q0 SLICE_67/C1 (1583:1809:2036)(1583:1809:2036)) - (INTERCONNECT SLICE_33/Q0 SLICE_69/D0 (913:1020:1127)(913:1020:1127)) - (INTERCONNECT SLICE_33/Q0 SLICE_71/C0 (924:1086:1248)(924:1086:1248)) - (INTERCONNECT SLICE_33/Q0 SLICE_80/C0 (818:978:1138)(818:978:1138)) - (INTERCONNECT SLICE_33/Q0 SLICE_83/C1 (1181:1380:1580)(1181:1380:1580)) - (INTERCONNECT SLICE_33/Q0 SLICE_96/B0 (1814:2053:2293)(1814:2053:2293)) - (INTERCONNECT SLICE_33/Q0 SLICE_101/C1 (1181:1380:1580)(1181:1380:1580)) - (INTERCONNECT SLICE_33/Q0 SLICE_101/C0 (1181:1380:1580)(1181:1380:1580)) - (INTERCONNECT SLICE_33/Q0 SLICE_102/C1 (546:671:796)(546:671:796)) - (INTERCONNECT SLICE_33/Q0 SLICE_106/B1 (782:913:1044)(782:913:1044)) - (INTERCONNECT SLICE_33/Q0 SLICE_106/B0 (782:913:1044)(782:913:1044)) - (INTERCONNECT SLICE_33/Q0 SLICE_111/B1 (1813:2052:2292)(1813:2052:2292)) - (INTERCONNECT SLICE_33/Q0 SLICE_111/B0 (1813:2052:2292)(1813:2052:2292)) - (INTERCONNECT SLICE_33/Q0 SLICE_112/D1 (832:939:1047)(832:939:1047)) - (INTERCONNECT SLICE_33/Q0 SLICE_112/B0 (1412:1624:1837)(1412:1624:1837)) - (INTERCONNECT SLICE_33/Q0 SLICE_113/C1 (1952:2210:2469)(1952:2210:2469)) - (INTERCONNECT SLICE_33/Q0 SLICE_113/C0 (1952:2210:2469)(1952:2210:2469)) - (INTERCONNECT SLICE_33/Q0 SLICE_115/B0 (1049:1222:1395)(1049:1222:1395)) + (INTERCONNECT ram2e_ufm\/SLICE_96/F1 SLICE_19/C0 (809:960:1112)(809:960:1112)) + (INTERCONNECT ram2e_ufm\/SLICE_96/F1 SLICE_31/C1 (546:675:804)(546:675:804)) + (INTERCONNECT ram2e_ufm\/SLICE_96/F1 ram2e_ufm\/SLICE_95/C0 (546:675:804) + (546:675:804)) + (INTERCONNECT ram2e_ufm\/SLICE_96/F1 ram2e_ufm\/SLICE_96/C0 (286:377:469) + (286:377:469)) + (INTERCONNECT ram2e_ufm\/SLICE_96/F1 ram2e_ufm\/SLICE_132/B1 (517:621:726) + (517:621:726)) + (INTERCONNECT ram2e_ufm\/SLICE_96/F1 ram2e_ufm\/SLICE_132/A0 (485:587:689) + (485:587:689)) + (INTERCONNECT ram2e_ufm\/SLICE_96/F1 ram2e_ufm\/SLICE_140/C1 (818:982:1146) + (818:982:1146)) + (INTERCONNECT ram2e_ufm\/SLICE_96/F1 ram2e_ufm\/SLICE_140/C0 (818:982:1146) + (818:982:1146)) + (INTERCONNECT SLICE_20/Q1 SLICE_19/A0 (1002:1154:1306)(1002:1154:1306)) + (INTERCONNECT SLICE_20/Q1 RAout\[1\]_MGIOL/OPOS (1411:1555:1700)(1411:1555:1700)) + (INTERCONNECT SLICE_34/Q1 SLICE_19/M0 (1132:1257:1382)(1132:1257:1382)) + (INTERCONNECT SLICE_34/Q1 SLICE_34/A1 (483:579:675)(483:579:675)) + (INTERCONNECT SLICE_34/Q1 SLICE_34/A0 (748:874:1001)(748:874:1001)) + (INTERCONNECT SLICE_34/Q1 SLICE_35/A0 (748:874:1001)(748:874:1001)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_42/A0 (2182:2464:2746)(2182:2464:2746)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_43/A1 (1799:2030:2261)(1799:2030:2261)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_43/A0 (1799:2030:2261)(1799:2030:2261)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_44/A1 (2182:2464:2746)(2182:2464:2746)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_44/D0 (1624:1801:1979)(1624:1801:1979)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_45/A1 (1799:2030:2261)(1799:2030:2261)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_45/A0 (1799:2030:2261)(1799:2030:2261)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_46/A1 (1799:2030:2261)(1799:2030:2261)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_46/A0 (1799:2030:2261)(1799:2030:2261)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_51/D0 (1624:1801:1979)(1624:1801:1979)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_56/A1 (1439:1639:1839)(1439:1639:1839)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_69/A0 (1439:1639:1839)(1439:1639:1839)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_71/D0 (1609:1776:1944)(1609:1776:1944)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_72/B0 (772:896:1020)(772:896:1020)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_74/B0 (1851:2086:2322)(1851:2086:2322)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_77/C0 (840:994:1149)(840:994:1149)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_78/A0 (1439:1639:1839)(1439:1639:1839)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_79/A0 (2182:2464:2746)(2182:2464:2746)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_95/A1 (1819:2052:2285)(1819:2052:2285)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_96/A1 (1823:2065:2307)(1823:2065:2307)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_102/A1 (2199:2465:2731)(2199:2465:2731)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_105/A1 (1439:1639:1839)(1439:1639:1839)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_107/A1 (1409:1606:1803)(1409:1606:1803)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_113/A1 (2199:2465:2731)(2199:2465:2731)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_115/A0 (2199:2465:2731)(2199:2465:2731)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_117/B1 (2621:2923:3226)(2621:2923:3226)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_117/B0 (2621:2923:3226)(2621:2923:3226)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_118/A1 (2589:2889:3189)(2589:2889:3189)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_118/A0 (2589:2889:3189)(2589:2889:3189)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_119/A1 (1439:1639:1839)(1439:1639:1839)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_119/A0 (1439:1639:1839)(1439:1639:1839)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_120/D1 (2379:2613:2848)(2379:2613:2848)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_120/D0 (2379:2613:2848)(2379:2613:2848)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_121/B1 (1071:1238:1406)(1071:1238:1406)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_121/B0 (1071:1238:1406)(1071:1238:1406)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_127/B1 (1851:2086:2322)(1851:2086:2322)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_127/B0 (1851:2086:2322)(1851:2086:2322)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_135/D1 (1609:1776:1944)(1609:1776:1944)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_135/D0 (1609:1776:1944)(1609:1776:1944)) + (INTERCONNECT SLICE_34/Q1 ram2e_ufm\/SLICE_136/C1 (1178:1369:1561)(1178:1369:1561)) + (INTERCONNECT SLICE_34/Q1 SLICE_138/B1 (774:904:1034)(774:904:1034)) + (INTERCONNECT SLICE_138/F0 SLICE_19/LSR (1135:1258:1382)(1135:1258:1382)) + (INTERCONNECT SLICE_19/F0 SLICE_20/C1 (800:939:1079)(800:939:1079)) + (INTERCONNECT SLICE_19/Q0 ram2e_ufm\/SLICE_136/A0 (730:848:967)(730:848:967)) + (INTERCONNECT SLICE_19/F1 ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60/B1 + (775:903:1032)(775:903:1032)) + (INTERCONNECT SLICE_19/F1 ram2e_ufm\/SLICE_65/A1 (736:854:973)(736:854:973)) + (INTERCONNECT ram2e_ufm\/SLICE_95/F1 SLICE_20/D1 (897:1007:1118)(897:1007:1118)) + (INTERCONNECT ram2e_ufm\/SLICE_95/F1 SLICE_21/B0 (774:904:1034)(774:904:1034)) + (INTERCONNECT ram2e_ufm\/SLICE_95/F1 SLICE_22/A1 (749:884:1019)(749:884:1019)) + (INTERCONNECT ram2e_ufm\/SLICE_95/F1 SLICE_31/A1 (485:587:689)(485:587:689)) + (INTERCONNECT ram2e_ufm\/SLICE_95/F1 ram2e_ufm\/SLICE_95/B0 (517:621:726) + (517:621:726)) + (INTERCONNECT ram2e_ufm\/SLICE_95/F1 ram2e_ufm\/SLICE_96/D0 (897:1007:1118) + (897:1007:1118)) + (INTERCONNECT ram2e_ufm\/SLICE_95/F1 ram2e_ufm\/SLICE_132/D1 (897:1007:1118) + (897:1007:1118)) + (INTERCONNECT ram2e_ufm\/SLICE_95/F1 ram2e_ufm\/SLICE_132/D0 (897:1007:1118) + (897:1007:1118)) + (INTERCONNECT Ain\[1\]_I/PADDI SLICE_20/B1 (2708:2959:3210)(2708:2959:3210)) + (INTERCONNECT ram2e_ufm\/SLICE_107/F0 SLICE_20/A1 (733:848:964)(733:848:964)) + (INTERCONNECT ram2e_ufm\/SLICE_71/F1 SLICE_20/D0 (528:584:640)(528:584:640)) + (INTERCONNECT ram2e_ufm\/SLICE_71/F1 SLICE_21/C1 (541:658:775)(541:658:775)) + (INTERCONNECT ram2e_ufm\/SLICE_71/F1 SLICE_22/A0 (740:867:995)(740:867:995)) + (INTERCONNECT ram2e_ufm\/SLICE_71/F1 SLICE_23/A1 (1083:1249:1415)(1083:1249:1415)) + (INTERCONNECT ram2e_ufm\/SLICE_71/F1 SLICE_23/A0 (1083:1249:1415)(1083:1249:1415)) + (INTERCONNECT ram2e_ufm\/SLICE_124/F0 SLICE_20/C0 (534:639:744)(534:639:744)) + (INTERCONNECT ram2e_ufm\/SLICE_132/F1 SLICE_20/A0 (730:848:967)(730:848:967)) + (INTERCONNECT SLICE_20/F1 SLICE_20/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_20/F0 SLICE_20/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_20/Q0 SLICE_31/A1 (730:848:967)(730:848:967)) - (INTERCONNECT Ain\[1\]_I/PADDI SLICE_21/B1 (2143:2376:2609)(2143:2376:2609)) - (INTERCONNECT ufmefb\/EFBInst_0/WBDATO0 SLICE_21/B0 (1398:1579:1760) - (1398:1579:1760)) - (INTERCONNECT ufmefb\/EFBInst_0/WBDATO0 SLICE_27/C0 (1167:1335:1503) - (1167:1335:1503)) + (INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_20/CE (901:1003:1106)(901:1003:1106)) + (INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_20/CE (901:1003:1106)(901:1003:1106)) + (INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_21/CE (1265:1399:1533)(1265:1399:1533)) + (INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_21/CE (1265:1399:1533)(1265:1399:1533)) + (INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_22/CE (1265:1399:1533)(1265:1399:1533)) + (INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_22/CE (1265:1399:1533)(1265:1399:1533)) + (INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_23/CE (901:1003:1106)(901:1003:1106)) + (INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_23/CE (901:1003:1106)(901:1003:1106)) + (INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_24/CE (1618:1781:1945)(1618:1781:1945)) + (INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_24/CE (1618:1781:1945)(1618:1781:1945)) + (INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_25/CE (1242:1369:1496)(1242:1369:1496)) + (INTERCONNECT ram2e_ufm\/SLICE_119/F0 SLICE_25/CE (1242:1369:1496)(1242:1369:1496)) + (INTERCONNECT SLICE_20/Q0 SLICE_31/B0 (770:896:1022)(770:896:1022)) + (INTERCONNECT SLICE_20/Q0 ram2e_ufm\/SLICE_132/A1 (733:853:974)(733:853:974)) + (INTERCONNECT SLICE_20/Q0 RAout\[0\]_MGIOL/OPOS (1342:1481:1620)(1342:1481:1620)) + (INTERCONNECT SLICE_31/F1 SLICE_21/B1 (772:897:1023)(772:897:1023)) + (INTERCONNECT ram2e_ufm\/SLICE_140/F1 SLICE_21/D0 (266:290:315)(266:290:315)) + (INTERCONNECT ram2e_ufm\/SLICE_134/F0 SLICE_21/C0 (800:939:1079)(800:939:1079)) + (INTERCONNECT Ain\[2\]_I/PADDI SLICE_21/A0 (2594:2847:3101)(2594:2847:3101)) + (INTERCONNECT SLICE_21/F1 SLICE_21/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_21/F0 SLICE_21/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_86/F0 SLICE_21/CE (876:972:1069)(876:972:1069)) - (INTERCONNECT SLICE_21/Q0 SLICE_117/C1 (981:1133:1285)(981:1133:1285)) - (INTERCONNECT SLICE_21/Q0 SLICE_117/C0 (981:1133:1285)(981:1133:1285)) - (INTERCONNECT SLICE_21/F1 RA\[1\]_MGIOL/OPOS (1527:1661:1795)(1527:1661:1795)) - (INTERCONNECT Ain\[3\]_I/PADDI SLICE_22/C1 (2031:2242:2454)(2031:2242:2454)) - (INTERCONNECT Ain\[0\]_I/PADDI SLICE_22/C0 (1949:2165:2382)(1949:2165:2382)) + (INTERCONNECT SLICE_21/Q0 ram2e_ufm\/SLICE_140/A1 (733:853:974)(733:853:974)) + (INTERCONNECT SLICE_21/Q0 RAout\[2\]_MGIOL/OPOS (1340:1473:1606)(1340:1473:1606)) + (INTERCONNECT SLICE_21/Q1 SLICE_31/D1 (539:599:659)(539:599:659)) + (INTERCONNECT SLICE_21/Q1 SLICE_31/D0 (539:599:659)(539:599:659)) + (INTERCONNECT SLICE_21/Q1 RAout\[3\]_MGIOL/OPOS (1084:1193:1303)(1084:1193:1303)) + (INTERCONNECT ram2e_ufm\/SLICE_140/F0 SLICE_22/D1 (520:573:626)(520:573:626)) + (INTERCONNECT Ain\[5\]_I/PADDI SLICE_22/C1 (1530:1696:1862)(1530:1696:1862)) + (INTERCONNECT ram2e_ufm\/SLICE_124/F1 SLICE_22/B1 (1136:1293:1450)(1136:1293:1450)) + (INTERCONNECT ram2e_ufm\/SLICE_95/F0 SLICE_22/C0 (868:1015:1163)(868:1015:1163)) (INTERCONNECT SLICE_22/F1 SLICE_22/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_22/F0 SLICE_22/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_101/F0 SLICE_22/CE (879:978:1078)(879:978:1078)) - (INTERCONNECT SLICE_101/F0 SLICE_22/CE (879:978:1078)(879:978:1078)) - (INTERCONNECT SLICE_101/F0 RA\[7\]_MGIOL/CE (1440:1590:1741)(1440:1590:1741)) - (INTERCONNECT SLICE_101/F0 RA\[6\]_MGIOL/CE (1440:1590:1741)(1440:1590:1741)) - (INTERCONNECT SLICE_101/F0 RA\[5\]_MGIOL/CE (1923:2108:2293)(1923:2108:2293)) - (INTERCONNECT SLICE_101/F0 RA\[4\]_MGIOL/CE (1923:2108:2293)(1923:2108:2293)) - (INTERCONNECT SLICE_101/F0 RA\[2\]_MGIOL/CE (1923:2108:2293)(1923:2108:2293)) - (INTERCONNECT SLICE_101/F0 RA\[1\]_MGIOL/CE (1440:1590:1741)(1440:1590:1741)) - (INTERCONNECT SLICE_22/Q0 SLICE_31/C0 (1342:1527:1712)(1342:1527:1712)) - (INTERCONNECT SLICE_22/Q0 RA\[0\]_I/PADDO (1365:1550:1735)(1365:1550:1735)) - (INTERCONNECT SLICE_22/Q1 SLICE_31/A0 (1541:1736:1932)(1541:1736:1932)) - (INTERCONNECT SLICE_22/Q1 RA\[3\]_I/PADDO (1365:1550:1735)(1365:1550:1735)) - (INTERCONNECT SLICE_117/F1 SLICE_23/D1 (541:599:658)(541:599:658)) - (INTERCONNECT SLICE_117/F1 SLICE_23/D0 (541:599:658)(541:599:658)) - (INTERCONNECT SLICE_117/F1 SLICE_24/A1 (1141:1299:1457)(1141:1299:1457)) - (INTERCONNECT SLICE_117/F1 SLICE_24/A0 (1141:1299:1457)(1141:1299:1457)) - (INTERCONNECT SLICE_117/F1 SLICE_25/A1 (1141:1299:1457)(1141:1299:1457)) - (INTERCONNECT SLICE_117/F1 SLICE_25/A0 (1141:1299:1457)(1141:1299:1457)) - (INTERCONNECT SLICE_117/F1 SLICE_26/A1 (1141:1299:1457)(1141:1299:1457)) - (INTERCONNECT SLICE_117/F1 SLICE_26/A0 (1141:1299:1457)(1141:1299:1457)) - (INTERCONNECT SLICE_27/Q1 SLICE_23/C1 (541:653:766)(541:653:766)) - (INTERCONNECT SLICE_27/Q0 SLICE_23/C0 (541:653:766)(541:653:766)) + (INTERCONNECT SLICE_22/Q0 ram2e_ufm\/SLICE_95/A0 (1177:1341:1505)(1177:1341:1505)) + (INTERCONNECT SLICE_22/Q0 RAout\[4\]_MGIOL/OPOS (1667:1835:2003)(1667:1835:2003)) + (INTERCONNECT SLICE_22/Q1 ram2e_ufm\/SLICE_140/D0 (523:578:633)(523:578:633)) + (INTERCONNECT SLICE_22/Q1 RAout\[5\]_MGIOL/OPOS (1084:1193:1303)(1084:1193:1303)) + (INTERCONNECT ram2e_ufm\/SLICE_132/F0 SLICE_23/C1 (531:639:747)(531:639:747)) + (INTERCONNECT ram2e_ufm\/SLICE_96/F0 SLICE_23/C0 (531:639:747)(531:639:747)) (INTERCONNECT SLICE_23/F1 SLICE_23/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_23/F0 SLICE_23/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_67/F0 SLICE_23/CE (887:984:1082)(887:984:1082)) - (INTERCONNECT SLICE_67/F0 SLICE_23/CE (887:984:1082)(887:984:1082)) - (INTERCONNECT SLICE_67/F0 SLICE_24/CE (908:1009:1111)(908:1009:1111)) - (INTERCONNECT SLICE_67/F0 SLICE_24/CE (908:1009:1111)(908:1009:1111)) - (INTERCONNECT SLICE_67/F0 SLICE_25/CE (908:1009:1111)(908:1009:1111)) - (INTERCONNECT SLICE_67/F0 SLICE_25/CE (908:1009:1111)(908:1009:1111)) - (INTERCONNECT SLICE_67/F0 SLICE_26/CE (908:1009:1111)(908:1009:1111)) - (INTERCONNECT SLICE_67/F0 SLICE_26/CE (908:1009:1111)(908:1009:1111)) - (INTERCONNECT SLICE_67/F0 SLICE_86/B0 (1517:1707:1897)(1517:1707:1897)) - (INTERCONNECT SLICE_67/F0 SLICE_114/A0 (1812:2034:2257)(1812:2034:2257)) - (INTERCONNECT SLICE_23/Q0 SLICE_96/D0 (1221:1345:1469)(1221:1345:1469)) - (INTERCONNECT SLICE_23/Q1 SLICE_110/A1 (730:848:967)(730:848:967)) - (INTERCONNECT SLICE_28/Q1 SLICE_24/C1 (868:1015:1163)(868:1015:1163)) - (INTERCONNECT SLICE_28/Q0 SLICE_24/B0 (1099:1259:1420)(1099:1259:1420)) + (INTERCONNECT SLICE_23/Q0 ram2e_ufm\/SLICE_96/B0 (765:888:1011)(765:888:1011)) + (INTERCONNECT SLICE_23/Q0 RAout\[6\]_MGIOL/OPOS (1786:1945:2105)(1786:1945:2105)) + (INTERCONNECT SLICE_23/Q1 ram2e_ufm\/SLICE_132/B0 (765:888:1011)(765:888:1011)) + (INTERCONNECT SLICE_23/Q1 RAout\[7\]_MGIOL/OPOS (1411:1555:1700)(1411:1555:1700)) + (INTERCONNECT ram2e_ufm\/SLICE_121/F0 SLICE_24/D1 (536:594:652)(536:594:652)) + (INTERCONNECT ram2e_ufm\/SLICE_121/F0 SLICE_25/D1 (536:594:652)(536:594:652)) + (INTERCONNECT ram2e_ufm\/SLICE_146/F1 SLICE_24/B1 (1136:1293:1450)(1136:1293:1450)) + (INTERCONNECT SLICE_24/Q1 SLICE_24/A1 (479:571:663)(479:571:663)) + (INTERCONNECT SLICE_24/Q1 RAout\[9\]_MGIOL/OPOS (1340:1473:1606)(1340:1473:1606)) + (INTERCONNECT ram2e_ufm\/SLICE_78/F0 SLICE_24/D0 (964:1060:1157)(964:1060:1157)) + (INTERCONNECT SLICE_24/Q0 SLICE_24/C0 (534:644:754)(534:644:754)) + (INTERCONNECT SLICE_24/Q0 RAout\[8\]_MGIOL/OPOS (1411:1555:1700)(1411:1555:1700)) + (INTERCONNECT ram2e_ufm\/SLICE_127/F0 SLICE_24/B0 (772:897:1023)(772:897:1023)) + (INTERCONNECT ram2e_ufm\/SLICE_115/F1 SLICE_24/A0 (733:854:976)(733:854:976)) + (INTERCONNECT ram2e_ufm\/SLICE_115/F1 ram2e_ufm\/SLICE_115/C0 (280:362:445) + (280:362:445)) (INTERCONNECT SLICE_24/F1 SLICE_24/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_24/F0 SLICE_24/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_24/Q0 SLICE_69/B1 (1500:1688:1877)(1500:1688:1877)) - (INTERCONNECT SLICE_24/Q1 SLICE_103/B1 (1099:1259:1420)(1099:1259:1420)) - (INTERCONNECT SLICE_29/Q1 SLICE_25/C1 (868:1015:1163)(868:1015:1163)) - (INTERCONNECT SLICE_29/Q0 SLICE_25/D0 (964:1060:1157)(964:1060:1157)) + (INTERCONNECT SLICE_29/Q0 SLICE_25/C1 (1269:1444:1620)(1269:1444:1620)) + (INTERCONNECT ram2e_ufm\/SLICE_74/F0 SLICE_25/B1 (513:611:710)(513:611:710)) + (INTERCONNECT ram2e_ufm\/SLICE_74/F0 ram2e_ufm\/SLICE_74/C1 (282:367:453) + (282:367:453)) + (INTERCONNECT ram2e_ufm\/SLICE_74/F0 ram2e_ufm\/SLICE_146/A1 (1331:1522:1713) + (1331:1522:1713)) + (INTERCONNECT SLICE_25/Q1 SLICE_25/A1 (479:571:663)(479:571:663)) + (INTERCONNECT SLICE_25/Q1 RAout\[11\]_MGIOL/OPOS (1775:1951:2127)(1775:1951:2127)) + (INTERCONNECT ram2e_ufm\/SLICE_113/F0 SLICE_25/D0 (523:573:623)(523:573:623)) + (INTERCONNECT ram2e_ufm\/SLICE_74/F1 SLICE_25/C0 (531:639:747)(531:639:747)) + (INTERCONNECT ram2e_ufm\/SLICE_106/F0 SLICE_25/B0 (762:883:1004)(762:883:1004)) + (INTERCONNECT ram2e_ufm\/SLICE_129/F0 SLICE_25/A0 (733:848:964)(733:848:964)) (INTERCONNECT SLICE_25/F1 SLICE_25/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_25/F0 SLICE_25/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_25/Q0 SLICE_102/C0 (800:939:1079)(800:939:1079)) - (INTERCONNECT SLICE_25/Q1 SLICE_107/B0 (1136:1293:1450)(1136:1293:1450)) - (INTERCONNECT SLICE_30/Q1 SLICE_26/C1 (868:1015:1163)(868:1015:1163)) - (INTERCONNECT Din\[6\]_I/PADDI SLICE_26/C0 (2057:2274:2492)(2057:2274:2492)) - (INTERCONNECT Din\[6\]_I/PADDI SLICE_30/B0 (2689:2947:3206)(2689:2947:3206)) - (INTERCONNECT Din\[6\]_I/PADDI SLICE_38/D0 (2447:2637:2828)(2447:2637:2828)) - (INTERCONNECT Din\[6\]_I/PADDI SLICE_49/B1 (2397:2618:2839)(2397:2618:2839)) - (INTERCONNECT Din\[6\]_I/PADDI SLICE_77/A1 (2703:2958:3214)(2703:2958:3214)) - (INTERCONNECT Din\[6\]_I/PADDI SLICE_81/C1 (2504:2749:2994)(2504:2749:2994)) - (INTERCONNECT Din\[6\]_I/PADDI SLICE_87/B1 (2331:2558:2786)(2331:2558:2786)) - (INTERCONNECT Din\[6\]_I/PADDI SLICE_91/C1 (2427:2676:2926)(2427:2676:2926)) - (INTERCONNECT Din\[6\]_I/PADDI RD\[6\]_I/PADDO (2214:2389:2564)(2214:2389:2564)) - (INTERCONNECT SLICE_30/Q0 SLICE_26/B0 (1099:1259:1420)(1099:1259:1420)) + (INTERCONNECT SLICE_25/Q0 ram2e_ufm\/SLICE_106/B0 (765:888:1011)(765:888:1011)) + (INTERCONNECT SLICE_25/Q0 RAout\[10\]_MGIOL/OPOS (1756:1937:2118)(1756:1937:2118)) (INTERCONNECT SLICE_26/F1 SLICE_26/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_26/F0 SLICE_26/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_26/Q0 SLICE_47/B1 (1142:1299:1457)(1142:1299:1457)) - (INTERCONNECT SLICE_26/Q0 SLICE_59/D1 (1227:1351:1476)(1227:1351:1476)) - (INTERCONNECT SLICE_26/Q1 SLICE_96/A0 (1104:1258:1413)(1104:1258:1413)) - (INTERCONNECT ufmefb\/EFBInst_0/WBDATO1 SLICE_27/C1 (1455:1646:1838) - (1455:1646:1838)) + (INTERCONNECT ram2e_ufm\/SLICE_43/Q1 SLICE_27/D1 (964:1060:1157)(964:1060:1157)) + (INTERCONNECT ram2e_ufm\/SLICE_147/F1 SLICE_27/B1 (1042:1195:1349)(1042:1195:1349)) + (INTERCONNECT ram2e_ufm\/SLICE_147/F1 SLICE_27/B0 (1042:1195:1349)(1042:1195:1349)) + (INTERCONNECT ram2e_ufm\/SLICE_147/F1 SLICE_28/A1 (1395:1579:1764)(1395:1579:1764)) + (INTERCONNECT ram2e_ufm\/SLICE_147/F1 SLICE_28/A0 (1395:1579:1764)(1395:1579:1764)) + (INTERCONNECT ram2e_ufm\/SLICE_147/F1 SLICE_29/B1 (1797:2016:2235)(1797:2016:2235)) + (INTERCONNECT ram2e_ufm\/SLICE_147/F1 SLICE_29/B0 (1797:2016:2235)(1797:2016:2235)) + (INTERCONNECT ram2e_ufm\/SLICE_147/F1 SLICE_30/D1 (1185:1304:1423)(1185:1304:1423)) + (INTERCONNECT ram2e_ufm\/SLICE_147/F1 SLICE_30/D0 (1185:1304:1423)(1185:1304:1423)) + (INTERCONNECT ram2e_ufm\/SLICE_43/Q0 SLICE_27/C0 (541:653:766)(541:653:766)) (INTERCONNECT SLICE_27/F1 SLICE_27/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_27/F0 SLICE_27/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_114/F0 SLICE_27/CE (882:979:1076)(882:979:1076)) - (INTERCONNECT SLICE_114/F0 SLICE_27/CE (882:979:1076)(882:979:1076)) - (INTERCONNECT SLICE_114/F0 SLICE_28/CE (903:1004:1105)(903:1004:1105)) - (INTERCONNECT SLICE_114/F0 SLICE_28/CE (903:1004:1105)(903:1004:1105)) - (INTERCONNECT SLICE_114/F0 SLICE_29/CE (903:1004:1105)(903:1004:1105)) - (INTERCONNECT SLICE_114/F0 SLICE_29/CE (903:1004:1105)(903:1004:1105)) - (INTERCONNECT SLICE_114/F0 SLICE_30/CE (903:1004:1105)(903:1004:1105)) - (INTERCONNECT SLICE_114/F0 SLICE_30/CE (903:1004:1105)(903:1004:1105)) - (INTERCONNECT ufmefb\/EFBInst_0/WBDATO3 SLICE_28/D1 (1596:1735:1874) - (1596:1735:1874)) - (INTERCONNECT ufmefb\/EFBInst_0/WBDATO2 SLICE_28/C0 (1607:1801:1995) - (1607:1801:1995)) + (INTERCONNECT SLICE_27/Q0 ram2e_ufm\/SLICE_120/C1 (1345:1528:1712)(1345:1528:1712)) + (INTERCONNECT SLICE_27/Q0 ram2e_ufm\/SLICE_120/C0 (1345:1528:1712)(1345:1528:1712)) + (INTERCONNECT SLICE_27/Q1 ram2e_ufm\/SLICE_78/B0 (1031:1183:1336)(1031:1183:1336)) + (INTERCONNECT ram2e_ufm\/SLICE_44/Q1 SLICE_28/C1 (541:653:766)(541:653:766)) + (INTERCONNECT ram2e_ufm\/SLICE_44/Q0 SLICE_28/C0 (541:653:766)(541:653:766)) (INTERCONNECT SLICE_28/F1 SLICE_28/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_28/F0 SLICE_28/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT ufmefb\/EFBInst_0/WBDATO5 SLICE_29/D1 (1596:1735:1874) - (1596:1735:1874)) - (INTERCONNECT ufmefb\/EFBInst_0/WBDATO4 SLICE_29/C0 (1607:1801:1995) - (1607:1801:1995)) + (INTERCONNECT SLICE_28/Q0 ram2e_ufm\/SLICE_146/C1 (868:1015:1163)(868:1015:1163)) + (INTERCONNECT SLICE_28/Q1 ram2e_ufm\/SLICE_74/D1 (894:983:1072)(894:983:1072)) + (INTERCONNECT ram2e_ufm\/SLICE_45/Q1 SLICE_29/C1 (975:1126:1278)(975:1126:1278)) + (INTERCONNECT ram2e_ufm\/SLICE_45/Q0 SLICE_29/C0 (541:653:766)(541:653:766)) (INTERCONNECT SLICE_29/F1 SLICE_29/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_29/F0 SLICE_29/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT ufmefb\/EFBInst_0/WBDATO7 SLICE_30/D1 (1596:1735:1874) - (1596:1735:1874)) - (INTERCONNECT ufmefb\/EFBInst_0/WBDATO6 SLICE_30/C0 (1607:1801:1995) - (1607:1801:1995)) + (INTERCONNECT SLICE_29/Q1 ram2e_ufm\/SLICE_72/D1 (1410:1533:1656)(1410:1533:1656)) + (INTERCONNECT ram2e_ufm\/SLICE_46/Q1 SLICE_30/A1 (740:863:986)(740:863:986)) + (INTERCONNECT Din\[6\]_I/PADDI SLICE_30/B0 (1767:1946:2126)(1767:1946:2126)) + (INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/SLICE_40/A1 (2544:2801:3059) + (2544:2801:3059)) + (INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/SLICE_42/B1 (3316:3640:3964) + (3316:3640:3964)) + (INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/SLICE_46/B0 (2946:3238:3530) + (2946:3238:3530)) + (INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/SLICE_50/C0 (3449:3791:4134) + (3449:3791:4134)) + (INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60/D1 + (3010:3267:3524)(3010:3267:3524)) + (INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/SLICE_62/D1 (2698:2921:3145) + (2698:2921:3145)) + (INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/SLICE_65/B1 (2561:2819:3078) + (2561:2819:3078)) + (INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/SLICE_82/D1 (2334:2526:2718) + (2334:2526:2718)) + (INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/SLICE_83/C1 (2345:2592:2839) + (2345:2592:2839)) + (INTERCONNECT Din\[6\]_I/PADDI ram2e_ufm\/SLICE_144/D0 (2313:2503:2693) + (2313:2503:2693)) + (INTERCONNECT ram2e_ufm\/SLICE_46/Q0 SLICE_30/A0 (740:863:986)(740:863:986)) (INTERCONNECT SLICE_30/F1 SLICE_30/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_30/F0 SLICE_30/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT nEN80_I/PADDI SLICE_31/C1 (1716:1896:2076)(1716:1896:2076)) - (INTERCONNECT nEN80_I/PADDI SLICE_79/C1 (2085:2297:2509)(2085:2297:2509)) - (INTERCONNECT nEN80_I/PADDI SLICE_106/A0 (3012:3297:3583)(3012:3297:3583)) - (INTERCONNECT nEN80_I/PADDI SLICE_115/B1 (2316:2541:2766)(2316:2541:2766)) - (INTERCONNECT nEN80_I/PADDI SLICE_117/A0 (2279:2501:2723)(2279:2501:2723)) - (INTERCONNECT nWE_I/PADDI SLICE_31/B1 (2133:2352:2571)(2133:2352:2571)) - (INTERCONNECT nWE_I/PADDI SLICE_31/B0 (2133:2352:2571)(2133:2352:2571)) - (INTERCONNECT nC07X_I/PADDI SLICE_31/D0 (1673:1815:1957)(1673:1815:1957)) + (INTERCONNECT SLICE_30/Q0 ram2e_ufm\/SLICE_129/A1 (1174:1336:1498)(1174:1336:1498)) + (INTERCONNECT SLICE_30/Q1 ram2e_ufm\/SLICE_127/A0 (999:1149:1299)(999:1149:1299)) + (INTERCONNECT Ain\[3\]_I/PADDI SLICE_31/B1 (2626:2882:3138)(2626:2882:3138)) + (INTERCONNECT nC07X_I/PADDI SLICE_31/C0 (2424:2651:2878)(2424:2651:2878)) + (INTERCONNECT nWE_I/PADDI SLICE_31/A0 (2889:3153:3417)(2889:3153:3417)) + (INTERCONNECT nWE_I/PADDI SLICE_36/A0 (3270:3567:3864)(3270:3567:3864)) + (INTERCONNECT nWE_I/PADDI ram2e_ufm\/CKE_7\/SLICE_61/D0 (3398:3666:3935) + (3398:3666:3935)) + (INTERCONNECT nWE_I/PADDI ram2e_ufm\/SLICE_92/D1 (3398:3666:3935)(3398:3666:3935)) + (INTERCONNECT nWE_I/PADDI ram2e_ufm\/SLICE_112/B0 (3780:4129:4479)(3780:4129:4479)) + (INTERCONNECT nWE_I/PADDI ram2e_ufm\/SLICE_113/B0 (3629:3963:4298)(3629:3963:4298)) + (INTERCONNECT nWE_I/PADDI ram2e_ufm\/SLICE_136/B1 (4416:4832:5248)(4416:4832:5248)) + (INTERCONNECT nWE_I/PADDI ram2e_ufm\/SLICE_136/B0 (4416:4832:5248)(4416:4832:5248)) + (INTERCONNECT nWE_I/PADDI ram2e_ufm\/SLICE_137/D1 (1782:1926:2070)(1782:1926:2070)) (INTERCONNECT SLICE_31/F0 SLICE_31/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_71/F0 SLICE_31/CE (1140:1269:1399)(1140:1269:1399)) - (INTERCONNECT SLICE_71/F0 SLICE_59/C1 (546:664:783)(546:664:783)) - (INTERCONNECT SLICE_71/F0 SLICE_71/D1 (525:584:643)(525:584:643)) - (INTERCONNECT SLICE_31/F1 nDOE_I/PADDO (1456:1605:1755)(1456:1605:1755)) - (INTERCONNECT SLICE_97/F0 SLICE_32/B1 (1214:1383:1552)(1214:1383:1552)) - (INTERCONNECT SLICE_97/F0 SLICE_51/D0 (972:1073:1174)(972:1073:1174)) - (INTERCONNECT SLICE_97/F0 SLICE_75/B1 (1034:1189:1345)(1034:1189:1345)) - (INTERCONNECT SLICE_72/F1 SLICE_32/A1 (730:848:967)(730:848:967)) + (INTERCONNECT ram2e_ufm\/SLICE_127/F1 SLICE_31/CE (539:596:653)(539:596:653)) + (INTERCONNECT ram2e_ufm\/SLICE_110/F1 SLICE_32/D1 (535:598:662)(535:598:662)) + (INTERCONNECT ram2e_ufm\/SLICE_110/F1 ram2e_ufm\/SLICE_58/B1 (767:894:1021) + (767:894:1021)) + (INTERCONNECT ram2e_ufm\/SLICE_110/F1 ram2e_ufm\/SLICE_110/C0 (282:367:453) + (282:367:453)) + (INTERCONNECT ram2e_ufm\/SLICE_57/F1 SLICE_32/C1 (541:653:766)(541:653:766)) + (INTERCONNECT ram2e_ufm\/SLICE_131/F1 SLICE_32/B1 (1099:1259:1420)(1099:1259:1420)) + (INTERCONNECT ram2e_ufm\/SLICE_146/F0 SLICE_32/A1 (999:1149:1299)(999:1149:1299)) (INTERCONNECT SLICE_32/F1 SLICE_32/C0 (277:356:436)(277:356:436)) + (INTERCONNECT SLICE_32/Q0 SLICE_32/A0 (481:575:669)(481:575:669)) + (INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_137/A1 (771:900:1030)(771:900:1030)) + (INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_137/A0 (771:900:1030)(771:900:1030)) + (INTERCONNECT SLICE_32/Q0 SLICE_139/D0 (528:582:636)(528:582:636)) + (INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_141/D1 (561:625:689)(561:625:689)) + (INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_141/D0 (561:625:689)(561:625:689)) + (INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_142/B0 (1173:1337:1501)(1173:1337:1501)) + (INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_143/B1 (1183:1348:1513)(1183:1348:1513)) + (INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_143/B0 (1183:1348:1513)(1183:1348:1513)) + (INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_144/B1 (1183:1348:1513)(1183:1348:1513)) + (INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_144/B0 (1183:1348:1513)(1183:1348:1513)) + (INTERCONNECT SLICE_32/Q0 ram2e_ufm\/SLICE_147/B0 (1173:1337:1501)(1173:1337:1501)) (INTERCONNECT SLICE_32/F0 SLICE_32/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_107/F1 SLICE_33/D1 (523:573:623)(523:573:623)) - (INTERCONNECT SLICE_106/F1 SLICE_33/C1 (282:367:453)(282:367:453)) - (INTERCONNECT SLICE_106/F1 SLICE_34/D1 (271:301:332)(271:301:332)) - (INTERCONNECT SLICE_106/F1 SLICE_34/D0 (525:584:643)(525:584:643)) - (INTERCONNECT SLICE_67/F1 SLICE_33/A1 (749:894:1040)(749:894:1040)) - (INTERCONNECT SLICE_67/F1 SLICE_44/C0 (290:387:485)(290:387:485)) - (INTERCONNECT SLICE_67/F1 SLICE_51/B1 (778:914:1050)(778:914:1050)) - (INTERCONNECT SLICE_67/F1 SLICE_53/A0 (1446:1661:1877)(1446:1661:1877)) - (INTERCONNECT SLICE_67/F1 SLICE_67/C0 (290:387:485)(290:387:485)) - (INTERCONNECT SLICE_67/F1 SLICE_72/C0 (816:985:1155)(816:985:1155)) - (INTERCONNECT SLICE_67/F1 SLICE_103/C1 (290:387:485)(290:387:485)) - (INTERCONNECT SLICE_67/F1 SLICE_103/B0 (775:914:1053)(775:914:1053)) - (INTERCONNECT SLICE_67/F1 SLICE_110/C1 (892:1059:1227)(892:1059:1227)) - (INTERCONNECT SLICE_67/F1 SLICE_110/C0 (892:1059:1227)(892:1059:1227)) - (INTERCONNECT SLICE_102/F1 SLICE_33/D0 (266:290:315)(266:290:315)) + (INTERCONNECT ram2e_ufm\/SLICE_78/F1 SLICE_33/D1 (548:615:683)(548:615:683)) + (INTERCONNECT ram2e_ufm\/SLICE_78/F1 SLICE_38/B1 (1038:1199:1361)(1038:1199:1361)) + (INTERCONNECT ram2e_ufm\/SLICE_78/F1 ram2e_ufm\/SLICE_78/D0 (527:589:651) + (527:589:651)) + (INTERCONNECT ram2e_ufm\/SLICE_78/F1 ram2e_ufm\/SLICE_79/B0 (1471:1674:1877) + (1471:1674:1877)) + (INTERCONNECT ram2e_ufm\/SLICE_78/F1 ram2e_ufm\/SLICE_105/B1 (1471:1674:1877) + (1471:1674:1877)) + (INTERCONNECT ram2e_ufm\/SLICE_78/F1 ram2e_ufm\/SLICE_106/D0 (548:615:683) + (548:615:683)) + (INTERCONNECT ram2e_ufm\/SLICE_78/F1 ram2e_ufm\/SLICE_135/A0 (758:891:1024) + (758:891:1024)) + (INTERCONNECT ram2e_ufm\/SLICE_106/F1 SLICE_33/C1 (550:666:782)(550:666:782)) + (INTERCONNECT ram2e_ufm\/SLICE_106/F1 SLICE_34/C1 (888:1041:1194)(888:1041:1194)) + (INTERCONNECT ram2e_ufm\/SLICE_106/F1 SLICE_34/C0 (888:1041:1194)(888:1041:1194)) + (INTERCONNECT ram2e_ufm\/SLICE_106/F1 ram2e_ufm\/SLICE_106/C0 (280:362:445) + (280:362:445)) + (INTERCONNECT ram2e_ufm\/SLICE_135/F1 SLICE_33/B1 (765:889:1013)(765:889:1013)) + (INTERCONNECT ram2e_ufm\/SLICE_135/F1 SLICE_33/C0 (280:362:445)(280:362:445)) + (INTERCONNECT SLICE_139/F0 SLICE_33/A1 (1010:1161:1312)(1010:1161:1312)) + (INTERCONNECT SLICE_139/F0 SLICE_33/A0 (1010:1161:1312)(1010:1161:1312)) + (INTERCONNECT SLICE_139/F0 SLICE_34/B1 (1380:1570:1761)(1380:1570:1761)) + (INTERCONNECT SLICE_139/F0 SLICE_34/B0 (1380:1570:1761)(1380:1570:1761)) + (INTERCONNECT ram2e_ufm\/SLICE_117/F1 SLICE_33/B0 (1099:1259:1420)(1099:1259:1420)) (INTERCONNECT SLICE_33/F1 SLICE_33/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_33/F0 SLICE_33/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_34/Q0 SLICE_34/D1 (548:611:674)(548:611:674)) + (INTERCONNECT SLICE_34/Q0 SLICE_34/D0 (548:611:674)(548:611:674)) + (INTERCONNECT SLICE_34/Q0 SLICE_35/D0 (548:611:674)(548:611:674)) + (INTERCONNECT SLICE_34/Q0 SLICE_38/C1 (954:1108:1262)(954:1108:1262)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_47/C1 (2210:2470:2730)(2210:2470:2730)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_48/B1 (2441:2714:2987)(2441:2714:2987)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_48/B0 (2441:2714:2987)(2441:2714:2987)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_49/A1 (2809:3114:3420)(2809:3114:3420)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_49/A0 (2809:3114:3420)(2809:3114:3420)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_50/A1 (2784:3087:3390)(2784:3087:3390)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_50/A0 (2784:3087:3390)(2784:3087:3390)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_52/A0 (3179:3516:3854)(3179:3516:3854)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_53/A0 (2809:3114:3420)(2809:3114:3420)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_54/A1 (2809:3114:3420)(2809:3114:3420)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_56/LSR (845:960:1075)(845:960:1075)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_69/D0 (1196:1339:1482)(1196:1339:1482)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_71/A0 (1948:2190:2433)(1948:2190:2433)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_72/D0 (563:629:695)(563:629:695)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_74/C0 (545:674:803)(545:674:803)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_77/D0 (816:926:1036)(816:926:1036)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_78/C0 (837:1003:1169)(837:1003:1169)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_79/D0 (2938:3207:3476)(2938:3207:3476)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_86/A1 (3549:3918:4288)(3549:3918:4288)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_89/A0 (2779:3081:3384)(2779:3081:3384)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_90/A0 (2809:3114:3420)(2809:3114:3420)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_95/D1 (2086:2302:2518)(2086:2302:2518)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_96/D1 (826:937:1048)(826:937:1048)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_97/A0 (2779:3081:3384)(2779:3081:3384)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_98/A0 (2809:3114:3420)(2809:3114:3420)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_102/D1 (943:1042:1141)(943:1042:1141)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_106/A0 (744:883:1023)(744:883:1023)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_107/C1 (2113:2376:2640)(2113:2376:2640)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_109/A1 (3549:3918:4288)(3549:3918:4288)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_112/D0 (1196:1339:1482)(1196:1339:1482)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_113/D1 (1313:1444:1575)(1313:1444:1575)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_115/D1 (1313:1444:1575)(1313:1444:1575)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_117/D1 (563:629:695)(563:629:695)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_117/D0 (563:629:695)(563:629:695)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_118/D1 (563:629:695)(563:629:695)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_118/D0 (563:629:695)(563:629:695)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_119/D1 (826:937:1048)(826:937:1048)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_119/D0 (826:937:1048)(826:937:1048)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_121/D1 (816:926:1036)(816:926:1036)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_121/D0 (816:926:1036)(816:926:1036)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_127/D1 (2086:2302:2518)(2086:2302:2518)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_127/D0 (2086:2302:2518)(2086:2302:2518)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_135/C1 (549:665:781)(549:665:781)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_135/C0 (549:665:781)(549:665:781)) + (INTERCONNECT SLICE_34/Q0 SLICE_138/D0 (1180:1321:1463)(1180:1321:1463)) + (INTERCONNECT SLICE_34/Q0 ram2e_ufm\/SLICE_145/A1 (2809:3114:3420)(2809:3114:3420)) (INTERCONNECT SLICE_34/F1 SLICE_34/DI1 (0:0:0)(0:0:0)) (INTERCONNECT SLICE_34/F0 SLICE_34/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_62/F1 SLICE_35/D1 (523:573:623)(523:573:623)) - (INTERCONNECT SLICE_60/F1 SLICE_35/A1 (733:848:964)(733:848:964)) - (INTERCONNECT SLICE_85/F0 SLICE_35/D0 (1153:1269:1385)(1153:1269:1385)) - (INTERCONNECT SLICE_104/F0 SLICE_35/C0 (800:939:1079)(800:939:1079)) - (INTERCONNECT SLICE_74/F1 SLICE_35/B0 (776:902:1028)(776:902:1028)) - (INTERCONNECT SLICE_74/F1 SLICE_74/B0 (776:902:1028)(776:902:1028)) - (INTERCONNECT SLICE_74/F1 SLICE_108/D0 (967:1066:1166)(967:1066:1166)) - (INTERCONNECT SLICE_35/F1 SLICE_35/DI1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_35/F0 SLICE_35/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_110/F0 SLICE_35/CE (1257:1386:1516)(1257:1386:1516)) - (INTERCONNECT SLICE_110/F0 SLICE_35/CE (1257:1386:1516)(1257:1386:1516)) - (INTERCONNECT SLICE_110/F0 SLICE_36/CE (1627:1789:1952)(1627:1789:1952)) - (INTERCONNECT SLICE_110/F0 SLICE_36/CE (1627:1789:1952)(1627:1789:1952)) - (INTERCONNECT SLICE_110/F0 SLICE_37/CE (1268:1399:1531)(1268:1399:1531)) - (INTERCONNECT SLICE_110/F0 SLICE_37/CE (1268:1399:1531)(1268:1399:1531)) - (INTERCONNECT SLICE_110/F0 SLICE_38/CE (1268:1399:1531)(1268:1399:1531)) - (INTERCONNECT SLICE_110/F0 SLICE_38/CE (1268:1399:1531)(1268:1399:1531)) - (INTERCONNECT SLICE_110/F0 SLICE_40/CE (1252:1381:1510)(1252:1381:1510)) - (INTERCONNECT SLICE_110/F0 SLICE_40/CE (1252:1381:1510)(1252:1381:1510)) - (INTERCONNECT SLICE_110/F0 SLICE_41/CE (1632:1795:1958)(1632:1795:1958)) - (INTERCONNECT SLICE_110/F0 SLICE_41/CE (1632:1795:1958)(1632:1795:1958)) - (INTERCONNECT SLICE_110/F0 SLICE_42/CE (1632:1795:1958)(1632:1795:1958)) - (INTERCONNECT SLICE_110/F0 SLICE_42/CE (1632:1795:1958)(1632:1795:1958)) - (INTERCONNECT SLICE_110/F0 SLICE_43/CE (1627:1789:1952)(1627:1789:1952)) - (INTERCONNECT SLICE_110/F0 SLICE_43/CE (1627:1789:1952)(1627:1789:1952)) - (INTERCONNECT SLICE_35/Q0 SLICE_40/B0 (1209:1375:1542)(1209:1375:1542)) - (INTERCONNECT SLICE_35/Q0 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI0in (1236:1368:1501) - (1236:1368:1501)) - (INTERCONNECT SLICE_35/Q1 SLICE_109/C0 (1682:1885:2088)(1682:1885:2088)) - (INTERCONNECT SLICE_35/Q1 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI1in (1342:1474:1607) - (1342:1474:1607)) - (INTERCONNECT SLICE_36/F1 SLICE_36/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_138/F1 SLICE_35/LSR (876:972:1069)(876:972:1069)) + (INTERCONNECT SLICE_35/Q0 SLICE_139/C1 (905:1049:1193)(905:1049:1193)) + (INTERCONNECT SLICE_35/F1 BA\[1\]_MGIOL/LSR (1438:1586:1735)(1438:1586:1735)) + (INTERCONNECT SLICE_35/F1 BA\[0\]_MGIOL/LSR (1438:1586:1735)(1438:1586:1735)) + (INTERCONNECT ram2e_ufm\/SLICE_105/F0 SLICE_36/C1 (986:1144:1302)(986:1144:1302)) + (INTERCONNECT ram2e_ufm\/SLICE_105/F0 SLICE_37/B1 (783:915:1047)(783:915:1047)) + (INTERCONNECT ram2e_ufm\/SLICE_105/F0 SLICE_38/A1 (1099:1267:1436)(1099:1267:1436)) + (INTERCONNECT ram2e_ufm\/SLICE_105/F0 ram2e_ufm\/SLICE_91/A0 (1099:1267:1436) + (1099:1267:1436)) + (INTERCONNECT ram2e_ufm\/SLICE_105/F0 ram2e_ufm\/SLICE_92/C0 (986:1144:1302) + (986:1144:1302)) + (INTERCONNECT ram2e_ufm\/SLICE_105/F0 ram2e_ufm\/SLICE_105/C1 (282:367:453) + (282:367:453)) + (INTERCONNECT ram2e_ufm\/SLICE_105/F0 ram2e_ufm\/SLICE_112/A1 (1099:1267:1436) + (1099:1267:1436)) + (INTERCONNECT ram2e_ufm\/SLICE_113/F1 SLICE_36/A1 (741:874:1008)(741:874:1008)) + (INTERCONNECT ram2e_ufm\/SLICE_113/F1 SLICE_37/C1 (814:972:1130)(814:972:1130)) + (INTERCONNECT ram2e_ufm\/SLICE_113/F1 SLICE_38/A0 (1013:1181:1350)(1013:1181:1350)) + (INTERCONNECT ram2e_ufm\/SLICE_113/F1 ram2e_ufm\/CKE_7\/SLICE_61/A0 (741:874:1008) + (741:874:1008)) + (INTERCONNECT ram2e_ufm\/SLICE_113/F1 ram2e_ufm\/SLICE_92/B1 (777:908:1040) + (777:908:1040)) + (INTERCONNECT ram2e_ufm\/SLICE_113/F1 ram2e_ufm\/SLICE_113/C0 (282:367:453) + (282:367:453)) + (INTERCONNECT ram2e_ufm\/SLICE_69/F1 SLICE_36/D0 (789:873:958)(789:873:958)) + (INTERCONNECT ram2e_ufm\/SLICE_92/F0 SLICE_36/C0 (531:639:747)(531:639:747)) + (INTERCONNECT SLICE_36/F1 SLICE_36/B0 (762:883:1004)(762:883:1004)) (INTERCONNECT SLICE_36/F0 SLICE_36/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_36/Q0 SLICE_41/A0 (1073:1231:1390)(1073:1231:1390)) - (INTERCONNECT SLICE_36/Q0 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI2in (1344:1479:1615) - (1344:1479:1615)) - (INTERCONNECT SLICE_36/Q1 SLICE_68/D1 (523:578:633)(523:578:633)) - (INTERCONNECT SLICE_36/Q1 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI3in (1084:1193:1303) - (1084:1193:1303)) - (INTERCONNECT SLICE_37/F1 SLICE_37/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_36/Q0 nCASout_MGIOL/OPOS (1527:1661:1795)(1527:1661:1795)) + (INTERCONNECT ram2e_ufm\/SLICE_112/F0 SLICE_37/D0 (266:290:315)(266:290:315)) + (INTERCONNECT SLICE_38/F1 SLICE_37/C0 (534:645:756)(534:645:756)) + (INTERCONNECT SLICE_38/F1 SLICE_38/B0 (765:889:1013)(765:889:1013)) + (INTERCONNECT SLICE_37/F1 SLICE_37/B0 (762:883:1004)(762:883:1004)) + (INTERCONNECT ram2e_ufm\/SLICE_91/F0 SLICE_37/A0 (730:848:967)(730:848:967)) (INTERCONNECT SLICE_37/F0 SLICE_37/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_37/Q0 SLICE_114/A1 (1070:1230:1390)(1070:1230:1390)) - (INTERCONNECT SLICE_37/Q0 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI4in (1084:1193:1303) - (1084:1193:1303)) - (INTERCONNECT SLICE_37/Q1 SLICE_42/A1 (1433:1620:1808)(1433:1620:1808)) - (INTERCONNECT SLICE_37/Q1 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI5in (1340:1473:1606) - (1340:1473:1606)) - (INTERCONNECT SLICE_38/F1 SLICE_38/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT SLICE_37/Q0 nRASout_MGIOL/OPOS (1445:1584:1723)(1445:1584:1723)) + (INTERCONNECT ram2e_ufm\/SLICE_136/F1 SLICE_38/D1 (1116:1235:1355)(1116:1235:1355)) + (INTERCONNECT ram2e_ufm\/SLICE_105/F1 SLICE_38/D0 (523:573:623)(523:573:623)) + (INTERCONNECT ram2e_ufm\/SLICE_112/F1 SLICE_38/C0 (534:645:756)(534:645:756)) + (INTERCONNECT ram2e_ufm\/SLICE_112/F1 ram2e_ufm\/SLICE_112/C0 (280:362:445) + (280:362:445)) (INTERCONNECT SLICE_38/F0 SLICE_38/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_38/Q0 SLICE_84/D0 (863:956:1049)(863:956:1049)) - (INTERCONNECT SLICE_38/Q0 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI6in (1381:1513:1645) - (1381:1513:1645)) - (INTERCONNECT SLICE_38/Q1 SLICE_109/C1 (1275:1451:1627)(1275:1451:1627)) - (INTERCONNECT SLICE_38/Q1 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI7in (1708:1875:2042) - (1708:1875:2042)) - (INTERCONNECT ufmefb\/EFBInst_0/WBACKO SLICE_39/B1 (1859:2070:2281) - (1859:2070:2281)) - (INTERCONNECT ufmefb\/EFBInst_0/WBACKO SLICE_45/B1 (1859:2070:2281) - (1859:2070:2281)) - (INTERCONNECT ufmefb\/EFBInst_0/WBACKO SLICE_57/B1 (1859:2070:2281) - (1859:2070:2281)) - (INTERCONNECT ufmefb\/EFBInst_0/WBACKO SLICE_64/C0 (1617:1814:2011) - (1617:1814:2011)) - (INTERCONNECT ufmefb\/EFBInst_0/WBACKO SLICE_105/C1 (1166:1335:1504) - (1166:1335:1504)) - (INTERCONNECT SLICE_63/F1 SLICE_39/A1 (736:854:973)(736:854:973)) - (INTERCONNECT SLICE_63/F1 SLICE_52/B1 (768:889:1010)(768:889:1010)) - (INTERCONNECT SLICE_39/F1 SLICE_39/C0 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_39/F0 SLICE_39/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_52/F0 SLICE_39/CE (539:596:653)(539:596:653)) - (INTERCONNECT SLICE_39/Q0 ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBCYCIin - (1533:1667:1802)(1533:1667:1802)) - (INTERCONNECT SLICE_39/Q0 ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBSTBIin - (1879:2052:2226)(1879:2052:2226)) - (INTERCONNECT SLICE_90/F0 SLICE_40/D1 (526:588:650)(526:588:650)) - (INTERCONNECT SLICE_90/F0 SLICE_41/A1 (1433:1630:1828)(1433:1630:1828)) - (INTERCONNECT SLICE_90/F0 SLICE_88/D0 (526:588:650)(526:588:650)) - (INTERCONNECT SLICE_68/F0 SLICE_40/C1 (875:1031:1188)(875:1031:1188)) - (INTERCONNECT SLICE_68/F0 SLICE_43/A0 (737:864:992)(737:864:992)) - (INTERCONNECT SLICE_68/F0 SLICE_68/C1 (284:372:461)(284:372:461)) - (INTERCONNECT SLICE_68/F0 SLICE_70/C1 (875:1031:1188)(875:1031:1188)) - (INTERCONNECT SLICE_56/F0 SLICE_40/B1 (1148:1306:1464)(1148:1306:1464)) - (INTERCONNECT SLICE_56/F0 SLICE_43/C0 (1292:1469:1647)(1292:1469:1647)) - (INTERCONNECT SLICE_56/F0 SLICE_50/A0 (1073:1231:1390)(1073:1231:1390)) - (INTERCONNECT SLICE_56/F0 SLICE_104/C1 (1292:1469:1647)(1292:1469:1647)) - (INTERCONNECT SLICE_56/F0 SLICE_104/C0 (1292:1469:1647)(1292:1469:1647)) - (INTERCONNECT SLICE_109/F0 SLICE_40/A1 (730:848:967)(730:848:967)) - (INTERCONNECT SLICE_116/F0 SLICE_40/D0 (789:873:958)(789:873:958)) - (INTERCONNECT SLICE_86/F1 SLICE_40/A0 (741:874:1008)(741:874:1008)) - (INTERCONNECT SLICE_86/F1 SLICE_74/D1 (797:899:1002)(797:899:1002)) - (INTERCONNECT SLICE_86/F1 SLICE_86/C0 (282:367:453)(282:367:453)) - (INTERCONNECT SLICE_86/F1 SLICE_90/B0 (513:611:710)(513:611:710)) - (INTERCONNECT SLICE_86/F1 SLICE_105/C0 (542:665:788)(542:665:788)) - (INTERCONNECT SLICE_40/F1 SLICE_40/DI1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_40/F0 SLICE_40/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_40/Q0 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI0in (1408:1550:1693) - (1408:1550:1693)) - (INTERCONNECT SLICE_40/Q1 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI1in (1011:1111:1211) - (1011:1111:1211)) - (INTERCONNECT SLICE_58/F1 SLICE_41/D1 (266:290:315)(266:290:315)) - (INTERCONNECT SLICE_68/F1 SLICE_41/B1 (765:883:1001)(765:883:1001)) - (INTERCONNECT SLICE_88/F0 SLICE_41/D0 (795:880:965)(795:880:965)) - (INTERCONNECT SLICE_88/F0 SLICE_42/D1 (795:880:965)(795:880:965)) - (INTERCONNECT SLICE_70/F1 SLICE_41/C0 (282:367:453)(282:367:453)) - (INTERCONNECT SLICE_70/F1 SLICE_42/C1 (536:650:764)(536:650:764)) - (INTERCONNECT SLICE_70/F1 SLICE_42/B0 (767:894:1021)(767:894:1021)) - (INTERCONNECT SLICE_41/F1 SLICE_41/DI1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_41/F0 SLICE_41/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_41/Q0 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI2in (906:1001:1097) - (906:1001:1097)) - (INTERCONNECT SLICE_41/Q1 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI3in (647:715:784) - (647:715:784)) - (INTERCONNECT SLICE_74/F0 SLICE_42/D0 (526:579:632)(526:579:632)) - (INTERCONNECT SLICE_74/F0 SLICE_84/C0 (534:645:756)(534:645:756)) - (INTERCONNECT SLICE_104/F1 SLICE_42/C0 (534:639:744)(534:639:744)) - (INTERCONNECT SLICE_114/F1 SLICE_42/A0 (1326:1511:1696)(1326:1511:1696)) - (INTERCONNECT SLICE_42/F1 SLICE_42/DI1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_42/F0 SLICE_42/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_42/Q0 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI4in (647:715:784) - (647:715:784)) - (INTERCONNECT SLICE_42/Q1 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI5in (974:1077:1181) - (974:1077:1181)) - (INTERCONNECT SLICE_50/F0 SLICE_43/D1 (789:873:958)(789:873:958)) - (INTERCONNECT SLICE_105/F0 SLICE_43/C1 (534:639:744)(534:639:744)) - (INTERCONNECT SLICE_109/F1 SLICE_43/B1 (765:883:1001)(765:883:1001)) - (INTERCONNECT SLICE_108/F0 SLICE_43/A1 (999:1149:1299)(999:1149:1299)) - (INTERCONNECT SLICE_84/F0 SLICE_43/D0 (789:873:958)(789:873:958)) - (INTERCONNECT SLICE_43/F1 SLICE_43/DI1 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_43/F0 SLICE_43/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_43/Q0 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI6in (974:1077:1181) - (974:1077:1181)) - (INTERCONNECT SLICE_43/Q1 - ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI7in (1011:1111:1211) - (1011:1111:1211)) - (INTERCONNECT SLICE_44/F1 SLICE_44/A0 (730:848:967)(730:848:967)) - (INTERCONNECT SLICE_44/F0 SLICE_44/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_103/F0 SLICE_44/CE (539:596:653)(539:596:653)) - (INTERCONNECT SLICE_44/Q0 SLICE_52/A1 (733:848:964)(733:848:964)) - (INTERCONNECT SLICE_45/F0 SLICE_45/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_47/F0 SLICE_45/LSR (1326:1469:1613)(1326:1469:1613)) - (INTERCONNECT SLICE_47/F0 SLICE_47/C1 (282:367:453)(282:367:453)) - (INTERCONNECT SLICE_47/F0 SLICE_56/C1 (1731:1954:2178)(1731:1954:2178)) - (INTERCONNECT SLICE_47/F0 SLICE_59/B1 (513:611:710)(513:611:710)) - (INTERCONNECT SLICE_47/F0 SLICE_73/B0 (1962:2198:2435)(1962:2198:2435)) - (INTERCONNECT SLICE_47/F0 SLICE_75/B0 (1216:1388:1560)(1216:1388:1560)) - (INTERCONNECT SLICE_47/F0 SLICE_86/C1 (1361:1552:1744)(1361:1552:1744)) - (INTERCONNECT SLICE_45/Q0 ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBRSTIin - (1611:1760:1909)(1611:1760:1909)) - (INTERCONNECT SLICE_45/F1 SLICE_52/C0 (534:639:744)(534:639:744)) - (INTERCONNECT SLICE_46/F1 SLICE_46/C0 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_66/F1 SLICE_46/B0 (778:907:1036)(778:907:1036)) - (INTERCONNECT SLICE_66/F1 SLICE_60/A1 (740:867:995)(740:867:995)) - (INTERCONNECT SLICE_66/F1 SLICE_62/B1 (1729:1960:2191)(1729:1960:2191)) - (INTERCONNECT SLICE_66/F1 SLICE_66/B0 (778:907:1036)(778:907:1036)) - (INTERCONNECT SLICE_66/F1 SLICE_95/D1 (271:301:332)(271:301:332)) - (INTERCONNECT SLICE_95/F0 SLICE_46/A0 (730:848:967)(730:848:967)) - (INTERCONNECT SLICE_46/F0 SLICE_46/DI0 (0:0:0)(0:0:0)) - (INTERCONNECT SLICE_53/F0 SLICE_46/CE (876:972:1069)(876:972:1069)) - (INTERCONNECT SLICE_46/Q0 ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBWEIin - (1337:1468:1599)(1337:1468:1599)) - (INTERCONNECT SLICE_96/F1 SLICE_47/D1 (794:884:975)(794:884:975)) - (INTERCONNECT SLICE_96/F1 SLICE_69/A1 (738:859:981)(738:859:981)) - (INTERCONNECT SLICE_96/F1 SLICE_96/C0 (282:367:453)(282:367:453)) - (INTERCONNECT SLICE_47/F1 DQMH_MGIOL/OPOS (1772:1946:2120)(1772:1946:2120)) - (INTERCONNECT SLICE_76/F1 SLICE_48/D0 (864:965:1067)(864:965:1067)) - (INTERCONNECT SLICE_76/F1 SLICE_63/A1 (737:864:992)(737:864:992)) - (INTERCONNECT SLICE_76/F1 SLICE_76/D0 (527:589:651)(527:589:651)) - (INTERCONNECT SLICE_76/F1 SLICE_112/C1 (541:655:769)(541:655:769)) - (INTERCONNECT SLICE_48/F1 SLICE_48/C0 (280:362:445)(280:362:445)) - (INTERCONNECT SLICE_48/F1 Vout\[7\]_MGIOL/CE (1189:1316:1444)(1189:1316:1444)) - (INTERCONNECT SLICE_48/F1 Vout\[6\]_MGIOL/CE (1189:1316:1444)(1189:1316:1444)) - (INTERCONNECT SLICE_48/F1 Vout\[5\]_MGIOL/CE (1569:1729:1890)(1569:1729:1890)) - (INTERCONNECT SLICE_48/F1 Vout\[4\]_MGIOL/CE (1569:1729:1890)(1569:1729:1890)) - (INTERCONNECT SLICE_48/F1 Vout\[3\]_MGIOL/CE (1189:1316:1444)(1189:1316:1444)) - (INTERCONNECT SLICE_48/F1 Vout\[2\]_MGIOL/CE (1569:1729:1890)(1569:1729:1890)) - (INTERCONNECT SLICE_48/F1 Vout\[1\]_MGIOL/CE (1189:1316:1444)(1189:1316:1444)) - (INTERCONNECT SLICE_48/F1 Vout\[0\]_MGIOL/CE (1569:1729:1890)(1569:1729:1890)) - (INTERCONNECT SLICE_80/F1 SLICE_48/B0 (1102:1265:1429)(1102:1265:1429)) - (INTERCONNECT SLICE_80/F1 SLICE_80/B0 (511:606:702)(511:606:702)) - (INTERCONNECT SLICE_48/F0 SLICE_78/B0 (762:883:1004)(762:883:1004)) - (INTERCONNECT SLICE_93/F0 SLICE_49/D1 (523:573:623)(523:573:623)) - (INTERCONNECT SLICE_49/F1 SLICE_49/C0 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_49/F0 SLICE_81/D0 (266:290:315)(266:290:315)) - (INTERCONNECT SLICE_50/F1 SLICE_50/D0 (520:573:626)(520:573:626)) - (INTERCONNECT SLICE_89/F1 SLICE_50/C0 (986:1144:1302)(986:1144:1302)) - (INTERCONNECT SLICE_89/F1 SLICE_85/C1 (1313:1506:1699)(1313:1506:1699)) - (INTERCONNECT SLICE_89/F1 SLICE_89/A0 (735:859:984)(735:859:984)) - (INTERCONNECT SLICE_89/F1 SLICE_105/A1 (1083:1249:1415)(1083:1249:1415)) - (INTERCONNECT SLICE_89/F1 SLICE_105/A0 (1083:1249:1415)(1083:1249:1415)) - (INTERCONNECT SLICE_106/F0 SLICE_51/A1 (730:848:967)(730:848:967)) - (INTERCONNECT SLICE_51/F1 SLICE_51/B0 (508:600:693)(508:600:693)) - (INTERCONNECT SLICE_51/F0 CKE_MGIOL/OPOS (1891:2056:2222)(1891:2056:2222)) - (INTERCONNECT SLICE_72/F0 SLICE_52/D1 (800:891:982)(800:891:982)) - (INTERCONNECT SLICE_72/F0 SLICE_52/D0 (800:891:982)(800:891:982)) - (INTERCONNECT SLICE_72/F0 SLICE_72/C1 (282:367:453)(282:367:453)) - (INTERCONNECT SLICE_72/F0 SLICE_76/C0 (546:664:783)(546:664:783)) - (INTERCONNECT SLICE_52/F1 SLICE_52/B0 (508:600:693)(508:600:693)) - (INTERCONNECT SLICE_57/F1 SLICE_52/A0 (730:848:967)(730:848:967)) - (INTERCONNECT SLICE_53/F1 SLICE_53/C0 (280:362:445)(280:362:445)) - (INTERCONNECT SLICE_53/F1 SLICE_110/D0 (860:955:1051)(860:955:1051)) - (INTERCONNECT SLICE_54/F1 SLICE_54/C0 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_118/F0 SLICE_54/B0 (767:891:1015)(767:891:1015)) - (INTERCONNECT SLICE_118/F0 SLICE_93/D1 (525:581:637)(525:581:637)) - (INTERCONNECT SLICE_54/F0 SLICE_81/B0 (1099:1259:1420)(1099:1259:1420)) - (INTERCONNECT SLICE_55/F0 SLICE_55/D1 (523:579:635)(523:579:635)) - (INTERCONNECT SLICE_55/F0 SLICE_71/C1 (550:666:782)(550:666:782)) - (INTERCONNECT SLICE_55/F0 SLICE_78/A1 (749:875:1002)(749:875:1002)) - (INTERCONNECT SLICE_63/F0 SLICE_55/C1 (536:650:764)(536:650:764)) - (INTERCONNECT SLICE_63/F0 SLICE_63/C1 (282:367:453)(282:367:453)) - (INTERCONNECT SLICE_63/F0 SLICE_76/A0 (735:859:984)(735:859:984)) - (INTERCONNECT SLICE_55/F1 SLICE_83/D0 (523:573:623)(523:573:623)) - (INTERCONNECT SLICE_56/F1 SLICE_56/B0 (513:611:710)(513:611:710)) - (INTERCONNECT SLICE_56/F1 SLICE_85/B1 (772:902:1032)(772:902:1032)) - (INTERCONNECT SLICE_56/F1 SLICE_88/C0 (1249:1437:1625)(1249:1437:1625)) - (INTERCONNECT SLICE_56/F1 SLICE_92/D0 (525:584:643)(525:584:643)) - (INTERCONNECT SLICE_56/F1 SLICE_109/B1 (1480:1681:1882)(1480:1681:1882)) - (INTERCONNECT SLICE_56/F1 SLICE_109/B0 (1480:1681:1882)(1480:1681:1882)) - (INTERCONNECT SLICE_58/F0 SLICE_58/C1 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_73/F0 SLICE_58/B1 (1166:1328:1491)(1166:1328:1491)) - (INTERCONNECT SLICE_73/F0 SLICE_68/B1 (1161:1323:1485)(1161:1323:1485)) - (INTERCONNECT SLICE_73/F0 SLICE_70/B1 (1166:1328:1491)(1166:1328:1491)) - (INTERCONNECT SLICE_73/F0 SLICE_73/C1 (280:362:445)(280:362:445)) - (INTERCONNECT SLICE_73/F0 SLICE_84/B1 (1166:1328:1491)(1166:1328:1491)) - (INTERCONNECT SLICE_73/F0 SLICE_89/D0 (1610:1770:1931)(1610:1770:1931)) - (INTERCONNECT SLICE_73/F0 SLICE_114/B1 (1118:1283:1448)(1118:1283:1448)) - (INTERCONNECT SLICE_73/F0 SLICE_114/D0 (549:611:673)(549:611:673)) - (INTERCONNECT SLICE_70/F0 SLICE_58/A1 (733:854:976)(733:854:976)) - (INTERCONNECT SLICE_70/F0 SLICE_70/A1 (479:572:665)(479:572:665)) - (INTERCONNECT SLICE_59/F0 SLICE_59/A1 (476:566:656)(476:566:656)) - (INTERCONNECT SLICE_59/F1 DQML_MGIOL/OPOS (1772:1946:2120)(1772:1946:2120)) - (INTERCONNECT SLICE_60/F0 SLICE_60/D1 (520:573:626)(520:573:626)) - (INTERCONNECT SLICE_88/F1 SLICE_60/C1 (807:955:1104)(807:955:1104)) - (INTERCONNECT SLICE_88/F1 SLICE_88/A0 (737:864:992)(737:864:992)) - (INTERCONNECT SLICE_88/F1 SLICE_105/D1 (273:306:340)(273:306:340)) - (INTERCONNECT SLICE_88/F1 SLICE_105/D0 (527:589:651)(527:589:651)) - (INTERCONNECT SLICE_64/F1 SLICE_60/B1 (515:616:718)(515:616:718)) - (INTERCONNECT SLICE_64/F1 SLICE_64/D0 (527:589:651)(527:589:651)) - (INTERCONNECT SLICE_64/F1 SLICE_95/C1 (538:655:772)(538:655:772)) - (INTERCONNECT SLICE_64/F1 SLICE_97/C0 (548:669:791)(548:669:791)) - (INTERCONNECT SLICE_61/F0 SLICE_61/C1 (282:367:453)(282:367:453)) - (INTERCONNECT SLICE_61/F0 SLICE_88/B0 (1211:1381:1552)(1211:1381:1552)) - (INTERCONNECT SLICE_61/F0 SLICE_114/C1 (536:650:764)(536:650:764)) - (INTERCONNECT SLICE_61/F1 SLICE_109/A0 (1067:1225:1383)(1067:1225:1383)) - (INTERCONNECT SLICE_62/F0 SLICE_62/D1 (520:573:626)(520:573:626)) - (INTERCONNECT SLICE_64/F0 SLICE_114/B0 (1031:1183:1336)(1031:1183:1336)) - (INTERCONNECT SLICE_65/F1 SLICE_65/B0 (765:889:1013)(765:889:1013)) - (INTERCONNECT SLICE_65/F1 SLICE_84/A1 (733:854:976)(733:854:976)) - (INTERCONNECT SLICE_65/F0 SLICE_109/A1 (740:863:986)(740:863:986)) - (INTERCONNECT SLICE_66/F0 SLICE_95/D0 (266:290:315)(266:290:315)) - (INTERCONNECT SLICE_76/F0 SLICE_69/D1 (975:1072:1170)(975:1072:1170)) - (INTERCONNECT SLICE_76/F0 SLICE_78/A0 (1185:1348:1511)(1185:1348:1511)) - (INTERCONNECT SLICE_76/F0 SLICE_115/C0 (1350:1534:1718)(1350:1534:1718)) - (INTERCONNECT SLICE_69/F0 SLICE_69/C1 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_69/F1 RA\[10\]_MGIOL/OPOS (1527:1661:1795)(1527:1661:1795)) - (INTERCONNECT SLICE_75/F0 SLICE_71/A1 (746:869:993)(746:869:993)) - (INTERCONNECT SLICE_75/F0 SLICE_78/C0 (547:660:773)(547:660:773)) - (INTERCONNECT SLICE_71/F1 SLICE_115/D0 (523:573:623)(523:573:623)) - (INTERCONNECT SLICE_119/F0 SLICE_72/B1 (508:600:693)(508:600:693)) - (INTERCONNECT SLICE_116/F1 SLICE_73/D1 (523:579:635)(523:579:635)) - (INTERCONNECT SLICE_116/F1 SLICE_92/B1 (765:889:1013)(765:889:1013)) - (INTERCONNECT SLICE_73/F1 SLICE_85/C0 (534:639:744)(534:639:744)) - (INTERCONNECT SLICE_75/F1 SLICE_75/C0 (282:367:453)(282:367:453)) - (INTERCONNECT SLICE_75/F1 SLICE_76/B0 (513:611:710)(513:611:710)) - (INTERCONNECT SLICE_75/F1 SLICE_83/A0 (745:874:1003)(745:874:1003)) - (INTERCONNECT SLICE_82/F0 SLICE_77/D0 (530:587:645)(530:587:645)) - (INTERCONNECT SLICE_81/F0 SLICE_77/C0 (531:639:747)(531:639:747)) - (INTERCONNECT SLICE_94/F0 SLICE_77/A0 (730:848:967)(730:848:967)) - (INTERCONNECT SLICE_78/F1 SLICE_78/D0 (520:573:626)(520:573:626)) - (INTERCONNECT SLICE_78/F0 nCAS_MGIOL/OPOS (1527:1661:1795)(1527:1661:1795)) - (INTERCONNECT SLICE_79/F1 SLICE_79/B0 (508:600:693)(508:600:693)) - (INTERCONNECT SLICE_83/F0 SLICE_79/A0 (1180:1342:1505)(1180:1342:1505)) - (INTERCONNECT SLICE_83/F0 SLICE_80/A0 (1180:1342:1505)(1180:1342:1505)) - (INTERCONNECT SLICE_79/F0 nCS_MGIOL/OPOS (1408:1550:1693)(1408:1550:1693)) - (INTERCONNECT SLICE_80/F0 nRAS_MGIOL/OPOS (1772:1946:2120)(1772:1946:2120)) - (INTERCONNECT SLICE_81/F1 SLICE_81/C0 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_91/F0 SLICE_82/C0 (534:639:744)(534:639:744)) - (INTERCONNECT SLICE_87/F0 SLICE_82/B0 (765:883:1001)(765:883:1001)) - (INTERCONNECT SLICE_83/F1 SLICE_83/C0 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_112/F1 SLICE_83/B0 (762:883:1004)(762:883:1004)) - (INTERCONNECT SLICE_84/F1 SLICE_84/A0 (730:848:967)(730:848:967)) - (INTERCONNECT SLICE_108/F1 SLICE_85/D1 (520:573:626)(520:573:626)) - (INTERCONNECT SLICE_89/F0 SLICE_85/D0 (520:573:626)(520:573:626)) - (INTERCONNECT SLICE_85/F1 SLICE_85/B0 (508:600:693)(508:600:693)) - (INTERCONNECT SLICE_92/F0 SLICE_85/A0 (740:863:986)(740:863:986)) - (INTERCONNECT SLICE_105/F1 SLICE_86/A0 (733:848:964)(733:848:964)) - (INTERCONNECT SLICE_98/F0 SLICE_87/C1 (541:653:766)(541:653:766)) - (INTERCONNECT SLICE_87/F1 SLICE_87/C0 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_90/F1 SLICE_90/C0 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_99/F0 SLICE_91/A1 (1067:1225:1383)(1067:1225:1383)) - (INTERCONNECT SLICE_91/F1 SLICE_91/B0 (762:883:1004)(762:883:1004)) - (INTERCONNECT SLICE_92/F1 SLICE_92/C0 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_93/F1 SLICE_93/B0 (508:600:693)(508:600:693)) - (INTERCONNECT SLICE_94/F1 SLICE_94/C0 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_95/F1 SLICE_95/C0 (277:356:436)(277:356:436)) - (INTERCONNECT SLICE_96/F0 RA\[8\]_MGIOL/OPOS (1527:1661:1795)(1527:1661:1795)) - (INTERCONNECT SLICE_97/F1 SLICE_97/B0 (511:606:702)(511:606:702)) - (INTERCONNECT SLICE_97/F1 SLICE_116/C0 (803:945:1088)(803:945:1088)) - (INTERCONNECT SLICE_118/F1 SLICE_98/D0 (269:296:324)(269:296:324)) - (INTERCONNECT SLICE_118/F1 SLICE_99/D0 (269:296:324)(269:296:324)) - (INTERCONNECT SLICE_101/F1 BA\[1\]_MGIOL/LSR (1884:2059:2234)(1884:2059:2234)) - (INTERCONNECT SLICE_101/F1 BA\[0\]_MGIOL/LSR (1884:2059:2234)(1884:2059:2234)) - (INTERCONNECT SLICE_102/F0 BA\[0\]_MGIOL/OPOS (1854:2023:2192)(1854:2023:2192)) - (INTERCONNECT SLICE_103/F1 RA\[11\]_MGIOL/OPOS (1891:2056:2222)(1891:2056:2222)) - (INTERCONNECT SLICE_107/F0 BA\[1\]_MGIOL/OPOS (1772:1946:2120)(1772:1946:2120)) - (INTERCONNECT SLICE_110/F1 RA\[9\]_MGIOL/OPOS (1527:1661:1795)(1527:1661:1795)) - (INTERCONNECT Ain\[5\]_I/PADDI SLICE_111/A1 (2376:2598:2820)(2376:2598:2820)) - (INTERCONNECT Ain\[4\]_I/PADDI SLICE_111/A0 (1904:2092:2281)(1904:2092:2281)) - (INTERCONNECT SLICE_111/F0 RA\[4\]_MGIOL/OPOS (1011:1111:1211)(1011:1111:1211)) - (INTERCONNECT SLICE_111/F1 RA\[5\]_MGIOL/OPOS (1081:1188:1296)(1081:1188:1296)) - (INTERCONNECT Ain\[6\]_I/PADDI SLICE_112/C0 (1530:1696:1862)(1530:1696:1862)) - (INTERCONNECT SLICE_112/F0 RA\[6\]_MGIOL/OPOS (1408:1550:1693)(1408:1550:1693)) - (INTERCONNECT Ain\[2\]_I/PADDI SLICE_113/B1 (2262:2486:2711)(2262:2486:2711)) - (INTERCONNECT Ain\[7\]_I/PADDI SLICE_113/B0 (2262:2486:2711)(2262:2486:2711)) - (INTERCONNECT SLICE_113/F0 RA\[7\]_MGIOL/OPOS (1337:1468:1599)(1337:1468:1599)) - (INTERCONNECT SLICE_113/F1 RA\[2\]_MGIOL/OPOS (1483:1616:1750)(1483:1616:1750)) - (INTERCONNECT nWE80_I/PADDI SLICE_115/A1 (2242:2467:2693)(2242:2467:2693)) - (INTERCONNECT nWE80_I/PADDI SLICE_115/A0 (2242:2467:2693)(2242:2467:2693)) - (INTERCONNECT SLICE_115/F0 nRWE_MGIOL/OPOS (1854:2023:2192)(1854:2023:2192)) - (INTERCONNECT SLICE_115/F1 RD\[0\]_I/PADDT (1279:1424:1569)(1279:1424:1569)) - (INTERCONNECT SLICE_115/F1 RD\[7\]_I/PADDT (1057:1170:1284)(1057:1170:1284)) - (INTERCONNECT SLICE_115/F1 RD\[6\]_I/PADDT (1057:1170:1284)(1057:1170:1284)) - (INTERCONNECT SLICE_115/F1 RD\[5\]_I/PADDT (1759:1941:2123)(1759:1941:2123)) - (INTERCONNECT SLICE_115/F1 RD\[4\]_I/PADDT (1759:1941:2123)(1759:1941:2123)) - (INTERCONNECT SLICE_115/F1 RD\[3\]_I/PADDT (688:769:851)(688:769:851)) - (INTERCONNECT SLICE_115/F1 RD\[2\]_I/PADDT (688:769:851)(688:769:851)) - (INTERCONNECT SLICE_115/F1 RD\[1\]_I/PADDT (1279:1424:1569)(1279:1424:1569)) - (INTERCONNECT SLICE_117/F0 LED_I/PADDO (936:1038:1140)(936:1038:1140)) + (INTERCONNECT SLICE_38/Q0 nRWEout_MGIOL/OPOS (1527:1661:1795)(1527:1661:1795)) + (INTERCONNECT ram2e_ufm\/SLICE_94/F1 ram2e_ufm\/SLICE_39/A1 (747:879:1011) + (747:879:1011)) + (INTERCONNECT ram2e_ufm\/SLICE_94/F1 ram2e_ufm\/SLICE_87/A1 (740:864:989) + (740:864:989)) + (INTERCONNECT ram2e_ufm\/SLICE_94/F1 ram2e_ufm\/SLICE_94/B0 (515:616:718) + (515:616:718)) + (INTERCONNECT ram2e_ufm\/SLICE_94/F1 ram2e_ufm\/SLICE_116/D1 (1160:1285:1410) + (1160:1285:1410)) + (INTERCONNECT ram2e_ufm\/SLICE_39/F1 ram2e_ufm\/SLICE_39/C0 (277:356:436) + (277:356:436)) + (INTERCONNECT ram2e_ufm\/SLICE_39/F0 ram2e_ufm\/SLICE_39/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_39/Q0 ram2e_ufm\/SLICE_80/B0 (1395:1579:1763) + (1395:1579:1763)) + (INTERCONNECT ram2e_ufm\/SLICE_116/F1 ram2e_ufm\/SLICE_40/B0 (783:909:1036) + (783:909:1036)) + (INTERCONNECT ram2e_ufm\/SLICE_116/F1 ram2e_ufm\/SLICE_62/B1 (783:909:1036) + (783:909:1036)) + (INTERCONNECT ram2e_ufm\/SLICE_116/F1 ram2e_ufm\/SLICE_83/B1 (783:909:1036) + (783:909:1036)) + (INTERCONNECT ram2e_ufm\/SLICE_40/F0 ram2e_ufm\/SLICE_40/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_40/Q0 ram2e_ufm\/SLICE_51/A0 (1008:1160:1313) + (1008:1160:1313)) + (INTERCONNECT ram2e_ufm\/SLICE_40/Q0 ram2e_ufm\/SLICE_66/D0 (798:885:972) + (798:885:972)) + (INTERCONNECT ram2e_ufm\/SLICE_40/Q0 ram2e_ufm\/SLICE_130/B0 (1209:1375:1542) + (1209:1375:1542)) + (INTERCONNECT ram2e_ufm\/SLICE_41/F1 ram2e_ufm\/SLICE_41/C0 (277:356:436) + (277:356:436)) + (INTERCONNECT ram2e_ufm\/SLICE_101/F1 ram2e_ufm\/SLICE_41/A0 (1109:1269:1430) + (1109:1269:1430)) + (INTERCONNECT ram2e_ufm\/SLICE_101/F1 ram2e_ufm\/SLICE_87/D1 (528:584:640) + (528:584:640)) + (INTERCONNECT ram2e_ufm\/SLICE_101/F1 ram2e_ufm\/SLICE_101/D0 (525:584:643) + (525:584:643)) + (INTERCONNECT ram2e_ufm\/SLICE_41/F0 ram2e_ufm\/SLICE_41/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_41/Q0 ram2e_ufm\/SLICE_147/A1 (730:848:967) + (730:848:967)) + (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBDATO0 ram2e_ufm\/SLICE_42/C0 + (1531:1730:1930)(1531:1730:1930)) + (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBDATO0 ram2e_ufm\/SLICE_43/C0 + (1895:2126:2357)(1895:2126:2357)) + (INTERCONNECT ram2e_ufm\/SLICE_42/F0 ram2e_ufm\/SLICE_42/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_79/F1 ram2e_ufm\/SLICE_42/CE (539:596:653) + (539:596:653)) + (INTERCONNECT ram2e_ufm\/SLICE_42/Q0 ram2e_ufm\/SLICE_137/D0 (969:1067:1165) + (969:1067:1165)) + (INTERCONNECT ram2e_ufm\/SLICE_42/Q0 ram2e_ufm\/SLICE_147/C1 (1344:1528:1713) + (1344:1528:1713)) + (INTERCONNECT ram2e_ufm\/SLICE_42/F1 ram2e_ufm\/SLICE_84/C1 (547:660:773) + (547:660:773)) + (INTERCONNECT ram2e_ufm\/SLICE_42/F1 ram2e_ufm\/SLICE_87/C1 (874:1022:1170) + (874:1022:1170)) + (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBDATO1 ram2e_ufm\/SLICE_43/D1 + (1495:1644:1793)(1495:1644:1793)) + (INTERCONNECT ram2e_ufm\/SLICE_43/F1 ram2e_ufm\/SLICE_43/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_43/F0 ram2e_ufm\/SLICE_43/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_85/F0 ram2e_ufm\/SLICE_43/CE (1157:1283:1410) + (1157:1283:1410)) + (INTERCONNECT ram2e_ufm\/SLICE_85/F0 ram2e_ufm\/SLICE_43/CE (1157:1283:1410) + (1157:1283:1410)) + (INTERCONNECT ram2e_ufm\/SLICE_85/F0 ram2e_ufm\/SLICE_44/CE (1510:1666:1822) + (1510:1666:1822)) + (INTERCONNECT ram2e_ufm\/SLICE_85/F0 ram2e_ufm\/SLICE_44/CE (1510:1666:1822) + (1510:1666:1822)) + (INTERCONNECT ram2e_ufm\/SLICE_85/F0 ram2e_ufm\/SLICE_45/CE (1510:1666:1822) + (1510:1666:1822)) + (INTERCONNECT ram2e_ufm\/SLICE_85/F0 ram2e_ufm\/SLICE_45/CE (1510:1666:1822) + (1510:1666:1822)) + (INTERCONNECT ram2e_ufm\/SLICE_85/F0 ram2e_ufm\/SLICE_46/CE (1157:1283:1410) + (1157:1283:1410)) + (INTERCONNECT ram2e_ufm\/SLICE_85/F0 ram2e_ufm\/SLICE_46/CE (1157:1283:1410) + (1157:1283:1410)) + (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBDATO3 ram2e_ufm\/SLICE_44/D1 + (1596:1735:1874)(1596:1735:1874)) + (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBDATO2 ram2e_ufm\/SLICE_44/C0 + (1607:1801:1995)(1607:1801:1995)) + (INTERCONNECT ram2e_ufm\/SLICE_44/F1 ram2e_ufm\/SLICE_44/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_44/F0 ram2e_ufm\/SLICE_44/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBDATO5 ram2e_ufm\/SLICE_45/D1 + (1514:1658:1802)(1514:1658:1802)) + (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBDATO4 ram2e_ufm\/SLICE_45/D0 + (1596:1735:1874)(1596:1735:1874)) + (INTERCONNECT ram2e_ufm\/SLICE_45/F1 ram2e_ufm\/SLICE_45/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_45/F0 ram2e_ufm\/SLICE_45/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBDATO7 ram2e_ufm\/SLICE_46/D1 + (1514:1658:1802)(1514:1658:1802)) + (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBDATO6 ram2e_ufm\/SLICE_46/D0 + (1495:1644:1793)(1495:1644:1793)) + (INTERCONNECT ram2e_ufm\/SLICE_46/F1 ram2e_ufm\/SLICE_46/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_46/F0 ram2e_ufm\/SLICE_46/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_103/F0 ram2e_ufm\/SLICE_47/D1 (530:587:645) + (530:587:645)) + (INTERCONNECT ram2e_ufm\/SLICE_114/F0 ram2e_ufm\/SLICE_47/B1 (508:600:693) + (508:600:693)) + (INTERCONNECT ram2e_ufm\/SLICE_85/F1 ram2e_ufm\/SLICE_47/C0 (824:968:1113) + (824:968:1113)) + (INTERCONNECT ram2e_ufm\/SLICE_85/F1 ram2e_ufm\/SLICE_52/A1 (1393:1580:1767) + (1393:1580:1767)) + (INTERCONNECT ram2e_ufm\/SLICE_85/F1 ram2e_ufm\/SLICE_55/A0 (1387:1573:1760) + (1387:1573:1760)) + (INTERCONNECT ram2e_ufm\/SLICE_85/F1 ram2e_ufm\/SLICE_64/A1 (1023:1178:1333) + (1023:1178:1333)) + (INTERCONNECT ram2e_ufm\/SLICE_85/F1 ram2e_ufm\/SLICE_85/C0 (280:362:445) + (280:362:445)) + (INTERCONNECT ram2e_ufm\/SLICE_85/F1 ram2e_ufm\/SLICE_88/A0 (1350:1540:1730) + (1350:1540:1730)) + (INTERCONNECT ram2e_ufm\/SLICE_85/F1 ram2e_ufm\/SLICE_125/A0 (1757:1975:2194) + (1757:1975:2194)) + (INTERCONNECT ram2e_ufm\/SLICE_86/F0 ram2e_ufm\/SLICE_47/B0 (1206:1370:1535) + (1206:1370:1535)) + (INTERCONNECT ram2e_ufm\/SLICE_64/F0 ram2e_ufm\/SLICE_47/A0 (476:566:656) + (476:566:656)) + (INTERCONNECT ram2e_ufm\/SLICE_47/F1 ram2e_ufm\/SLICE_47/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_47/F0 ram2e_ufm\/SLICE_47/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_47/CE (1440:1568:1696) + (1440:1568:1696)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_47/CE (1440:1568:1696) + (1440:1568:1696)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_48/CE (1440:1568:1696) + (1440:1568:1696)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_48/CE (1440:1568:1696) + (1440:1568:1696)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_49/CE (2190:2383:2576) + (2190:2383:2576)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_49/CE (2190:2383:2576) + (2190:2383:2576)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_50/CE (2554:2778:3003) + (2554:2778:3003)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_50/CE (2554:2778:3003) + (2554:2778:3003)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_52/CE (1810:1970:2130) + (1810:1970:2130)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_52/CE (1810:1970:2130) + (1810:1970:2130)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_53/CE (2560:2785:3010) + (2560:2785:3010)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_53/CE (2560:2785:3010) + (2560:2785:3010)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_54/CE (2560:2785:3010) + (2560:2785:3010)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_54/CE (2560:2785:3010) + (2560:2785:3010)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_55/CE (2190:2383:2576) + (2190:2383:2576)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F0 ram2e_ufm\/SLICE_55/CE (2190:2383:2576) + (2190:2383:2576)) + (INTERCONNECT ram2e_ufm\/SLICE_47/Q0 ram2e_ufm\/SLICE_52/B0 (1364:1552:1740) + (1364:1552:1740)) + (INTERCONNECT ram2e_ufm\/SLICE_47/Q0 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBADRI0in + (1967:2161:2355)(1967:2161:2355)) + (INTERCONNECT ram2e_ufm\/SLICE_47/Q1 ram2e_ufm\/SLICE_97/D0 (1225:1346:1468) + (1225:1346:1468)) + (INTERCONNECT ram2e_ufm\/SLICE_47/Q1 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBADRI1in + (1669:1836:2004)(1669:1836:2004)) + (INTERCONNECT ram2e_ufm\/SLICE_48/F1 ram2e_ufm\/SLICE_48/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_48/F0 ram2e_ufm\/SLICE_48/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_48/Q0 ram2e_ufm\/SLICE_53/B0 (1037:1190:1343) + (1037:1190:1343)) + (INTERCONNECT ram2e_ufm\/SLICE_48/Q0 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBADRI2in + (1640:1799:1958)(1640:1799:1958)) + (INTERCONNECT ram2e_ufm\/SLICE_48/Q1 ram2e_ufm\/SLICE_89/B0 (1105:1266:1427) + (1105:1266:1427)) + (INTERCONNECT ram2e_ufm\/SLICE_48/Q1 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBADRI3in + (1708:1875:2042)(1708:1875:2042)) + (INTERCONNECT ram2e_ufm\/SLICE_49/F1 ram2e_ufm\/SLICE_49/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_49/F0 ram2e_ufm\/SLICE_49/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_49/Q0 ram2e_ufm\/SLICE_145/C1 (536:647:758) + (536:647:758)) + (INTERCONNECT ram2e_ufm\/SLICE_49/Q0 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBADRI4in + (1272:1405:1538)(1272:1405:1538)) + (INTERCONNECT ram2e_ufm\/SLICE_49/Q1 ram2e_ufm\/SLICE_54/B1 (1468:1656:1845) + (1468:1656:1845)) + (INTERCONNECT ram2e_ufm\/SLICE_49/Q1 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBADRI5in + (2071:2265:2460)(2071:2265:2460)) + (INTERCONNECT ram2e_ufm\/SLICE_50/F1 ram2e_ufm\/SLICE_50/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_50/F0 ram2e_ufm\/SLICE_50/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_50/Q0 ram2e_ufm\/SLICE_90/D0 (1159:1275:1392) + (1159:1275:1392)) + (INTERCONNECT ram2e_ufm\/SLICE_50/Q0 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBADRI6in + (2004:2194:2385)(2004:2194:2385)) + (INTERCONNECT ram2e_ufm\/SLICE_50/Q1 ram2e_ufm\/SLICE_98/D0 (863:956:1049) + (863:956:1049)) + (INTERCONNECT ram2e_ufm\/SLICE_50/Q1 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBADRI7in + (1759:1938:2118)(1759:1938:2118)) + (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBACKO ram2e_ufm\/SLICE_51/C1 + (1628:1825:2022)(1628:1825:2022)) + (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBACKO ram2e_ufm\/SLICE_66/D1 + (1944:2121:2298)(1944:2121:2298)) + (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBACKO ram2e_ufm\/SLICE_66/C0 + (1628:1825:2022)(1628:1825:2022)) + (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBACKO ram2e_ufm\/SLICE_75/C0 + (1992:2220:2449)(1992:2220:2449)) + (INTERCONNECT ram2e_ufm\/ufmefb\/EFBInst_0/WBACKO ram2e_ufm\/SLICE_110/D0 + (1601:1741:1882)(1601:1741:1882)) + (INTERCONNECT ram2e_ufm\/SLICE_108/F1 ram2e_ufm\/SLICE_51/A1 (736:854:973) + (736:854:973)) + (INTERCONNECT ram2e_ufm\/SLICE_108/F1 ram2e_ufm\/SLICE_108/C0 (280:362:445) + (280:362:445)) + (INTERCONNECT ram2e_ufm\/SLICE_51/F1 ram2e_ufm\/SLICE_51/C0 (277:356:436) + (277:356:436)) + (INTERCONNECT ram2e_ufm\/SLICE_51/F0 ram2e_ufm\/SLICE_51/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_66/F0 ram2e_ufm\/SLICE_51/CE (539:596:653) + (539:596:653)) + (INTERCONNECT ram2e_ufm\/SLICE_51/Q0 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBCYCIin + (1451:1590:1730)(1451:1590:1730)) + (INTERCONNECT ram2e_ufm\/SLICE_51/Q0 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBSTBIin + (1797:1975:2154)(1797:1975:2154)) + (INTERCONNECT ram2e_ufm\/SLICE_123/F0 ram2e_ufm\/SLICE_52/D1 (862:960:1059) + (862:960:1059)) + (INTERCONNECT ram2e_ufm\/SLICE_123/F0 ram2e_ufm\/SLICE_53/A1 (1331:1522:1713) + (1331:1522:1713)) + (INTERCONNECT ram2e_ufm\/SLICE_123/F0 ram2e_ufm\/SLICE_55/B0 (770:894:1018) + (770:894:1018)) + (INTERCONNECT ram2e_ufm\/SLICE_97/F0 ram2e_ufm\/SLICE_52/C1 (531:639:747) + (531:639:747)) + (INTERCONNECT ram2e_ufm\/SLICE_111/F1 ram2e_ufm\/SLICE_52/B1 (1509:1701:1893) + (1509:1701:1893)) + (INTERCONNECT ram2e_ufm\/SLICE_111/F1 ram2e_ufm\/SLICE_53/D1 (533:593:654) + (533:593:654)) + (INTERCONNECT ram2e_ufm\/SLICE_111/F1 ram2e_ufm\/SLICE_73/A1 (1804:2028:2253) + (1804:2028:2253)) + (INTERCONNECT ram2e_ufm\/SLICE_128/F1 ram2e_ufm\/SLICE_52/D0 (266:290:315) + (266:290:315)) + (INTERCONNECT ram2e_ufm\/SLICE_81/F1 ram2e_ufm\/SLICE_52/C0 (912:1065:1218) + (912:1065:1218)) + (INTERCONNECT ram2e_ufm\/SLICE_81/F1 ram2e_ufm\/SLICE_64/C0 (548:669:791) + (548:669:791)) + (INTERCONNECT ram2e_ufm\/SLICE_81/F1 ram2e_ufm\/SLICE_81/B0 (515:616:718) + (515:616:718)) + (INTERCONNECT ram2e_ufm\/SLICE_81/F1 ram2e_ufm\/SLICE_131/C0 (548:669:791) + (548:669:791)) + (INTERCONNECT ram2e_ufm\/SLICE_52/F1 ram2e_ufm\/SLICE_52/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_52/F0 ram2e_ufm\/SLICE_52/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_52/Q0 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBDATI0in + (906:1001:1097)(906:1001:1097)) + (INTERCONNECT ram2e_ufm\/SLICE_52/Q1 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBDATI1in + (1081:1188:1296)(1081:1188:1296)) + (INTERCONNECT ram2e_ufm\/SLICE_89/F0 ram2e_ufm\/SLICE_53/C1 (905:1049:1193) + (905:1049:1193)) + (INTERCONNECT ram2e_ufm\/SLICE_89/F1 ram2e_ufm\/SLICE_53/B1 (1052:1212:1372) + (1052:1212:1372)) + (INTERCONNECT ram2e_ufm\/SLICE_89/F1 ram2e_ufm\/SLICE_68/B1 (777:908:1040) + (777:908:1040)) + (INTERCONNECT ram2e_ufm\/SLICE_89/F1 ram2e_ufm\/SLICE_89/C0 (282:367:453) + (282:367:453)) + (INTERCONNECT ram2e_ufm\/SLICE_89/F1 ram2e_ufm\/SLICE_90/C0 (1185:1363:1542) + (1185:1363:1542)) + (INTERCONNECT ram2e_ufm\/SLICE_89/F1 ram2e_ufm\/SLICE_93/C0 (821:968:1115) + (821:968:1115)) + (INTERCONNECT ram2e_ufm\/SLICE_89/F1 ram2e_ufm\/SLICE_145/B1 (1379:1574:1769) + (1379:1574:1769)) + (INTERCONNECT ram2e_ufm\/SLICE_93/F0 ram2e_ufm\/SLICE_53/D0 (269:296:324) + (269:296:324)) + (INTERCONNECT ram2e_ufm\/SLICE_93/F0 ram2e_ufm\/SLICE_54/D1 (534:592:650) + (534:592:650)) + (INTERCONNECT ram2e_ufm\/SLICE_93/F0 ram2e_ufm\/SLICE_54/D0 (534:592:650) + (534:592:650)) + (INTERCONNECT ram2e_ufm\/SLICE_73/F1 ram2e_ufm\/SLICE_53/C0 (536:647:758) + (536:647:758)) + (INTERCONNECT ram2e_ufm\/SLICE_73/F1 ram2e_ufm\/SLICE_54/C1 (536:647:758) + (536:647:758)) + (INTERCONNECT ram2e_ufm\/SLICE_53/F1 ram2e_ufm\/SLICE_53/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_53/F0 ram2e_ufm\/SLICE_53/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_53/Q0 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBDATI2in + (1081:1188:1296)(1081:1188:1296)) + (INTERCONNECT ram2e_ufm\/SLICE_53/Q1 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBDATI3in + (906:1001:1097)(906:1001:1097)) + (INTERCONNECT ram2e_ufm\/SLICE_81/F0 ram2e_ufm\/SLICE_54/C0 (547:660:773) + (547:660:773)) + (INTERCONNECT ram2e_ufm\/SLICE_81/F0 ram2e_ufm\/SLICE_55/C0 (547:660:773) + (547:660:773)) + (INTERCONNECT ram2e_ufm\/SLICE_125/F0 ram2e_ufm\/SLICE_54/B0 (1031:1183:1336) + (1031:1183:1336)) + (INTERCONNECT ram2e_ufm\/SLICE_145/F1 ram2e_ufm\/SLICE_54/A0 (476:566:656) + (476:566:656)) + (INTERCONNECT ram2e_ufm\/SLICE_54/F1 ram2e_ufm\/SLICE_54/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_54/F0 ram2e_ufm\/SLICE_54/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_54/Q0 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBDATI4in + (1081:1188:1296)(1081:1188:1296)) + (INTERCONNECT ram2e_ufm\/SLICE_54/Q1 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBDATI5in + (906:1001:1097)(906:1001:1097)) + (INTERCONNECT ram2e_ufm\/SLICE_70/F0 ram2e_ufm\/SLICE_55/D1 (530:587:645) + (530:587:645)) + (INTERCONNECT ram2e_ufm\/SLICE_98/F0 ram2e_ufm\/SLICE_55/C1 (531:639:747) + (531:639:747)) + (INTERCONNECT ram2e_ufm\/SLICE_131/F0 ram2e_ufm\/SLICE_55/B1 (1358:1545:1733) + (1358:1545:1733)) + (INTERCONNECT ram2e_ufm\/SLICE_88/F0 ram2e_ufm\/SLICE_55/A1 (733:848:964) + (733:848:964)) + (INTERCONNECT ram2e_ufm\/SLICE_90/F0 ram2e_ufm\/SLICE_55/D0 (520:573:626) + (520:573:626)) + (INTERCONNECT ram2e_ufm\/SLICE_55/F1 ram2e_ufm\/SLICE_55/DI1 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_55/F0 ram2e_ufm\/SLICE_55/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_55/Q0 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBDATI6in + (1233:1363:1494)(1233:1363:1494)) + (INTERCONNECT ram2e_ufm\/SLICE_55/Q1 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBDATI7in + (906:1001:1097)(906:1001:1097)) + (INTERCONNECT ram2e_ufm\/SLICE_56/F1 ram2e_ufm\/SLICE_56/C0 (531:639:747) + (531:639:747)) + (INTERCONNECT ram2e_ufm\/SLICE_56/F0 ram2e_ufm\/SLICE_56/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_56/Q0 ram2e_ufm\/SLICE_108/D0 (1116:1235:1355) + (1116:1235:1355)) + (INTERCONNECT ram2e_ufm\/SLICE_57/F0 ram2e_ufm\/SLICE_57/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_69/F0 ram2e_ufm\/SLICE_57/LSR (881:983:1086) + (881:983:1086)) + (INTERCONNECT ram2e_ufm\/SLICE_69/F0 ram2e_ufm\/SLICE_69/A1 (481:577:673) + (481:577:673)) + (INTERCONNECT ram2e_ufm\/SLICE_69/F0 ram2e_ufm\/SLICE_105/D0 (271:301:332) + (271:301:332)) + (INTERCONNECT ram2e_ufm\/SLICE_57/Q0 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBRSTIin + (1938:2122:2306)(1938:2122:2306)) + (INTERCONNECT ram2e_ufm\/SLICE_109/F0 ram2e_ufm\/SLICE_58/D1 (266:290:315) + (266:290:315)) + (INTERCONNECT ram2e_ufm\/SLICE_109/F1 ram2e_ufm\/SLICE_58/A1 (1074:1241:1408) + (1074:1241:1408)) + (INTERCONNECT ram2e_ufm\/SLICE_109/F1 ram2e_ufm\/SLICE_58/A0 (483:582:681) + (483:582:681)) + (INTERCONNECT ram2e_ufm\/SLICE_109/F1 ram2e_ufm\/SLICE_103/B0 (774:907:1040) + (774:907:1040)) + (INTERCONNECT ram2e_ufm\/SLICE_109/F1 ram2e_ufm\/SLICE_109/B0 (769:899:1029) + (769:899:1029)) + (INTERCONNECT ram2e_ufm\/SLICE_109/F1 ram2e_ufm\/SLICE_114/C0 (809:963:1118) + (809:963:1118)) + (INTERCONNECT ram2e_ufm\/SLICE_126/F1 ram2e_ufm\/SLICE_58/C0 (534:639:744) + (534:639:744)) + (INTERCONNECT ram2e_ufm\/SLICE_58/F1 ram2e_ufm\/SLICE_58/B0 (508:600:693) + (508:600:693)) + (INTERCONNECT ram2e_ufm\/SLICE_58/F0 ram2e_ufm\/SLICE_58/DI0 (0:0:0)(0:0:0)) + (INTERCONNECT ram2e_ufm\/SLICE_130/F0 ram2e_ufm\/SLICE_58/CE (1240:1368:1496) + (1240:1368:1496)) + (INTERCONNECT ram2e_ufm\/SLICE_58/Q0 + ram2e_ufm\/ufmefb\/EFBInst_0/ram2e_ufm\/ufmefb\/EFBInst_0_EFB/INST20/WBWEIin + (1527:1661:1795)(1527:1661:1795)) + (INTERCONNECT ram2e_ufm\/SUM0_i_m3_0\/SLICE_59/OFX0 ram2e_ufm\/SLICE_133/C0 + (800:939:1079)(800:939:1079)) + (INTERCONNECT ram2e_ufm\/SLICE_94/F0 + ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60/A1 (1067:1225:1383)(1067:1225:1383)) + (INTERCONNECT ram2e_ufm\/un1_CS_0_sqmuxa_0_0_0\/SLICE_60/OFX0 + ram2e_ufm\/SLICE_82/A0 (1067:1225:1383)(1067:1225:1383)) + (INTERCONNECT ram2e_ufm\/SLICE_102/F1 ram2e_ufm\/CKE_7\/SLICE_61/D1 (271:301:332) + (271:301:332)) + (INTERCONNECT ram2e_ufm\/SLICE_102/F1 ram2e_ufm\/SLICE_91/A1 (738:859:981) + (738:859:981)) + (INTERCONNECT ram2e_ufm\/SLICE_102/F1 ram2e_ufm\/SLICE_102/C0 (282:367:453) + (282:367:453)) + (INTERCONNECT ram2e_ufm\/SLICE_102/F0 ram2e_ufm\/CKE_7\/SLICE_61/M0 (485:526:568) + (485:526:568)) + (INTERCONNECT ram2e_ufm\/SLICE_62/F0 ram2e_ufm\/SLICE_84/D0 (1220:1340:1460) + (1220:1340:1460)) + (INTERCONNECT ram2e_ufm\/SLICE_65/F0 ram2e_ufm\/SLICE_63/C1 (534:639:744) + (534:639:744)) + (INTERCONNECT ram2e_ufm\/SLICE_133/F0 ram2e_ufm\/SLICE_63/A1 (730:848:967) + (730:848:967)) + (INTERCONNECT ram2e_ufm\/SLICE_79/F0 ram2e_ufm\/SLICE_63/D0 (546:610:675) + (546:610:675)) + (INTERCONNECT ram2e_ufm\/SLICE_79/F0 ram2e_ufm\/SLICE_66/B0 (770:894:1018) + (770:894:1018)) + (INTERCONNECT ram2e_ufm\/SLICE_79/F0 ram2e_ufm\/SLICE_79/C1 (282:367:453) + (282:367:453)) + (INTERCONNECT ram2e_ufm\/SLICE_79/F0 ram2e_ufm\/SLICE_84/A0 (756:886:1016) + (756:886:1016)) + (INTERCONNECT ram2e_ufm\/SLICE_79/F0 ram2e_ufm\/SLICE_85/D0 (873:972:1072) + (873:972:1072)) + (INTERCONNECT ram2e_ufm\/SLICE_84/F0 ram2e_ufm\/SLICE_63/C0 (531:639:747) + (531:639:747)) + (INTERCONNECT ram2e_ufm\/SLICE_63/F1 ram2e_ufm\/SLICE_63/B0 (508:600:693) + (508:600:693)) + (INTERCONNECT ram2e_ufm\/SLICE_64/F1 ram2e_ufm\/SLICE_64/B0 (508:600:693) + (508:600:693)) + (INTERCONNECT ram2e_ufm\/SLICE_65/F1 ram2e_ufm\/SLICE_65/C0 (277:356:436) + (277:356:436)) + (INTERCONNECT ram2e_ufm\/SLICE_100/F0 ram2e_ufm\/SLICE_65/A0 (730:848:967) + (730:848:967)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F1 ram2e_ufm\/SLICE_66/C1 (991:1149:1308) + (991:1149:1308)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F1 ram2e_ufm\/SLICE_70/D1 (1350:1485:1621) + (1350:1485:1621)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F1 ram2e_ufm\/SLICE_80/C0 (282:367:453) + (282:367:453)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F1 ram2e_ufm\/SLICE_81/C1 (1736:1959:2182) + (1736:1959:2182)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F1 ram2e_ufm\/SLICE_85/A1 (1554:1754:1955) + (1554:1754:1955)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F1 ram2e_ufm\/SLICE_89/B1 (2331:2598:2866) + (2331:2598:2866)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F1 ram2e_ufm\/SLICE_98/C1 (1736:1959:2182) + (1736:1959:2182)) + (INTERCONNECT ram2e_ufm\/SLICE_80/F1 ram2e_ufm\/SLICE_130/D0 (528:584:640) + (528:584:640)) + (INTERCONNECT ram2e_ufm\/SLICE_108/F0 ram2e_ufm\/SLICE_66/A1 (1067:1225:1383) + (1067:1225:1383)) + (INTERCONNECT ram2e_ufm\/SLICE_66/F1 ram2e_ufm\/SLICE_66/A0 (730:848:967) + (730:848:967)) + (INTERCONNECT ram2e_ufm\/SLICE_67/F1 ram2e_ufm\/SLICE_67/C0 (277:356:436) + (277:356:436)) + (INTERCONNECT ram2e_ufm\/SLICE_67/F0 ram2e_ufm\/SLICE_91/D0 (530:587:645) + (530:587:645)) + (INTERCONNECT ram2e_ufm\/SLICE_68/F0 ram2e_ufm\/SLICE_68/A1 (1430:1615:1801) + (1430:1615:1801)) + (INTERCONNECT ram2e_ufm\/SLICE_68/F1 ram2e_ufm\/SLICE_86/A0 (730:848:967) + (730:848:967)) + (INTERCONNECT ram2e_ufm\/SLICE_122/F0 ram2e_ufm\/SLICE_69/B1 (762:883:1004) + (762:883:1004)) + (INTERCONNECT ram2e_ufm\/SLICE_70/F1 ram2e_ufm\/SLICE_70/C0 (282:367:453) + (282:367:453)) + (INTERCONNECT ram2e_ufm\/SLICE_70/F1 ram2e_ufm\/SLICE_79/A1 (745:874:1003) + (745:874:1003)) + (INTERCONNECT ram2e_ufm\/SLICE_70/F1 ram2e_ufm\/SLICE_111/A1 (738:859:981) + (738:859:981)) + (INTERCONNECT ram2e_ufm\/SLICE_75/F1 ram2e_ufm\/SLICE_70/A0 (735:859:984) + (735:859:984)) + (INTERCONNECT ram2e_ufm\/SLICE_75/F1 ram2e_ufm\/SLICE_75/B0 (513:611:710) + (513:611:710)) + (INTERCONNECT ram2e_ufm\/SLICE_75/F1 ram2e_ufm\/SLICE_88/D0 (528:584:640) + (528:584:640)) + (INTERCONNECT ram2e_ufm\/SLICE_71/F0 ram2e_ufm\/SLICE_71/C1 (282:367:453) + (282:367:453)) + (INTERCONNECT ram2e_ufm\/SLICE_71/F0 ram2e_ufm\/SLICE_91/C0 (811:957:1103) + (811:957:1103)) + (INTERCONNECT ram2e_ufm\/SLICE_71/F0 ram2e_ufm\/SLICE_124/B1 (1744:1971:2199) + (1744:1971:2199)) + (INTERCONNECT ram2e_ufm\/SLICE_71/F0 ram2e_ufm\/SLICE_124/B0 (1744:1971:2199) + (1744:1971:2199)) + (INTERCONNECT ram2e_ufm\/SLICE_71/F0 ram2e_ufm\/SLICE_146/B1 (777:908:1040) + (777:908:1040)) + (INTERCONNECT ram2e_ufm\/SLICE_72/F0 ram2e_ufm\/SLICE_72/C1 (534:645:756) + (534:645:756)) + (INTERCONNECT ram2e_ufm\/SLICE_72/F0 ram2e_ufm\/SLICE_129/C1 (537:645:753) + (537:645:753)) + (INTERCONNECT ram2e_ufm\/SLICE_72/F1 BA\[0\]_MGIOL/OPOS (1337:1468:1599) + (1337:1468:1599)) + (INTERCONNECT ram2e_ufm\/SLICE_98/F1 ram2e_ufm\/SLICE_73/D1 (808:897:986) + (808:897:986)) + (INTERCONNECT ram2e_ufm\/SLICE_98/F1 ram2e_ufm\/SLICE_97/C0 (819:963:1107) + (819:963:1107)) + (INTERCONNECT ram2e_ufm\/SLICE_98/F1 ram2e_ufm\/SLICE_98/B0 (511:606:702) + (511:606:702)) + (INTERCONNECT ram2e_ufm\/SLICE_98/F1 ram2e_ufm\/SLICE_99/C0 (819:963:1107) + (819:963:1107)) + (INTERCONNECT ram2e_ufm\/SLICE_98/F1 ram2e_ufm\/SLICE_126/D0 (1172:1292:1413) + (1172:1292:1413)) + (INTERCONNECT ram2e_ufm\/SLICE_73/F0 ram2e_ufm\/SLICE_73/C1 (282:367:453) + (282:367:453)) + (INTERCONNECT ram2e_ufm\/SLICE_73/F0 ram2e_ufm\/SLICE_97/C1 (536:650:764) + (536:650:764)) + (INTERCONNECT ram2e_ufm\/SLICE_73/F0 ram2e_ufm\/SLICE_145/D1 (528:584:640) + (528:584:640)) + (INTERCONNECT ram2e_ufm\/SLICE_103/F1 ram2e_ufm\/SLICE_73/B1 (770:894:1018) + (770:894:1018)) + (INTERCONNECT ram2e_ufm\/SLICE_103/F1 ram2e_ufm\/SLICE_103/C0 (282:367:453) + (282:367:453)) + (INTERCONNECT ram2e_ufm\/SLICE_103/F1 ram2e_ufm\/SLICE_109/C0 (546:664:783) + (546:664:783)) + (INTERCONNECT ram2e_ufm\/SLICE_135/F0 ram2e_ufm\/SLICE_74/A1 (740:863:986) + (740:863:986)) + (INTERCONNECT ram2e_ufm\/SLICE_75/F0 ram2e_ufm\/SLICE_79/B1 (772:897:1023) + (772:897:1023)) + (INTERCONNECT ram2e_ufm\/SLICE_76/F1 ram2e_ufm\/SLICE_76/C0 (284:372:461) + (284:372:461)) + (INTERCONNECT ram2e_ufm\/SLICE_76/F1 ram2e_ufm\/SLICE_81/A0 (737:864:992) + (737:864:992)) + (INTERCONNECT ram2e_ufm\/SLICE_76/F1 ram2e_ufm\/SLICE_111/A0 (483:582:681) + (483:582:681)) + (INTERCONNECT ram2e_ufm\/SLICE_76/F1 ram2e_ufm\/SLICE_131/B0 (1038:1199:1361) + (1038:1199:1361)) + (INTERCONNECT ram2e_ufm\/SLICE_145/F0 ram2e_ufm\/SLICE_76/B0 (1116:1279:1442) + (1116:1279:1442)) + (INTERCONNECT ram2e_ufm\/SLICE_145/F0 ram2e_ufm\/SLICE_88/B0 (1116:1279:1442) + (1116:1279:1442)) + (INTERCONNECT ram2e_ufm\/SLICE_145/F0 ram2e_ufm\/SLICE_90/C1 (874:1022:1170) + (874:1022:1170)) + (INTERCONNECT ram2e_ufm\/SLICE_76/F0 ram2e_ufm\/SLICE_98/C0 (1164:1335:1506) + (1164:1335:1506)) + (INTERCONNECT ram2e_ufm\/SLICE_77/F0 ram2e_ufm\/SLICE_77/C1 (282:367:453) + (282:367:453)) + (INTERCONNECT ram2e_ufm\/SLICE_77/F0 ram2e_ufm\/SLICE_80/A0 (1179:1347:1515) + (1179:1347:1515)) + (INTERCONNECT ram2e_ufm\/SLICE_77/F0 ram2e_ufm\/SLICE_82/B0 (772:902:1032) + (772:902:1032)) + (INTERCONNECT ram2e_ufm\/SLICE_77/F0 ram2e_ufm\/SLICE_130/A1 (1012:1174:1337) + (1012:1174:1337)) + (INTERCONNECT ram2e_ufm\/SLICE_77/F0 ram2e_ufm\/SLICE_130/A0 (1012:1174:1337) + (1012:1174:1337)) + (INTERCONNECT ram2e_ufm\/SLICE_133/F1 ram2e_ufm\/SLICE_82/B1 (1206:1370:1535) + (1206:1370:1535)) + (INTERCONNECT ram2e_ufm\/SLICE_101/F0 ram2e_ufm\/SLICE_82/A1 (740:863:986) + (740:863:986)) + (INTERCONNECT ram2e_ufm\/SLICE_83/F0 ram2e_ufm\/SLICE_82/D0 (266:290:315) + (266:290:315)) + (INTERCONNECT ram2e_ufm\/SLICE_82/F1 ram2e_ufm\/SLICE_82/C0 (277:356:436) + (277:356:436)) + (INTERCONNECT ram2e_ufm\/SLICE_83/F1 ram2e_ufm\/SLICE_83/D0 (520:573:626) + (520:573:626)) + (INTERCONNECT ram2e_ufm\/SLICE_87/F0 ram2e_ufm\/SLICE_83/A0 (733:848:964) + (733:848:964)) + (INTERCONNECT ram2e_ufm\/SLICE_110/F0 ram2e_ufm\/SLICE_85/B0 (765:883:1001) + (765:883:1001)) + (INTERCONNECT ram2e_ufm\/SLICE_86/F1 ram2e_ufm\/SLICE_86/D0 (531:586:641) + (531:586:641)) + (INTERCONNECT ram2e_ufm\/SLICE_86/F1 ram2e_ufm\/SLICE_109/A0 (741:861:982) + (741:861:982)) + (INTERCONNECT ram2e_ufm\/SLICE_126/F0 ram2e_ufm\/SLICE_86/C0 (277:356:436) + (277:356:436)) + (INTERCONNECT ram2e_ufm\/SLICE_99/F0 ram2e_ufm\/SLICE_86/B0 (508:600:693) + (508:600:693)) + (INTERCONNECT ram2e_ufm\/SLICE_88/F1 ram2e_ufm\/SLICE_88/C0 (280:362:445) + (280:362:445)) + (INTERCONNECT ram2e_ufm\/SLICE_88/F1 ram2e_ufm\/SLICE_111/D0 (269:296:324) + (269:296:324)) + (INTERCONNECT ram2e_ufm\/SLICE_104/F0 ram2e_ufm\/SLICE_89/D0 (266:290:315) + (266:290:315)) + (INTERCONNECT ram2e_ufm\/SLICE_90/F1 ram2e_ufm\/SLICE_90/B0 (762:883:1004) + (762:883:1004)) + (INTERCONNECT ram2e_ufm\/SLICE_91/F1 ram2e_ufm\/SLICE_91/B0 (511:606:702) + (511:606:702)) + (INTERCONNECT ram2e_ufm\/SLICE_91/F1 ram2e_ufm\/SLICE_92/D0 (860:955:1051) + (860:955:1051)) + (INTERCONNECT ram2e_ufm\/SLICE_92/F1 ram2e_ufm\/SLICE_92/B0 (511:606:702) + (511:606:702)) + (INTERCONNECT ram2e_ufm\/SLICE_92/F1 ram2e_ufm\/SLICE_102/B0 (511:606:702) + (511:606:702)) + (INTERCONNECT ram2e_ufm\/SLICE_93/F1 ram2e_ufm\/SLICE_93/A0 (730:848:967) + (730:848:967)) + (INTERCONNECT ram2e_ufm\/SLICE_100/F1 ram2e_ufm\/SLICE_94/A0 (743:869:995) + (743:869:995)) + (INTERCONNECT ram2e_ufm\/SLICE_100/F1 ram2e_ufm\/SLICE_100/D0 (523:579:635) + (523:579:635)) + (INTERCONNECT Ain\[4\]_I/PADDI ram2e_ufm\/SLICE_95/D0 (1587:1706:1825) + (1587:1706:1825)) + (INTERCONNECT Ain\[6\]_I/PADDI ram2e_ufm\/SLICE_96/A0 (1729:1905:2082) + (1729:1905:2082)) + (INTERCONNECT ram2e_ufm\/SLICE_97/F1 ram2e_ufm\/SLICE_97/B0 (762:883:1004) + (762:883:1004)) + (INTERCONNECT ram2e_ufm\/SLICE_99/F1 ram2e_ufm\/SLICE_99/D0 (520:573:626) + (520:573:626)) + (INTERCONNECT ram2e_ufm\/SLICE_125/F1 ram2e_ufm\/SLICE_99/B0 (762:883:1004) + (762:883:1004)) + (INTERCONNECT ram2e_ufm\/SLICE_115/F0 ram2e_ufm\/SLICE_102/A0 (740:863:986) + (740:863:986)) + (INTERCONNECT ram2e_ufm\/SLICE_123/F1 ram2e_ufm\/SLICE_103/D0 (520:573:626) + (520:573:626)) + (INTERCONNECT ram2e_ufm\/SLICE_128/F0 ram2e_ufm\/SLICE_103/A0 (733:848:964) + (733:848:964)) + (INTERCONNECT ram2e_ufm\/SLICE_104/F1 ram2e_ufm\/SLICE_104/C0 (277:356:436) + (277:356:436)) + (INTERCONNECT ram2e_ufm\/SLICE_122/F1 ram2e_ufm\/SLICE_105/D1 (266:290:315) + (266:290:315)) + (INTERCONNECT ram2e_ufm\/SLICE_107/F1 ram2e_ufm\/SLICE_107/C0 (542:652:762) + (542:652:762)) + (INTERCONNECT ram2e_ufm\/SLICE_107/F1 ram2e_ufm\/SLICE_134/A0 (741:861:982) + (741:861:982)) + (INTERCONNECT ram2e_ufm\/SLICE_134/F1 ram2e_ufm\/SLICE_108/D1 (266:290:315) + (266:290:315)) + (INTERCONNECT ram2e_ufm\/SLICE_111/F0 ram2e_ufm\/SLICE_111/C1 (277:356:436) + (277:356:436)) + (INTERCONNECT nEN80_I/PADDI ram2e_ufm\/SLICE_112/A0 (2246:2473:2700) + (2246:2473:2700)) + (INTERCONNECT nEN80_I/PADDI ram2e_ufm\/SLICE_113/B1 (2321:2547:2774) + (2321:2547:2774)) + (INTERCONNECT nEN80_I/PADDI ram2e_ufm\/SLICE_115/B0 (2648:2909:3171) + (2648:2909:3171)) + (INTERCONNECT nEN80_I/PADDI ram2e_ufm\/SLICE_135/B0 (1951:2145:2340) + (1951:2145:2340)) + (INTERCONNECT nEN80_I/PADDI ram2e_ufm\/SLICE_136/D1 (2036:2197:2359) + (2036:2197:2359)) + (INTERCONNECT nEN80_I/PADDI ram2e_ufm\/SLICE_136/C0 (1720:1901:2083) + (1720:1901:2083)) + (INTERCONNECT nEN80_I/PADDI ram2e_ufm\/SLICE_137/C1 (2090:2303:2517) + (2090:2303:2517)) + (INTERCONNECT nEN80_I/PADDI ram2e_ufm\/SLICE_137/C0 (2090:2303:2517) + (2090:2303:2517)) + (INTERCONNECT ram2e_ufm\/SLICE_114/F1 ram2e_ufm\/SLICE_114/D0 (520:573:626) + (520:573:626)) + (INTERCONNECT ram2e_ufm\/SLICE_116/F0 ram2e_ufm\/SLICE_116/C1 (277:356:436) + (277:356:436)) + (INTERCONNECT ram2e_ufm\/SLICE_117/F0 BA\[1\]_MGIOL/CE (1759:1942:2125) + (1759:1942:2125)) + (INTERCONNECT ram2e_ufm\/SLICE_117/F0 BA\[0\]_MGIOL/CE (1759:1942:2125) + (1759:1942:2125)) + (INTERCONNECT ram2e_ufm\/SLICE_118/F0 DQMH_MGIOL/CE (1433:1585:1737) + (1433:1585:1737)) + (INTERCONNECT ram2e_ufm\/SLICE_118/F0 DQML_MGIOL/CE (1433:1585:1737) + (1433:1585:1737)) + (INTERCONNECT ram2e_ufm\/SLICE_120/F0 DQML_MGIOL/OPOS (1338:1473:1608) + (1338:1473:1608)) + (INTERCONNECT ram2e_ufm\/SLICE_120/F1 DQMH_MGIOL/OPOS (1338:1473:1608) + (1338:1473:1608)) + (INTERCONNECT ram2e_ufm\/SLICE_121/F1 Vout\[7\]_MGIOL/CE (1529:1693:1857) + (1529:1693:1857)) + (INTERCONNECT ram2e_ufm\/SLICE_121/F1 Vout\[6\]_MGIOL/CE (1529:1693:1857) + (1529:1693:1857)) + (INTERCONNECT ram2e_ufm\/SLICE_121/F1 Vout\[5\]_MGIOL/CE (1893:2088:2284) + (1893:2088:2284)) + (INTERCONNECT ram2e_ufm\/SLICE_121/F1 Vout\[4\]_MGIOL/CE (1893:2088:2284) + (1893:2088:2284)) + (INTERCONNECT ram2e_ufm\/SLICE_121/F1 Vout\[3\]_MGIOL/CE (1529:1693:1857) + (1529:1693:1857)) + (INTERCONNECT ram2e_ufm\/SLICE_121/F1 Vout\[2\]_MGIOL/CE (1893:2088:2284) + (1893:2088:2284)) + (INTERCONNECT ram2e_ufm\/SLICE_121/F1 Vout\[1\]_MGIOL/CE (1529:1693:1857) + (1529:1693:1857)) + (INTERCONNECT ram2e_ufm\/SLICE_121/F1 Vout\[0\]_MGIOL/CE (1893:2088:2284) + (1893:2088:2284)) + (INTERCONNECT ram2e_ufm\/SLICE_129/F1 BA\[1\]_MGIOL/OPOS (1445:1584:1723) + (1445:1584:1723)) + (INTERCONNECT Ain\[0\]_I/PADDI ram2e_ufm\/SLICE_132/C1 (2395:2638:2881) + (2395:2638:2881)) + (INTERCONNECT Ain\[7\]_I/PADDI ram2e_ufm\/SLICE_132/C0 (2031:2242:2454) + (2031:2242:2454)) + (INTERCONNECT ram2e_ufm\/SLICE_136/F0 nDOE_I/PADDO (1538:1682:1827) + (1538:1682:1827)) + (INTERCONNECT ram2e_ufm\/SLICE_137/F0 LED_I/PADDO (1041:1147:1254)(1041:1147:1254)) + (INTERCONNECT ram2e_ufm\/SLICE_137/F1 RD\[0\]_I/PADDT (1300:1443:1587) + (1300:1443:1587)) + (INTERCONNECT ram2e_ufm\/SLICE_137/F1 RD\[7\]_I/PADDT (1664:1839:2014) + (1664:1839:2014)) + (INTERCONNECT ram2e_ufm\/SLICE_137/F1 RD\[6\]_I/PADDT (1664:1839:2014) + (1664:1839:2014)) + (INTERCONNECT ram2e_ufm\/SLICE_137/F1 RD\[5\]_I/PADDT (1664:1839:2014) + (1664:1839:2014)) + (INTERCONNECT ram2e_ufm\/SLICE_137/F1 RD\[4\]_I/PADDT (1664:1839:2014) + (1664:1839:2014)) + (INTERCONNECT ram2e_ufm\/SLICE_137/F1 RD\[3\]_I/PADDT (1300:1443:1587) + (1300:1443:1587)) + (INTERCONNECT ram2e_ufm\/SLICE_137/F1 RD\[2\]_I/PADDT (1300:1443:1587) + (1300:1443:1587)) + (INTERCONNECT ram2e_ufm\/SLICE_137/F1 RD\[1\]_I/PADDT (1300:1443:1587) + (1300:1443:1587)) + (INTERCONNECT PHI1_I/PADDI SLICE_139/A1 (1840:2021:2203)(1840:2021:2203)) + (INTERCONNECT PHI1_I/PADDI SLICE_139/A0 (1840:2021:2203)(1840:2021:2203)) + (INTERCONNECT PHI1_I/PADDI PHI1_MGIOL/DI (544:554:565)(544:554:565)) + (INTERCONNECT PHI1_MGIOL/IN SLICE_139/B0 (1392:1572:1753)(1392:1572:1753)) + (INTERCONNECT SLICE_139/F1 nVOE_I/PADDO (1344:1530:1717)(1344:1530:1717)) + (INTERCONNECT ram2e_ufm\/SLICE_141/F0 RD\[3\]_I/PADDO (1263:1400:1537) + (1263:1400:1537)) + (INTERCONNECT ram2e_ufm\/SLICE_141/F1 RD\[0\]_I/PADDO (1300:1433:1567) + (1300:1433:1567)) + (INTERCONNECT ram2e_ufm\/SLICE_142/F0 RD\[4\]_I/PADDO (1367:1504:1642) + (1367:1504:1642)) + (INTERCONNECT ram2e_ufm\/SLICE_143/F0 RD\[7\]_I/PADDO (1367:1504:1642) + (1367:1504:1642)) + (INTERCONNECT ram2e_ufm\/SLICE_143/F1 RD\[1\]_I/PADDO (936:1038:1140) + (936:1038:1140)) + (INTERCONNECT ram2e_ufm\/SLICE_144/F0 RD\[6\]_I/PADDO (1111:1225:1339) + (1111:1225:1339)) + (INTERCONNECT ram2e_ufm\/SLICE_144/F1 RD\[2\]_I/PADDO (1041:1147:1254) + (1041:1147:1254)) + (INTERCONNECT ram2e_ufm\/SLICE_147/F0 RD\[5\]_I/PADDO (1769:1938:2108) + (1769:1938:2108)) (INTERCONNECT RD\[0\]_I/PADDI Vout\[0\]_MGIOL/OPOS (2416:2609:2802) (2416:2609:2802)) - (INTERCONNECT RD\[0\]_I/PADDI Dout\[0\]_MGIOL/OPOS (2416:2609:2802) - (2416:2609:2802)) - (INTERCONNECT DQMH_MGIOL/IOLDO DQMH_I/IOLDO (30:36:43)(30:36:43)) - (INTERCONNECT DQML_MGIOL/IOLDO DQML_I/IOLDO (30:36:43)(30:36:43)) + (INTERCONNECT RD\[0\]_I/PADDI Dout\[0\]_I/PADDO (2446:2645:2845)(2446:2645:2845)) (INTERCONNECT RD\[7\]_I/PADDI Vout\[7\]_MGIOL/OPOS (2416:2609:2802) (2416:2609:2802)) - (INTERCONNECT RD\[7\]_I/PADDI Dout\[7\]_MGIOL/OPOS (1970:2136:2303) - (1970:2136:2303)) + (INTERCONNECT RD\[7\]_I/PADDI Dout\[7\]_I/PADDO (2000:2173:2346)(2000:2173:2346)) (INTERCONNECT RD\[6\]_I/PADDI Vout\[6\]_MGIOL/OPOS (2862:3081:3301) (2862:3081:3301)) - (INTERCONNECT RD\[6\]_I/PADDI Dout\[6\]_MGIOL/OPOS (2416:2609:2802) - (2416:2609:2802)) + (INTERCONNECT RD\[6\]_I/PADDI Dout\[6\]_I/PADDO (2446:2645:2845)(2446:2645:2845)) (INTERCONNECT RD\[5\]_I/PADDI Vout\[5\]_MGIOL/OPOS (2416:2609:2802) (2416:2609:2802)) - (INTERCONNECT RD\[5\]_I/PADDI Dout\[5\]_MGIOL/OPOS (2416:2609:2802) - (2416:2609:2802)) - (INTERCONNECT RD\[4\]_I/PADDI Vout\[4\]_MGIOL/OPOS (2345:2526:2708) - (2345:2526:2708)) - (INTERCONNECT RD\[4\]_I/PADDI Dout\[4\]_MGIOL/OPOS (2345:2526:2708) - (2345:2526:2708)) + (INTERCONNECT RD\[5\]_I/PADDI Dout\[5\]_I/PADDO (2427:2630:2834)(2427:2630:2834)) + (INTERCONNECT RD\[4\]_I/PADDI Vout\[4\]_MGIOL/OPOS (2346:2526:2707) + (2346:2526:2707)) + (INTERCONNECT RD\[4\]_I/PADDI Dout\[4\]_I/PADDO (3085:3339:3593)(3085:3339:3593)) (INTERCONNECT RD\[3\]_I/PADDI Vout\[3\]_MGIOL/OPOS (2416:2609:2802) (2416:2609:2802)) - (INTERCONNECT RD\[3\]_I/PADDI Dout\[3\]_MGIOL/OPOS (2416:2609:2802) - (2416:2609:2802)) + (INTERCONNECT RD\[3\]_I/PADDI Dout\[3\]_I/PADDO (2446:2645:2845)(2446:2645:2845)) (INTERCONNECT RD\[2\]_I/PADDI Vout\[2\]_MGIOL/OPOS (2264:2449:2635) (2264:2449:2635)) - (INTERCONNECT RD\[2\]_I/PADDI Dout\[2\]_MGIOL/OPOS (2955:3207:3459) - (2955:3207:3459)) + (INTERCONNECT RD\[2\]_I/PADDI Dout\[2\]_I/PADDO (3003:3262:3521)(3003:3262:3521)) (INTERCONNECT RD\[1\]_I/PADDI Vout\[1\]_MGIOL/OPOS (2345:2526:2708) (2345:2526:2708)) - (INTERCONNECT RD\[1\]_I/PADDI Dout\[1\]_MGIOL/OPOS (1899:2054:2209) - (1899:2054:2209)) - (INTERCONNECT RA\[11\]_MGIOL/IOLDO RA\[11\]_I/IOLDO (9:36:63)(9:36:63)) - (INTERCONNECT RA\[10\]_MGIOL/IOLDO RA\[10\]_I/IOLDO (9:36:63)(9:36:63)) - (INTERCONNECT RA\[9\]_MGIOL/IOLDO RA\[9\]_I/IOLDO (9:36:63)(9:36:63)) - (INTERCONNECT RA\[8\]_MGIOL/IOLDO RA\[8\]_I/IOLDO (9:36:63)(9:36:63)) - (INTERCONNECT RA\[7\]_MGIOL/IOLDO RA\[7\]_I/IOLDO (25:77:129)(25:77:129)) - (INTERCONNECT RA\[6\]_MGIOL/IOLDO RA\[6\]_I/IOLDO (25:77:129)(25:77:129)) - (INTERCONNECT RA\[5\]_MGIOL/IOLDO RA\[5\]_I/IOLDO (25:77:129)(25:77:129)) - (INTERCONNECT RA\[4\]_MGIOL/IOLDO RA\[4\]_I/IOLDO (25:77:129)(25:77:129)) - (INTERCONNECT RA\[2\]_MGIOL/IOLDO RA\[2\]_I/IOLDO (25:77:129)(25:77:129)) - (INTERCONNECT RA\[1\]_MGIOL/IOLDO RA\[1\]_I/IOLDO (25:77:129)(25:77:129)) + (INTERCONNECT RD\[1\]_I/PADDI Dout\[1\]_I/PADDO (1929:2090:2252)(1929:2090:2252)) + (INTERCONNECT DQMH_MGIOL/IOLDO DQMH_I/IOLDO (30:36:43)(30:36:43)) + (INTERCONNECT DQML_MGIOL/IOLDO DQML_I/IOLDO (30:36:43)(30:36:43)) + (INTERCONNECT RAout\[11\]_MGIOL/IOLDO RAout\[11\]_I/IOLDO (9:36:63)(9:36:63)) + (INTERCONNECT RAout\[10\]_MGIOL/IOLDO RAout\[10\]_I/IOLDO (9:36:63)(9:36:63)) + (INTERCONNECT RAout\[9\]_MGIOL/IOLDO RAout\[9\]_I/IOLDO (9:36:63)(9:36:63)) + (INTERCONNECT RAout\[8\]_MGIOL/IOLDO RAout\[8\]_I/IOLDO (9:36:63)(9:36:63)) + (INTERCONNECT RAout\[7\]_MGIOL/IOLDO RAout\[7\]_I/IOLDO (25:77:129)(25:77:129)) + (INTERCONNECT RAout\[6\]_MGIOL/IOLDO RAout\[6\]_I/IOLDO (25:77:129)(25:77:129)) + (INTERCONNECT RAout\[5\]_MGIOL/IOLDO RAout\[5\]_I/IOLDO (25:77:129)(25:77:129)) + (INTERCONNECT RAout\[4\]_MGIOL/IOLDO RAout\[4\]_I/IOLDO (25:77:129)(25:77:129)) + (INTERCONNECT RAout\[3\]_MGIOL/IOLDO RAout\[3\]_I/IOLDO (25:77:129)(25:77:129)) + (INTERCONNECT RAout\[2\]_MGIOL/IOLDO RAout\[2\]_I/IOLDO (25:77:129)(25:77:129)) + (INTERCONNECT RAout\[1\]_MGIOL/IOLDO RAout\[1\]_I/IOLDO (25:77:129)(25:77:129)) + (INTERCONNECT RAout\[0\]_MGIOL/IOLDO RAout\[0\]_I/IOLDO (25:77:129)(25:77:129)) (INTERCONNECT BA\[1\]_MGIOL/IOLDO BA\[1\]_I/IOLDO (9:36:63)(9:36:63)) (INTERCONNECT BA\[0\]_MGIOL/IOLDO BA\[0\]_I/IOLDO (9:36:63)(9:36:63)) - (INTERCONNECT nRWE_MGIOL/IOLDO nRWE_I/IOLDO (9:36:63)(9:36:63)) - (INTERCONNECT nCAS_MGIOL/IOLDO nCAS_I/IOLDO (9:36:63)(9:36:63)) - (INTERCONNECT nRAS_MGIOL/IOLDO nRAS_I/IOLDO (9:36:63)(9:36:63)) - (INTERCONNECT nCS_MGIOL/IOLDO nCS_I/IOLDO (9:36:63)(9:36:63)) - (INTERCONNECT CKE_MGIOL/IOLDO CKE_I/IOLDO (9:36:63)(9:36:63)) + (INTERCONNECT nRWEout_MGIOL/IOLDO nRWEout_I/IOLDO (9:36:63)(9:36:63)) + (INTERCONNECT nCASout_MGIOL/IOLDO nCASout_I/IOLDO (9:36:63)(9:36:63)) + (INTERCONNECT nRASout_MGIOL/IOLDO nRASout_I/IOLDO (9:36:63)(9:36:63)) + (INTERCONNECT CKEout_MGIOL/IOLDO CKEout_I/IOLDO (9:36:63)(9:36:63)) (INTERCONNECT Vout\[7\]_MGIOL/IOLDO Vout\[7\]_I/IOLDO (11:21:32)(11:21:32)) (INTERCONNECT Vout\[6\]_MGIOL/IOLDO Vout\[6\]_I/IOLDO (11:21:32)(11:21:32)) (INTERCONNECT Vout\[5\]_MGIOL/IOLDO Vout\[5\]_I/IOLDO (11:21:32)(11:21:32)) @@ -4932,14 +5982,6 @@ (INTERCONNECT Vout\[2\]_MGIOL/IOLDO Vout\[2\]_I/IOLDO (11:21:32)(11:21:32)) (INTERCONNECT Vout\[1\]_MGIOL/IOLDO Vout\[1\]_I/IOLDO (11:21:32)(11:21:32)) (INTERCONNECT Vout\[0\]_MGIOL/IOLDO Vout\[0\]_I/IOLDO (11:21:32)(11:21:32)) - (INTERCONNECT Dout\[7\]_MGIOL/IOLDO Dout\[7\]_I/IOLDO (30:36:43)(30:36:43)) - (INTERCONNECT Dout\[6\]_MGIOL/IOLDO Dout\[6\]_I/IOLDO (30:36:43)(30:36:43)) - (INTERCONNECT Dout\[5\]_MGIOL/IOLDO Dout\[5\]_I/IOLDO (11:21:32)(11:21:32)) - (INTERCONNECT Dout\[4\]_MGIOL/IOLDO Dout\[4\]_I/IOLDO (11:21:32)(11:21:32)) - (INTERCONNECT Dout\[3\]_MGIOL/IOLDO Dout\[3\]_I/IOLDO (30:36:43)(30:36:43)) - (INTERCONNECT Dout\[2\]_MGIOL/IOLDO Dout\[2\]_I/IOLDO (11:21:32)(11:21:32)) - (INTERCONNECT Dout\[1\]_MGIOL/IOLDO Dout\[1\]_I/IOLDO (30:36:43)(30:36:43)) - (INTERCONNECT Dout\[0\]_MGIOL/IOLDO Dout\[0\]_I/IOLDO (30:36:43)(30:36:43)) ) ) ) diff --git a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_vo.vo b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_vo.vo index 1248ac1..71bdabd 100644 --- a/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_vo.vo +++ b/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_vo.vo @@ -1,9 +1,9 @@ // Verilog netlist produced by program ldbanno, Version Diamond (64-bit) 3.12.1.454 -// ldbanno -n Verilog -o RAM2E_LCMXO2_640HC_impl1_vo.vo -w -neg -gui -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/promote.xml RAM2E_LCMXO2_640HC_impl1.ncd -// Netlist created on Thu Sep 21 05:34:46 2023 -// Netlist written on Thu Sep 21 05:35:15 2023 +// ldbanno -n Verilog -o RAM2E_LCMXO2_640HC_impl1_vo.vo -w -neg -gui -msgset //Mac/iCloud/Repos/ram2e/CPLD/LCMXO2-640HC/promote.xml RAM2E_LCMXO2_640HC_impl1.ncd +// Netlist created on Thu Dec 28 23:09:57 2023 +// Netlist written on Thu Dec 28 23:10:23 2023 // Design is for device LCMXO2-640HC // Design is for package TQFP100 // Design is for performance grade 4 @@ -11,7 +11,8 @@ `timescale 1 ns / 1 ps module RAM2E ( C14M, PHI1, LED, nWE, nWE80, nEN80, nC07X, Ain, Din, Dout, nDOE, - Vout, nVOE, CKE, nCS, nRAS, nCAS, nRWE, BA, RA, RD, DQML, DQMH ); + Vout, nVOE, CKEout, nCSout, nRASout, nCASout, nRWEout, BA, + RAout, DQML, DQMH, RD ); input C14M, PHI1, nWE, nWE80, nEN80, nC07X; input [7:0] Ain; input [7:0] Din; @@ -19,9 +20,9 @@ module RAM2E ( C14M, PHI1, LED, nWE, nWE80, nEN80, nC07X, Ain, Din, Dout, nDOE, output [7:0] Dout; output nDOE; output [7:0] Vout; - output nVOE, CKE, nCS, nRAS, nCAS, nRWE; + output nVOE, CKEout, nCSout, nRASout, nCASout, nRWEout; output [1:0] BA; - output [11:0] RA; + output [11:0] RAout; output DQML, DQMH; inout [7:0] RD; wire \FS[0] , \FS_s[0] , C14M_c, \FS_cry[0] , \FS[15] , \FS_s[15] , @@ -31,76 +32,140 @@ module RAM2E ( C14M, PHI1, LED, nWE, nWE80, nEN80, nC07X, Ain, Din, Dout, nDOE, \FS[8] , \FS[7] , \FS_s[8] , \FS_s[7] , \FS_cry[6] , \FS[6] , \FS[5] , \FS_s[6] , \FS_s[5] , \FS_cry[4] , \FS[4] , \FS[3] , \FS_s[4] , \FS_s[3] , \FS_cry[2] , \FS[2] , \FS[1] , \FS_s[2] , \FS_s[1] , - PHI1_c, PHI1reg, Ready, RWSel, CO0_1, \CmdTout_3[0] , N_576_i, S_1, - \S_RNII9DO1_0[1] , N_461, \CS[0] , \CS[1] , N_511_i, N_504_i, - un1_CS_0_sqmuxa_i, N_637, \CS[2] , N_510_i, \Din_c[0] , \Din_c[2] , - \Din_c[3] , CmdBitbangMXO2_4_u_0_0_a2_0_1, N_643, CmdBitbangMXO2, - CmdBitbangMXO2_4, \Din_c[7] , \Din_c[5] , N_629, CmdExecMXO2, - CmdExecMXO2_4, N_466, \Din_c[1] , \Din_c[4] , N_478, - CmdLEDGet_4_u_0_0_a2_0_2, N_476, CmdLEDGet, CmdLEDGet_4, N_626, N_605, - CmdLEDSet, CmdLEDSet_4, CmdRWMaskSet, CmdRWMaskSet_4, N_401, - CmdSetRWBankFFLED, CmdSetRWBankFFLED_4, N_474, - CmdSetRWBankFFMXO2_4_u_0_0_a2_0_0, CmdSetRWBankFFMXO2, - CmdSetRWBankFFMXO2_4, \CmdTout[1] , \CmdTout[2] , N_556_i, N_555_i, - \S[2] , \S[1] , \S[3] , \S[0] , N_6_i, DOEEN, \Ain_c[1] , - \wb_dato[0] , LEDEN_RNO, \un1_LEDEN_0_sqmuxa_1_i_0[0] , LEDEN, - N_558_i, \Ain_c[3] , \Ain_c[0] , N_552_i, N_127_i, \S_RNII9DO1_1[1] , - \RA_c[0] , \RA_c[3] , N_591, \RWMask[1] , \RWMask[0] , \RWBank_5[1] , - \RWBank_5[0] , LEDEN13, \RWBank[0] , \RWBank[1] , \RWMask[3] , - \RWMask[2] , \RWBank_5[3] , \RWBank_5[2] , \RWBank[2] , \RWBank[3] , - \RWMask[5] , \RWMask[4] , \RWBank_5[5] , \RWBank_5[4] , \RWBank[4] , - \RWBank[5] , \RWMask[7] , \Din_c[6] , \RWMask[6] , \RWBank_5[7] , - \RWBank_5[6] , \RWBank[6] , \RWBank[7] , \wb_dato[1] , N_291_i, - N_292_i, N_88, \wb_dato[3] , \wb_dato[2] , N_289_i, N_290_i, - \wb_dato[5] , \wb_dato[4] , N_287_i, N_288_i, \wb_dato[7] , - \wb_dato[6] , N_285, N_286_i, nEN80_c, nWE_c, nC07X_c, RWSel_2, nCS61, - nDOE_c, N_489, Ready_0_sqmuxa_0_a2_6_a2_4, Ready_0_sqmuxa, N_876_0, - N_572, N_575, wb_reqc_1, \S_s_0_1[0] , N_133_i, \S_s_0[0] , N_129_i, - N_131_i, N_388, wb_adr_7_5_214_0_1, \wb_adr_7_0_4[0] , N_376, N_642, - \wb_adr_RNO[1] , \wb_adr_7[0] , \un1_wb_adr_0_sqmuxa_2_i[0] , - \wb_adr[0] , \wb_adr[1] , N_41_i, N_43_i, \wb_adr[2] , \wb_adr[3] , - N_295, N_294, \wb_adr[4] , \wb_adr[5] , N_39_i, N_296, \wb_adr[6] , - \wb_adr[7] , wb_ack, N_300, N_395, wb_cyc_stb_RNO, N_104, wb_cyc_stb, - N_336, N_627, N_621, \wb_dati_7_0_0[1] , \wb_dati_7_0_a2_1[0] , N_484, - \wb_dati_7[1] , \wb_dati_7[0] , \wb_dati[0] , \wb_dati[1] , - \wb_dati_7_0_2[3] , \wb_dati_7_0_0[3] , \wb_dati_7_0_o2_0[2] , N_345, - \wb_dati_7[3] , \wb_dati_7[2] , \wb_dati[2] , \wb_dati[3] , N_346, - N_349, \wb_dati_7_0_0[4] , \wb_dati_7[5] , \wb_dati_7[4] , - \wb_dati[4] , \wb_dati[5] , \wb_dati_7_0_RNO[7] , N_424, - \wb_dati_7_0_0[7] , N_422, \wb_dati_7_0_1[6] , \wb_dati_7[7] , - \wb_dati_7[6] , \wb_dati[6] , \wb_dati[7] , N_397, wb_reqc_i, - wb_adr_0_sqmuxa_i, wb_req, wb_rst8, \S_RNII9DO1[1] , wb_rst, N_586, - N_584, N_475, wb_we_7_iv_0_0_0_1, wb_we_RNO, - \un1_wb_cyc_stb_0_sqmuxa_1_i[0] , wb_we, N_255, N_358_i, N_254, Vout3, - N_635, nCAS_s_i_tz_0, un1_CS_0_sqmuxa_0_0_a2_1_4, N_327, - un1_CS_0_sqmuxa_0_0_0, \wb_dati_7_0_a2_0_1[7] , N_579, - CKE_6_iv_i_a2_0, CKE_6_iv_i_0_1, CKE_6_iv_i_0, N_449, N_365, N_364, - \un1_wb_adr_0_sqmuxa_2_1[0] , N_623, N_616, N_279, N_633, N_264, - N_570, N_452, \wb_dati_7_0_a2_2_1[3] , N_455, N_644, DQML_s_i_a2_0, - N_28_i, wb_adr_7_5_214_a2_2_0, N_569, N_577, N_634, - \wb_dati_7_0_a2_2_0[1] , N_265_i, \un1_RWMask_0_sqmuxa_1_i_a2_0_1[0] , - \wb_dati_7_0_a2_0[6] , \wb_dati_7_0_a2_4_0[7] , N_393, nCAS_0_sqmuxa, - N_639, \RA_42[10] , N_640, un1_nCS61_1_i, Ready_0_sqmuxa_0_a2_6_a2_2, - N_562, N_377, N_628, un1_CS_0_sqmuxa_0_0_3, un1_CS_0_sqmuxa_0_0_2, - un1_CS_0_sqmuxa_0_0_a2_3_2, N_567, N_561_i, nCS_6_u_i_0, N_559_1, - N_559_i, nRAS_2_iv_i, un1_CS_0_sqmuxa_0_0_a2_1, N_328, N_330, - nCS_6_u_i_a2_1, N_429, N_351, \wb_adr_7_0_a2_5_0[0] , N_378, - \wb_adr_7_0_1[0] , \wb_adr_7_0_0[0] , - \un1_LEDEN_0_sqmuxa_1_i_a2_0_1[0] , un1_CS_0_sqmuxa_0_0_a2_4_2, - un1_CS_0_sqmuxa_0_0_a2_4_4, N_565, un1_CS_0_sqmuxa_0_0_a2_2_2, - un1_CS_0_sqmuxa_0_0_a2_2_4, \wb_adr_7_0_a2_0[0] , - un1_CS_0_sqmuxa_0_0_a2_1_2, un1_CS_0_sqmuxa_0_0_a2_3_0, N_394, N_49_i, - N_456, N_477, N_566_i, \BA_4[0] , \RA_42[11] , \BA_4[1] , N_59_i, - \Ain_c[5] , \Ain_c[4] , N_551_i, \RA_42_3_0[5] , \Ain_c[6] , N_550_i, - \Ain_c[2] , \Ain_c[7] , N_549_i, N_553_i, nWE80_c, nRWE_r_0, RDOE_i, - LED_c, \RD_in[0] , DQMH_c, DQML_c, \RD_in[7] , \RD_in[6] , \RD_in[5] , - \RD_in[4] , \RD_in[3] , \RD_in[2] , \RD_in[1] , \RA_c[11] , - \RA_c[10] , \RA_c[9] , \RA_c[8] , \RA_c[7] , \RA_c[6] , \RA_c[5] , - \RA_c[4] , \RA_c[2] , \RA_c[1] , \BA_c[1] , \BA_c[0] , nRWE_c, nCAS_c, - nRAS_c, nCS_c, CKE_c, \Vout_c[7] , \Vout_c[6] , \Vout_c[5] , - \Vout_c[4] , \Vout_c[3] , \Vout_c[2] , \Vout_c[1] , \Vout_c[0] , - \Dout_c[7] , \Dout_c[6] , \Dout_c[5] , \Dout_c[4] , \Dout_c[3] , - \Dout_c[2] , \Dout_c[1] , \Dout_c[0] , VCCI; + \S[1] , N_551, \S[0] , \ram2e_ufm/CKE_7 , CKE_7_RNIS77M1, CKE, + \ram2e_ufm/wb_adr_0_sqmuxa_1_i , RWSel, CO0_0, \CmdTout_3[0] , + N_185_i, GND, CO0_1, \RC[2] , \RC[1] , N_360_i, RC12, + \ram2e_ufm/N_821 , \ram2e_ufm/SUM1_0_0 , \ram2e_ufm/SUM0_i_a3_4_0 , + \ram2e_ufm/N_886 , \ram2e_ufm/N_215 , \CS[1] , \ram2e_ufm/SUM0_i_4 , + \CS[2] , CmdExecMXO2_3_0_a3_0_RNI6S1P8, N_547_i, un1_CS_0_sqmuxa_i, + \CS[0] , \ram2e_ufm/N_234 , CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514, + \ram2e_ufm/N_800 , \Din_c[5] , \Din_c[3] , + \ram2e_ufm/CmdLEDGet_3_0_a3_1 , \ram2e_ufm/N_847 , \Din_c[1] , + \Din_c[2] , CmdLEDGet_3, N_187_i, CmdLEDGet, \Din_c[7] , + \ram2e_ufm/N_883 , \Din_c[4] , CmdLEDSet_3, CmdLEDSet, + \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_5_1 , CmdRWMaskSet_3, CmdRWMaskSet, + \ram2e_ufm/N_850 , \Din_c[0] , CmdSetRWBankFFLED_4, CmdSetRWBankFFLED, + \CmdTout[1] , \CmdTout[2] , N_369_i, N_368_i, \ram2e_ufm/N_186 , + \RA[1] , \S[3] , N_1080_0, \ram2e_ufm/N_660 , DOEEN, + \ram2e_ufm/N_193 , \ram2e_ufm/N_182 , \Ain_c[1] , \ram2e_ufm/N_659 , + \ram2e_ufm/N_801 , \ram2e_ufm/N_684 , \ram2e_ufm/RA_35_0_0_1[0] , + N_223, \RA_35[0] , N_126, \RA[0] , \ram2e_ufm/RA_35_0_0_0[3] , + \ram2e_ufm/N_680 , \ram2e_ufm/N_679 , \Ain_c[2] , \RA_35[3] , + \RA_35[2] , \RA[2] , \RA[3] , \ram2e_ufm/N_621 , \Ain_c[5] , + \ram2e_ufm/RA_35_0_0_0[5] , \ram2e_ufm/RA_35_0_0_0[4] , \RA_35[5] , + \RA_35[4] , \RA[4] , \RA[5] , \ram2e_ufm/RA_35_0_0_0_0[7] , + \ram2e_ufm/RA_35_0_0_0_0[6] , \RA_35[7] , \RA_35[6] , \RA[6] , + \RA[7] , \ram2e_ufm/N_242 , \ram2e_ufm/RA_35_0_0_0[9] , \RA[9] , + \ram2e_ufm/N_699 , \RA[8] , \ram2e_ufm/N_698 , \ram2e_ufm/N_221 , + \RA_35[9] , un2_S_2_i_0_0_o3_RNIHFHN3, \RWBank[4] , \ram2e_ufm/N_845 , + \RA[11] , \ram2e_ufm/N_628 , \ram2e_ufm/RA_35_2_0_0[10] , + \ram2e_ufm/N_624 , \ram2e_ufm/N_627 , \RA_35[11] , \RA_35[10] , + \RA[10] , \RC_3[2] , \RC_3[1] , \ram2e_ufm/RWMask[1] , + \ram2e_ufm/N_188 , \ram2e_ufm/RWMask[0] , \RWBank_3[1] , + \RWBank_3[0] , \RWBank[0] , \RWBank[1] , \ram2e_ufm/RWMask[3] , + \ram2e_ufm/RWMask[2] , \RWBank_3[3] , \RWBank_3[2] , \RWBank[2] , + \RWBank[3] , \ram2e_ufm/RWMask[5] , \ram2e_ufm/RWMask[4] , + \RWBank_3[5] , \RWBank_3[4] , \RWBank[5] , \ram2e_ufm/RWMask[7] , + \Din_c[6] , \ram2e_ufm/RWMask[6] , \RWBank_3[7] , \RWBank_3[6] , + \RWBank[6] , \RWBank[7] , \Ain_c[3] , nC07X_c, nWE_c, RWSel_2, + un9_VOEEN_0_a2_0_a3_0_a3, \ram2e_ufm/N_885 , + \ram2e_ufm/Ready3_0_a3_4 , \ram2e_ufm/Ready3_0_a3_5 , + \ram2e_ufm/Ready3_0_a3_3 , Ready3, Ready, N_1026_0, + \ram2e_ufm/S_r_i_0_o2[1] , \ram2e_ufm/N_194 , \ram2e_ufm/N_271 , S_1, + \ram2e_ufm/N_643 , N_362_i, \S_s_0_0[0] , \S[2] , N_372_i, N_361_i, + N_1078_0, VOEEN, BA_0_sqmuxa, \ram2e_ufm/N_285_i , \ram2e_ufm/N_804 , + \ram2e_ufm/N_641 , \ram2e_ufm/N_640 , \ram2e_ufm/N_872 , N_370_i, + nCAS, \ram2e_ufm/N_616 , \ram2e_ufm/N_615 , \ram2e_ufm/N_617 , + \ram2e_ufm/nRAS_s_i_0_0 , N_358_i, nRAS, \ram2e_ufm/N_226 , + \ram2e_ufm/S_r_i_0_o2_RNI62C53[1] , \ram2e_ufm/N_866 , N_359_i, nRWE, + \ram2e_ufm/un1_CS_0_sqmuxa_0_0_o3 , + \ram2e_ufm/CmdBitbangMXO2_3_0_a3_1 , \ram2e_ufm/CmdBitbangMXO2_3 , + \ram2e_ufm/CmdBitbangMXO2 , \ram2e_ufm/N_851 , + \ram2e_ufm/CmdExecMXO2_3 , \ram2e_ufm/CmdExecMXO2 , + \ram2e_ufm/CmdSetRWBankFFChip_3_0_a8_0_a3_0 , \ram2e_ufm/N_190 , + \ram2e_ufm/CmdSetRWBankFFChip_3 , \ram2e_ufm/CmdSetRWBankFFChip , + \ram2e_ufm/wb_dato[0] , \ram2e_ufm/N_295 , + \ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0_0[0] , \ram2e_ufm/LEDEN , + \ram2e_ufm/N_212 , \ram2e_ufm/wb_dato[1] , \ram2e_ufm/N_307_i , + \ram2e_ufm/N_309_i , \ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0_0[0] , + \ram2e_ufm/wb_dato[3] , \ram2e_ufm/wb_dato[2] , \ram2e_ufm/N_302_i , + \ram2e_ufm/N_304_i , \ram2e_ufm/wb_dato[5] , \ram2e_ufm/wb_dato[4] , + \ram2e_ufm/N_301_i , \ram2e_ufm/N_310_i , \ram2e_ufm/wb_dato[7] , + \ram2e_ufm/wb_dato[6] , \ram2e_ufm/N_296 , \ram2e_ufm/N_300_i , + \ram2e_ufm/wb_adr_7_5_41_0_1 , \ram2e_ufm/N_768 , \ram2e_ufm/N_793 , + \ram2e_ufm/wb_adr_7_i_i_4[0] , \ram2e_ufm/wb_adr_7_i_i_5[0] , + \ram2e_ufm/wb_adr_RNO[1] , \ram2e_ufm/wb_adr_7_i_i[0] , + \ram2e_ufm/CmdBitbangMXO2_RNINSM62 , \ram2e_ufm/wb_adr[0] , + \ram2e_ufm/wb_adr[1] , \ram2e_ufm/N_268_i , \ram2e_ufm/N_80_i , + \ram2e_ufm/wb_adr[2] , \ram2e_ufm/wb_adr[3] , \ram2e_ufm/N_290 , + \ram2e_ufm/N_294 , \ram2e_ufm/wb_adr[4] , \ram2e_ufm/wb_adr[5] , + \ram2e_ufm/N_267_i , \ram2e_ufm/N_284 , \ram2e_ufm/wb_adr[6] , + \ram2e_ufm/wb_adr[7] , \ram2e_ufm/wb_ack , \ram2e_ufm/N_336 , + \ram2e_ufm/N_687 , \ram2e_ufm/wb_cyc_stb_RNO , + \ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0[0] , + \ram2e_ufm/wb_cyc_stb , \ram2e_ufm/N_849 , + \ram2e_ufm/wb_dati_7_0_0_0[1] , \ram2e_ufm/N_611 , + \ram2e_ufm/wb_dati_7_0_0_0_a3_0[0] , \ram2e_ufm/N_856 , + \ram2e_ufm/wb_dati_7[1] , \ram2e_ufm/wb_dati_7[0] , + \ram2e_ufm/wb_dati[0] , \ram2e_ufm/wb_dati[1] , + \ram2e_ufm/wb_dati_7_0_0_0_0[3] , \ram2e_ufm/N_783 , + \ram2e_ufm/N_760 , \ram2e_ufm/wb_dati_7_0_0_o3_0[2] , + \ram2e_ufm/wb_dati_7[3] , \ram2e_ufm/wb_dati_7[2] , + \ram2e_ufm/wb_dati[2] , \ram2e_ufm/wb_dati[3] , \ram2e_ufm/N_757 , + \ram2e_ufm/N_763 , \ram2e_ufm/wb_dati_7_0_0_0[4] , + \ram2e_ufm/wb_dati_7[5] , \ram2e_ufm/wb_dati_7[4] , + \ram2e_ufm/wb_dati[4] , \ram2e_ufm/wb_dati[5] , \ram2e_ufm/N_604 , + \ram2e_ufm/wb_dati_7_0_0_0_0[7] , \ram2e_ufm/N_602 , + \ram2e_ufm/wb_dati_7_0_0_RNO_0[7] , \ram2e_ufm/wb_dati_7_0_0_0[6] , + \ram2e_ufm/wb_dati_7[7] , \ram2e_ufm/wb_dati_7[6] , + \ram2e_ufm/wb_dati[6] , \ram2e_ufm/wb_dati[7] , \ram2e_ufm/wb_reqc_1 , + \ram2e_ufm/wb_reqc_i , \ram2e_ufm/wb_req , \ram2e_ufm/wb_rst8 , + \ram2e_ufm/wb_rst16_i , \ram2e_ufm/wb_rst , + \ram2e_ufm/wb_we_7_iv_0_0_3_0_0 , \ram2e_ufm/N_799 , + \ram2e_ufm/N_208 , \ram2e_ufm/wb_we_7_iv_0_0_3_0_1 , + \ram2e_ufm/wb_we_RNO , \ram2e_ufm/wb_we_RNO_0 , \ram2e_ufm/wb_we , + \ram2e_ufm/N_338 , \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_2_2 , + \ram2e_ufm/un1_CS_0_sqmuxa_0_0_0 , \ram2e_ufm/N_817 , + \ram2e_ufm/CKE_7_sm0 , \ram2e_ufm/N_720_tz , \ram2e_ufm/SUM0_i_0 , + \ram2e_ufm/N_350 , \ram2e_ufm/N_187 , \ram2e_ufm/SUM0_i_3 , + \ram2e_ufm/SUM0_i_1 , \ram2e_ufm/N_755 , \ram2e_ufm/N_735 , + \ram2e_ufm/N_345 , \ram2e_ufm/N_777 , + \ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_a3_0_0[0] , + \ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_0[0] , \ram2e_ufm/N_250 , + \ram2e_ufm/N_256 , \ram2e_ufm/wb_adr_7_i_i_3_1[0] , + \ram2e_ufm/wb_adr_7_i_i_3[0] , \ram2e_ufm/N_254 , \ram2e_ufm/N_807 , + \ram2e_ufm/N_876 , \ram2e_ufm/N_784 , \ram2e_ufm/N_560 , \BA_4[0] , + \ram2e_ufm/N_781 , \ram2e_ufm/N_873 , \ram2e_ufm/N_184 , + \ram2e_ufm/N_625 , \ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0_a3_0_1[0] , + \ram2e_ufm/N_811 , \ram2e_ufm/N_206 , + \ram2e_ufm/wb_dati_7_0_0_a3_8_0[7] , \ram2e_ufm/N_185 , + \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_0_1 , + \ram2e_ufm/un1_CS_0_sqmuxa_0_0_o2 , + \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_1_0_RNIS35S , \ram2e_ufm/N_637 , + \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_1_0 , \ram2e_ufm/N_592 , + \ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0_a3_0_0[0] , \ram2e_ufm/N_634 , + \ram2e_ufm/N_753 , \ram2e_ufm/wb_adr_7_i_i_1[0] , + \ram2e_ufm/wb_dati_7_0_0_a3_6_1[3] , + \ram2e_ufm/wb_dati_7_0_0_0_a3_0[3] , + \ram2e_ufm/wb_dati_7_0_0_a3_1[6] , \ram2e_ufm/N_890 , + \ram2e_ufm/N_220 , \ram2e_ufm/N_196 , \ram2e_ufm/N_243 , \Ain_c[4] , + \Ain_c[6] , \ram2e_ufm/wb_dati_7_0_0_a3_0_0[1] , + \ram2e_ufm/wb_adr_7_i_i_a3_2_0[0] , \ram2e_ufm/N_565 , + \ram2e_ufm/CKE_7s2_0_0_0 , \ram2e_ufm/N_204 , + \ram2e_ufm/wb_adr_7_5_41_a3_3_0 , \ram2e_ufm/N_595 , + \ram2e_ufm/nRWE_s_i_0_63_1 , \ram2e_ufm/N_792 , + \ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_o3_3[0] , + \ram2e_ufm/N_553 , nEN80_c, \ram2e_ufm/N_241_i , \ram2e_ufm/N_814 , + N_225_i, N_201_i, N_507_i, N_508, Vout3, \BA_4[1] , \Ain_c[0] , + \Ain_c[7] , nDOE_c, LED_c, RDOE_i, PHI1_c, PHI1r, nVOE_c, N_263_i, + N_667, N_648, N_662, N_666, N_663, N_665, N_664, \RD_in[0] , + \RD_in[7] , \RD_in[6] , \RD_in[5] , \RD_in[4] , \RD_in[3] , + \RD_in[2] , \RD_in[1] , DQMH_c, DQML_c, \RAout_c[11] , \RAout_c[10] , + \RAout_c[9] , \RAout_c[8] , \RAout_c[7] , \RAout_c[6] , \RAout_c[5] , + \RAout_c[4] , \RAout_c[3] , \RAout_c[2] , \RAout_c[1] , \RAout_c[0] , + \BA_c[1] , \BA_c[0] , nRWEout_c, nCASout_c, nRASout_c, CKEout_c, + \Vout_c[7] , \Vout_c[6] , \Vout_c[5] , \Vout_c[4] , \Vout_c[3] , + \Vout_c[2] , \Vout_c[1] , \Vout_c[0] , VCCI; SLICE_0 SLICE_0( .A1(\FS[0] ), .DI1(\FS_s[0] ), .CLK(C14M_c), .F1(\FS_s[0] ), .Q1(\FS[0] ), .FCO(\FS_cry[0] )); @@ -127,427 +192,686 @@ module RAM2E ( C14M, PHI1, LED, nWE, nWE80, nEN80, nC07X, Ain, Din, Dout, nDOE, SLICE_8 SLICE_8( .A1(\FS[2] ), .A0(\FS[1] ), .DI1(\FS_s[2] ), .DI0(\FS_s[1] ), .CLK(C14M_c), .FCI(\FS_cry[0] ), .F0(\FS_s[1] ), .Q0(\FS[1] ), .F1(\FS_s[2] ), .Q1(\FS[2] ), .FCO(\FS_cry[2] )); - SLICE_9 SLICE_9( .C1(PHI1_c), .B1(PHI1reg), .A1(Ready), .D0(RWSel), - .A0(CO0_1), .DI0(\CmdTout_3[0] ), .CE(N_576_i), .CLK(C14M_c), - .F0(\CmdTout_3[0] ), .Q0(CO0_1), .F1(S_1)); - SLICE_10 SLICE_10( .D1(\S_RNII9DO1_0[1] ), .C1(N_461), .B1(\CS[0] ), - .A1(\CS[1] ), .D0(\S_RNII9DO1_0[1] ), .C0(N_461), .A0(\CS[0] ), - .DI1(N_511_i), .DI0(N_504_i), .LSR(un1_CS_0_sqmuxa_i), .CLK(C14M_c), - .F0(N_504_i), .Q0(\CS[0] ), .F1(N_511_i), .Q1(\CS[1] )); - SLICE_11 SLICE_11( .D1(\CS[0] ), .C1(N_461), .B1(\S_RNII9DO1_0[1] ), - .C0(N_637), .B0(\CS[1] ), .A0(\CS[2] ), .DI0(N_510_i), - .LSR(un1_CS_0_sqmuxa_i), .CLK(C14M_c), .F0(N_510_i), .Q0(\CS[2] ), - .F1(N_637)); - SLICE_12 SLICE_12( .D1(\Din_c[0] ), .B1(\Din_c[2] ), .A1(\Din_c[3] ), - .D0(RWSel), .C0(CmdBitbangMXO2_4_u_0_0_a2_0_1), .B0(N_643), - .A0(CmdBitbangMXO2), .DI0(CmdBitbangMXO2_4), .CE(N_576_i), .CLK(C14M_c), - .F0(CmdBitbangMXO2_4), .Q0(CmdBitbangMXO2), - .F1(CmdBitbangMXO2_4_u_0_0_a2_0_1)); - SLICE_13 SLICE_13( .D1(RWSel), .C1(\Din_c[7] ), .A1(\Din_c[5] ), .D0(N_643), - .C0(RWSel), .B0(N_629), .A0(CmdExecMXO2), .DI0(CmdExecMXO2_4), - .CE(N_576_i), .CLK(C14M_c), .F0(CmdExecMXO2_4), .Q0(CmdExecMXO2), - .F1(N_466)); - SLICE_14 SLICE_14( .D1(\Din_c[0] ), .C1(\Din_c[1] ), .B1(\Din_c[4] ), - .A1(N_478), .D0(CmdLEDGet_4_u_0_0_a2_0_2), .C0(N_476), .B0(CmdLEDGet), - .A0(RWSel), .DI0(CmdLEDGet_4), .CE(N_576_i), .CLK(C14M_c), - .F0(CmdLEDGet_4), .Q0(CmdLEDGet), .F1(CmdLEDGet_4_u_0_0_a2_0_2)); - SLICE_15 SLICE_15( .D1(\Din_c[4] ), .C1(N_626), .B1(N_476), .A1(\Din_c[1] ), - .D0(RWSel), .C0(N_605), .A0(CmdLEDSet), .DI0(CmdLEDSet_4), .CE(N_576_i), - .CLK(C14M_c), .F0(CmdLEDSet_4), .Q0(CmdLEDSet), .F1(N_605)); - SLICE_16 SLICE_16( .D1(\Din_c[4] ), .B1(\Din_c[1] ), .A1(N_476), .D0(RWSel), - .C0(N_643), .B0(N_626), .A0(CmdRWMaskSet), .DI0(CmdRWMaskSet_4), - .CE(N_576_i), .CLK(C14M_c), .F0(CmdRWMaskSet_4), .Q0(CmdRWMaskSet), - .F1(N_643)); - SLICE_17 SLICE_17( .D1(\Din_c[4] ), .C1(N_476), .B1(\Din_c[1] ), .A1(N_626), - .D0(RWSel), .C0(N_401), .A0(CmdSetRWBankFFLED), .DI0(CmdSetRWBankFFLED_4), - .CE(N_576_i), .CLK(C14M_c), .F0(CmdSetRWBankFFLED_4), - .Q0(CmdSetRWBankFFLED), .F1(N_401)); - SLICE_18 SLICE_18( .D1(N_474), .C1(\CS[1] ), .A1(\CS[2] ), .D0(RWSel), - .C0(N_476), .B0(CmdSetRWBankFFMXO2_4_u_0_0_a2_0_0), - .A0(CmdSetRWBankFFMXO2), .DI0(CmdSetRWBankFFMXO2_4), .CE(N_576_i), - .CLK(C14M_c), .F0(CmdSetRWBankFFMXO2_4), .Q0(CmdSetRWBankFFMXO2), - .F1(N_476)); - SLICE_19 SLICE_19( .D1(\CmdTout[1] ), .C1(\CmdTout[2] ), .B1(CO0_1), - .A1(RWSel), .D0(\CmdTout[1] ), .B0(CO0_1), .A0(RWSel), .DI1(N_556_i), - .DI0(N_555_i), .CE(N_576_i), .CLK(C14M_c), .F0(N_555_i), .Q0(\CmdTout[1] ), - .F1(N_556_i), .Q1(\CmdTout[2] )); - SLICE_20 SLICE_20( .D1(\S[2] ), .C1(\S[1] ), .B1(\S[3] ), .A1(\S[0] ), - .D0(\S[2] ), .C0(\S[1] ), .B0(\S[3] ), .A0(\S[0] ), .DI0(N_6_i), - .CLK(C14M_c), .F0(N_6_i), .Q0(DOEEN), .F1(N_576_i)); - SLICE_21 SLICE_21( .C1(\S[0] ), .B1(\Ain_c[1] ), .A1(\S[3] ), - .D0(\Din_c[0] ), .B0(\wb_dato[0] ), .A0(\S[3] ), .DI0(LEDEN_RNO), - .CE(\un1_LEDEN_0_sqmuxa_1_i_0[0] ), .CLK(C14M_c), .F0(LEDEN_RNO), - .Q0(LEDEN), .F1(N_558_i)); - SLICE_22 SLICE_22( .D1(\S[0] ), .C1(\Ain_c[3] ), .A1(\S[3] ), .D0(\S[0] ), - .C0(\Ain_c[0] ), .A0(\S[3] ), .DI1(N_552_i), .DI0(N_127_i), - .CE(\S_RNII9DO1_1[1] ), .CLK(C14M_c), .F0(N_127_i), .Q0(\RA_c[0] ), - .F1(N_552_i), .Q1(\RA_c[3] )); - SLICE_23 SLICE_23( .D1(N_591), .C1(\RWMask[1] ), .A1(\Din_c[1] ), .D0(N_591), - .C0(\RWMask[0] ), .A0(\Din_c[0] ), .DI1(\RWBank_5[1] ), - .DI0(\RWBank_5[0] ), .CE(LEDEN13), .CLK(C14M_c), .F0(\RWBank_5[0] ), - .Q0(\RWBank[0] ), .F1(\RWBank_5[1] ), .Q1(\RWBank[1] )); - SLICE_24 SLICE_24( .C1(\RWMask[3] ), .B1(\Din_c[3] ), .A1(N_591), - .D0(\Din_c[2] ), .B0(\RWMask[2] ), .A0(N_591), .DI1(\RWBank_5[3] ), - .DI0(\RWBank_5[2] ), .CE(LEDEN13), .CLK(C14M_c), .F0(\RWBank_5[2] ), - .Q0(\RWBank[2] ), .F1(\RWBank_5[3] ), .Q1(\RWBank[3] )); - SLICE_25 SLICE_25( .C1(\RWMask[5] ), .B1(\Din_c[5] ), .A1(N_591), - .D0(\RWMask[4] ), .C0(\Din_c[4] ), .A0(N_591), .DI1(\RWBank_5[5] ), - .DI0(\RWBank_5[4] ), .CE(LEDEN13), .CLK(C14M_c), .F0(\RWBank_5[4] ), - .Q0(\RWBank[4] ), .F1(\RWBank_5[5] ), .Q1(\RWBank[5] )); - SLICE_26 SLICE_26( .D1(\Din_c[7] ), .C1(\RWMask[7] ), .A1(N_591), - .C0(\Din_c[6] ), .B0(\RWMask[6] ), .A0(N_591), .DI1(\RWBank_5[7] ), - .DI0(\RWBank_5[6] ), .CE(LEDEN13), .CLK(C14M_c), .F0(\RWBank_5[6] ), - .Q0(\RWBank[6] ), .F1(\RWBank_5[7] ), .Q1(\RWBank[7] )); - SLICE_27 SLICE_27( .D1(\Din_c[1] ), .C1(\wb_dato[1] ), .A1(\S[3] ), - .C0(\wb_dato[0] ), .B0(\Din_c[0] ), .A0(\S[3] ), .DI1(N_291_i), - .DI0(N_292_i), .CE(N_88), .CLK(C14M_c), .F0(N_292_i), .Q0(\RWMask[0] ), - .F1(N_291_i), .Q1(\RWMask[1] )); - SLICE_28 SLICE_28( .D1(\wb_dato[3] ), .B1(\Din_c[3] ), .A1(\S[3] ), - .C0(\wb_dato[2] ), .B0(\Din_c[2] ), .A0(\S[3] ), .DI1(N_289_i), - .DI0(N_290_i), .CE(N_88), .CLK(C14M_c), .F0(N_290_i), .Q0(\RWMask[2] ), - .F1(N_289_i), .Q1(\RWMask[3] )); - SLICE_29 SLICE_29( .D1(\wb_dato[5] ), .C1(\Din_c[5] ), .A1(\S[3] ), - .C0(\wb_dato[4] ), .B0(\Din_c[4] ), .A0(\S[3] ), .DI1(N_287_i), - .DI0(N_288_i), .CE(N_88), .CLK(C14M_c), .F0(N_288_i), .Q0(\RWMask[4] ), - .F1(N_287_i), .Q1(\RWMask[5] )); - SLICE_30 SLICE_30( .D1(\wb_dato[7] ), .C1(\Din_c[7] ), .A1(\S[3] ), - .C0(\wb_dato[6] ), .B0(\Din_c[6] ), .A0(\S[3] ), .DI1(N_285), - .DI0(N_286_i), .CE(N_88), .CLK(C14M_c), .F0(N_286_i), .Q0(\RWMask[6] ), - .F1(N_285), .Q1(\RWMask[7] )); - SLICE_31 SLICE_31( .C1(nEN80_c), .B1(nWE_c), .A1(DOEEN), .D0(nC07X_c), - .C0(\RA_c[0] ), .B0(nWE_c), .A0(\RA_c[3] ), .DI0(RWSel_2), .CE(nCS61), - .CLK(C14M_c), .F0(RWSel_2), .Q0(RWSel), .F1(nDOE_c)); - SLICE_32 SLICE_32( .D1(\FS[6] ), .C1(\FS[7] ), .B1(N_489), - .A1(Ready_0_sqmuxa_0_a2_6_a2_4), .C0(Ready_0_sqmuxa), .A0(Ready), - .DI0(N_876_0), .CLK(C14M_c), .F0(N_876_0), .Q0(Ready), .F1(Ready_0_sqmuxa)); - SLICE_33 SLICE_33( .D1(N_572), .C1(N_575), .B1(S_1), .A1(wb_reqc_1), - .D0(\S_s_0_1[0] ), .C0(\S[1] ), .B0(S_1), .A0(\S[0] ), .DI1(N_133_i), - .DI0(\S_s_0[0] ), .CLK(C14M_c), .F0(\S_s_0[0] ), .Q0(\S[0] ), .F1(N_133_i), - .Q1(\S[1] )); - SLICE_34 SLICE_34( .D1(N_575), .C1(\S[2] ), .B1(S_1), .A1(\S[3] ), - .D0(N_575), .C0(\S[3] ), .B0(S_1), .A0(\S[2] ), .DI1(N_129_i), - .DI0(N_131_i), .CLK(C14M_c), .F0(N_131_i), .Q0(\S[2] ), .F1(N_129_i), - .Q1(\S[3] )); - SLICE_35 SLICE_35( .D1(N_388), .C1(\Din_c[1] ), .B1(\S[2] ), - .A1(wb_adr_7_5_214_0_1), .D0(\wb_adr_7_0_4[0] ), .C0(N_376), .B0(N_642), - .A0(\FS[13] ), .DI1(\wb_adr_RNO[1] ), .DI0(\wb_adr_7[0] ), - .CE(\un1_wb_adr_0_sqmuxa_2_i[0] ), .CLK(C14M_c), .F0(\wb_adr_7[0] ), - .Q0(\wb_adr[0] ), .F1(\wb_adr_RNO[1] ), .Q1(\wb_adr[1] )); - SLICE_36 SLICE_36( .C1(\Din_c[3] ), .B1(\S[2] ), .C0(\Din_c[2] ), - .B0(\S[2] ), .DI1(N_41_i), .DI0(N_43_i), .CE(\un1_wb_adr_0_sqmuxa_2_i[0] ), - .CLK(C14M_c), .F0(N_43_i), .Q0(\wb_adr[2] ), .F1(N_41_i), .Q1(\wb_adr[3] )); - SLICE_37 SLICE_37( .C1(\S[2] ), .B1(\FS[14] ), .A1(\Din_c[5] ), - .D0(\Din_c[4] ), .C0(\S[2] ), .B0(\FS[14] ), .DI1(N_295), .DI0(N_294), - .CE(\un1_wb_adr_0_sqmuxa_2_i[0] ), .CLK(C14M_c), .F0(N_294), - .Q0(\wb_adr[4] ), .F1(N_295), .Q1(\wb_adr[5] )); - SLICE_38 SLICE_38( .C1(\Din_c[7] ), .B1(\S[2] ), .D0(\Din_c[6] ), - .C0(\FS[14] ), .B0(\S[2] ), .DI1(N_39_i), .DI0(N_296), - .CE(\un1_wb_adr_0_sqmuxa_2_i[0] ), .CLK(C14M_c), .F0(N_296), - .Q0(\wb_adr[6] ), .F1(N_39_i), .Q1(\wb_adr[7] )); - SLICE_39 SLICE_39( .D1(\FS[0] ), .C1(\FS[14] ), .B1(wb_ack), .A1(N_300), - .C0(N_395), .B0(\S[3] ), .A0(CmdExecMXO2), .DI0(wb_cyc_stb_RNO), - .CE(N_104), .CLK(C14M_c), .F0(wb_cyc_stb_RNO), .Q0(wb_cyc_stb), .F1(N_395)); - SLICE_40 SLICE_40( .D1(N_336), .C1(N_627), .B1(N_621), - .A1(\wb_dati_7_0_0[1] ), .D0(\wb_dati_7_0_a2_1[0] ), .C0(\S[2] ), - .B0(\wb_adr[0] ), .A0(N_484), .DI1(\wb_dati_7[1] ), .DI0(\wb_dati_7[0] ), - .CE(\un1_wb_adr_0_sqmuxa_2_i[0] ), .CLK(C14M_c), .F0(\wb_dati_7[0] ), - .Q0(\wb_dati[0] ), .F1(\wb_dati_7[1] ), .Q1(\wb_dati[1] )); - SLICE_41 SLICE_41( .D1(\wb_dati_7_0_2[3] ), .B1(\wb_dati_7_0_0[3] ), - .A1(N_336), .D0(\wb_dati_7_0_o2_0[2] ), .C0(N_345), .B0(\S[2] ), - .A0(\wb_adr[2] ), .DI1(\wb_dati_7[3] ), .DI0(\wb_dati_7[2] ), - .CE(\un1_wb_adr_0_sqmuxa_2_i[0] ), .CLK(C14M_c), .F0(\wb_dati_7[2] ), - .Q0(\wb_dati[2] ), .F1(\wb_dati_7[3] ), .Q1(\wb_dati[3] )); - SLICE_42 SLICE_42( .D1(\wb_dati_7_0_o2_0[2] ), .C1(N_345), .B1(\S[2] ), - .A1(\wb_adr[5] ), .D0(N_346), .C0(N_349), .B0(N_345), - .A0(\wb_dati_7_0_0[4] ), .DI1(\wb_dati_7[5] ), .DI0(\wb_dati_7[4] ), - .CE(\un1_wb_adr_0_sqmuxa_2_i[0] ), .CLK(C14M_c), .F0(\wb_dati_7[4] ), - .Q0(\wb_dati[4] ), .F1(\wb_dati_7[5] ), .Q1(\wb_dati[5] )); - SLICE_43 SLICE_43( .D1(\wb_dati_7_0_RNO[7] ), .C1(N_424), - .B1(\wb_dati_7_0_0[7] ), .A1(N_422), .D0(\wb_dati_7_0_1[6] ), .C0(N_621), - .A0(N_627), .DI1(\wb_dati_7[7] ), .DI0(\wb_dati_7[6] ), - .CE(\un1_wb_adr_0_sqmuxa_2_i[0] ), .CLK(C14M_c), .F0(\wb_dati_7[6] ), - .Q0(\wb_dati[6] ), .F1(\wb_dati_7[7] ), .Q1(\wb_dati[7] )); - SLICE_44 SLICE_44( .D1(\FS[11] ), .C1(\FS[12] ), .A1(\FS[13] ), - .D0(\FS[14] ), .C0(wb_reqc_1), .B0(\S[3] ), .A0(N_397), .DI0(wb_reqc_i), - .CE(wb_adr_0_sqmuxa_i), .LSR(\S[2] ), .CLK(C14M_c), .F0(wb_reqc_i), - .Q0(wb_req), .F1(N_397)); - SLICE_45 SLICE_45( .C1(\FS[14] ), .B1(wb_ack), .C0(\FS[14] ), .B0(\FS[15] ), - .DI0(wb_rst8), .LSR(\S_RNII9DO1[1] ), .CLK(C14M_c), .F0(wb_rst8), - .Q0(wb_rst), .F1(N_586)); - SLICE_46 SLICE_46( .D1(\FS[8] ), .C1(\FS[9] ), .B1(\FS[10] ), .A1(\FS[12] ), - .D0(\FS[13] ), .C0(N_584), .B0(N_475), .A0(wb_we_7_iv_0_0_0_1), - .DI0(wb_we_RNO), .CE(\un1_wb_cyc_stb_0_sqmuxa_1_i[0] ), .CLK(C14M_c), - .F0(wb_we_RNO), .Q0(wb_we), .F1(N_584)); - SLICE_47 SLICE_47( .D1(N_255), .C1(\S_RNII9DO1[1] ), .B1(\RWBank[6] ), - .A1(\S[0] ), .D0(\S[3] ), .C0(\S[0] ), .B0(\S[2] ), .A0(\S[1] ), - .F0(\S_RNII9DO1[1] ), .F1(N_358_i)); - SLICE_48 SLICE_48( .D1(\S[2] ), .C1(\S[1] ), .B1(\S[0] ), .A1(\S[3] ), - .D0(N_254), .C0(Vout3), .B0(N_635), .A0(\S[0] ), .F0(nCAS_s_i_tz_0), - .F1(Vout3)); - SLICE_49 SLICE_49( .D1(un1_CS_0_sqmuxa_0_0_a2_1_4), .C1(\CS[0] ), - .B1(\Din_c[6] ), .A1(RWSel), .D0(\CS[1] ), .C0(N_327), .B0(\CS[2] ), - .A0(RWSel), .F0(un1_CS_0_sqmuxa_0_0_0), .F1(N_327)); - SLICE_50 SLICE_50( .D1(\FS[8] ), .C1(\FS[10] ), .B1(\FS[9] ), .A1(\FS[11] ), - .D0(\wb_dati_7_0_a2_0_1[7] ), .C0(N_579), .B0(\FS[9] ), .A0(N_621), - .F0(\wb_dati_7_0_RNO[7] ), .F1(\wb_dati_7_0_a2_0_1[7] )); - SLICE_51 SLICE_51( .D1(\S[2] ), .C1(\S[3] ), .B1(wb_reqc_1), - .A1(CKE_6_iv_i_a2_0), .D0(N_489), .C0(\S[3] ), .B0(CKE_6_iv_i_0_1), - .A0(\FS[15] ), .F0(CKE_6_iv_i_0), .F1(CKE_6_iv_i_0_1)); - SLICE_52 SLICE_52( .D1(N_449), .C1(\FS[0] ), .B1(N_300), .A1(wb_req), - .D0(N_449), .C0(N_586), .B0(N_365), .A0(N_364), .F0(N_104), .F1(N_365)); - SLICE_53 SLICE_53( .D1(RWSel), .C1(\FS[15] ), .B1(\S[2] ), .A1(\S[3] ), - .D0(CmdExecMXO2), .C0(\un1_wb_adr_0_sqmuxa_2_1[0] ), .B0(\S[2] ), - .A0(wb_reqc_1), .F0(\un1_wb_cyc_stb_0_sqmuxa_1_i[0] ), - .F1(\un1_wb_adr_0_sqmuxa_2_1[0] )); - SLICE_54 SLICE_54( .D1(\Din_c[1] ), .C1(\Din_c[0] ), .B1(\Din_c[2] ), - .A1(\CS[1] ), .D0(\Din_c[1] ), .C0(N_623), .B0(N_616), .A0(\CS[2] ), - .F0(N_279), .F1(N_623)); - SLICE_55 SLICE_55( .D1(N_633), .C1(N_264), .B1(\FS[5] ), .A1(\FS[4] ), - .D0(\FS[2] ), .C0(\FS[1] ), .B0(\FS[5] ), .A0(\FS[3] ), .F0(N_633), - .F1(N_570)); - SLICE_56 SLICE_56( .D1(\FS[14] ), .C1(\S_RNII9DO1[1] ), .A1(\FS[15] ), - .C0(\FS[12] ), .B0(N_452), .A0(\FS[13] ), .F0(N_621), .F1(N_452)); - SLICE_57 SLICE_57( .D1(\S_RNII9DO1_0[1] ), .C1(RWSel), .B1(wb_ack), - .A1(CmdExecMXO2), .D0(\S[2] ), .C0(\S[3] ), .B0(\S[0] ), .A0(\S[1] ), - .F0(\S_RNII9DO1_0[1] ), .F1(N_364)); - SLICE_58 SLICE_58( .D1(\FS[12] ), .C1(\wb_dati_7_0_a2_2_1[3] ), .B1(N_455), - .A1(N_644), .D0(\FS[10] ), .C0(\FS[9] ), .B0(\FS[8] ), .A0(\FS[11] ), - .F0(\wb_dati_7_0_a2_2_1[3] ), .F1(\wb_dati_7_0_2[3] )); - SLICE_59 SLICE_59( .D1(\RWBank[6] ), .C1(nCS61), .B1(\S_RNII9DO1[1] ), - .A1(DQML_s_i_a2_0), .D0(\S[3] ), .C0(\S[0] ), .B0(\S[1] ), .A0(\S[2] ), - .F0(DQML_s_i_a2_0), .F1(N_28_i)); - SLICE_60 SLICE_60( .D1(wb_adr_7_5_214_a2_2_0), .C1(N_569), .B1(N_577), - .A1(N_475), .D0(\FS[10] ), .C0(\FS[12] ), .A0(\FS[13] ), - .F0(wb_adr_7_5_214_a2_2_0), .F1(wb_adr_7_5_214_0_1)); - SLICE_61 SLICE_61( .D1(\FS[13] ), .C1(N_634), .B1(\FS[12] ), .D0(\FS[11] ), - .C0(\FS[8] ), .B0(\FS[9] ), .A0(\FS[10] ), .F0(N_634), - .F1(\wb_dati_7_0_a2_2_0[1] )); - SLICE_62 SLICE_62( .D1(N_265_i), .C1(\FS[12] ), .B1(N_475), .A1(\FS[11] ), - .D0(\FS[10] ), .B0(\FS[9] ), .A0(\FS[8] ), .F0(N_265_i), .F1(N_388)); - SLICE_63 SLICE_63( .D1(\FS[6] ), .C1(N_264), .B1(\FS[7] ), .A1(N_254), - .C0(\FS[1] ), .B0(\FS[3] ), .A0(\FS[2] ), .F0(N_264), .F1(N_300)); - SLICE_64 SLICE_64( .C1(\FS[8] ), .B1(\FS[9] ), .A1(\FS[11] ), .D0(N_577), - .C0(wb_ack), .B0(\FS[10] ), .A0(\FS[12] ), - .F0(\un1_RWMask_0_sqmuxa_1_i_a2_0_1[0] ), .F1(N_577)); - SLICE_65 SLICE_65( .C1(\FS[11] ), .B1(\FS[9] ), .A1(\FS[8] ), .D0(\FS[10] ), - .C0(\FS[12] ), .B0(\wb_dati_7_0_a2_0[6] ), .A0(\FS[13] ), - .F0(\wb_dati_7_0_a2_4_0[7] ), .F1(\wb_dati_7_0_a2_0[6] )); - SLICE_66 SLICE_66( .B1(\FS[14] ), .A1(\S[2] ), .D0(\FS[13] ), .C0(\FS[11] ), - .B0(N_475), .A0(\FS[12] ), .F0(N_393), .F1(N_475)); - SLICE_67 SLICE_67( .D1(\S[1] ), .C1(\S[0] ), .D0(\S[3] ), .C0(wb_reqc_1), - .B0(RWSel), .A0(\S[2] ), .F0(LEDEN13), .F1(wb_reqc_1)); - SLICE_68 SLICE_68( .D1(\wb_adr[3] ), .C1(N_627), .B1(N_455), .A1(\S[2] ), - .D0(\FS[9] ), .C0(\FS[10] ), .B0(\FS[11] ), .A0(\FS[8] ), .F0(N_627), - .F1(\wb_dati_7_0_0[3] )); - SLICE_69 SLICE_69( .D1(nCAS_0_sqmuxa), .C1(N_639), .B1(\RWBank[2] ), - .A1(N_255), .D0(\S[0] ), .C0(\S[3] ), .B0(\S[2] ), .A0(\S[1] ), .F0(N_639), - .F1(\RA_42[10] )); - SLICE_70 SLICE_70( .D1(\FS[12] ), .C1(N_627), .B1(N_455), .A1(N_644), - .D0(\FS[10] ), .C0(\FS[9] ), .B0(\FS[8] ), .A0(\FS[11] ), .F0(N_644), - .F1(N_345)); - SLICE_71 SLICE_71( .D1(nCS61), .C1(N_633), .B1(\FS[4] ), .A1(N_640), - .D0(\S[1] ), .C0(\S[0] ), .B0(\S[3] ), .A0(\S[2] ), .F0(nCS61), - .F1(un1_nCS61_1_i)); - SLICE_72 SLICE_72( .D1(\FS[5] ), .C1(N_449), .B1(Ready_0_sqmuxa_0_a2_6_a2_2), - .A1(\FS[3] ), .D0(\S[3] ), .C0(wb_reqc_1), .B0(\FS[15] ), .A0(\S[2] ), - .F0(N_449), .F1(Ready_0_sqmuxa_0_a2_6_a2_4)); - SLICE_73 SLICE_73( .D1(N_562), .C1(N_455), .B1(\FS[12] ), .A1(\FS[11] ), - .D0(\FS[13] ), .C0(\FS[14] ), .B0(\S_RNII9DO1[1] ), .A0(\FS[15] ), - .F0(N_455), .F1(N_377)); - SLICE_74 SLICE_74( .D1(N_484), .C1(\FS[11] ), .B1(\FS[9] ), .D0(\FS[10] ), - .C0(\FS[12] ), .B0(N_642), .A0(\FS[13] ), .F0(N_346), .F1(N_642)); - SLICE_75 SLICE_75( .D1(\FS[7] ), .C1(\FS[0] ), .B1(N_489), .A1(\FS[6] ), - .C0(N_628), .B0(\S_RNII9DO1[1] ), .A0(\FS[15] ), .F0(N_640), .F1(N_628)); - SLICE_76 SLICE_76( .D1(\FS[4] ), .A1(\FS[5] ), .D0(N_254), .C0(N_449), - .B0(N_628), .A0(N_264), .F0(nCAS_0_sqmuxa), .F1(N_254)); - SLICE_77 SLICE_77( .D1(\CS[0] ), .C1(N_466), .A1(\Din_c[6] ), - .D0(un1_CS_0_sqmuxa_0_0_3), .C0(un1_CS_0_sqmuxa_0_0_2), .B0(N_474), - .A0(un1_CS_0_sqmuxa_0_0_a2_3_2), .F0(un1_CS_0_sqmuxa_i), .F1(N_474)); - SLICE_78 SLICE_78( .D1(\FS[4] ), .A1(N_633), .D0(N_567), .C0(N_640), - .B0(nCAS_s_i_tz_0), .A0(nCAS_0_sqmuxa), .F0(N_561_i), .F1(N_567)); - SLICE_79 SLICE_79( .D1(\S[3] ), .C1(nEN80_c), .B1(\S[2] ), .A1(\S[1] ), - .B0(nCS_6_u_i_0), .A0(N_559_1), .F0(N_559_i), .F1(nCS_6_u_i_0)); - SLICE_80 SLICE_80( .D1(\S[3] ), .B1(\S[1] ), .A1(\S[2] ), .C0(\S[0] ), - .B0(N_635), .A0(N_559_1), .F0(nRAS_2_iv_i), .F1(N_635)); - SLICE_81 SLICE_81( .D1(\CS[0] ), .C1(\Din_c[6] ), .B1(\Din_c[4] ), - .A1(\Din_c[3] ), .D0(un1_CS_0_sqmuxa_0_0_0), .C0(un1_CS_0_sqmuxa_0_0_a2_1), - .B0(N_279), .A0(N_466), .F0(un1_CS_0_sqmuxa_0_0_2), - .F1(un1_CS_0_sqmuxa_0_0_a2_1)); - SLICE_82 SLICE_82( .D1(CO0_1), .C1(RWSel), .B1(\CmdTout[1] ), - .A1(\CmdTout[2] ), .D0(N_461), .C0(N_328), .B0(N_330), - .A0(\S_RNII9DO1_0[1] ), .F0(un1_CS_0_sqmuxa_0_0_3), .F1(N_461)); - SLICE_83 SLICE_83( .D1(\FS[15] ), .C1(\S[0] ), .B1(\S[2] ), .A1(\S[3] ), - .D0(N_570), .C0(nCS_6_u_i_a2_1), .B0(N_429), .A0(N_628), .F0(N_559_1), - .F1(nCS_6_u_i_a2_1)); - SLICE_84 SLICE_84( .D1(\FS[10] ), .C1(\FS[12] ), .B1(N_455), - .A1(\wb_dati_7_0_a2_0[6] ), .D0(\wb_adr[6] ), .C0(N_346), .B0(\S[2] ), - .A0(N_351), .F0(\wb_dati_7_0_1[6] ), .F1(N_351)); - SLICE_85 SLICE_85( .D1(\wb_adr_7_0_a2_5_0[0] ), .C1(N_579), .B1(N_452), - .A1(\FS[8] ), .D0(N_378), .C0(N_377), .B0(\wb_adr_7_0_1[0] ), - .A0(\wb_adr_7_0_0[0] ), .F0(\wb_adr_7_0_4[0] ), .F1(\wb_adr_7_0_1[0] )); - SLICE_86 SLICE_86( .D1(\FS[15] ), .C1(\S_RNII9DO1[1] ), .B1(\FS[14] ), - .A1(\FS[8] ), .D0(CmdLEDSet), .C0(N_484), .B0(LEDEN13), - .A0(\un1_LEDEN_0_sqmuxa_1_i_a2_0_1[0] ), - .F0(\un1_LEDEN_0_sqmuxa_1_i_0[0] ), .F1(N_484)); - SLICE_87 SLICE_87( .D1(RWSel), .C1(un1_CS_0_sqmuxa_0_0_a2_4_2), - .B1(\Din_c[6] ), .A1(\CS[0] ), .C0(un1_CS_0_sqmuxa_0_0_a2_4_4), - .B0(\CS[2] ), .A0(\CS[1] ), .F0(N_330), .F1(un1_CS_0_sqmuxa_0_0_a2_4_4)); - SLICE_88 SLICE_88( .D1(\FS[12] ), .A1(\FS[13] ), .D0(N_336), .C0(N_452), - .B0(N_634), .A0(N_569), .F0(\wb_dati_7_0_o2_0[2] ), .F1(N_569)); - SLICE_89 SLICE_89( .D1(\FS[10] ), .A1(\FS[11] ), .D0(N_455), .C0(\FS[8] ), - .B0(\FS[9] ), .A0(N_579), .F0(N_378), .F1(N_579)); - SLICE_90 SLICE_90( .D1(\FS[10] ), .C1(\FS[11] ), .B1(\FS[9] ), .A1(\FS[13] ), - .C0(N_565), .B0(N_484), .A0(\FS[12] ), .F0(N_336), .F1(N_565)); - SLICE_91 SLICE_91( .D1(\CS[0] ), .C1(\Din_c[6] ), .B1(\CS[2] ), - .A1(un1_CS_0_sqmuxa_0_0_a2_2_2), .C0(RWSel), - .B0(un1_CS_0_sqmuxa_0_0_a2_2_4), .A0(\Din_c[7] ), .F0(N_328), - .F1(un1_CS_0_sqmuxa_0_0_a2_2_4)); - SLICE_92 SLICE_92( .D1(\FS[11] ), .C1(\FS[13] ), .B1(N_562), .A1(\FS[12] ), - .D0(N_452), .C0(\wb_adr_7_0_a2_0[0] ), .B0(\S[2] ), .A0(\Din_c[0] ), - .F0(\wb_adr_7_0_0[0] ), .F1(\wb_adr_7_0_a2_0[0] )); - SLICE_93 SLICE_93( .D1(N_616), .C1(\Din_c[5] ), .B1(\Din_c[4] ), - .A1(\Din_c[1] ), .D0(\Din_c[7] ), .C0(\Din_c[3] ), - .B0(un1_CS_0_sqmuxa_0_0_a2_1_2), .A0(\CS[1] ), - .F0(un1_CS_0_sqmuxa_0_0_a2_1_4), .F1(un1_CS_0_sqmuxa_0_0_a2_1_2)); - SLICE_94 SLICE_94( .D1(\Din_c[3] ), .C1(\Din_c[0] ), .B1(\Din_c[2] ), - .A1(\Din_c[1] ), .D0(\CS[1] ), .C0(un1_CS_0_sqmuxa_0_0_a2_3_0), - .B0(\Din_c[4] ), .A0(\CS[2] ), .F0(un1_CS_0_sqmuxa_0_0_a2_3_2), - .F1(un1_CS_0_sqmuxa_0_0_a2_3_0)); - SLICE_95 SLICE_95( .D1(N_475), .C1(N_577), .B1(\FS[10] ), .A1(\FS[12] ), - .D0(N_393), .C0(N_394), .B0(\S[2] ), .A0(\Din_c[0] ), - .F0(wb_we_7_iv_0_0_0_1), .F1(N_394)); - SLICE_96 SLICE_96( .D1(\S[3] ), .B1(\S[2] ), .A1(\S[1] ), .D0(\RWBank[0] ), - .C0(N_255), .B0(\S[0] ), .A0(\RWBank[7] ), .F0(N_49_i), .F1(N_255)); - SLICE_97 SLICE_97( .D1(\FS[10] ), .A1(\FS[12] ), .D0(\FS[14] ), .C0(N_577), - .B0(N_456), .A0(\FS[13] ), .F0(N_489), .F1(N_456)); - SLICE_98 SLICE_98( .D1(\Din_c[0] ), .C1(\Din_c[3] ), .B1(\Din_c[2] ), - .D0(N_477), .C0(\Din_c[7] ), .B0(N_626), .A0(\Din_c[5] ), - .F0(un1_CS_0_sqmuxa_0_0_a2_4_2), .F1(N_626)); - SLICE_99 SLICE_99( .D1(\Din_c[3] ), .B1(\Din_c[2] ), .D0(N_477), .C0(N_478), - .B0(\Din_c[5] ), .A0(\Din_c[0] ), .F0(un1_CS_0_sqmuxa_0_0_a2_2_2), - .F1(N_478)); - SLICE_100 SLICE_100( .C1(\Din_c[3] ), .B1(\Din_c[0] ), .A1(\Din_c[2] ), - .D0(\Din_c[1] ), .C0(\Din_c[4] ), .B0(N_629), - .F0(CmdSetRWBankFFMXO2_4_u_0_0_a2_0_0), .F1(N_629)); - SLICE_101 SLICE_101( .D1(\S[1] ), .C1(\S[0] ), .B1(\S[2] ), .A1(\S[3] ), - .D0(\S[1] ), .C0(\S[0] ), .B0(\S[2] ), .A0(\S[3] ), .F0(\S_RNII9DO1_1[1] ), - .F1(N_566_i)); - SLICE_102 SLICE_102( .D1(\S[2] ), .C1(\S[0] ), .B1(\S[1] ), .A1(\S[3] ), - .D0(\S[2] ), .C0(\RWBank[4] ), .B0(\S[1] ), .A0(\S[3] ), .F0(\BA_4[0] ), - .F1(\S_s_0_1[0] )); - SLICE_103 SLICE_103( .D1(\S[3] ), .C1(wb_reqc_1), .B1(\RWBank[3] ), - .A1(\S[2] ), .D0(\S[3] ), .C0(\FS[15] ), .B0(wb_reqc_1), .A0(\S[2] ), - .F0(wb_adr_0_sqmuxa_i), .F1(\RA_42[11] )); - SLICE_104 SLICE_104( .D1(\FS[11] ), .C1(N_621), .B1(\FS[9] ), .A1(\FS[8] ), - .D0(\FS[11] ), .C0(N_621), .B0(\FS[9] ), .A0(\FS[10] ), .F0(N_376), - .F1(N_349)); - SLICE_105 SLICE_105( .D1(N_569), .C1(wb_ack), .B1(\FS[9] ), .A1(N_579), - .D0(N_569), .C0(N_484), .B0(\FS[9] ), .A0(N_579), .F0(N_424), - .F1(\un1_LEDEN_0_sqmuxa_1_i_a2_0_1[0] )); - SLICE_106 SLICE_106( .C1(\S[1] ), .B1(\S[0] ), .C0(\S[1] ), .B0(\S[0] ), - .A0(nEN80_c), .F0(CKE_6_iv_i_a2_0), .F1(N_575)); - SLICE_107 SLICE_107( .D1(\S[3] ), .C1(\S[2] ), .D0(\S[3] ), .C0(\S[2] ), - .B0(\RWBank[5] ), .A0(\S[1] ), .F0(\BA_4[1] ), .F1(N_572)); - SLICE_108 SLICE_108( .C1(\FS[9] ), .B1(\FS[12] ), .A1(\FS[10] ), .D0(N_642), - .C0(\FS[13] ), .B0(\FS[12] ), .A0(\FS[10] ), .F0(N_422), - .F1(\wb_adr_7_0_a2_5_0[0] )); - SLICE_109 SLICE_109( .D1(\S[2] ), .C1(\wb_adr[7] ), .B1(N_452), - .A1(\wb_dati_7_0_a2_4_0[7] ), .D0(\S[2] ), .C0(\wb_adr[1] ), .B0(N_452), - .A0(\wb_dati_7_0_a2_2_0[1] ), .F0(\wb_dati_7_0_0[1] ), - .F1(\wb_dati_7_0_0[7] )); - SLICE_110 SLICE_110( .D1(\S[3] ), .C1(wb_reqc_1), .B1(\S[2] ), - .A1(\RWBank[1] ), .D0(\un1_wb_adr_0_sqmuxa_2_1[0] ), .C0(wb_reqc_1), - .B0(\S[2] ), .A0(CmdBitbangMXO2), .F0(\un1_wb_adr_0_sqmuxa_2_i[0] ), - .F1(N_59_i)); - SLICE_111 SLICE_111( .D1(\S[3] ), .B1(\S[0] ), .A1(\Ain_c[5] ), .D0(\S[3] ), - .B0(\S[0] ), .A0(\Ain_c[4] ), .F0(N_551_i), .F1(\RA_42_3_0[5] )); - SLICE_112 SLICE_112( .D1(\S[0] ), .C1(N_254), .B1(\S[1] ), .A1(\S[3] ), - .C0(\Ain_c[6] ), .B0(\S[0] ), .A0(\S[3] ), .F0(N_550_i), .F1(N_429)); - SLICE_113 SLICE_113( .C1(\S[0] ), .B1(\Ain_c[2] ), .A1(\S[3] ), .C0(\S[0] ), - .B0(\Ain_c[7] ), .A0(\S[3] ), .F0(N_549_i), .F1(N_553_i)); - SLICE_114 SLICE_114( .D1(\S[2] ), .C1(N_634), .B1(N_455), .A1(\wb_adr[4] ), - .D0(N_455), .C0(CmdRWMaskSet), .B0(\un1_RWMask_0_sqmuxa_1_i_a2_0_1[0] ), - .A0(LEDEN13), .F0(N_88), .F1(\wb_dati_7_0_0[4] )); - SLICE_115 SLICE_115( .B1(nEN80_c), .A1(nWE80_c), .D0(un1_nCS61_1_i), - .C0(nCAS_0_sqmuxa), .B0(\S[0] ), .A0(nWE80_c), .F0(nRWE_r_0), .F1(RDOE_i)); - SLICE_116 SLICE_116( .B1(\FS[9] ), .A1(\FS[8] ), .D0(\FS[11] ), .C0(N_456), - .B0(\FS[9] ), .A0(\FS[13] ), .F0(\wb_dati_7_0_a2_1[0] ), .F1(N_562)); - SLICE_117 SLICE_117( .D1(CmdSetRWBankFFMXO2), .C1(LEDEN), - .B1(CmdSetRWBankFFLED), .A1(CmdLEDGet), .C0(LEDEN), .A0(nEN80_c), - .F0(LED_c), .F1(N_591)); - SLICE_118 SLICE_118( .D1(\Din_c[4] ), .C1(\Din_c[1] ), .B0(\Din_c[2] ), - .A0(\Din_c[0] ), .F0(N_616), .F1(N_477)); - SLICE_119 SLICE_119( .D0(\FS[1] ), .C0(\FS[0] ), .B0(\FS[2] ), .A0(\FS[4] ), - .F0(Ready_0_sqmuxa_0_a2_6_a2_2)); - RD_0_ \RD[0]_I ( .PADDI(\RD_in[0] ), .PADDT(RDOE_i), .PADDO(\Din_c[0] ), + SLICE_9 SLICE_9( .D1(\S[1] ), .C1(N_551), .B1(\FS[15] ), .A1(\S[0] ), + .D0(\ram2e_ufm/CKE_7 ), .C0(N_551), .B0(\S[1] ), .A0(\S[0] ), + .DI0(CKE_7_RNIS77M1), .CLK(C14M_c), .F0(CKE_7_RNIS77M1), .Q0(CKE), + .F1(\ram2e_ufm/wb_adr_0_sqmuxa_1_i )); + SLICE_10 SLICE_10( .D0(RWSel), .A0(CO0_0), .DI0(\CmdTout_3[0] ), + .CE(N_185_i), .CLK(C14M_c), .F0(\CmdTout_3[0] ), .Q0(CO0_0), .F1(GND)); + SLICE_11 SLICE_11( .B1(CO0_1), .A1(\RC[2] ), .D0(\RC[1] ), .B0(CO0_1), + .A0(\RC[2] ), .DI0(N_360_i), .CE(RC12), .CLK(C14M_c), .F0(N_360_i), + .Q0(CO0_1), .F1(\ram2e_ufm/N_821 )); + SLICE_12 SLICE_12( .D1(\ram2e_ufm/SUM1_0_0 ), .C1(\ram2e_ufm/SUM0_i_a3_4_0 ), + .B1(\ram2e_ufm/N_886 ), .A1(\ram2e_ufm/N_215 ), .D0(\CS[1] ), + .C0(\ram2e_ufm/SUM0_i_4 ), .B0(\ram2e_ufm/N_215 ), .A0(\CS[2] ), + .DI1(CmdExecMXO2_3_0_a3_0_RNI6S1P8), .DI0(N_547_i), + .LSR(un1_CS_0_sqmuxa_i), .CLK(C14M_c), .F0(N_547_i), .Q0(\CS[0] ), + .F1(CmdExecMXO2_3_0_a3_0_RNI6S1P8), .Q1(\CS[1] )); + SLICE_13 SLICE_13( .D1(\ram2e_ufm/N_234 ), .C1(\ram2e_ufm/N_215 ), + .B1(\CS[1] ), .A1(\CS[2] ), .D0(\ram2e_ufm/N_234 ), .C0(\ram2e_ufm/N_215 ), + .B0(\CS[1] ), .A0(\CS[2] ), .DI0(CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514), + .LSR(un1_CS_0_sqmuxa_i), .CLK(C14M_c), + .F0(CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514), .Q0(\CS[2] ), + .F1(\ram2e_ufm/SUM1_0_0 )); + SLICE_14 SLICE_14( .C1(\ram2e_ufm/N_800 ), .B1(\Din_c[5] ), .A1(\Din_c[3] ), + .D0(\ram2e_ufm/CmdLEDGet_3_0_a3_1 ), .C0(\ram2e_ufm/N_847 ), + .B0(\Din_c[1] ), .A0(\Din_c[2] ), .DI0(CmdLEDGet_3), .CE(N_187_i), + .CLK(C14M_c), .F0(CmdLEDGet_3), .Q0(CmdLEDGet), .F1(\ram2e_ufm/N_847 )); + SLICE_15 SLICE_15( .C1(\Din_c[7] ), .B1(\CS[2] ), .A1(\Din_c[1] ), + .D0(\ram2e_ufm/N_883 ), .C0(\Din_c[7] ), .B0(\Din_c[4] ), .A0(\Din_c[1] ), + .DI0(CmdLEDSet_3), .CE(N_187_i), .CLK(C14M_c), .F0(CmdLEDSet_3), + .Q0(CmdLEDSet), .F1(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_5_1 )); + SLICE_16 SLICE_16( .D1(\Din_c[1] ), .C1(\Din_c[7] ), .B1(\Din_c[3] ), + .A1(\Din_c[5] ), .D0(\Din_c[1] ), .C0(\ram2e_ufm/N_883 ), .B0(\Din_c[7] ), + .A0(\Din_c[4] ), .DI0(CmdRWMaskSet_3), .CE(N_187_i), .CLK(C14M_c), + .F0(CmdRWMaskSet_3), .Q0(CmdRWMaskSet), .F1(\ram2e_ufm/N_850 )); + SLICE_17 SLICE_17( .C1(\ram2e_ufm/N_847 ), .B1(\Din_c[0] ), .A1(\Din_c[2] ), + .D0(\Din_c[1] ), .C0(\ram2e_ufm/N_883 ), .B0(\Din_c[7] ), .A0(\Din_c[4] ), + .DI0(CmdSetRWBankFFLED_4), .CE(N_187_i), .CLK(C14M_c), + .F0(CmdSetRWBankFFLED_4), .Q0(CmdSetRWBankFFLED), .F1(\ram2e_ufm/N_883 )); + SLICE_18 SLICE_18( .D1(\CmdTout[1] ), .C1(CO0_0), .B1(RWSel), + .A1(\CmdTout[2] ), .D0(CO0_0), .B0(RWSel), .A0(\CmdTout[1] ), + .DI1(N_369_i), .DI0(N_368_i), .CE(N_185_i), .CLK(C14M_c), .F0(N_368_i), + .Q0(\CmdTout[1] ), .F1(N_369_i), .Q1(\CmdTout[2] )); + SLICE_19 SLICE_19( .C1(\CS[1] ), .A1(\CS[2] ), .C0(\ram2e_ufm/N_186 ), + .A0(\RA[1] ), .M0(\S[3] ), .LSR(N_1080_0), .CLK(C14M_c), + .F0(\ram2e_ufm/N_660 ), .Q0(DOEEN), .F1(\ram2e_ufm/N_193 )); + SLICE_20 SLICE_20( .D1(\ram2e_ufm/N_182 ), .C1(\ram2e_ufm/N_660 ), + .B1(\Ain_c[1] ), .A1(\ram2e_ufm/N_659 ), .D0(\ram2e_ufm/N_801 ), + .C0(\ram2e_ufm/N_684 ), .B0(\FS[7] ), .A0(\ram2e_ufm/RA_35_0_0_1[0] ), + .DI1(N_223), .DI0(\RA_35[0] ), .CE(N_126), .CLK(C14M_c), .F0(\RA_35[0] ), + .Q0(\RA[0] ), .F1(N_223), .Q1(\RA[1] )); + SLICE_21 SLICE_21( .C1(\ram2e_ufm/N_801 ), .B1(\ram2e_ufm/RA_35_0_0_0[3] ), + .A1(\FS[10] ), .D0(\ram2e_ufm/N_680 ), .C0(\ram2e_ufm/N_679 ), + .B0(\ram2e_ufm/N_182 ), .A0(\Ain_c[2] ), .DI1(\RA_35[3] ), + .DI0(\RA_35[2] ), .CE(N_126), .CLK(C14M_c), .F0(\RA_35[2] ), .Q0(\RA[2] ), + .F1(\RA_35[3] ), .Q1(\RA[3] )); + SLICE_22 SLICE_22( .D1(\ram2e_ufm/N_621 ), .C1(\Ain_c[5] ), + .B1(\ram2e_ufm/RA_35_0_0_0[5] ), .A1(\ram2e_ufm/N_182 ), + .C0(\ram2e_ufm/RA_35_0_0_0[4] ), .B0(\FS[11] ), .A0(\ram2e_ufm/N_801 ), + .DI1(\RA_35[5] ), .DI0(\RA_35[4] ), .CE(N_126), .CLK(C14M_c), + .F0(\RA_35[4] ), .Q0(\RA[4] ), .F1(\RA_35[5] ), .Q1(\RA[5] )); + SLICE_23 SLICE_23( .C1(\ram2e_ufm/RA_35_0_0_0_0[7] ), .B1(\FS[14] ), + .A1(\ram2e_ufm/N_801 ), .C0(\ram2e_ufm/RA_35_0_0_0_0[6] ), .B0(\FS[13] ), + .A0(\ram2e_ufm/N_801 ), .DI1(\RA_35[7] ), .DI0(\RA_35[6] ), .CE(N_126), + .CLK(C14M_c), .F0(\RA_35[6] ), .Q0(\RA[6] ), .F1(\RA_35[7] ), .Q1(\RA[7] )); + SLICE_24 SLICE_24( .D1(\ram2e_ufm/N_242 ), .B1(\ram2e_ufm/RA_35_0_0_0[9] ), + .A1(\RA[9] ), .D0(\ram2e_ufm/N_699 ), .C0(\RA[8] ), .B0(\ram2e_ufm/N_698 ), + .A0(\ram2e_ufm/N_221 ), .DI1(\RA_35[9] ), .DI0(un2_S_2_i_0_0_o3_RNIHFHN3), + .CE(N_126), .CLK(C14M_c), .F0(un2_S_2_i_0_0_o3_RNIHFHN3), .Q0(\RA[8] ), + .F1(\RA_35[9] ), .Q1(\RA[9] )); + SLICE_25 SLICE_25( .D1(\ram2e_ufm/N_242 ), .C1(\RWBank[4] ), + .B1(\ram2e_ufm/N_845 ), .A1(\RA[11] ), .D0(\ram2e_ufm/N_628 ), + .C0(\ram2e_ufm/RA_35_2_0_0[10] ), .B0(\ram2e_ufm/N_624 ), + .A0(\ram2e_ufm/N_627 ), .DI1(\RA_35[11] ), .DI0(\RA_35[10] ), .CE(N_126), + .CLK(C14M_c), .F0(\RA_35[10] ), .Q0(\RA[10] ), .F1(\RA_35[11] ), + .Q1(\RA[11] )); + SLICE_26 SLICE_26( .D1(CO0_1), .B1(\RC[1] ), .A1(\RC[2] ), .D0(CO0_1), + .B0(\RC[1] ), .A0(\RC[2] ), .DI1(\RC_3[2] ), .DI0(\RC_3[1] ), .CE(RC12), + .CLK(C14M_c), .F0(\RC_3[1] ), .Q0(\RC[1] ), .F1(\RC_3[2] ), .Q1(\RC[2] )); + SLICE_27 SLICE_27( .D1(\ram2e_ufm/RWMask[1] ), .B1(\ram2e_ufm/N_188 ), + .A1(\Din_c[1] ), .C0(\ram2e_ufm/RWMask[0] ), .B0(\ram2e_ufm/N_188 ), + .A0(\Din_c[0] ), .DI1(\RWBank_3[1] ), .DI0(\RWBank_3[0] ), .CE(N_187_i), + .CLK(C14M_c), .F0(\RWBank_3[0] ), .Q0(\RWBank[0] ), .F1(\RWBank_3[1] ), + .Q1(\RWBank[1] )); + SLICE_28 SLICE_28( .C1(\ram2e_ufm/RWMask[3] ), .B1(\Din_c[3] ), + .A1(\ram2e_ufm/N_188 ), .D0(\Din_c[2] ), .C0(\ram2e_ufm/RWMask[2] ), + .A0(\ram2e_ufm/N_188 ), .DI1(\RWBank_3[3] ), .DI0(\RWBank_3[2] ), + .CE(N_187_i), .CLK(C14M_c), .F0(\RWBank_3[2] ), .Q0(\RWBank[2] ), + .F1(\RWBank_3[3] ), .Q1(\RWBank[3] )); + SLICE_29 SLICE_29( .C1(\ram2e_ufm/RWMask[5] ), .B1(\ram2e_ufm/N_188 ), + .A1(\Din_c[5] ), .C0(\ram2e_ufm/RWMask[4] ), .B0(\ram2e_ufm/N_188 ), + .A0(\Din_c[4] ), .DI1(\RWBank_3[5] ), .DI0(\RWBank_3[4] ), .CE(N_187_i), + .CLK(C14M_c), .F0(\RWBank_3[4] ), .Q0(\RWBank[4] ), .F1(\RWBank_3[5] ), + .Q1(\RWBank[5] )); + SLICE_30 SLICE_30( .D1(\ram2e_ufm/N_188 ), .B1(\Din_c[7] ), + .A1(\ram2e_ufm/RWMask[7] ), .D0(\ram2e_ufm/N_188 ), .B0(\Din_c[6] ), + .A0(\ram2e_ufm/RWMask[6] ), .DI1(\RWBank_3[7] ), .DI0(\RWBank_3[6] ), + .CE(N_187_i), .CLK(C14M_c), .F0(\RWBank_3[6] ), .Q0(\RWBank[6] ), + .F1(\RWBank_3[7] ), .Q1(\RWBank[7] )); + SLICE_31 SLICE_31( .D1(\RA[3] ), .C1(\ram2e_ufm/N_186 ), .B1(\Ain_c[3] ), + .A1(\ram2e_ufm/N_182 ), .D0(\RA[3] ), .C0(nC07X_c), .B0(\RA[0] ), + .A0(nWE_c), .DI0(RWSel_2), .CE(un9_VOEEN_0_a2_0_a3_0_a3), .CLK(C14M_c), + .F0(RWSel_2), .Q0(RWSel), .F1(\ram2e_ufm/RA_35_0_0_0[3] )); + SLICE_32 SLICE_32( .D1(\ram2e_ufm/N_885 ), .C1(\ram2e_ufm/Ready3_0_a3_4 ), + .B1(\ram2e_ufm/Ready3_0_a3_5 ), .A1(\ram2e_ufm/Ready3_0_a3_3 ), + .C0(Ready3), .A0(Ready), .DI0(N_1026_0), .CLK(C14M_c), .F0(N_1026_0), + .Q0(Ready), .F1(Ready3)); + SLICE_33 SLICE_33( .D1(\ram2e_ufm/S_r_i_0_o2[1] ), .C1(\ram2e_ufm/N_194 ), + .B1(\ram2e_ufm/N_271 ), .A1(S_1), .D0(\S[1] ), .C0(\ram2e_ufm/N_271 ), + .B0(\ram2e_ufm/N_643 ), .A0(S_1), .DI1(N_362_i), .DI0(\S_s_0_0[0] ), + .CLK(C14M_c), .F0(\S_s_0_0[0] ), .Q0(\S[0] ), .F1(N_362_i), .Q1(\S[1] )); + SLICE_34 SLICE_34( .D1(\S[2] ), .C1(\ram2e_ufm/N_194 ), .B1(S_1), + .A1(\S[3] ), .D0(\S[2] ), .C0(\ram2e_ufm/N_194 ), .B0(S_1), .A0(\S[3] ), + .DI1(N_372_i), .DI0(N_361_i), .CLK(C14M_c), .F0(N_361_i), .Q0(\S[2] ), + .F1(N_372_i), .Q1(\S[3] )); + SLICE_35 SLICE_35( .D1(\S[1] ), .C1(\S[0] ), .B1(\FS[4] ), .A1(N_551), + .D0(\S[2] ), .A0(\S[3] ), .DI0(N_551), .LSR(N_1078_0), .CLK(C14M_c), + .F0(N_551), .Q0(VOEEN), .F1(BA_0_sqmuxa)); + SLICE_36 SLICE_36( .D1(\S[1] ), .C1(\ram2e_ufm/N_285_i ), .B1(\S[0] ), + .A1(\ram2e_ufm/N_804 ), .D0(\ram2e_ufm/N_641 ), .C0(\ram2e_ufm/N_640 ), + .B0(\ram2e_ufm/N_872 ), .A0(nWE_c), .DI0(N_370_i), .CLK(C14M_c), + .F0(N_370_i), .Q0(nCAS), .F1(\ram2e_ufm/N_872 )); + SLICE_37 SLICE_37( .D1(\S[0] ), .C1(\ram2e_ufm/N_804 ), + .B1(\ram2e_ufm/N_285_i ), .A1(\S[1] ), .D0(\ram2e_ufm/N_616 ), + .C0(\ram2e_ufm/N_615 ), .B0(\ram2e_ufm/N_617 ), + .A0(\ram2e_ufm/nRAS_s_i_0_0 ), .DI0(N_358_i), .CLK(C14M_c), .F0(N_358_i), + .Q0(nRAS), .F1(\ram2e_ufm/N_617 )); + SLICE_38 SLICE_38( .D1(\ram2e_ufm/N_226 ), .C1(\S[2] ), + .B1(\ram2e_ufm/S_r_i_0_o2[1] ), .A1(\ram2e_ufm/N_285_i ), + .D0(\ram2e_ufm/S_r_i_0_o2_RNI62C53[1] ), .C0(\ram2e_ufm/N_866 ), + .B0(\ram2e_ufm/N_615 ), .A0(\ram2e_ufm/N_804 ), .DI0(N_359_i), + .CLK(C14M_c), .F0(N_359_i), .Q0(nRWE), .F1(\ram2e_ufm/N_615 )); + ram2e_ufm_SLICE_39 \ram2e_ufm/SLICE_39 ( .D1(\Din_c[3] ), .C1(\Din_c[0] ), + .B1(\Din_c[5] ), .A1(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_o3 ), .D0(\Din_c[1] ), + .C0(\ram2e_ufm/CmdBitbangMXO2_3_0_a3_1 ), .B0(\ram2e_ufm/N_800 ), + .A0(\Din_c[2] ), .DI0(\ram2e_ufm/CmdBitbangMXO2_3 ), .CE(N_187_i), + .CLK(C14M_c), .F0(\ram2e_ufm/CmdBitbangMXO2_3 ), + .Q0(\ram2e_ufm/CmdBitbangMXO2 ), .F1(\ram2e_ufm/CmdBitbangMXO2_3_0_a3_1 )); + ram2e_ufm_SLICE_40 \ram2e_ufm/SLICE_40 ( .D1(\CS[1] ), .C1(\CS[0] ), + .B1(\CS[2] ), .A1(\Din_c[6] ), .C0(\ram2e_ufm/N_800 ), + .B0(\ram2e_ufm/N_851 ), .DI0(\ram2e_ufm/CmdExecMXO2_3 ), .CE(N_187_i), + .CLK(C14M_c), .F0(\ram2e_ufm/CmdExecMXO2_3 ), .Q0(\ram2e_ufm/CmdExecMXO2 ), + .F1(\ram2e_ufm/N_800 )); + ram2e_ufm_SLICE_41 \ram2e_ufm/SLICE_41 ( .D1(\Din_c[4] ), .C1(\Din_c[0] ), + .B1(\Din_c[2] ), .A1(\Din_c[1] ), .D0(\ram2e_ufm/N_800 ), + .C0(\ram2e_ufm/CmdSetRWBankFFChip_3_0_a8_0_a3_0 ), .B0(\Din_c[7] ), + .A0(\ram2e_ufm/N_190 ), .DI0(\ram2e_ufm/CmdSetRWBankFFChip_3 ), + .CE(N_187_i), .CLK(C14M_c), .F0(\ram2e_ufm/CmdSetRWBankFFChip_3 ), + .Q0(\ram2e_ufm/CmdSetRWBankFFChip ), + .F1(\ram2e_ufm/CmdSetRWBankFFChip_3_0_a8_0_a3_0 )); + ram2e_ufm_SLICE_42 \ram2e_ufm/SLICE_42 ( .D1(\Din_c[2] ), .B1(\Din_c[6] ), + .A1(\Din_c[0] ), .D0(\Din_c[0] ), .C0(\ram2e_ufm/wb_dato[0] ), .A0(\S[3] ), + .DI0(\ram2e_ufm/N_295 ), .CE(\ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0_0[0] ), + .CLK(C14M_c), .F0(\ram2e_ufm/N_295 ), .Q0(\ram2e_ufm/LEDEN ), + .F1(\ram2e_ufm/N_212 )); + ram2e_ufm_SLICE_43 \ram2e_ufm/SLICE_43 ( .D1(\ram2e_ufm/wb_dato[1] ), + .B1(\Din_c[1] ), .A1(\S[3] ), .D0(\Din_c[0] ), .C0(\ram2e_ufm/wb_dato[0] ), + .A0(\S[3] ), .DI1(\ram2e_ufm/N_307_i ), .DI0(\ram2e_ufm/N_309_i ), + .CE(\ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0_0[0] ), .CLK(C14M_c), + .F0(\ram2e_ufm/N_309_i ), .Q0(\ram2e_ufm/RWMask[0] ), + .F1(\ram2e_ufm/N_307_i ), .Q1(\ram2e_ufm/RWMask[1] )); + ram2e_ufm_SLICE_44 \ram2e_ufm/SLICE_44 ( .D1(\ram2e_ufm/wb_dato[3] ), + .B1(\Din_c[3] ), .A1(\S[3] ), .D0(\S[3] ), .C0(\ram2e_ufm/wb_dato[2] ), + .B0(\Din_c[2] ), .DI1(\ram2e_ufm/N_302_i ), .DI0(\ram2e_ufm/N_304_i ), + .CE(\ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0_0[0] ), .CLK(C14M_c), + .F0(\ram2e_ufm/N_304_i ), .Q0(\ram2e_ufm/RWMask[2] ), + .F1(\ram2e_ufm/N_302_i ), .Q1(\ram2e_ufm/RWMask[3] )); + ram2e_ufm_SLICE_45 \ram2e_ufm/SLICE_45 ( .D1(\ram2e_ufm/wb_dato[5] ), + .B1(\Din_c[5] ), .A1(\S[3] ), .D0(\ram2e_ufm/wb_dato[4] ), .C0(\Din_c[4] ), + .A0(\S[3] ), .DI1(\ram2e_ufm/N_301_i ), .DI0(\ram2e_ufm/N_310_i ), + .CE(\ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0_0[0] ), .CLK(C14M_c), + .F0(\ram2e_ufm/N_310_i ), .Q0(\ram2e_ufm/RWMask[4] ), + .F1(\ram2e_ufm/N_301_i ), .Q1(\ram2e_ufm/RWMask[5] )); + ram2e_ufm_SLICE_46 \ram2e_ufm/SLICE_46 ( .D1(\ram2e_ufm/wb_dato[7] ), + .B1(\Din_c[7] ), .A1(\S[3] ), .D0(\ram2e_ufm/wb_dato[6] ), .B0(\Din_c[6] ), + .A0(\S[3] ), .DI1(\ram2e_ufm/N_296 ), .DI0(\ram2e_ufm/N_300_i ), + .CE(\ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0_0[0] ), .CLK(C14M_c), + .F0(\ram2e_ufm/N_300_i ), .Q0(\ram2e_ufm/RWMask[6] ), + .F1(\ram2e_ufm/N_296 ), .Q1(\ram2e_ufm/RWMask[7] )); + ram2e_ufm_SLICE_47 \ram2e_ufm/SLICE_47 ( .D1(\ram2e_ufm/wb_adr_7_5_41_0_1 ), + .C1(\S[2] ), .B1(\ram2e_ufm/N_768 ), .A1(\Din_c[1] ), .D0(\FS[10] ), + .C0(\ram2e_ufm/N_793 ), .B0(\ram2e_ufm/wb_adr_7_i_i_4[0] ), + .A0(\ram2e_ufm/wb_adr_7_i_i_5[0] ), .DI1(\ram2e_ufm/wb_adr_RNO[1] ), + .DI0(\ram2e_ufm/wb_adr_7_i_i[0] ), + .CE(\ram2e_ufm/CmdBitbangMXO2_RNINSM62 ), .CLK(C14M_c), + .F0(\ram2e_ufm/wb_adr_7_i_i[0] ), .Q0(\ram2e_ufm/wb_adr[0] ), + .F1(\ram2e_ufm/wb_adr_RNO[1] ), .Q1(\ram2e_ufm/wb_adr[1] )); + ram2e_ufm_SLICE_48 \ram2e_ufm/SLICE_48 ( .B1(\S[2] ), .A1(\Din_c[3] ), + .C0(\Din_c[2] ), .B0(\S[2] ), .DI1(\ram2e_ufm/N_268_i ), + .DI0(\ram2e_ufm/N_80_i ), .CE(\ram2e_ufm/CmdBitbangMXO2_RNINSM62 ), + .CLK(C14M_c), .F0(\ram2e_ufm/N_80_i ), .Q0(\ram2e_ufm/wb_adr[2] ), + .F1(\ram2e_ufm/N_268_i ), .Q1(\ram2e_ufm/wb_adr[3] )); + ram2e_ufm_SLICE_49 \ram2e_ufm/SLICE_49 ( .D1(\FS[14] ), .B1(\Din_c[5] ), + .A1(\S[2] ), .D0(\FS[14] ), .C0(\Din_c[4] ), .A0(\S[2] ), + .DI1(\ram2e_ufm/N_290 ), .DI0(\ram2e_ufm/N_294 ), + .CE(\ram2e_ufm/CmdBitbangMXO2_RNINSM62 ), .CLK(C14M_c), + .F0(\ram2e_ufm/N_294 ), .Q0(\ram2e_ufm/wb_adr[4] ), .F1(\ram2e_ufm/N_290 ), + .Q1(\ram2e_ufm/wb_adr[5] )); + ram2e_ufm_SLICE_50 \ram2e_ufm/SLICE_50 ( .B1(\Din_c[7] ), .A1(\S[2] ), + .C0(\Din_c[6] ), .B0(\FS[14] ), .A0(\S[2] ), .DI1(\ram2e_ufm/N_267_i ), + .DI0(\ram2e_ufm/N_284 ), .CE(\ram2e_ufm/CmdBitbangMXO2_RNINSM62 ), + .CLK(C14M_c), .F0(\ram2e_ufm/N_284 ), .Q0(\ram2e_ufm/wb_adr[6] ), + .F1(\ram2e_ufm/N_267_i ), .Q1(\ram2e_ufm/wb_adr[7] )); + ram2e_ufm_SLICE_51 \ram2e_ufm/SLICE_51 ( .D1(\FS[14] ), + .C1(\ram2e_ufm/wb_ack ), .B1(\FS[0] ), .A1(\ram2e_ufm/N_336 ), .D0(\S[3] ), + .C0(\ram2e_ufm/N_687 ), .A0(\ram2e_ufm/CmdExecMXO2 ), + .DI0(\ram2e_ufm/wb_cyc_stb_RNO ), + .CE(\ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0[0] ), .CLK(C14M_c), + .F0(\ram2e_ufm/wb_cyc_stb_RNO ), .Q0(\ram2e_ufm/wb_cyc_stb ), + .F1(\ram2e_ufm/N_687 )); + ram2e_ufm_SLICE_52 \ram2e_ufm/SLICE_52 ( .D1(\ram2e_ufm/N_849 ), + .C1(\ram2e_ufm/wb_dati_7_0_0_0[1] ), .B1(\ram2e_ufm/N_611 ), + .A1(\ram2e_ufm/N_793 ), .D0(\ram2e_ufm/wb_dati_7_0_0_0_a3_0[0] ), + .C0(\ram2e_ufm/N_856 ), .B0(\ram2e_ufm/wb_adr[0] ), .A0(\S[2] ), + .DI1(\ram2e_ufm/wb_dati_7[1] ), .DI0(\ram2e_ufm/wb_dati_7[0] ), + .CE(\ram2e_ufm/CmdBitbangMXO2_RNINSM62 ), .CLK(C14M_c), + .F0(\ram2e_ufm/wb_dati_7[0] ), .Q0(\ram2e_ufm/wb_dati[0] ), + .F1(\ram2e_ufm/wb_dati_7[1] ), .Q1(\ram2e_ufm/wb_dati[1] )); + ram2e_ufm_SLICE_53 \ram2e_ufm/SLICE_53 ( .D1(\ram2e_ufm/N_611 ), + .C1(\ram2e_ufm/wb_dati_7_0_0_0_0[3] ), .B1(\ram2e_ufm/N_783 ), + .A1(\ram2e_ufm/N_849 ), .D0(\ram2e_ufm/N_760 ), + .C0(\ram2e_ufm/wb_dati_7_0_0_o3_0[2] ), .B0(\ram2e_ufm/wb_adr[2] ), + .A0(\S[2] ), .DI1(\ram2e_ufm/wb_dati_7[3] ), + .DI0(\ram2e_ufm/wb_dati_7[2] ), .CE(\ram2e_ufm/CmdBitbangMXO2_RNINSM62 ), + .CLK(C14M_c), .F0(\ram2e_ufm/wb_dati_7[2] ), .Q0(\ram2e_ufm/wb_dati[2] ), + .F1(\ram2e_ufm/wb_dati_7[3] ), .Q1(\ram2e_ufm/wb_dati[3] )); + ram2e_ufm_SLICE_54 \ram2e_ufm/SLICE_54 ( .D1(\ram2e_ufm/N_760 ), + .C1(\ram2e_ufm/wb_dati_7_0_0_o3_0[2] ), .B1(\ram2e_ufm/wb_adr[5] ), + .A1(\S[2] ), .D0(\ram2e_ufm/N_760 ), .C0(\ram2e_ufm/N_757 ), + .B0(\ram2e_ufm/N_763 ), .A0(\ram2e_ufm/wb_dati_7_0_0_0[4] ), + .DI1(\ram2e_ufm/wb_dati_7[5] ), .DI0(\ram2e_ufm/wb_dati_7[4] ), + .CE(\ram2e_ufm/CmdBitbangMXO2_RNINSM62 ), .CLK(C14M_c), + .F0(\ram2e_ufm/wb_dati_7[4] ), .Q0(\ram2e_ufm/wb_dati[4] ), + .F1(\ram2e_ufm/wb_dati_7[5] ), .Q1(\ram2e_ufm/wb_dati[5] )); + ram2e_ufm_SLICE_55 \ram2e_ufm/SLICE_55 ( .D1(\ram2e_ufm/N_604 ), + .C1(\ram2e_ufm/wb_dati_7_0_0_0_0[7] ), .B1(\ram2e_ufm/N_602 ), + .A1(\ram2e_ufm/wb_dati_7_0_0_RNO_0[7] ), + .D0(\ram2e_ufm/wb_dati_7_0_0_0[6] ), .C0(\ram2e_ufm/N_757 ), + .B0(\ram2e_ufm/N_849 ), .A0(\ram2e_ufm/N_793 ), + .DI1(\ram2e_ufm/wb_dati_7[7] ), .DI0(\ram2e_ufm/wb_dati_7[6] ), + .CE(\ram2e_ufm/CmdBitbangMXO2_RNINSM62 ), .CLK(C14M_c), + .F0(\ram2e_ufm/wb_dati_7[6] ), .Q0(\ram2e_ufm/wb_dati[6] ), + .F1(\ram2e_ufm/wb_dati_7[7] ), .Q1(\ram2e_ufm/wb_dati[7] )); + ram2e_ufm_SLICE_56 \ram2e_ufm/SLICE_56 ( .D1(\S[0] ), .C1(\FS[14] ), + .B1(\S[1] ), .A1(\S[3] ), .D0(\FS[12] ), .C0(\ram2e_ufm/wb_reqc_1 ), + .B0(\FS[13] ), .A0(\FS[11] ), .DI0(\ram2e_ufm/wb_reqc_i ), + .CE(\ram2e_ufm/wb_adr_0_sqmuxa_1_i ), .LSR(\S[2] ), .CLK(C14M_c), + .F0(\ram2e_ufm/wb_reqc_i ), .Q0(\ram2e_ufm/wb_req ), + .F1(\ram2e_ufm/wb_reqc_1 )); + ram2e_ufm_SLICE_57 \ram2e_ufm/SLICE_57 ( .D1(\FS[14] ), .C1(\FS[2] ), + .B1(\FS[15] ), .A1(\FS[4] ), .D0(\FS[14] ), .B0(\FS[15] ), + .DI0(\ram2e_ufm/wb_rst8 ), .LSR(\ram2e_ufm/wb_rst16_i ), .CLK(C14M_c), + .F0(\ram2e_ufm/wb_rst8 ), .Q0(\ram2e_ufm/wb_rst ), + .F1(\ram2e_ufm/Ready3_0_a3_4 )); + ram2e_ufm_SLICE_58 \ram2e_ufm/SLICE_58 ( + .D1(\ram2e_ufm/wb_we_7_iv_0_0_3_0_0 ), .C1(\FS[12] ), + .B1(\ram2e_ufm/N_885 ), .A1(\ram2e_ufm/N_799 ), .D0(\FS[13] ), + .C0(\ram2e_ufm/N_208 ), .B0(\ram2e_ufm/wb_we_7_iv_0_0_3_0_1 ), + .A0(\ram2e_ufm/N_799 ), .DI0(\ram2e_ufm/wb_we_RNO ), + .CE(\ram2e_ufm/wb_we_RNO_0 ), .CLK(C14M_c), .F0(\ram2e_ufm/wb_we_RNO ), + .Q0(\ram2e_ufm/wb_we ), .F1(\ram2e_ufm/wb_we_7_iv_0_0_3_0_1 )); + ram2e_ufm_SUM0_i_m3_0_SLICE_59 \ram2e_ufm/SUM0_i_m3_0/SLICE_59 ( + .D1(\CS[1] ), .B1(\Din_c[3] ), .A1(\Din_c[5] ), .D0(\Din_c[5] ), + .B0(\Din_c[3] ), .A0(\Din_c[7] ), .M0(\Din_c[1] ), + .OFX0(\ram2e_ufm/N_338 )); + ram2e_ufm_un1_CS_0_sqmuxa_0_0_0_SLICE_60 + \ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60 ( .D1(\Din_c[6] ), .C1(\CS[0] ), + .B1(\ram2e_ufm/N_193 ), .A1(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_2_2 ), + .C0(CO0_0), .B0(\CmdTout[2] ), .A0(\CmdTout[1] ), .M0(RWSel), + .OFX0(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_0 )); + ram2e_ufm_CKE_7_SLICE_61 \ram2e_ufm/CKE_7/SLICE_61 ( .D1(\ram2e_ufm/N_817 ), + .C1(\ram2e_ufm/N_821 ), .A1(\RC[1] ), .D0(nWE_c), .B0(\S[1] ), + .A0(\ram2e_ufm/N_804 ), .M0(\ram2e_ufm/CKE_7_sm0 ), + .OFX0(\ram2e_ufm/CKE_7 )); + ram2e_ufm_SLICE_62 \ram2e_ufm/SLICE_62 ( .D1(\Din_c[6] ), .C1(\CS[1] ), + .B1(\ram2e_ufm/N_851 ), .A1(\CS[2] ), .D0(\ram2e_ufm/SUM0_i_a3_4_0 ), + .C0(\CS[1] ), .B0(\ram2e_ufm/N_234 ), .A0(\CS[2] ), + .F0(\ram2e_ufm/N_720_tz ), .F1(\ram2e_ufm/SUM0_i_a3_4_0 )); + ram2e_ufm_SLICE_63 \ram2e_ufm/SLICE_63 ( .D1(\CS[0] ), + .C1(\ram2e_ufm/SUM0_i_0 ), .B1(\CS[2] ), .A1(\ram2e_ufm/N_350 ), + .D0(\ram2e_ufm/N_187 ), .C0(\ram2e_ufm/SUM0_i_3 ), + .B0(\ram2e_ufm/SUM0_i_1 ), .A0(\CS[0] ), .F0(\ram2e_ufm/SUM0_i_4 ), + .F1(\ram2e_ufm/SUM0_i_1 )); + ram2e_ufm_SLICE_64 \ram2e_ufm/SLICE_64 ( .C1(\FS[11] ), .B1(\FS[9] ), + .A1(\ram2e_ufm/N_793 ), .D0(\FS[13] ), .C0(\ram2e_ufm/N_856 ), + .B0(\ram2e_ufm/N_755 ), .A0(\FS[11] ), .F0(\ram2e_ufm/wb_adr_7_i_i_5[0] ), + .F1(\ram2e_ufm/N_755 )); + ram2e_ufm_SLICE_65 \ram2e_ufm/SLICE_65 ( .D1(\CS[0] ), .C1(\Din_c[0] ), + .B1(\Din_c[6] ), .A1(\ram2e_ufm/N_193 ), .D0(\CS[0] ), + .C0(\ram2e_ufm/N_735 ), .B0(\CS[1] ), .A0(\ram2e_ufm/N_345 ), + .F0(\ram2e_ufm/SUM0_i_0 ), .F1(\ram2e_ufm/N_735 )); + ram2e_ufm_SLICE_66 \ram2e_ufm/SLICE_66 ( .D1(\ram2e_ufm/wb_ack ), + .C1(\ram2e_ufm/N_777 ), .B1(\FS[14] ), + .A1(\ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_a3_0_0[0] ), + .D0(\ram2e_ufm/CmdExecMXO2 ), .C0(\ram2e_ufm/wb_ack ), + .B0(\ram2e_ufm/N_187 ), + .A0(\ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_0[0] ), + .F0(\ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0[0] ), + .F1(\ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_0[0] )); + ram2e_ufm_SLICE_67 \ram2e_ufm/SLICE_67 ( .C1(\FS[2] ), .B1(\FS[3] ), + .A1(\FS[1] ), .D0(\FS[4] ), .C0(\ram2e_ufm/N_250 ), .B0(\FS[3] ), + .A0(\S[1] ), .F0(\ram2e_ufm/N_256 ), .F1(\ram2e_ufm/N_250 )); + ram2e_ufm_SLICE_68 \ram2e_ufm/SLICE_68 ( .D1(\FS[8] ), .C1(\FS[9] ), + .B1(\ram2e_ufm/N_783 ), .A1(\ram2e_ufm/wb_adr_7_i_i_3_1[0] ), + .D0(\FS[12] ), .C0(\FS[8] ), .B0(\FS[10] ), .A0(\FS[11] ), + .F0(\ram2e_ufm/wb_adr_7_i_i_3_1[0] ), .F1(\ram2e_ufm/wb_adr_7_i_i_3[0] )); + ram2e_ufm_SLICE_69 \ram2e_ufm/SLICE_69 ( .D1(\FS[15] ), .C1(\FS[0] ), + .B1(\ram2e_ufm/N_254 ), .A1(\ram2e_ufm/wb_rst16_i ), .D0(\S[2] ), + .C0(\S[1] ), .B0(\S[0] ), .A0(\S[3] ), .F0(\ram2e_ufm/wb_rst16_i ), + .F1(\ram2e_ufm/N_641 )); + ram2e_ufm_SLICE_70 \ram2e_ufm/SLICE_70 ( .D1(\ram2e_ufm/N_777 ), + .B1(\FS[14] ), .A1(\FS[8] ), .D0(\FS[13] ), .C0(\ram2e_ufm/N_807 ), + .B0(\FS[12] ), .A0(\ram2e_ufm/N_876 ), .F0(\ram2e_ufm/N_604 ), + .F1(\ram2e_ufm/N_807 )); + ram2e_ufm_SLICE_71 \ram2e_ufm/SLICE_71 ( .D1(\FS[3] ), + .C1(\ram2e_ufm/N_784 ), .A1(\FS[4] ), .D0(\S[3] ), .C0(\S[0] ), + .A0(\S[2] ), .F0(\ram2e_ufm/N_784 ), .F1(\ram2e_ufm/N_801 )); + ram2e_ufm_SLICE_72 \ram2e_ufm/SLICE_72 ( .D1(\RWBank[5] ), + .C1(\ram2e_ufm/N_560 ), .B1(\FS[4] ), .A1(\S[0] ), .D0(\S[2] ), + .B0(\S[3] ), .A0(\S[1] ), .F0(\ram2e_ufm/N_560 ), .F1(\BA_4[0] )); + ram2e_ufm_SLICE_73 \ram2e_ufm/SLICE_73 ( .D1(\ram2e_ufm/N_781 ), + .C1(\ram2e_ufm/N_873 ), .B1(\ram2e_ufm/N_184 ), .A1(\ram2e_ufm/N_611 ), + .D0(\FS[9] ), .C0(\FS[11] ), .B0(\FS[10] ), .A0(\FS[8] ), + .F0(\ram2e_ufm/N_873 ), .F1(\ram2e_ufm/wb_dati_7_0_0_o3_0[2] )); + ram2e_ufm_SLICE_74 \ram2e_ufm/SLICE_74 ( .D1(\RWBank[3] ), + .C1(\ram2e_ufm/N_845 ), .A1(\ram2e_ufm/N_625 ), .D0(\S[1] ), .C0(\S[2] ), + .B0(\S[3] ), .A0(\S[0] ), .F0(\ram2e_ufm/N_845 ), + .F1(\ram2e_ufm/RA_35_2_0_0[10] )); + ram2e_ufm_SLICE_75 \ram2e_ufm/SLICE_75 ( .C1(\FS[9] ), .B1(\FS[10] ), + .A1(\FS[11] ), .D0(\FS[13] ), .C0(\ram2e_ufm/wb_ack ), + .B0(\ram2e_ufm/N_876 ), .A0(\FS[12] ), + .F0(\ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0_a3_0_1[0] ), + .F1(\ram2e_ufm/N_876 )); + ram2e_ufm_SLICE_76 \ram2e_ufm/SLICE_76 ( .B1(\FS[10] ), .A1(\FS[11] ), + .D0(\FS[13] ), .C0(\ram2e_ufm/N_811 ), .B0(\ram2e_ufm/N_206 ), + .A0(\FS[12] ), .F0(\ram2e_ufm/wb_dati_7_0_0_a3_8_0[7] ), + .F1(\ram2e_ufm/N_811 )); + ram2e_ufm_SLICE_77 \ram2e_ufm/SLICE_77 ( .D1(\CS[0] ), + .C1(\ram2e_ufm/N_185 ), .B1(RWSel), .D0(\S[2] ), .C0(\S[3] ), .B0(\S[1] ), + .A0(\S[0] ), .F0(\ram2e_ufm/N_185 ), .F1(\ram2e_ufm/N_215 )); + ram2e_ufm_SLICE_78 \ram2e_ufm/SLICE_78 ( .C1(\S[1] ), .A1(\S[0] ), + .D0(\ram2e_ufm/S_r_i_0_o2[1] ), .C0(\S[2] ), .B0(\RWBank[1] ), .A0(\S[3] ), + .F0(\ram2e_ufm/N_699 ), .F1(\ram2e_ufm/S_r_i_0_o2[1] )); + ram2e_ufm_SLICE_79 \ram2e_ufm/SLICE_79 ( .D1(CmdLEDSet), + .C1(\ram2e_ufm/N_187 ), + .B1(\ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0_a3_0_1[0] ), + .A1(\ram2e_ufm/N_807 ), .D0(\S[2] ), .C0(RWSel), + .B0(\ram2e_ufm/S_r_i_0_o2[1] ), .A0(\S[3] ), .F0(\ram2e_ufm/N_187 ), + .F1(\ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0_0[0] )); + ram2e_ufm_SLICE_80 \ram2e_ufm/SLICE_80 ( .D1(\S[0] ), .C1(\FS[15] ), + .B1(\S[1] ), .A1(N_551), .D0(RWSel), .C0(\ram2e_ufm/N_777 ), + .B0(\ram2e_ufm/CmdBitbangMXO2 ), .A0(\ram2e_ufm/N_185 ), + .F0(\ram2e_ufm/CmdBitbangMXO2_RNINSM62 ), .F1(\ram2e_ufm/N_777 )); + ram2e_ufm_SLICE_81 \ram2e_ufm/SLICE_81 ( .D1(\FS[14] ), + .C1(\ram2e_ufm/N_777 ), .B1(\FS[9] ), .A1(\FS[8] ), .D0(\FS[12] ), + .C0(\FS[13] ), .B0(\ram2e_ufm/N_856 ), .A0(\ram2e_ufm/N_811 ), + .F0(\ram2e_ufm/N_757 ), .F1(\ram2e_ufm/N_856 )); + ram2e_ufm_SLICE_82 \ram2e_ufm/SLICE_82 ( .D1(\Din_c[6] ), .C1(\CS[0] ), + .B1(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_0_1 ), + .A1(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_o2 ), + .D0(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_1_0_RNIS35S ), + .C0(\ram2e_ufm/N_637 ), .B0(\ram2e_ufm/N_185 ), + .A0(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_0 ), .F0(un1_CS_0_sqmuxa_i), + .F1(\ram2e_ufm/N_637 )); + ram2e_ufm_SLICE_83 \ram2e_ufm/SLICE_83 ( .D1(\CS[2] ), .C1(\Din_c[6] ), + .B1(\ram2e_ufm/N_851 ), .D0(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_1_0 ), + .C0(\CS[0] ), .B0(RWSel), .A0(\ram2e_ufm/N_592 ), + .F0(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_1_0_RNIS35S ), + .F1(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_1_0 )); + ram2e_ufm_SLICE_84 \ram2e_ufm/SLICE_84 ( .D1(\Din_c[4] ), + .C1(\ram2e_ufm/N_212 ), .B1(\CS[2] ), .A1(\ram2e_ufm/N_850 ), + .D0(\ram2e_ufm/N_720_tz ), .C0(\ram2e_ufm/N_886 ), .B0(\CS[1] ), + .A0(\ram2e_ufm/N_187 ), .F0(\ram2e_ufm/SUM0_i_3 ), .F1(\ram2e_ufm/N_886 )); + ram2e_ufm_SLICE_85 \ram2e_ufm/SLICE_85 ( .D1(\FS[14] ), .C1(\FS[12] ), + .B1(\FS[13] ), .A1(\ram2e_ufm/N_777 ), .D0(\ram2e_ufm/N_187 ), + .C0(\ram2e_ufm/N_793 ), + .B0(\ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0_a3_0_0[0] ), .A0(CmdRWMaskSet), + .F0(\ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0_0[0] ), .F1(\ram2e_ufm/N_793 )); + ram2e_ufm_SLICE_86 \ram2e_ufm/SLICE_86 ( .C1(\Din_c[0] ), .A1(\S[2] ), + .D0(\ram2e_ufm/N_634 ), .C0(\ram2e_ufm/N_753 ), + .B0(\ram2e_ufm/wb_adr_7_i_i_1[0] ), .A0(\ram2e_ufm/wb_adr_7_i_i_3[0] ), + .F0(\ram2e_ufm/wb_adr_7_i_i_4[0] ), .F1(\ram2e_ufm/N_634 )); + ram2e_ufm_SLICE_87 \ram2e_ufm/SLICE_87 ( .D1(\ram2e_ufm/N_190 ), + .C1(\ram2e_ufm/N_212 ), .B1(\Din_c[1] ), + .A1(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_o3 ), .C0(\ram2e_ufm/N_234 ), + .B0(\ram2e_ufm/N_886 ), .A0(\CS[1] ), .F0(\ram2e_ufm/N_592 ), + .F1(\ram2e_ufm/N_234 )); + ram2e_ufm_SLICE_88 \ram2e_ufm/SLICE_88 ( .B1(\FS[10] ), .A1(\FS[11] ), + .D0(\ram2e_ufm/N_876 ), .C0(\ram2e_ufm/wb_dati_7_0_0_a3_6_1[3] ), + .B0(\ram2e_ufm/N_206 ), .A0(\ram2e_ufm/N_793 ), + .F0(\ram2e_ufm/wb_dati_7_0_0_RNO_0[7] ), + .F1(\ram2e_ufm/wb_dati_7_0_0_a3_6_1[3] )); + ram2e_ufm_SLICE_89 \ram2e_ufm/SLICE_89 ( .D1(\FS[13] ), + .B1(\ram2e_ufm/N_777 ), .A1(\FS[14] ), + .D0(\ram2e_ufm/wb_dati_7_0_0_0_a3_0[3] ), .C0(\ram2e_ufm/N_783 ), + .B0(\ram2e_ufm/wb_adr[3] ), .A0(\S[2] ), + .F0(\ram2e_ufm/wb_dati_7_0_0_0_0[3] ), .F1(\ram2e_ufm/N_783 )); + ram2e_ufm_SLICE_90 \ram2e_ufm/SLICE_90 ( .D1(\FS[10] ), + .C1(\ram2e_ufm/N_206 ), .B1(\FS[12] ), .A1(\FS[11] ), + .D0(\ram2e_ufm/wb_adr[6] ), .C0(\ram2e_ufm/N_783 ), + .B0(\ram2e_ufm/wb_dati_7_0_0_a3_1[6] ), .A0(\S[2] ), + .F0(\ram2e_ufm/wb_dati_7_0_0_0[6] ), + .F1(\ram2e_ufm/wb_dati_7_0_0_a3_1[6] )); + ram2e_ufm_SLICE_91 \ram2e_ufm/SLICE_91 ( .D1(CO0_1), .C1(\RC[1] ), + .B1(\RC[2] ), .A1(\ram2e_ufm/N_817 ), .D0(\ram2e_ufm/N_256 ), + .C0(\ram2e_ufm/N_784 ), .B0(\ram2e_ufm/N_890 ), .A0(\ram2e_ufm/N_285_i ), + .F0(\ram2e_ufm/nRAS_s_i_0_0 ), .F1(\ram2e_ufm/N_890 )); + ram2e_ufm_SLICE_92 \ram2e_ufm/SLICE_92 ( .D1(nWE_c), .C1(N_551), + .B1(\ram2e_ufm/N_804 ), .A1(\S[1] ), .D0(\ram2e_ufm/N_890 ), + .C0(\ram2e_ufm/N_285_i ), .B0(\ram2e_ufm/N_220 ), .A0(\S[0] ), + .F0(\ram2e_ufm/N_640 ), .F1(\ram2e_ufm/N_220 )); + ram2e_ufm_SLICE_93 \ram2e_ufm/SLICE_93 ( .D1(\FS[9] ), .C1(\FS[8] ), + .B1(\FS[10] ), .A1(\FS[11] ), .C0(\ram2e_ufm/N_783 ), .B0(\FS[12] ), + .A0(\ram2e_ufm/N_196 ), .F0(\ram2e_ufm/N_760 ), .F1(\ram2e_ufm/N_196 )); + ram2e_ufm_SLICE_94 \ram2e_ufm/SLICE_94 ( .D1(\Din_c[7] ), .C1(\Din_c[4] ), + .D0(\Din_c[0] ), .C0(\CS[2] ), .B0(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_o3 ), + .A0(\ram2e_ufm/N_243 ), .F0(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_2_2 ), + .F1(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_o3 )); + ram2e_ufm_SLICE_95 \ram2e_ufm/SLICE_95 ( .D1(\S[2] ), .C1(\S[1] ), + .B1(\S[0] ), .A1(\S[3] ), .D0(\Ain_c[4] ), .C0(\ram2e_ufm/N_186 ), + .B0(\ram2e_ufm/N_182 ), .A0(\RA[4] ), .F0(\ram2e_ufm/RA_35_0_0_0[4] ), + .F1(\ram2e_ufm/N_182 )); + ram2e_ufm_SLICE_96 \ram2e_ufm/SLICE_96 ( .D1(\S[2] ), .C1(\S[1] ), + .B1(\S[0] ), .A1(\S[3] ), .D0(\ram2e_ufm/N_182 ), .C0(\ram2e_ufm/N_186 ), + .B0(\RA[6] ), .A0(\Ain_c[6] ), .F0(\ram2e_ufm/RA_35_0_0_0_0[6] ), + .F1(\ram2e_ufm/N_186 )); + ram2e_ufm_SLICE_97 \ram2e_ufm/SLICE_97 ( .D1(\FS[13] ), + .C1(\ram2e_ufm/N_873 ), .A1(\FS[12] ), .D0(\ram2e_ufm/wb_adr[1] ), + .C0(\ram2e_ufm/N_781 ), .B0(\ram2e_ufm/wb_dati_7_0_0_a3_0_0[1] ), + .A0(\S[2] ), .F0(\ram2e_ufm/wb_dati_7_0_0_0[1] ), + .F1(\ram2e_ufm/wb_dati_7_0_0_a3_0_0[1] )); + ram2e_ufm_SLICE_98 \ram2e_ufm/SLICE_98 ( .D1(\FS[14] ), + .C1(\ram2e_ufm/N_777 ), .D0(\ram2e_ufm/wb_adr[7] ), + .C0(\ram2e_ufm/wb_dati_7_0_0_a3_8_0[7] ), .B0(\ram2e_ufm/N_781 ), + .A0(\S[2] ), .F0(\ram2e_ufm/wb_dati_7_0_0_0_0[7] ), .F1(\ram2e_ufm/N_781 )); + ram2e_ufm_SLICE_99 \ram2e_ufm/SLICE_99 ( .D1(\FS[11] ), .B1(\FS[10] ), + .A1(\FS[8] ), .D0(\ram2e_ufm/wb_adr_7_i_i_a3_2_0[0] ), + .C0(\ram2e_ufm/N_781 ), .B0(\ram2e_ufm/N_565 ), .A0(\FS[12] ), + .F0(\ram2e_ufm/wb_adr_7_i_i_1[0] ), + .F1(\ram2e_ufm/wb_adr_7_i_i_a3_2_0[0] )); + ram2e_ufm_SLICE_100 \ram2e_ufm/SLICE_100 ( .D1(\Din_c[1] ), .C1(\Din_c[3] ), + .B1(\Din_c[5] ), .A1(\Din_c[2] ), .D0(\ram2e_ufm/N_243 ), .C0(\CS[2] ), + .B0(\Din_c[7] ), .A0(\Din_c[4] ), .F0(\ram2e_ufm/N_345 ), + .F1(\ram2e_ufm/N_243 )); + ram2e_ufm_SLICE_101 \ram2e_ufm/SLICE_101 ( .C1(\Din_c[3] ), .B1(\Din_c[5] ), + .D0(\ram2e_ufm/N_190 ), .C0(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_5_1 ), + .B0(\CS[1] ), .A0(\ram2e_ufm/N_850 ), + .F0(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_o2 ), .F1(\ram2e_ufm/N_190 )); + ram2e_ufm_SLICE_102 \ram2e_ufm/SLICE_102 ( .D1(\S[2] ), .C1(\S[1] ), + .A1(\S[3] ), .C0(\ram2e_ufm/N_817 ), .B0(\ram2e_ufm/N_220 ), + .A0(\ram2e_ufm/CKE_7s2_0_0_0 ), .F0(\ram2e_ufm/CKE_7_sm0 ), + .F1(\ram2e_ufm/N_817 )); + ram2e_ufm_SLICE_103 \ram2e_ufm/SLICE_103 ( .D1(\FS[13] ), .A1(\FS[12] ), + .D0(\ram2e_ufm/N_204 ), .C0(\ram2e_ufm/N_184 ), .B0(\ram2e_ufm/N_799 ), + .A0(\ram2e_ufm/wb_adr_7_5_41_a3_3_0 ), .F0(\ram2e_ufm/wb_adr_7_5_41_0_1 ), + .F1(\ram2e_ufm/N_184 )); + ram2e_ufm_SLICE_104 \ram2e_ufm/SLICE_104 ( .D1(\FS[8] ), .C1(\FS[11] ), + .B1(\FS[9] ), .A1(\FS[10] ), .C0(\ram2e_ufm/N_595 ), .B0(\FS[12] ), + .F0(\ram2e_ufm/wb_dati_7_0_0_0_a3_0[3] ), .F1(\ram2e_ufm/N_595 )); + ram2e_ufm_SLICE_105 \ram2e_ufm/SLICE_105 ( .D1(\ram2e_ufm/nRWE_s_i_0_63_1 ), + .C1(\ram2e_ufm/N_285_i ), .B1(\ram2e_ufm/S_r_i_0_o2[1] ), .A1(\S[3] ), + .D0(\ram2e_ufm/wb_rst16_i ), .C0(\FS[15] ), .B0(\FS[0] ), + .F0(\ram2e_ufm/N_285_i ), .F1(\ram2e_ufm/S_r_i_0_o2_RNI62C53[1] )); + ram2e_ufm_SLICE_106 \ram2e_ufm/SLICE_106 ( .C1(\S[1] ), .A1(\S[0] ), + .D0(\ram2e_ufm/S_r_i_0_o2[1] ), .C0(\ram2e_ufm/N_194 ), .B0(\RA[10] ), + .A0(\S[2] ), .F0(\ram2e_ufm/N_624 ), .F1(\ram2e_ufm/N_194 )); + ram2e_ufm_SLICE_107 \ram2e_ufm/SLICE_107 ( .D1(\FS[4] ), .C1(\S[2] ), + .B1(\S[0] ), .A1(\S[3] ), .D0(\FS[5] ), .C0(\ram2e_ufm/N_792 ), + .B0(\FS[8] ), .A0(\FS[3] ), .F0(\ram2e_ufm/N_659 ), .F1(\ram2e_ufm/N_792 )); + ram2e_ufm_SLICE_108 \ram2e_ufm/SLICE_108 ( + .D1(\ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_o3_3[0] ), .C1(\FS[7] ), + .B1(\FS[4] ), .A1(\FS[5] ), .D0(\ram2e_ufm/wb_req ), + .C0(\ram2e_ufm/N_336 ), .B0(\FS[0] ), + .F0(\ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_a3_0_0[0] ), + .F1(\ram2e_ufm/N_336 )); + ram2e_ufm_SLICE_109 \ram2e_ufm/SLICE_109 ( .C1(\FS[14] ), .A1(\S[2] ), + .D0(\FS[11] ), .C0(\ram2e_ufm/N_184 ), .B0(\ram2e_ufm/N_799 ), + .A0(\ram2e_ufm/N_634 ), .F0(\ram2e_ufm/wb_we_7_iv_0_0_3_0_0 ), + .F1(\ram2e_ufm/N_799 )); + ram2e_ufm_SLICE_110 \ram2e_ufm/SLICE_110 ( .D1(\FS[10] ), .C1(\FS[9] ), + .B1(\FS[11] ), .A1(\FS[8] ), .D0(\ram2e_ufm/wb_ack ), + .C0(\ram2e_ufm/N_885 ), + .F0(\ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0_a3_0_0[0] ), + .F1(\ram2e_ufm/N_885 )); + ram2e_ufm_SLICE_111 \ram2e_ufm/SLICE_111 ( .D1(\FS[12] ), + .C1(\ram2e_ufm/N_553 ), .A1(\ram2e_ufm/N_807 ), + .D0(\ram2e_ufm/wb_dati_7_0_0_a3_6_1[3] ), .C0(\FS[13] ), .B0(\FS[9] ), + .A0(\ram2e_ufm/N_811 ), .F0(\ram2e_ufm/N_553 ), .F1(\ram2e_ufm/N_611 )); + ram2e_ufm_SLICE_112 \ram2e_ufm/SLICE_112 ( .D1(\S[1] ), .B1(\S[0] ), + .A1(\ram2e_ufm/N_285_i ), .D0(\S[2] ), .C0(\ram2e_ufm/N_866 ), .B0(nWE_c), + .A0(nEN80_c), .F0(\ram2e_ufm/N_616 ), .F1(\ram2e_ufm/N_866 )); + ram2e_ufm_SLICE_113 \ram2e_ufm/SLICE_113 ( .D1(\S[2] ), .B1(nEN80_c), + .A1(\S[3] ), .D0(\S[1] ), .C0(\ram2e_ufm/N_804 ), .B0(nWE_c), .A0(\S[0] ), + .F0(\ram2e_ufm/N_628 ), .F1(\ram2e_ufm/N_804 )); + ram2e_ufm_SLICE_114 \ram2e_ufm/SLICE_114 ( .C1(\FS[10] ), .B1(\FS[9] ), + .A1(\FS[8] ), .D0(\ram2e_ufm/N_241_i ), .C0(\ram2e_ufm/N_799 ), + .B0(\FS[12] ), .A0(\FS[11] ), .F0(\ram2e_ufm/N_768 ), + .F1(\ram2e_ufm/N_241_i )); + ram2e_ufm_SLICE_115 \ram2e_ufm/SLICE_115 ( .D1(\S[2] ), .C1(\S[1] ), + .D0(\S[0] ), .C0(\ram2e_ufm/N_221 ), .B0(nEN80_c), .A0(\S[3] ), + .F0(\ram2e_ufm/CKE_7s2_0_0_0 ), .F1(\ram2e_ufm/N_221 )); + ram2e_ufm_SLICE_116 \ram2e_ufm/SLICE_116 ( + .D1(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_o3 ), .C1(\ram2e_ufm/N_814 ), + .B1(\Din_c[5] ), .A1(\Din_c[3] ), .C0(\Din_c[0] ), .B0(\Din_c[2] ), + .A0(\Din_c[1] ), .F0(\ram2e_ufm/N_814 ), .F1(\ram2e_ufm/N_851 )); + ram2e_ufm_SLICE_117 \ram2e_ufm/SLICE_117 ( .D1(\S[2] ), .C1(\S[1] ), + .B1(\S[3] ), .A1(\S[0] ), .D0(\S[2] ), .C0(\S[1] ), .B0(\S[3] ), + .A0(\S[0] ), .F0(N_225_i), .F1(\ram2e_ufm/N_643 )); + ram2e_ufm_SLICE_118 \ram2e_ufm/SLICE_118 ( .D1(\S[2] ), .C1(\S[1] ), + .B1(\S[0] ), .A1(\S[3] ), .D0(\S[2] ), .C0(\S[1] ), .B0(\S[0] ), + .A0(\S[3] ), .F0(N_201_i), .F1(RC12)); + ram2e_ufm_SLICE_119 \ram2e_ufm/SLICE_119 ( .D1(\S[2] ), .C1(\S[1] ), + .B1(\S[0] ), .A1(\S[3] ), .D0(\S[2] ), .C0(\S[1] ), .B0(\S[0] ), + .A0(\S[3] ), .F0(N_126), .F1(N_185_i)); + ram2e_ufm_SLICE_120 \ram2e_ufm/SLICE_120 ( .D1(\S[3] ), .C1(\RWBank[0] ), + .B1(\FS[15] ), .A1(\S[0] ), .D0(\S[3] ), .C0(\RWBank[0] ), .B0(\FS[15] ), + .A0(\S[0] ), .F0(N_507_i), .F1(N_508)); + ram2e_ufm_SLICE_121 \ram2e_ufm/SLICE_121 ( .D1(\S[2] ), .C1(\S[1] ), + .B1(\S[3] ), .A1(\S[0] ), .D0(\S[2] ), .C0(\S[1] ), .B0(\S[3] ), + .A0(\S[0] ), .F0(\ram2e_ufm/N_242 ), .F1(Vout3)); + ram2e_ufm_SLICE_122 \ram2e_ufm/SLICE_122 ( .D1(\FS[3] ), .C1(\FS[2] ), + .B1(\FS[1] ), .A1(\FS[4] ), .D0(\FS[3] ), .C0(\FS[2] ), .B0(\FS[1] ), + .A0(\FS[4] ), .F0(\ram2e_ufm/N_254 ), .F1(\ram2e_ufm/nRWE_s_i_0_63_1 )); + ram2e_ufm_SLICE_123 \ram2e_ufm/SLICE_123 ( .D1(\FS[8] ), .C1(\FS[11] ), + .B1(\FS[9] ), .D0(\FS[10] ), .C0(\FS[11] ), .B0(\FS[9] ), .A0(\FS[8] ), + .F0(\ram2e_ufm/N_849 ), .F1(\ram2e_ufm/N_204 )); + ram2e_ufm_SLICE_124 \ram2e_ufm/SLICE_124 ( .D1(\FS[4] ), .C1(\FS[12] ), + .B1(\ram2e_ufm/N_784 ), .A1(\FS[3] ), .D0(\FS[4] ), .C0(\FS[1] ), + .B0(\ram2e_ufm/N_784 ), .A0(\FS[3] ), .F0(\ram2e_ufm/N_684 ), + .F1(\ram2e_ufm/RA_35_0_0_0[5] )); + ram2e_ufm_SLICE_125 \ram2e_ufm/SLICE_125 ( .D1(\FS[9] ), .C1(\FS[11] ), + .B1(\FS[13] ), .A1(\FS[8] ), .D0(\FS[8] ), .C0(\FS[11] ), .B0(\FS[9] ), + .A0(\ram2e_ufm/N_793 ), .F0(\ram2e_ufm/N_763 ), .F1(\ram2e_ufm/N_565 )); + ram2e_ufm_SLICE_126 \ram2e_ufm/SLICE_126 ( .D1(\FS[8] ), .C1(\FS[10] ), + .B1(\FS[9] ), .A1(\FS[12] ), .D0(\ram2e_ufm/N_781 ), .C0(\FS[10] ), + .B0(\FS[9] ), .A0(\FS[12] ), .F0(\ram2e_ufm/N_753 ), + .F1(\ram2e_ufm/N_208 )); + ram2e_ufm_SLICE_127 \ram2e_ufm/SLICE_127 ( .D1(\S[2] ), .C1(\S[0] ), + .B1(\S[3] ), .A1(\S[1] ), .D0(\S[2] ), .C0(\S[0] ), .B0(\S[3] ), + .A0(\RWBank[7] ), .F0(\ram2e_ufm/N_698 ), .F1(un9_VOEEN_0_a2_0_a3_0_a3)); + ram2e_ufm_SLICE_128 \ram2e_ufm/SLICE_128 ( .D1(\FS[13] ), .C1(\FS[11] ), + .B1(\FS[10] ), .A1(\FS[12] ), .D0(\FS[13] ), .C0(\FS[12] ), .B0(\FS[10] ), + .F0(\ram2e_ufm/wb_adr_7_5_41_a3_3_0 ), + .F1(\ram2e_ufm/wb_dati_7_0_0_0_a3_0[0] )); + ram2e_ufm_SLICE_129 \ram2e_ufm/SLICE_129 ( .D1(\S[0] ), + .C1(\ram2e_ufm/N_560 ), .B1(\FS[4] ), .A1(\RWBank[6] ), .D0(\S[0] ), + .C0(N_551), .B0(\FS[4] ), .A0(\FS[1] ), .F0(\ram2e_ufm/N_627 ), + .F1(\BA_4[1] )); + ram2e_ufm_SLICE_130 \ram2e_ufm/SLICE_130 ( .C1(RWSel), + .A1(\ram2e_ufm/N_185 ), .D0(\ram2e_ufm/N_777 ), .C0(RWSel), + .B0(\ram2e_ufm/CmdExecMXO2 ), .A0(\ram2e_ufm/N_185 ), + .F0(\ram2e_ufm/wb_we_RNO_0 ), .F1(N_187_i)); + ram2e_ufm_SLICE_131 \ram2e_ufm/SLICE_131 ( .D1(\FS[1] ), .C1(\FS[13] ), + .B1(\FS[12] ), .A1(\FS[3] ), .D0(\FS[13] ), .C0(\ram2e_ufm/N_856 ), + .B0(\ram2e_ufm/N_811 ), .A0(\FS[12] ), .F0(\ram2e_ufm/N_602 ), + .F1(\ram2e_ufm/Ready3_0_a3_5 )); + ram2e_ufm_SLICE_132 \ram2e_ufm/SLICE_132 ( .D1(\ram2e_ufm/N_182 ), + .C1(\Ain_c[0] ), .B1(\ram2e_ufm/N_186 ), .A1(\RA[0] ), + .D0(\ram2e_ufm/N_182 ), .C0(\Ain_c[7] ), .B0(\RA[7] ), + .A0(\ram2e_ufm/N_186 ), .F0(\ram2e_ufm/RA_35_0_0_0_0[7] ), + .F1(\ram2e_ufm/RA_35_0_0_1[0] )); + ram2e_ufm_SLICE_133 \ram2e_ufm/SLICE_133 ( .D1(\Din_c[2] ), .C1(\Din_c[0] ), + .B1(\Din_c[4] ), .A1(RWSel), .D0(\Din_c[2] ), .C0(\ram2e_ufm/N_338 ), + .B0(\Din_c[4] ), .F0(\ram2e_ufm/N_350 ), + .F1(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_0_1 )); + ram2e_ufm_SLICE_134 \ram2e_ufm/SLICE_134 ( .D1(\FS[6] ), .C1(\FS[3] ), + .B1(\FS[1] ), .A1(\FS[2] ), .D0(\FS[6] ), .C0(\FS[3] ), .B0(\FS[9] ), + .A0(\ram2e_ufm/N_792 ), .F0(\ram2e_ufm/N_679 ), + .F1(\ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_o3_3[0] )); + ram2e_ufm_SLICE_135 \ram2e_ufm/SLICE_135 ( .D1(\S[3] ), .C1(\S[2] ), + .D0(\S[3] ), .C0(\S[2] ), .B0(nEN80_c), .A0(\ram2e_ufm/S_r_i_0_o2[1] ), + .F0(\ram2e_ufm/N_625 ), .F1(\ram2e_ufm/N_271 )); + ram2e_ufm_SLICE_136 \ram2e_ufm/SLICE_136 ( .D1(nEN80_c), .C1(\S[3] ), + .B1(nWE_c), .C0(nEN80_c), .B0(nWE_c), .A0(DOEEN), .F0(nDOE_c), + .F1(\ram2e_ufm/N_226 )); + ram2e_ufm_SLICE_137 \ram2e_ufm/SLICE_137 ( .D1(nWE_c), .C1(nEN80_c), + .A1(Ready), .D0(\ram2e_ufm/LEDEN ), .C0(nEN80_c), .A0(Ready), .F0(LED_c), + .F1(RDOE_i)); + SLICE_138 SLICE_138( .C1(\S[0] ), .B1(\S[3] ), .A1(\S[1] ), .D0(\S[2] ), + .C0(\S[0] ), .B0(\S[1] ), .F0(N_1080_0), .F1(N_1078_0)); + SLICE_139 SLICE_139( .C1(VOEEN), .A1(PHI1_c), .D0(Ready), .B0(PHI1r), + .A0(PHI1_c), .F0(S_1), .F1(nVOE_c)); + ram2e_ufm_SLICE_140 \ram2e_ufm/SLICE_140 ( .C1(\ram2e_ufm/N_186 ), + .A1(\RA[2] ), .D0(\RA[5] ), .C0(\ram2e_ufm/N_186 ), .F0(\ram2e_ufm/N_621 ), + .F1(\ram2e_ufm/N_680 )); + ram2e_ufm_SLICE_141 \ram2e_ufm/SLICE_141 ( .D1(Ready), .C1(\Din_c[0] ), + .D0(Ready), .B0(\Din_c[3] ), .F0(N_263_i), .F1(N_667)); + ram2e_ufm_SLICE_142 \ram2e_ufm/SLICE_142 ( .D1(\Din_c[4] ), .C1(\Din_c[0] ), + .B1(\Din_c[7] ), .D0(\Din_c[4] ), .B0(Ready), .F0(N_648), + .F1(\ram2e_ufm/CmdLEDGet_3_0_a3_1 )); + ram2e_ufm_SLICE_143 \ram2e_ufm/SLICE_143 ( .D1(\Din_c[1] ), .B1(Ready), + .D0(\Din_c[7] ), .B0(Ready), .F0(N_662), .F1(N_666)); + ram2e_ufm_SLICE_144 \ram2e_ufm/SLICE_144 ( .B1(Ready), .A1(\Din_c[2] ), + .D0(\Din_c[6] ), .B0(Ready), .F0(N_663), .F1(N_665)); + ram2e_ufm_SLICE_145 \ram2e_ufm/SLICE_145 ( .D1(\ram2e_ufm/N_873 ), + .C1(\ram2e_ufm/wb_adr[4] ), .B1(\ram2e_ufm/N_783 ), .A1(\S[2] ), + .C0(\FS[8] ), .B0(\FS[9] ), .F0(\ram2e_ufm/N_206 ), + .F1(\ram2e_ufm/wb_dati_7_0_0_0[4] )); + ram2e_ufm_SLICE_146 \ram2e_ufm/SLICE_146 ( .D1(\FS[4] ), .C1(\RWBank[2] ), + .B1(\ram2e_ufm/N_784 ), .A1(\ram2e_ufm/N_845 ), .D0(\FS[0] ), .C0(\FS[6] ), + .B0(\FS[5] ), .A0(\FS[7] ), .F0(\ram2e_ufm/Ready3_0_a3_3 ), + .F1(\ram2e_ufm/RA_35_0_0_0[9] )); + ram2e_ufm_SLICE_147 \ram2e_ufm/SLICE_147 ( .D1(CmdLEDGet), + .C1(\ram2e_ufm/LEDEN ), .B1(CmdSetRWBankFFLED), + .A1(\ram2e_ufm/CmdSetRWBankFFChip ), .C0(\Din_c[5] ), .B0(Ready), + .F0(N_664), .F1(\ram2e_ufm/N_188 )); + RD_0_ \RD[0]_I ( .PADDI(\RD_in[0] ), .PADDT(RDOE_i), .PADDO(N_667), .RD0(RD[0])); LED LED_I( .PADDO(LED_c), .LED(LED)); C14M C14M_I( .PADDI(C14M_c), .C14M(C14M)); - DQMH DQMH_I( .IOLDO(DQMH_c), .DQMH(DQMH)); - DQMH_MGIOL DQMH_MGIOL( .IOLDO(DQMH_c), .OPOS(N_358_i), .CLK(C14M_c)); - DQML DQML_I( .IOLDO(DQML_c), .DQML(DQML)); - DQML_MGIOL DQML_MGIOL( .IOLDO(DQML_c), .OPOS(N_28_i), .CLK(C14M_c)); - RD_7_ \RD[7]_I ( .PADDI(\RD_in[7] ), .PADDT(RDOE_i), .PADDO(\Din_c[7] ), + RD_7_ \RD[7]_I ( .PADDI(\RD_in[7] ), .PADDT(RDOE_i), .PADDO(N_662), .RD7(RD[7])); - RD_6_ \RD[6]_I ( .PADDI(\RD_in[6] ), .PADDT(RDOE_i), .PADDO(\Din_c[6] ), + RD_6_ \RD[6]_I ( .PADDI(\RD_in[6] ), .PADDT(RDOE_i), .PADDO(N_663), .RD6(RD[6])); - RD_5_ \RD[5]_I ( .PADDI(\RD_in[5] ), .PADDT(RDOE_i), .PADDO(\Din_c[5] ), + RD_5_ \RD[5]_I ( .PADDI(\RD_in[5] ), .PADDT(RDOE_i), .PADDO(N_664), .RD5(RD[5])); - RD_4_ \RD[4]_I ( .PADDI(\RD_in[4] ), .PADDT(RDOE_i), .PADDO(\Din_c[4] ), + RD_4_ \RD[4]_I ( .PADDI(\RD_in[4] ), .PADDT(RDOE_i), .PADDO(N_648), .RD4(RD[4])); - RD_3_ \RD[3]_I ( .PADDI(\RD_in[3] ), .PADDT(RDOE_i), .PADDO(\Din_c[3] ), + RD_3_ \RD[3]_I ( .PADDI(\RD_in[3] ), .PADDT(RDOE_i), .PADDO(N_263_i), .RD3(RD[3])); - RD_2_ \RD[2]_I ( .PADDI(\RD_in[2] ), .PADDT(RDOE_i), .PADDO(\Din_c[2] ), + RD_2_ \RD[2]_I ( .PADDI(\RD_in[2] ), .PADDT(RDOE_i), .PADDO(N_665), .RD2(RD[2])); - RD_1_ \RD[1]_I ( .PADDI(\RD_in[1] ), .PADDT(RDOE_i), .PADDO(\Din_c[1] ), + RD_1_ \RD[1]_I ( .PADDI(\RD_in[1] ), .PADDT(RDOE_i), .PADDO(N_666), .RD1(RD[1])); - RA_11_ \RA[11]_I ( .IOLDO(\RA_c[11] ), .RA11(RA[11])); - RA_11__MGIOL \RA[11]_MGIOL ( .IOLDO(\RA_c[11] ), .OPOS(\RA_42[11] ), + DQMH DQMH_I( .IOLDO(DQMH_c), .DQMH(DQMH)); + DQMH_MGIOL DQMH_MGIOL( .IOLDO(DQMH_c), .OPOS(N_508), .CE(N_201_i), .CLK(C14M_c)); - RA_10_ \RA[10]_I ( .IOLDO(\RA_c[10] ), .RA10(RA[10])); - RA_10__MGIOL \RA[10]_MGIOL ( .IOLDO(\RA_c[10] ), .OPOS(\RA_42[10] ), + DQML DQML_I( .IOLDO(DQML_c), .DQML(DQML)); + DQML_MGIOL DQML_MGIOL( .IOLDO(DQML_c), .OPOS(N_507_i), .CE(N_201_i), + .CLK(C14M_c)); + RAout_11_ \RAout[11]_I ( .IOLDO(\RAout_c[11] ), .RAout11(RAout[11])); + RAout_11__MGIOL \RAout[11]_MGIOL ( .IOLDO(\RAout_c[11] ), .OPOS(\RA[11] ), + .CLK(C14M_c)); + RAout_10_ \RAout[10]_I ( .IOLDO(\RAout_c[10] ), .RAout10(RAout[10])); + RAout_10__MGIOL \RAout[10]_MGIOL ( .IOLDO(\RAout_c[10] ), .OPOS(\RA[10] ), + .CLK(C14M_c)); + RAout_9_ \RAout[9]_I ( .IOLDO(\RAout_c[9] ), .RAout9(RAout[9])); + RAout_9__MGIOL \RAout[9]_MGIOL ( .IOLDO(\RAout_c[9] ), .OPOS(\RA[9] ), + .CLK(C14M_c)); + RAout_8_ \RAout[8]_I ( .IOLDO(\RAout_c[8] ), .RAout8(RAout[8])); + RAout_8__MGIOL \RAout[8]_MGIOL ( .IOLDO(\RAout_c[8] ), .OPOS(\RA[8] ), + .CLK(C14M_c)); + RAout_7_ \RAout[7]_I ( .IOLDO(\RAout_c[7] ), .RAout7(RAout[7])); + RAout_7__MGIOL \RAout[7]_MGIOL ( .IOLDO(\RAout_c[7] ), .OPOS(\RA[7] ), + .CLK(C14M_c)); + RAout_6_ \RAout[6]_I ( .IOLDO(\RAout_c[6] ), .RAout6(RAout[6])); + RAout_6__MGIOL \RAout[6]_MGIOL ( .IOLDO(\RAout_c[6] ), .OPOS(\RA[6] ), + .CLK(C14M_c)); + RAout_5_ \RAout[5]_I ( .IOLDO(\RAout_c[5] ), .RAout5(RAout[5])); + RAout_5__MGIOL \RAout[5]_MGIOL ( .IOLDO(\RAout_c[5] ), .OPOS(\RA[5] ), + .CLK(C14M_c)); + RAout_4_ \RAout[4]_I ( .IOLDO(\RAout_c[4] ), .RAout4(RAout[4])); + RAout_4__MGIOL \RAout[4]_MGIOL ( .IOLDO(\RAout_c[4] ), .OPOS(\RA[4] ), + .CLK(C14M_c)); + RAout_3_ \RAout[3]_I ( .IOLDO(\RAout_c[3] ), .RAout3(RAout[3])); + RAout_3__MGIOL \RAout[3]_MGIOL ( .IOLDO(\RAout_c[3] ), .OPOS(\RA[3] ), + .CLK(C14M_c)); + RAout_2_ \RAout[2]_I ( .IOLDO(\RAout_c[2] ), .RAout2(RAout[2])); + RAout_2__MGIOL \RAout[2]_MGIOL ( .IOLDO(\RAout_c[2] ), .OPOS(\RA[2] ), + .CLK(C14M_c)); + RAout_1_ \RAout[1]_I ( .IOLDO(\RAout_c[1] ), .RAout1(RAout[1])); + RAout_1__MGIOL \RAout[1]_MGIOL ( .IOLDO(\RAout_c[1] ), .OPOS(\RA[1] ), + .CLK(C14M_c)); + RAout_0_ \RAout[0]_I ( .IOLDO(\RAout_c[0] ), .RAout0(RAout[0])); + RAout_0__MGIOL \RAout[0]_MGIOL ( .IOLDO(\RAout_c[0] ), .OPOS(\RA[0] ), .CLK(C14M_c)); - RA_9_ \RA[9]_I ( .IOLDO(\RA_c[9] ), .RA9(RA[9])); - RA_9__MGIOL \RA[9]_MGIOL ( .IOLDO(\RA_c[9] ), .OPOS(N_59_i), .CLK(C14M_c)); - RA_8_ \RA[8]_I ( .IOLDO(\RA_c[8] ), .RA8(RA[8])); - RA_8__MGIOL \RA[8]_MGIOL ( .IOLDO(\RA_c[8] ), .OPOS(N_49_i), .CLK(C14M_c)); - RA_7_ \RA[7]_I ( .IOLDO(\RA_c[7] ), .RA7(RA[7])); - RA_7__MGIOL \RA[7]_MGIOL ( .IOLDO(\RA_c[7] ), .OPOS(N_549_i), - .CE(\S_RNII9DO1_1[1] ), .CLK(C14M_c)); - RA_6_ \RA[6]_I ( .IOLDO(\RA_c[6] ), .RA6(RA[6])); - RA_6__MGIOL \RA[6]_MGIOL ( .IOLDO(\RA_c[6] ), .OPOS(N_550_i), - .CE(\S_RNII9DO1_1[1] ), .CLK(C14M_c)); - RA_5_ \RA[5]_I ( .IOLDO(\RA_c[5] ), .RA5(RA[5])); - RA_5__MGIOL \RA[5]_MGIOL ( .IOLDO(\RA_c[5] ), .OPOS(\RA_42_3_0[5] ), - .CE(\S_RNII9DO1_1[1] ), .CLK(C14M_c)); - RA_4_ \RA[4]_I ( .IOLDO(\RA_c[4] ), .RA4(RA[4])); - RA_4__MGIOL \RA[4]_MGIOL ( .IOLDO(\RA_c[4] ), .OPOS(N_551_i), - .CE(\S_RNII9DO1_1[1] ), .CLK(C14M_c)); - RA_3_ \RA[3]_I ( .PADDO(\RA_c[3] ), .RA3(RA[3])); - RA_2_ \RA[2]_I ( .IOLDO(\RA_c[2] ), .RA2(RA[2])); - RA_2__MGIOL \RA[2]_MGIOL ( .IOLDO(\RA_c[2] ), .OPOS(N_553_i), - .CE(\S_RNII9DO1_1[1] ), .CLK(C14M_c)); - RA_1_ \RA[1]_I ( .IOLDO(\RA_c[1] ), .RA1(RA[1])); - RA_1__MGIOL \RA[1]_MGIOL ( .IOLDO(\RA_c[1] ), .OPOS(N_558_i), - .CE(\S_RNII9DO1_1[1] ), .CLK(C14M_c)); - RA_0_ \RA[0]_I ( .PADDO(\RA_c[0] ), .RA0(RA[0])); BA_1_ \BA[1]_I ( .IOLDO(\BA_c[1] ), .BA1(BA[1])); - BA_1__MGIOL \BA[1]_MGIOL ( .IOLDO(\BA_c[1] ), .OPOS(\BA_4[1] ), - .LSR(N_566_i), .CLK(C14M_c)); + BA_1__MGIOL \BA[1]_MGIOL ( .IOLDO(\BA_c[1] ), .OPOS(\BA_4[1] ), .CE(N_225_i), + .LSR(BA_0_sqmuxa), .CLK(C14M_c)); BA_0_ \BA[0]_I ( .IOLDO(\BA_c[0] ), .BA0(BA[0])); - BA_0__MGIOL \BA[0]_MGIOL ( .IOLDO(\BA_c[0] ), .OPOS(\BA_4[0] ), - .LSR(N_566_i), .CLK(C14M_c)); - nRWE nRWE_I( .IOLDO(nRWE_c), .nRWE(nRWE)); - nRWE_MGIOL nRWE_MGIOL( .IOLDO(nRWE_c), .OPOS(nRWE_r_0), .CLK(C14M_c)); - nCAS nCAS_I( .IOLDO(nCAS_c), .nCAS(nCAS)); - nCAS_MGIOL nCAS_MGIOL( .IOLDO(nCAS_c), .OPOS(N_561_i), .CLK(C14M_c)); - nRAS nRAS_I( .IOLDO(nRAS_c), .nRAS(nRAS)); - nRAS_MGIOL nRAS_MGIOL( .IOLDO(nRAS_c), .OPOS(nRAS_2_iv_i), .CLK(C14M_c)); - nCS nCS_I( .IOLDO(nCS_c), .nCS(nCS)); - nCS_MGIOL nCS_MGIOL( .IOLDO(nCS_c), .OPOS(N_559_i), .CLK(C14M_c)); - CKE CKE_I( .IOLDO(CKE_c), .CKE(CKE)); - CKE_MGIOL CKE_MGIOL( .IOLDO(CKE_c), .OPOS(CKE_6_iv_i_0), .CLK(C14M_c)); - nVOE nVOE_I( .PADDO(PHI1_c), .nVOE(nVOE)); + BA_0__MGIOL \BA[0]_MGIOL ( .IOLDO(\BA_c[0] ), .OPOS(\BA_4[0] ), .CE(N_225_i), + .LSR(BA_0_sqmuxa), .CLK(C14M_c)); + nRWEout nRWEout_I( .IOLDO(nRWEout_c), .nRWEout(nRWEout)); + nRWEout_MGIOL nRWEout_MGIOL( .IOLDO(nRWEout_c), .OPOS(nRWE), .CLK(C14M_c)); + nCASout nCASout_I( .IOLDO(nCASout_c), .nCASout(nCASout)); + nCASout_MGIOL nCASout_MGIOL( .IOLDO(nCASout_c), .OPOS(nCAS), .CLK(C14M_c)); + nRASout nRASout_I( .IOLDO(nRASout_c), .nRASout(nRASout)); + nRASout_MGIOL nRASout_MGIOL( .IOLDO(nRASout_c), .OPOS(nRAS), .CLK(C14M_c)); + nCSout nCSout_I( .PADDO(GND), .nCSout(nCSout)); + CKEout CKEout_I( .IOLDO(CKEout_c), .CKEout(CKEout)); + CKEout_MGIOL CKEout_MGIOL( .IOLDO(CKEout_c), .OPOS(CKE), .CLK(C14M_c)); + nVOE nVOE_I( .PADDO(nVOE_c), .nVOE(nVOE)); Vout_7_ \Vout[7]_I ( .IOLDO(\Vout_c[7] ), .Vout7(Vout[7])); Vout_7__MGIOL \Vout[7]_MGIOL ( .IOLDO(\Vout_c[7] ), .OPOS(\RD_in[7] ), .CE(Vout3), .CLK(C14M_c)); @@ -573,30 +897,14 @@ module RAM2E ( C14M, PHI1, LED, nWE, nWE80, nEN80, nC07X, Ain, Din, Dout, nDOE, Vout_0__MGIOL \Vout[0]_MGIOL ( .IOLDO(\Vout_c[0] ), .OPOS(\RD_in[0] ), .CE(Vout3), .CLK(C14M_c)); nDOE nDOE_I( .PADDO(nDOE_c), .nDOE(nDOE)); - Dout_7_ \Dout[7]_I ( .IOLDO(\Dout_c[7] ), .Dout7(Dout[7])); - Dout_7__MGIOL \Dout[7]_MGIOL ( .IOLDO(\Dout_c[7] ), .OPOS(\RD_in[7] ), - .CE(N_576_i), .CLK(C14M_c)); - Dout_6_ \Dout[6]_I ( .IOLDO(\Dout_c[6] ), .Dout6(Dout[6])); - Dout_6__MGIOL \Dout[6]_MGIOL ( .IOLDO(\Dout_c[6] ), .OPOS(\RD_in[6] ), - .CE(N_576_i), .CLK(C14M_c)); - Dout_5_ \Dout[5]_I ( .IOLDO(\Dout_c[5] ), .Dout5(Dout[5])); - Dout_5__MGIOL \Dout[5]_MGIOL ( .IOLDO(\Dout_c[5] ), .OPOS(\RD_in[5] ), - .CE(N_576_i), .CLK(C14M_c)); - Dout_4_ \Dout[4]_I ( .IOLDO(\Dout_c[4] ), .Dout4(Dout[4])); - Dout_4__MGIOL \Dout[4]_MGIOL ( .IOLDO(\Dout_c[4] ), .OPOS(\RD_in[4] ), - .CE(N_576_i), .CLK(C14M_c)); - Dout_3_ \Dout[3]_I ( .IOLDO(\Dout_c[3] ), .Dout3(Dout[3])); - Dout_3__MGIOL \Dout[3]_MGIOL ( .IOLDO(\Dout_c[3] ), .OPOS(\RD_in[3] ), - .CE(N_576_i), .CLK(C14M_c)); - Dout_2_ \Dout[2]_I ( .IOLDO(\Dout_c[2] ), .Dout2(Dout[2])); - Dout_2__MGIOL \Dout[2]_MGIOL ( .IOLDO(\Dout_c[2] ), .OPOS(\RD_in[2] ), - .CE(N_576_i), .CLK(C14M_c)); - Dout_1_ \Dout[1]_I ( .IOLDO(\Dout_c[1] ), .Dout1(Dout[1])); - Dout_1__MGIOL \Dout[1]_MGIOL ( .IOLDO(\Dout_c[1] ), .OPOS(\RD_in[1] ), - .CE(N_576_i), .CLK(C14M_c)); - Dout_0_ \Dout[0]_I ( .IOLDO(\Dout_c[0] ), .Dout0(Dout[0])); - Dout_0__MGIOL \Dout[0]_MGIOL ( .IOLDO(\Dout_c[0] ), .OPOS(\RD_in[0] ), - .CE(N_576_i), .CLK(C14M_c)); + Dout_7_ \Dout[7]_I ( .PADDO(\RD_in[7] ), .Dout7(Dout[7])); + Dout_6_ \Dout[6]_I ( .PADDO(\RD_in[6] ), .Dout6(Dout[6])); + Dout_5_ \Dout[5]_I ( .PADDO(\RD_in[5] ), .Dout5(Dout[5])); + Dout_4_ \Dout[4]_I ( .PADDO(\RD_in[4] ), .Dout4(Dout[4])); + Dout_3_ \Dout[3]_I ( .PADDO(\RD_in[3] ), .Dout3(Dout[3])); + Dout_2_ \Dout[2]_I ( .PADDO(\RD_in[2] ), .Dout2(Dout[2])); + Dout_1_ \Dout[1]_I ( .PADDO(\RD_in[1] ), .Dout1(Dout[1])); + Dout_0_ \Dout[0]_I ( .PADDO(\RD_in[0] ), .Dout0(Dout[0])); Din_7_ \Din[7]_I ( .PADDI(\Din_c[7] ), .Din7(Din[7])); Din_6_ \Din[6]_I ( .PADDI(\Din_c[6] ), .Din6(Din[6])); Din_5_ \Din[5]_I ( .PADDI(\Din_c[5] ), .Din5(Din[5])); @@ -615,21 +923,25 @@ module RAM2E ( C14M, PHI1, LED, nWE, nWE80, nEN80, nC07X, Ain, Din, Dout, nDOE, Ain_0_ \Ain[0]_I ( .PADDI(\Ain_c[0] ), .Ain0(Ain[0])); nC07X nC07X_I( .PADDI(nC07X_c), .nC07X(nC07X)); nEN80 nEN80_I( .PADDI(nEN80_c), .nEN80(nEN80)); - nWE80 nWE80_I( .PADDI(nWE80_c), .nWE80(nWE80)); nWE nWE_I( .PADDI(nWE_c), .nWE(nWE)); PHI1 PHI1_I( .PADDI(PHI1_c), .PHI1(PHI1)); - PHI1_MGIOL PHI1_MGIOL( .DI(PHI1_c), .CLK(C14M_c), .IN(PHI1reg)); - ufmefb_EFBInst_0 \ufmefb/EFBInst_0 ( .WBCLKI(C14M_c), .WBRSTI(wb_rst), - .WBCYCI(wb_cyc_stb), .WBSTBI(wb_cyc_stb), .WBWEI(wb_we), - .WBADRI0(\wb_adr[0] ), .WBADRI1(\wb_adr[1] ), .WBADRI2(\wb_adr[2] ), - .WBADRI3(\wb_adr[3] ), .WBADRI4(\wb_adr[4] ), .WBADRI5(\wb_adr[5] ), - .WBADRI6(\wb_adr[6] ), .WBADRI7(\wb_adr[7] ), .WBDATI0(\wb_dati[0] ), - .WBDATI1(\wb_dati[1] ), .WBDATI2(\wb_dati[2] ), .WBDATI3(\wb_dati[3] ), - .WBDATI4(\wb_dati[4] ), .WBDATI5(\wb_dati[5] ), .WBDATI6(\wb_dati[6] ), - .WBDATI7(\wb_dati[7] ), .WBDATO0(\wb_dato[0] ), .WBDATO1(\wb_dato[1] ), - .WBDATO2(\wb_dato[2] ), .WBDATO3(\wb_dato[3] ), .WBDATO4(\wb_dato[4] ), - .WBDATO5(\wb_dato[5] ), .WBDATO6(\wb_dato[6] ), .WBDATO7(\wb_dato[7] ), - .WBACKO(wb_ack)); + PHI1_MGIOL PHI1_MGIOL( .DI(PHI1_c), .CLK(C14M_c), .IN(PHI1r)); + ram2e_ufm_ufmefb_EFBInst_0 \ram2e_ufm/ufmefb/EFBInst_0 ( .WBCLKI(C14M_c), + .WBRSTI(\ram2e_ufm/wb_rst ), .WBCYCI(\ram2e_ufm/wb_cyc_stb ), + .WBSTBI(\ram2e_ufm/wb_cyc_stb ), .WBWEI(\ram2e_ufm/wb_we ), + .WBADRI0(\ram2e_ufm/wb_adr[0] ), .WBADRI1(\ram2e_ufm/wb_adr[1] ), + .WBADRI2(\ram2e_ufm/wb_adr[2] ), .WBADRI3(\ram2e_ufm/wb_adr[3] ), + .WBADRI4(\ram2e_ufm/wb_adr[4] ), .WBADRI5(\ram2e_ufm/wb_adr[5] ), + .WBADRI6(\ram2e_ufm/wb_adr[6] ), .WBADRI7(\ram2e_ufm/wb_adr[7] ), + .WBDATI0(\ram2e_ufm/wb_dati[0] ), .WBDATI1(\ram2e_ufm/wb_dati[1] ), + .WBDATI2(\ram2e_ufm/wb_dati[2] ), .WBDATI3(\ram2e_ufm/wb_dati[3] ), + .WBDATI4(\ram2e_ufm/wb_dati[4] ), .WBDATI5(\ram2e_ufm/wb_dati[5] ), + .WBDATI6(\ram2e_ufm/wb_dati[6] ), .WBDATI7(\ram2e_ufm/wb_dati[7] ), + .WBDATO0(\ram2e_ufm/wb_dato[0] ), .WBDATO1(\ram2e_ufm/wb_dato[1] ), + .WBDATO2(\ram2e_ufm/wb_dato[2] ), .WBDATO3(\ram2e_ufm/wb_dato[3] ), + .WBDATO4(\ram2e_ufm/wb_dato[4] ), .WBDATO5(\ram2e_ufm/wb_dato[5] ), + .WBDATO6(\ram2e_ufm/wb_dato[6] ), .WBDATO7(\ram2e_ufm/wb_dato[7] ), + .WBACKO(\ram2e_ufm/wb_ack )); VHI VHI_INST( .Z(VCCI)); PUR PUR_INST( .PUR(VCCI)); GSR GSR_INST( .GSR(VCCI)); @@ -940,20 +1252,62 @@ module SLICE_8 ( input A1, A0, DI1, DI0, CLK, FCI, output F0, Q0, F1, Q1, FCO ); endmodule -module SLICE_9 ( input C1, B1, A1, D0, A0, DI0, CE, CLK, output F0, Q0, F1 ); +module SLICE_9 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, Q0, + F1 ); + wire VCCI, GNDI, DI0_dly, CLK_dly; + + lut4 \ram2e_ufm/wb_req_RNO_0 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40003 \ram2e_ufm/CKE_7_RNIS77M1 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + vmuxregsre0004 CKE( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), + .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); + vcc DRIVEVCC( .PWR1(VCCI)); + gnd DRIVEGND( .PWR0(GNDI)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + endspecify + +endmodule + +module lut4 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFFFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40003 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFF01) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module vmuxregsre0004 ( input D0, D1, SD, SP, CK, LSR, output Q ); + + FL1P3BX INST01( .D0(D0), .D1(D1), .SP(SP), .CK(CK), .SD(SD), .PD(LSR), .Q(Q)); + defparam INST01.GSR = "DISABLED"; +endmodule + +module SLICE_10 ( input D0, A0, DI0, CE, CLK, output F0, Q0, F1 ); wire GNDI, VCCI, DI0_dly, CLK_dly, CE_dly; - lut4 S_1( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + lut40005 GND( .A(GNDI), .B(GNDI), .C(GNDI), .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40003 \CmdTout_3_0_a2[0] ( .A(A0), .B(GNDI), .C(GNDI), .D(D0), .Z(F0)); + lut40006 \ram2e_ufm/CmdTout_3_0_a3_0_a3[0] ( .A(A0), .B(GNDI), .C(GNDI), + .D(D0), .Z(F0)); vmuxregsre \CmdTout[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); specify - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); @@ -965,27 +1319,64 @@ module SLICE_9 ( input C1, B1, A1, D0, A0, DI0, CE, CLK, output F0, Q0, F1 ); endmodule -module lut4 ( input A, B, C, D, output Z ); +module lut40005 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h2020) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40003 ( input A, B, C, D, output Z ); +module lut40006 ( input A, B, C, D, output Z ); ROM16X1A #(16'h0055) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_10 ( input D1, C1, B1, A1, D0, C0, A0, DI1, DI0, LSR, CLK, - output F0, Q0, F1, Q1 ); - wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, LSR_dly; +module SLICE_11 ( input B1, A1, D0, B0, A0, DI0, CE, CLK, output F0, Q0, F1 ); + wire GNDI, VCCI, DI0_dly, CLK_dly, CE_dly; - lut40004 \CS_RNO[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40005 \CS_RNO[0] ( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); + lut40007 \ram2e_ufm/RC_3_0_0_a3_1[1] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), + .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - vmuxregsre0006 \CS[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(VCCI), + lut40008 \ram2e_ufm/N_360_i ( .A(A0), .B(B0), .C(GNDI), .D(D0), .Z(F0)); + vmuxregsre \RC[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), + .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); + vcc DRIVEVCC( .PWR1(VCCI)); + + specify + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + endspecify + +endmodule + +module lut40007 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h1111) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40008 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h1133) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_12 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, LSR, CLK, + output F0, Q0, F1, Q1 ); + wire VCCI, DI1_dly, CLK_dly, DI0_dly, LSR_dly; + + lut40009 \ram2e_ufm/CmdExecMXO2_3_0_a3_0_RNI6S1P8 ( .A(A1), .B(B1), .C(C1), + .D(D1), .Z(F1)); + lut40010 \ram2e_ufm/S_r_i_0_o2_RNIVM0LF[1] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + vmuxregsre0011 \CS[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(LSR_dly), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); - vmuxregsre0006 \CS[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), + vmuxregsre0011 \CS[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(LSR_dly), .Q(Q0)); specify @@ -995,6 +1386,7 @@ module SLICE_10 ( input D1, C1, B1, A1, D0, C0, A0, DI1, DI0, LSR, CLK, (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); (CLK => Q1) = (0:0:0,0:0:0); @@ -1009,30 +1401,31 @@ module SLICE_10 ( input D1, C1, B1, A1, D0, C0, A0, DI1, DI0, LSR, CLK, endmodule -module lut40004 ( input A, B, C, D, output Z ); +module lut40009 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hAAA6) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFF54) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40005 ( input A, B, C, D, output Z ); +module lut40010 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hAAA5) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0D0F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module vmuxregsre0006 ( input D0, D1, SD, SP, CK, LSR, output Q ); +module vmuxregsre0011 ( input D0, D1, SD, SP, CK, LSR, output Q ); FL1P3IY INST01( .D0(D0), .D1(D1), .SP(SP), .CK(CK), .SD(SD), .CD(LSR), .Q(Q)); defparam INST01.GSR = "DISABLED"; endmodule -module SLICE_11 ( input D1, C1, B1, C0, B0, A0, DI0, LSR, CLK, output F0, Q0, - F1 ); - wire GNDI, VCCI, DI0_dly, CLK_dly, LSR_dly; +module SLICE_13 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, LSR, CLK, output + F0, Q0, F1 ); + wire VCCI, DI0_dly, CLK_dly, LSR_dly; - lut40007 \CS_RNO_0[2] ( .A(GNDI), .B(B1), .C(C1), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40008 \CS_RNO[2] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); - vmuxregsre0006 \CS[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), + lut40012 \ram2e_ufm/CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514_0 ( .A(A1), + .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40013 \ram2e_ufm/CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514 ( .A(A0), .B(B0), + .C(C0), .D(D0), .Z(F0)); + vmuxregsre0011 \CS[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(LSR_dly), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -1040,6 +1433,8 @@ module SLICE_11 ( input D1, C1, B1, C0, B0, A0, DI0, LSR, CLK, output F0, Q0, (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); @@ -1054,188 +1449,75 @@ module SLICE_11 ( input D1, C1, B1, C0, B0, A0, DI0, LSR, CLK, output F0, Q0, endmodule -module lut40007 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0300) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40008 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h6A6A) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_12 ( input D1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, - Q0, F1 ); - wire GNDI, VCCI, DI0_dly, CLK_dly, CE_dly; - - lut40009 CmdBitbangMXO2_4_u_0_0_a2_0_1( .A(A1), .B(B1), .C(GNDI), .D(D1), - .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40010 CmdBitbangMXO2_4_u_0_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - vmuxregsre CmdBitbangMXO2( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); - vcc DRIVEVCC( .PWR1(VCCI)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - (CLK => Q0) = (0:0:0,0:0:0); - $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); - $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - endspecify - -endmodule - -module lut40009 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0088) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40010 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hC0EA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_13 ( input D1, C1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, - Q0, F1 ); - wire GNDI, VCCI, DI0_dly, CLK_dly, CE_dly; - - lut40011 un1_CS_0_sqmuxa_0_0_a2_7( .A(A1), .B(GNDI), .C(C1), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40012 CmdExecMXO2_4_u_0_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - vmuxregsre CmdExecMXO2( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); - vcc DRIVEVCC( .PWR1(VCCI)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - (CLK => Q0) = (0:0:0,0:0:0); - $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); - $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - endspecify - -endmodule - -module lut40011 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hA000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - module lut40012 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hCE0A) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_14 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output - F0, Q0, F1 ); - wire VCCI, GNDI, DI0_dly, CLK_dly, CE_dly; - - lut40013 CmdLEDGet_4_u_0_0_a2_0_2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40014 CmdLEDGet_4_u_0_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - vmuxregsre CmdLEDGet( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); - vcc DRIVEVCC( .PWR1(VCCI)); - gnd DRIVEGND( .PWR0(GNDI)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - (CLK => Q0) = (0:0:0,0:0:0); - $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); - $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - endspecify - + ROM16X1A #(16'hC4C0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40013 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h2000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hA2A6) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_14 ( input C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, + Q0, F1 ); + wire GNDI, VCCI, DI0_dly, CLK_dly, CE_dly; + + lut40014 \ram2e_ufm/CmdLEDGet_3_0_a3_0 ( .A(A1), .B(B1), .C(C1), .D(GNDI), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40015 \ram2e_ufm/CmdLEDGet_3_0_a3 ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + vmuxregsre CmdLEDGet( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), + .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); + vcc DRIVEVCC( .PWR1(VCCI)); + + specify + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + endspecify + endmodule module lut40014 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hF444) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h4040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_15 ( input D1, C1, B1, A1, D0, C0, A0, DI0, CE, CLK, output F0, +module lut40015 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h4000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_15 ( input C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, Q0, F1 ); wire GNDI, VCCI, DI0_dly, CLK_dly, CE_dly; - lut40015 CmdLEDSet_4_u_0_0_a2_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40016 CmdLEDSet_4_u_0_0_0( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); + lut40016 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_5_1 ( .A(A1), .B(B1), .C(C1), + .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); + lut40017 \ram2e_ufm/CmdLEDSet_3_0_a8_0_a3 ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); vmuxregsre CmdLEDSet( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); specify - (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - (CLK => Q0) = (0:0:0,0:0:0); - $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); - $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - endspecify - -endmodule - -module lut40015 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0080) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40016 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hF0FA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_16 ( input D1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, - Q0, F1 ); - wire GNDI, VCCI, DI0_dly, CLK_dly, CE_dly; - - lut40017 CmdBitbangMXO2_4_u_0_0_a2_1( .A(A1), .B(B1), .C(GNDI), .D(D1), - .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40018 CmdRWMaskSet_4_u_0_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - vmuxregsre CmdRWMaskSet( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); - vcc DRIVEVCC( .PWR1(VCCI)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); @@ -1247,28 +1529,28 @@ module SLICE_16 ( input D1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, endmodule +module lut40016 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h2020) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + module lut40017 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0022) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h2000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40018 ( input A, B, C, D, output Z ); +module SLICE_16 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output + F0, Q0, F1 ); + wire VCCI, GNDI, DI0_dly, CLK_dly, CE_dly; - ROM16X1A #(16'hC0EA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_17 ( input D1, C1, B1, A1, D0, C0, A0, DI0, CE, CLK, output F0, - Q0, F1 ); - wire GNDI, VCCI, DI0_dly, CLK_dly, CE_dly; - - lut40019 CmdSetRWBankFFLED_4_u_0_0_a2_0( .A(A1), .B(B1), .C(C1), .D(D1), - .Z(F1)); - lut40016 CmdSetRWBankFFLED_4_u_0_0_0( .A(A0), .B(GNDI), .C(C0), .D(D0), + lut40018 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_9 ( .A(A1), .B(B1), .C(C1), + .D(D1), .Z(F1)); + lut40019 \ram2e_ufm/CmdRWMaskSet_3_0_a3 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - gnd DRIVEGND( .PWR0(GNDI)); - vmuxregsre CmdSetRWBankFFLED( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), - .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); + vmuxregsre CmdRWMaskSet( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), + .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); + gnd DRIVEGND( .PWR0(GNDI)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -1277,6 +1559,7 @@ module SLICE_17 ( input D1, C1, B1, A1, D0, C0, A0, DI0, CE, CLK, output F0, (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); @@ -1287,27 +1570,32 @@ module SLICE_17 ( input D1, C1, B1, A1, D0, C0, A0, DI0, CE, CLK, output F0, endmodule -module lut40019 ( input A, B, C, D, output Z ); +module lut40018 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h2000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0001) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_18 ( input D1, C1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, +module lut40019 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_17 ( input C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, Q0, F1 ); wire GNDI, VCCI, DI0_dly, CLK_dly, CE_dly; - lut40020 CmdSetRWBankFFLED_4_u_0_0_a2_1( .A(A1), .B(GNDI), .C(C1), .D(D1), + lut40020 \ram2e_ufm/CmdRWMaskSet_3_0_a3_0 ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40018 CmdSetRWBankFFMXO2_4_u_0_0_0( .A(A0), .B(B0), .C(C0), .D(D0), - .Z(F0)); - vmuxregsre CmdSetRWBankFFMXO2( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), + lut40021 \ram2e_ufm/CmdSetRWBankFFLED_4_0_a8_0_a3 ( .A(A0), .B(B0), .C(C0), + .D(D0), .Z(F0)); + vmuxregsre CmdSetRWBankFFLED( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); specify - (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); @@ -1324,15 +1612,20 @@ endmodule module lut40020 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hA000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h1010) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_19 ( input D1, C1, B1, A1, D0, B0, A0, DI1, DI0, CE, CLK, output +module lut40021 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0080) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_18 ( input D1, C1, B1, A1, D0, B0, A0, DI1, DI0, CE, CLK, output F0, Q0, F1, Q1 ); wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40021 \CmdTout_RNO[2] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40022 \CmdTout_RNO[1] ( .A(A0), .B(B0), .C(GNDI), .D(D0), .Z(F0)); + lut40022 \ram2e_ufm/N_369_i ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40023 \ram2e_ufm/N_368_i ( .A(A0), .B(B0), .C(GNDI), .D(D0), .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); vmuxregsre \CmdTout[2] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); @@ -1359,110 +1652,74 @@ module SLICE_19 ( input D1, C1, B1, A1, D0, B0, A0, DI1, DI0, CE, CLK, output endmodule -module lut40021 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h1450) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - module lut40022 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h1144) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_20 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, - Q0, F1 ); - wire VCCI, GNDI, DI0_dly, CLK_dly; - - lut40023 \S_RNII9DO1_2[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40024 DOEEN_RNO( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - vmuxregsre DOEEN( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), - .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); - vcc DRIVEVCC( .PWR1(VCCI)); - gnd DRIVEGND( .PWR0(GNDI)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - (CLK => Q0) = (0:0:0,0:0:0); - $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - endspecify - + ROM16X1A #(16'h1222) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40023 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0400) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h1122) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40024 ( input A, B, C, D, output Z ); +module SLICE_19 ( input C1, A1, C0, A0, M0, LSR, CLK, output F0, Q0, F1 ); + wire GNDI, VCCI, M0_dly, CLK_dly, LSR_dly; - ROM16X1A #(16'hCC80) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_21 ( input C1, B1, A1, D0, B0, A0, DI0, CE, CLK, output F0, Q0, - F1 ); - wire GNDI, VCCI, DI0_dly, CLK_dly, CE_dly; - - lut40025 \RA_0io_RNO[1] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + lut40024 \ram2e_ufm/SUM0_i_o2 ( .A(A1), .B(GNDI), .C(C1), .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40026 LEDEN_RNO( .A(A0), .B(B0), .C(GNDI), .D(D0), .Z(F0)); - vmuxregsre LEDEN( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); + lut40025 \ram2e_ufm/RA_35_i_i_0_a3_0[1] ( .A(A0), .B(GNDI), .C(C0), .D(GNDI), + .Z(F0)); + vmuxregsre0011 DOEEN( .D0(M0_dly), .D1(VCCI), .SD(GNDI), .SP(VCCI), + .CK(CLK_dly), .LSR(LSR_dly), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); specify (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); - $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); - $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $setuphold (posedge CLK, M0, 0:0:0, 0:0:0,,,, CLK_dly, M0_dly); + $setuphold (posedge CLK, LSR, 0:0:0, 0:0:0,,,, CLK_dly, LSR_dly); + $width (posedge LSR, 0:0:0); + $width (negedge LSR, 0:0:0); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); endspecify endmodule +module lut40024 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h5F5F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + module lut40025 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hC8C8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hA0A0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40026 ( input A, B, C, D, output Z ); +module SLICE_20 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, + output F0, Q0, F1, Q1 ); + wire VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - ROM16X1A #(16'hEE44) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_22 ( input D1, C1, A1, D0, C0, A0, DI1, DI0, CE, CLK, output F0, - Q0, F1, Q1 ); - wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - - lut40027 \RA_RNO[3] ( .A(A1), .B(GNDI), .C(C1), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40027 \RA_RNO[0] ( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); - vmuxregsre \RA[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), + lut40026 \ram2e_ufm/RA_35_i_i_0[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40027 \ram2e_ufm/RA_35_0_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + vmuxregsre \RA[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); + gnd DRIVEGND( .PWR0(GNDI)); vmuxregsre \RA[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); (CLK => Q1) = (0:0:0,0:0:0); @@ -1475,30 +1732,36 @@ module SLICE_22 ( input D1, C1, A1, D0, C0, A0, DI1, DI0, CE, CLK, output F0, endmodule -module lut40027 ( input A, B, C, D, output Z ); +module lut40026 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hF0A0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFEFA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_23 ( input D1, C1, A1, D0, C0, A0, DI1, DI0, CE, CLK, output F0, - Q0, F1, Q1 ); +module lut40027 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFDF5) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_21 ( input C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, output + F0, Q0, F1, Q1 ); wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40028 \RWBank_5_0[1] ( .A(A1), .B(GNDI), .C(C1), .D(D1), .Z(F1)); + lut40028 \ram2e_ufm/RA_35_0_0[3] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40028 \RWBank_5_0[0] ( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); - vmuxregsre \RWBank[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), + lut40029 \ram2e_ufm/RA_35_0_0[2] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + vmuxregsre \RA[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); - vmuxregsre \RWBank[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), + vmuxregsre \RA[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify - (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); (CLK => Q1) = (0:0:0,0:0:0); @@ -1513,27 +1776,33 @@ endmodule module lut40028 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFF0A) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hECEC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_24 ( input C1, B1, A1, D0, B0, A0, DI1, DI0, CE, CLK, output F0, - Q0, F1, Q1 ); +module lut40029 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFFF8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_22 ( input D1, C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output + F0, Q0, F1, Q1 ); wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40029 \RWBank_5_0[3] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + lut40030 \ram2e_ufm/RA_35_0_0[5] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40031 \ram2e_ufm/RA_35_0_0[4] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); - lut40030 \RWBank_5_0[2] ( .A(A0), .B(B0), .C(GNDI), .D(D0), .Z(F0)); - vmuxregsre \RWBank[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), + vmuxregsre \RA[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); - vmuxregsre \RWBank[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), + vmuxregsre \RA[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify + (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); @@ -1547,35 +1816,37 @@ module SLICE_24 ( input C1, B1, A1, D0, B0, A0, DI1, DI0, CE, CLK, output F0, endmodule -module lut40029 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hAEAE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - module lut40030 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hBBAA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFFEC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_25 ( input C1, B1, A1, D0, C0, A0, DI1, DI0, CE, CLK, output F0, +module lut40031 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hF8F8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_23 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, Q0, F1, Q1 ); wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40029 \RWBank_5_0[5] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + lut40031 \ram2e_ufm/RA_35_0_0_0[7] ( .A(A1), .B(B1), .C(C1), .D(GNDI), + .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40031 \RWBank_5_0[4] ( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); - vmuxregsre \RWBank[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), + lut40031 \ram2e_ufm/RA_35_0_0_0[6] ( .A(A0), .B(B0), .C(C0), .D(GNDI), + .Z(F0)); + vmuxregsre \RA[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); - vmuxregsre \RWBank[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), + vmuxregsre \RA[6] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); (CLK => Q1) = (0:0:0,0:0:0); @@ -1588,28 +1859,25 @@ module SLICE_25 ( input C1, B1, A1, D0, C0, A0, DI1, DI0, CE, CLK, output F0, endmodule -module lut40031 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hAAFA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_26 ( input D1, C1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, - Q0, F1, Q1 ); +module SLICE_24 ( input D1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, output + F0, Q0, F1, Q1 ); wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40032 \RWBank_5_0_0[7] ( .A(A1), .B(GNDI), .C(C1), .D(D1), .Z(F1)); + lut40032 \ram2e_ufm/RA_35_0_0[9] ( .A(A1), .B(B1), .C(GNDI), .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40033 \RWBank_5_0[6] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); - vmuxregsre \RWBank[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), + lut40033 \ram2e_ufm/un2_S_2_i_0_0_o3_RNIHFHN3 ( .A(A0), .B(B0), .C(C0), + .D(D0), .Z(F0)); + vmuxregsre \RA[9] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); - vmuxregsre \RWBank[6] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), + vmuxregsre \RA[8] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); @@ -1626,31 +1894,33 @@ endmodule module lut40032 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFAAA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hEECC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40033 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hBABA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFFEC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_27 ( input D1, C1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, - Q0, F1, Q1 ); - wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; +module SLICE_25 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, + output F0, Q0, F1, Q1 ); + wire VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40034 \RWMask_RNO[1] ( .A(A1), .B(GNDI), .C(C1), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40035 \RWMask_RNO[0] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); - vmuxregsre \RWMask[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), + lut40034 \ram2e_ufm/RA_35_0_0[11] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut4 \ram2e_ufm/RA_35_2_0[10] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + vmuxregsre \RA[11] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); - vmuxregsre \RWMask[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), + gnd DRIVEGND( .PWR0(GNDI)); + vmuxregsre \RA[10] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); @@ -1667,32 +1937,27 @@ endmodule module lut40034 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h50FA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hEAC0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40035 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h7272) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_28 ( input D1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, +module SLICE_26 ( input D1, B1, A1, D0, B0, A0, DI1, DI0, CE, CLK, output F0, Q0, F1, Q1 ); wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40036 \RWMask_RNO[3] ( .A(A1), .B(B1), .C(GNDI), .D(D1), .Z(F1)); + lut40035 \ram2e_ufm/RC_3_0_0[2] ( .A(A1), .B(B1), .C(GNDI), .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40035 \RWMask_RNO[2] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); - vmuxregsre \RWMask[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), + lut40036 \ram2e_ufm/RC_3_0_0[1] ( .A(A0), .B(B0), .C(GNDI), .D(D0), .Z(F0)); + vmuxregsre \RC[2] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); - vmuxregsre \RWMask[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), + vmuxregsre \RC[1] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify (D1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); @@ -1706,27 +1971,33 @@ module SLICE_28 ( input D1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, endmodule -module lut40036 ( input A, B, C, D, output Z ); +module lut40035 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h7722) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h6622) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_29 ( input D1, C1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, +module lut40036 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h3344) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_27 ( input D1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, Q0, F1, Q1 ); wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40037 \RWMask_RNO[5] ( .A(A1), .B(GNDI), .C(C1), .D(D1), .Z(F1)); + lut40037 \ram2e_ufm/RWBank_3_0[1] ( .A(A1), .B(B1), .C(GNDI), .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40035 \RWMask_RNO[4] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); - vmuxregsre \RWMask[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), + lut40038 \ram2e_ufm/RWBank_3_0_0[0] ( .A(A0), .B(B0), .C(C0), .D(GNDI), + .Z(F0)); + vmuxregsre \RWBank[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); - vmuxregsre \RWMask[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), + vmuxregsre \RWBank[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); @@ -1744,25 +2015,72 @@ endmodule module lut40037 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h5F0A) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hCCEE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_30 ( input D1, C1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, +module lut40038 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hCECE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_28 ( input C1, B1, A1, D0, C0, A0, DI1, DI0, CE, CLK, output F0, Q0, F1, Q1 ); wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40038 \RWMask_RNO[7] ( .A(A1), .B(GNDI), .C(C1), .D(D1), .Z(F1)); + lut40039 \ram2e_ufm/RWBank_3_0[3] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40035 \RWMask_RNO[6] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); - vmuxregsre \RWMask[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), + lut40040 \ram2e_ufm/RWBank_3_0[2] ( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); + vmuxregsre \RWBank[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); - vmuxregsre \RWMask[6] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), + vmuxregsre \RWBank[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify - (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + (CLK => Q1) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + endspecify + +endmodule + +module lut40039 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hAEAE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40040 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hAFAA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_29 ( input C1, B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, + Q0, F1, Q1 ); + wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; + + lut40038 \ram2e_ufm/RWBank_3_0[5] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40038 \ram2e_ufm/RWBank_3_0_0[4] ( .A(A0), .B(B0), .C(C0), .D(GNDI), + .Z(F0)); + vmuxregsre \RWBank[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), + .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); + vcc DRIVEVCC( .PWR1(VCCI)); + vmuxregsre \RWBank[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), + .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); + + specify + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); @@ -1778,23 +2096,61 @@ module SLICE_30 ( input D1, C1, A1, C0, B0, A0, DI1, DI0, CE, CLK, output F0, endmodule -module lut40038 ( input A, B, C, D, output Z ); +module SLICE_30 ( input D1, B1, A1, D0, B0, A0, DI1, DI0, CE, CLK, output F0, + Q0, F1, Q1 ); + wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; + + lut40041 \ram2e_ufm/RWBank_3_0[7] ( .A(A1), .B(B1), .C(GNDI), .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40042 \ram2e_ufm/RWBank_3_0[6] ( .A(A0), .B(B0), .C(GNDI), .D(D0), .Z(F0)); + vmuxregsre \RWBank[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), + .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); + vcc DRIVEVCC( .PWR1(VCCI)); + vmuxregsre \RWBank[6] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), + .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + (CLK => Q1) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + endspecify - ROM16X1A #(16'hF5A0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_31 ( input C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, - Q0, F1 ); - wire GNDI, VCCI, DI0_dly, CLK_dly, CE_dly; +module lut40041 ( input A, B, C, D, output Z ); - lut40039 nDOE_pad_RNO( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40040 RWSel_2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + ROM16X1A #(16'hFF88) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40042 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFF44) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_31 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output + F0, Q0, F1 ); + wire VCCI, GNDI, DI0_dly, CLK_dly, CE_dly; + + lut40043 \ram2e_ufm/RA_35_0_0_0[3] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40044 \ram2e_ufm/RWSel_2_0_a3_0_a3 ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); vmuxregsre RWSel( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); + gnd DRIVEGND( .PWR0(GNDI)); specify + (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); @@ -1811,21 +2167,21 @@ module SLICE_31 ( input C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output F0, endmodule -module lut40039 ( input A, B, C, D, output Z ); +module lut40043 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hF7F7) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hF888) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40040 ( input A, B, C, D, output Z ); +module lut40044 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0010) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0004) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module SLICE_32 ( input D1, C1, B1, A1, C0, A0, DI0, CLK, output F0, Q0, F1 ); wire GNDI, VCCI, DI0_dly, CLK_dly; - lut40041 Ready_0_sqmuxa_0_a2_6_a2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40042 Ready_RNO( .A(A0), .B(GNDI), .C(C0), .D(GNDI), .Z(F0)); + lut40045 \ram2e_ufm/Ready3_0_a3 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40046 Ready_RNO( .A(A0), .B(GNDI), .C(C0), .D(GNDI), .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); vmuxregsre Ready( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); @@ -1846,12 +2202,12 @@ module SLICE_32 ( input D1, C1, B1, A1, C0, A0, DI0, CLK, output F0, Q0, F1 ); endmodule -module lut40041 ( input A, B, C, D, output Z ); +module lut40045 ( input A, B, C, D, output Z ); ROM16X1A #(16'h8000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40042 ( input A, B, C, D, output Z ); +module lut40046 ( input A, B, C, D, output Z ); ROM16X1A #(16'hFAFA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule @@ -1860,8 +2216,9 @@ module SLICE_33 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CLK, output F0, Q0, F1, Q1 ); wire VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly; - lut40043 \S_RNO[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40044 \S_s_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40047 \ram2e_ufm/S_r_i_0_o2_0_RNI36E21[1] ( .A(A1), .B(B1), .C(C1), + .D(D1), .Z(F1)); + lut40048 \ram2e_ufm/S_s_0_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); vmuxregsre \S[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -1888,22 +2245,24 @@ module SLICE_33 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CLK, output endmodule -module lut40043 ( input A, B, C, D, output Z ); +module lut40047 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h2022) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h5100) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40044 ( input A, B, C, D, output Z ); +module lut40048 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFFDC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hEFEE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module SLICE_34 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CLK, output F0, Q0, F1, Q1 ); wire VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly; - lut40045 \S_RNO[3] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40046 \S_RNO[2] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40049 \ram2e_ufm/S_r_i_0_o2_RNIFNP81_0[2] ( .A(A1), .B(B1), .C(C1), + .D(D1), .Z(F1)); + lut40050 \ram2e_ufm/S_r_i_0_o2_RNIFNP81[2] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); vmuxregsre \S[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); @@ -1930,178 +2289,27 @@ module SLICE_34 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CLK, output endmodule -module lut40045 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h2232) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40046 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h2231) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_35 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, - output F0, Q0, F1, Q1 ); - wire VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - - lut40047 \wb_adr_RNO[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40048 \wb_adr_7_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - vmuxregsre \wb_adr[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); - vcc DRIVEVCC( .PWR1(VCCI)); - gnd DRIVEGND( .PWR0(GNDI)); - vmuxregsre \wb_adr[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - (CLK => Q0) = (0:0:0,0:0:0); - (CLK => Q1) = (0:0:0,0:0:0); - $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); - $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); - $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - endspecify - -endmodule - -module lut40047 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hFFEA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40048 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hFFF8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_36 ( input C1, B1, C0, B0, DI1, DI0, CE, CLK, output F0, Q0, F1, - Q1 ); - wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - - lut40049 \wb_adr_RNO[3] ( .A(GNDI), .B(B1), .C(C1), .D(GNDI), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40049 \wb_adr_RNO[2] ( .A(GNDI), .B(B0), .C(C0), .D(GNDI), .Z(F0)); - vmuxregsre \wb_adr[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); - vcc DRIVEVCC( .PWR1(VCCI)); - vmuxregsre \wb_adr[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); - - specify - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (CLK => Q0) = (0:0:0,0:0:0); - (CLK => Q1) = (0:0:0,0:0:0); - $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); - $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); - $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - endspecify - -endmodule - module lut40049 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hC0C0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_37 ( input C1, B1, A1, D0, C0, B0, DI1, DI0, CE, CLK, output F0, - Q0, F1, Q1 ); - wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - - lut40050 \wb_adr_RNO[5] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40051 \wb_adr_RNO[4] ( .A(GNDI), .B(B0), .C(C0), .D(D0), .Z(F0)); - vmuxregsre \wb_adr[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); - vcc DRIVEVCC( .PWR1(VCCI)); - vmuxregsre \wb_adr[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); - - specify - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (CLK => Q0) = (0:0:0,0:0:0); - (CLK => Q1) = (0:0:0,0:0:0); - $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); - $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); - $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - endspecify - + ROM16X1A #(16'h2322) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40050 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hA3A3) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h3203) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40051 ( input A, B, C, D, output Z ); +module SLICE_35 ( input D1, C1, B1, A1, D0, A0, DI0, LSR, CLK, output F0, Q0, + F1 ); + wire GNDI, VCCI, DI0_dly, CLK_dly, LSR_dly; - ROM16X1A #(16'hF303) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_38 ( input C1, B1, D0, C0, B0, DI1, DI0, CE, CLK, output F0, Q0, - F1, Q1 ); - wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - - lut40049 \wb_adr_RNO[7] ( .A(GNDI), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + lut40051 \ram2e_ufm/CKE_7_m1_0_0_o2_RNICM8E1 ( .A(A1), .B(B1), .C(C1), + .D(D1), .Z(F1)); + lut40052 \ram2e_ufm/CKE_7_m1_0_0_o2 ( .A(A0), .B(GNDI), .C(GNDI), .D(D0), + .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); - lut40052 \wb_adr_RNO[6] ( .A(GNDI), .B(B0), .C(C0), .D(D0), .Z(F0)); - vmuxregsre \wb_adr[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); - vcc DRIVEVCC( .PWR1(VCCI)); - vmuxregsre \wb_adr[6] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); - - specify - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (CLK => Q0) = (0:0:0,0:0:0); - (CLK => Q1) = (0:0:0,0:0:0); - $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); - $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); - $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - endspecify - -endmodule - -module lut40052 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hCF03) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_39 ( input D1, C1, B1, A1, C0, B0, A0, DI0, CE, CLK, output F0, - Q0, F1 ); - wire GNDI, VCCI, DI0_dly, CLK_dly, CE_dly; - - lut40053 wb_cyc_stb_RNO_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40054 wb_cyc_stb_RNO( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); - gnd DRIVEGND( .PWR0(GNDI)); - vmuxregsre wb_cyc_stb( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); + vmuxregsre0011 VOEEN( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), + .CK(CLK_dly), .LSR(LSR_dly), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); specify @@ -2109,12 +2317,53 @@ module SLICE_39 ( input D1, C1, B1, A1, C0, B0, A0, DI0, CE, CLK, output F0, (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, LSR, 0:0:0, 0:0:0,,,, CLK_dly, LSR_dly); + $width (posedge LSR, 0:0:0); + $width (negedge LSR, 0:0:0); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + endspecify + +endmodule + +module lut40051 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0001) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40052 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFFAA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_36 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, + Q0, F1 ); + wire VCCI, GNDI, DI0_dly, CLK_dly; + + lut40053 \ram2e_ufm/nRAS_s_i_0_a3_5_RNIH7J73 ( .A(A1), .B(B1), .C(C1), + .D(D1), .Z(F1)); + lut40054 \ram2e_ufm/nCAS_s_i_0_a3_RNIO1UQ3 ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + vmuxregsre0004 nCAS( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), + .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); + vcc DRIVEVCC( .PWR1(VCCI)); + gnd DRIVEGND( .PWR0(GNDI)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); - $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); endspecify @@ -2123,26 +2372,26 @@ endmodule module lut40053 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0302) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0200) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40054 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hF8F8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h000B) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_40 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, - output F0, Q0, F1, Q1 ); - wire VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly; +module SLICE_37 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, + Q0, F1 ); + wire VCCI, GNDI, DI0_dly, CLK_dly; - lut40055 \wb_dati_7_0[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40056 \wb_dati_7_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - vmuxregsre \wb_dati[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); + lut40055 \ram2e_ufm/nRAS_s_i_0_a3_5_RNIH7J73_0 ( .A(A1), .B(B1), .C(C1), + .D(D1), .Z(F1)); + lut40056 \ram2e_ufm/nRAS_s_i_0_0_RNI0PC64 ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + vmuxregsre0004 nRAS( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), + .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); gnd DRIVEGND( .PWR0(GNDI)); - vmuxregsre \wb_dati[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -2154,10 +2403,7 @@ module SLICE_40 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); - (CLK => Q1) = (0:0:0,0:0:0); - $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); - $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); endspecify @@ -2166,68 +2412,25 @@ endmodule module lut40055 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFFEA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0010) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40056 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hEAC0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0001) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_41 ( input D1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, output - F0, Q0, F1, Q1 ); - wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; +module SLICE_38 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CLK, output F0, + Q0, F1 ); + wire VCCI, GNDI, DI0_dly, CLK_dly; - lut40057 \wb_dati_7_0[3] ( .A(A1), .B(B1), .C(GNDI), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40058 \wb_dati_7_0[2] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - vmuxregsre \wb_dati[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); - vcc DRIVEVCC( .PWR1(VCCI)); - vmuxregsre \wb_dati[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), + lut40057 \ram2e_ufm/nRAS_s_i_0_a3_0 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40058 \ram2e_ufm/nRAS_s_i_0_a3_0_RNIIR094 ( .A(A0), .B(B0), .C(C0), + .D(D0), .Z(F0)); + vmuxregsre0004 nRWE( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - (CLK => Q0) = (0:0:0,0:0:0); - (CLK => Q1) = (0:0:0,0:0:0); - $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); - $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); - $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - endspecify - -endmodule - -module lut40057 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hFFEE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40058 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hFFF8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_42 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, - output F0, Q0, F1, Q1 ); - wire VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - - lut40058 \wb_dati_7_0[5] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40059 \wb_dati_7_0[4] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - vmuxregsre \wb_dati[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); vcc DRIVEVCC( .PWR1(VCCI)); gnd DRIVEGND( .PWR0(GNDI)); - vmuxregsre \wb_dati[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -2239,8 +2442,46 @@ module SLICE_42 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, CLK, (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); (CLK => Q0) = (0:0:0,0:0:0); - (CLK => Q1) = (0:0:0,0:0:0); - $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + endspecify + +endmodule + +module lut40057 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h1000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40058 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0013) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_39 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, + output F0, Q0, F1 ); + wire VCCI, GNDI, DI0_dly, CLK_dly, CE_dly; + + lut40059 \ram2e_ufm/CmdBitbangMXO2_3_0_a3_1 ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40021 \ram2e_ufm/CmdBitbangMXO2_3_0_a3 ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + vmuxregsre \ram2e_ufm/CmdBitbangMXO2 ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); + vcc DRIVEVCC( .PWR1(VCCI)); + gnd DRIVEGND( .PWR0(GNDI)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); @@ -2251,27 +2492,143 @@ endmodule module lut40059 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFFFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0400) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_43 ( input D1, C1, B1, A1, D0, C0, A0, DI1, DI0, CE, CLK, output - F0, Q0, F1, Q1 ); - wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; +module ram2e_ufm_SLICE_40 ( input D1, C1, B1, A1, C0, B0, DI0, CE, CLK, + output F0, Q0, F1 ); + wire GNDI, VCCI, DI0_dly, CLK_dly, CE_dly; - lut40060 \wb_dati_7_0[7] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40061 \wb_dati_7_0[6] ( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); + lut40060 \ram2e_ufm/CmdBitbangMXO2_3_0_a3_0 ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40061 \ram2e_ufm/CmdExecMXO2_3_0_a3 ( .A(GNDI), .B(B0), .C(C0), .D(GNDI), + .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); - vmuxregsre \wb_dati[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); + vmuxregsre \ram2e_ufm/CmdExecMXO2 ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); vcc DRIVEVCC( .PWR1(VCCI)); - vmuxregsre \wb_dati[6] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + endspecify + +endmodule + +module lut40060 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0800) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40061 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hC0C0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_41 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, + output F0, Q0, F1 ); + wire VCCI, GNDI, DI0_dly, CLK_dly, CE_dly; + + lut40062 \ram2e_ufm/CmdSetRWBankFFChip_3_0_a8_0_a3_0_0 ( .A(A1), .B(B1), + .C(C1), .D(D1), .Z(F1)); + lut40063 \ram2e_ufm/CmdSetRWBankFFChip_3_0_a8_0_a3 ( .A(A0), .B(B0), .C(C0), + .D(D0), .Z(F0)); + vmuxregsre \ram2e_ufm/CmdSetRWBankFFChip ( .D0(VCCI), .D1(DI0_dly), + .SD(VCCI), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); + vcc DRIVEVCC( .PWR1(VCCI)); + gnd DRIVEGND( .PWR0(GNDI)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + endspecify + +endmodule + +module lut40062 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h4000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40063 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h4000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_42 ( input D1, B1, A1, D0, C0, A0, DI0, CE, CLK, + output F0, Q0, F1 ); + wire GNDI, VCCI, DI0_dly, CLK_dly, CE_dly; + + lut40064 \ram2e_ufm/SUM1_0_o3_0 ( .A(A1), .B(B1), .C(GNDI), .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40065 \ram2e_ufm/LEDEN_RNO ( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); + vmuxregsre \ram2e_ufm/LEDEN ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); + vcc DRIVEVCC( .PWR1(VCCI)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + endspecify + +endmodule + +module lut40064 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFFEE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40065 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFA50) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_43 ( input D1, B1, A1, D0, C0, A0, DI1, DI0, CE, CLK, + output F0, Q0, F1, Q1 ); + wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; + + lut40066 \ram2e_ufm/RWMask_RNO[1] ( .A(A1), .B(B1), .C(GNDI), .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40067 \ram2e_ufm/RWMask_RNO[0] ( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); + vmuxregsre \ram2e_ufm/RWMask[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); + vcc DRIVEVCC( .PWR1(VCCI)); + vmuxregsre \ram2e_ufm/RWMask[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); @@ -2286,192 +2643,136 @@ module SLICE_43 ( input D1, C1, B1, A1, D0, C0, A0, DI1, DI0, CE, CLK, output endmodule -module lut40060 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hFFFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40061 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hFFA0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_44 ( input D1, C1, A1, D0, C0, B0, A0, DI0, CE, LSR, CLK, output - F0, Q0, F1 ); - wire GNDI, VCCI, DI0_dly, CLK_dly, CE_dly, LSR_dly; - - lut40062 wb_req_RNO_1( .A(A1), .B(GNDI), .C(C1), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40063 wb_req_RNO( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - vmuxregsre0006 wb_req( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(LSR_dly), .Q(Q0)); - vcc DRIVEVCC( .PWR1(VCCI)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - (CLK => Q0) = (0:0:0,0:0:0); - $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); - $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); - $setuphold (posedge CLK, LSR, 0:0:0, 0:0:0,,,, CLK_dly, LSR_dly); - $width (posedge LSR, 0:0:0); - $width (negedge LSR, 0:0:0); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - endspecify - -endmodule - -module lut40062 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hA000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40063 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0001) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_45 ( input C1, B1, C0, B0, DI0, LSR, CLK, output F0, Q0, F1 ); - wire GNDI, VCCI, DI0_dly, CLK_dly, LSR_dly; - - lut40064 \un1_LEDEN13_2_i_o2[0] ( .A(GNDI), .B(B1), .C(C1), .D(GNDI), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40065 wb_rst8_0_a2( .A(GNDI), .B(B0), .C(C0), .D(GNDI), .Z(F0)); - vmuxregsre0006 wb_rst( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(VCCI), - .CK(CLK_dly), .LSR(LSR_dly), .Q(Q0)); - vcc DRIVEVCC( .PWR1(VCCI)); - - specify - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (CLK => Q0) = (0:0:0,0:0:0); - $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); - $setuphold (posedge CLK, LSR, 0:0:0, 0:0:0,,,, CLK_dly, LSR_dly); - $width (posedge LSR, 0:0:0); - $width (negedge LSR, 0:0:0); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - endspecify - -endmodule - -module lut40064 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hFCFC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40065 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0303) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_46 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, output - F0, Q0, F1 ); - wire VCCI, GNDI, DI0_dly, CLK_dly, CE_dly; - - lut40066 wb_we_RNO_1( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40067 wb_we_RNO( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - vmuxregsre wb_we( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), .SP(CE_dly), - .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); - vcc DRIVEVCC( .PWR1(VCCI)); - gnd DRIVEGND( .PWR0(GNDI)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - (CLK => Q0) = (0:0:0,0:0:0); - $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); - $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - endspecify - -endmodule - module lut40066 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h2BBB) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h7722) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40067 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hAAEA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h50FA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_47 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_44 ( input D1, B1, A1, D0, C0, B0, DI1, DI0, CE, CLK, + output F0, Q0, F1, Q1 ); + wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40068 DQMH_0io_RNO( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40069 \S_RNII9DO1[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40066 \ram2e_ufm/RWMask_RNO[3] ( .A(A1), .B(B1), .C(GNDI), .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40068 \ram2e_ufm/RWMask_RNO[2] ( .A(GNDI), .B(B0), .C(C0), .D(D0), .Z(F0)); + vmuxregsre \ram2e_ufm/RWMask[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); + vcc DRIVEVCC( .PWR1(VCCI)); + vmuxregsre \ram2e_ufm/RWMask[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + (CLK => Q1) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); endspecify endmodule module lut40068 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFF7F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h33F0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40069 ( input A, B, C, D, output Z ); +module ram2e_ufm_SLICE_45 ( input D1, B1, A1, D0, C0, A0, DI1, DI0, CE, CLK, + output F0, Q0, F1, Q1 ); + wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - ROM16X1A #(16'hFFFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_48 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40070 Vout3_0_a2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40071 nCAS_0io_RNO_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40066 \ram2e_ufm/RWMask_RNO[5] ( .A(A1), .B(B1), .C(GNDI), .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40069 \ram2e_ufm/RWMask_RNO[4] ( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); + vmuxregsre \ram2e_ufm/RWMask[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); + vcc DRIVEVCC( .PWR1(VCCI)); + vmuxregsre \ram2e_ufm/RWMask[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + (CLK => Q1) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + endspecify + +endmodule + +module lut40069 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h5F0A) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_46 ( input D1, B1, A1, D0, B0, A0, DI1, DI0, CE, CLK, + output F0, Q0, F1, Q1 ); + wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; + + lut40070 \ram2e_ufm/RWMask_RNO[7] ( .A(A1), .B(B1), .C(GNDI), .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40066 \ram2e_ufm/RWMask_RNO[6] ( .A(A0), .B(B0), .C(GNDI), .D(D0), .Z(F0)); + vmuxregsre \ram2e_ufm/RWMask[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); + vcc DRIVEVCC( .PWR1(VCCI)); + vmuxregsre \ram2e_ufm/RWMask[6] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + (CLK => Q1) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); endspecify endmodule module lut40070 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h1000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hDD88) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40071 ( input A, B, C, D, output Z ); +module ram2e_ufm_SLICE_47 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, + CLK, output F0, Q0, F1, Q1 ); + wire VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - ROM16X1A #(16'h88F8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_49 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40072 un1_CS_0_sqmuxa_0_0_a2_1( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40073 un1_CS_0_sqmuxa_0_0_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40071 \ram2e_ufm/wb_adr_RNO[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40072 \ram2e_ufm/wb_adr_7_i_i[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + vmuxregsre \ram2e_ufm/wb_adr[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); + vcc DRIVEVCC( .PWR1(VCCI)); + gnd DRIVEGND( .PWR0(GNDI)); + vmuxregsre \ram2e_ufm/wb_adr[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -2482,80 +2783,157 @@ module SLICE_49 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + (CLK => Q1) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); endspecify endmodule +module lut40071 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFFEC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + module lut40072 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0800) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFEEE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_48 ( input B1, A1, C0, B0, DI1, DI0, CE, CLK, output F0, + Q0, F1, Q1 ); + wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; + + lut40073 \ram2e_ufm/wb_adr_RNO[3] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40061 \ram2e_ufm/wb_adr_RNO[2] ( .A(GNDI), .B(B0), .C(C0), .D(GNDI), + .Z(F0)); + vmuxregsre \ram2e_ufm/wb_adr[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); + vcc DRIVEVCC( .PWR1(VCCI)); + vmuxregsre \ram2e_ufm/wb_adr[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); + + specify + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + (CLK => Q1) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + endspecify + endmodule module lut40073 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hF8F0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h8888) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_50 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_49 ( input D1, B1, A1, D0, C0, A0, DI1, DI0, CE, CLK, + output F0, Q0, F1, Q1 ); + wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40074 \wb_dati_7_0_a2_0_2[7] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40075 \wb_dati_7_0_RNO[7] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40074 \ram2e_ufm/wb_adr_RNO[5] ( .A(A1), .B(B1), .C(GNDI), .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40075 \ram2e_ufm/wb_adr_RNO[4] ( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); + vmuxregsre \ram2e_ufm/wb_adr[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); + vcc DRIVEVCC( .PWR1(VCCI)); + vmuxregsre \ram2e_ufm/wb_adr[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + (CLK => Q1) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); endspecify endmodule module lut40074 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h080A) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h88DD) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40075 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hAA02) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hA0F5) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_51 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_50 ( input B1, A1, C0, B0, A0, DI1, DI0, CE, CLK, + output F0, Q0, F1, Q1 ); + wire GNDI, VCCI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40076 CKE_6_iv_i_0_1( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40077 CKE_6_iv_i_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40076 \ram2e_ufm/wb_adr_RNO[7] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40077 \ram2e_ufm/wb_adr_RNO[6] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); + vmuxregsre \ram2e_ufm/wb_adr[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); + vcc DRIVEVCC( .PWR1(VCCI)); + vmuxregsre \ram2e_ufm/wb_adr[6] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + (CLK => Q1) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); endspecify endmodule module lut40076 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0FAC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h8888) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40077 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hCECC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hB1B1) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_52 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_51 ( input D1, C1, B1, A1, D0, C0, A0, DI0, CE, CLK, + output F0, Q0, F1 ); + wire GNDI, VCCI, DI0_dly, CLK_dly, CE_dly; - lut40078 \un1_LEDEN13_2_i_a2_0[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40079 \un1_LEDEN13_2_i_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40078 \ram2e_ufm/wb_cyc_stb_RNO_0 ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40079 \ram2e_ufm/wb_cyc_stb_RNO ( .A(A0), .B(GNDI), .C(C0), .D(D0), + .Z(F0)); + gnd DRIVEGND( .PWR0(GNDI)); + vmuxregsre \ram2e_ufm/wb_cyc_stb ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); + vcc DRIVEVCC( .PWR1(VCCI)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -2564,27 +2942,40 @@ module SLICE_52 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); endspecify endmodule module lut40078 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h2300) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h000E) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40079 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFEEE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFAF0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_53 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_52 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, + CLK, output F0, Q0, F1, Q1 ); + wire VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40080 \un1_wb_adr_0_sqmuxa_2_0_1[0] ( .A(A1), .B(B1), .C(C1), .D(D1), + lut40080 \ram2e_ufm/wb_dati_7_0_0[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40081 wb_we_RNO_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40081 \ram2e_ufm/wb_dati_7_0_0_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + vmuxregsre \ram2e_ufm/wb_dati[1] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); + vcc DRIVEVCC( .PWR1(VCCI)); + gnd DRIVEGND( .PWR0(GNDI)); + vmuxregsre \ram2e_ufm/wb_dati[0] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -2595,24 +2986,41 @@ module SLICE_53 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + (CLK => Q1) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); endspecify endmodule module lut40080 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h67EF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFEFC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40081 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0501) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hF888) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_54 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_53 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, + CLK, output F0, Q0, F1, Q1 ); + wire VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40082 un1_CS_0_sqmuxa_0_0_a2_15( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40083 un1_CS_0_sqmuxa_0_0_o2_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40082 \ram2e_ufm/wb_dati_7_0_0_0[3] ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40083 \ram2e_ufm/wb_dati_7_0_0[2] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + vmuxregsre \ram2e_ufm/wb_dati[3] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); + vcc DRIVEVCC( .PWR1(VCCI)); + gnd DRIVEGND( .PWR0(GNDI)); + vmuxregsre \ram2e_ufm/wb_dati[2] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -2623,24 +3031,41 @@ module SLICE_54 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + (CLK => Q1) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); endspecify endmodule module lut40082 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0200) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFFF8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40083 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hF0F8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFFF8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_55 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_54 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, + CLK, output F0, Q0, F1, Q1 ); + wire VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - lut40084 nCS_6_u_i_o2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40085 un1_nCS61_1_0_a2_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40083 \ram2e_ufm/wb_dati_7_0_0[5] ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40084 \ram2e_ufm/wb_dati_7_0_0[4] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + vmuxregsre \ram2e_ufm/wb_dati[5] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); + vcc DRIVEVCC( .PWR1(VCCI)); + gnd DRIVEGND( .PWR0(GNDI)); + vmuxregsre \ram2e_ufm/wb_dati[4] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -2651,52 +3076,36 @@ module SLICE_55 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + (CLK => Q1) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); endspecify endmodule module lut40084 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFFAB) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFFFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40085 ( input A, B, C, D, output Z ); +module ram2e_ufm_SLICE_55 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI1, DI0, CE, + CLK, output F0, Q0, F1, Q1 ); + wire VCCI, GNDI, DI1_dly, CLK_dly, DI0_dly, CE_dly; - ROM16X1A #(16'h0008) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_56 ( input D1, C1, A1, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40086 \wb_dati_7_0_a2_5[1] ( .A(A1), .B(GNDI), .C(C1), .D(D1), .Z(F1)); + lut40085 \ram2e_ufm/wb_dati_7_0_0_0[7] ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40086 \ram2e_ufm/wb_dati_7_0_0[6] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + vmuxregsre \ram2e_ufm/wb_dati[7] ( .D0(VCCI), .D1(DI1_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q1)); + vcc DRIVEVCC( .PWR1(VCCI)); gnd DRIVEGND( .PWR0(GNDI)); - lut40087 \wb_dati_7_0_a2_6[1] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40086 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h000A) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40087 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h4040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_57 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40088 \un1_LEDEN13_2_i_a2[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40089 \S_RNII9DO1_0[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + vmuxregsre \ram2e_ufm/wb_dati[6] ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -2707,24 +3116,113 @@ module SLICE_57 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + (CLK => Q1) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI1, 0:0:0, 0:0:0,,,, CLK_dly, DI1_dly); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + endspecify + +endmodule + +module lut40085 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFFFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40086 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFFF8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_56 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, LSR, + CLK, output F0, Q0, F1 ); + wire VCCI, DI0_dly, CLK_dly, CE_dly, LSR_dly; + + lut40084 \ram2e_ufm/wb_reqc_1_0 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40087 \ram2e_ufm/wb_req_RNO ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + vmuxregsre0011 \ram2e_ufm/wb_req ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(LSR_dly), .Q(Q0)); + vcc DRIVEVCC( .PWR1(VCCI)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $setuphold (posedge CLK, LSR, 0:0:0, 0:0:0,,,, CLK_dly, LSR_dly); + $width (posedge LSR, 0:0:0); + $width (negedge LSR, 0:0:0); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + endspecify + +endmodule + +module lut40087 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h070F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_57 ( input D1, C1, B1, A1, D0, B0, DI0, LSR, CLK, + output F0, Q0, F1 ); + wire GNDI, VCCI, DI0_dly, CLK_dly, LSR_dly; + + lut40088 \ram2e_ufm/Ready3_0_a3_4 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40089 \ram2e_ufm/wb_rst8_0_a3_0_a3 ( .A(GNDI), .B(B0), .C(GNDI), .D(D0), + .Z(F0)); + gnd DRIVEGND( .PWR0(GNDI)); + vmuxregsre0011 \ram2e_ufm/wb_rst ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), + .SP(VCCI), .CK(CLK_dly), .LSR(LSR_dly), .Q(Q0)); + vcc DRIVEVCC( .PWR1(VCCI)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, LSR, 0:0:0, 0:0:0,,,, CLK_dly, LSR_dly); + $width (posedge LSR, 0:0:0); + $width (negedge LSR, 0:0:0); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); endspecify endmodule module lut40088 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h00E0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h8000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40089 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hEFFF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0033) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_58 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_58 ( input D1, C1, B1, A1, D0, C0, B0, A0, DI0, CE, CLK, + output F0, Q0, F1 ); + wire VCCI, GNDI, DI0_dly, CLK_dly, CE_dly; - lut40090 \wb_dati_7_0_2[3] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40091 \wb_dati_7_0_2_RNO[3] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40090 \ram2e_ufm/wb_we_RNO_2 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40091 \ram2e_ufm/wb_we_RNO ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + vmuxregsre \ram2e_ufm/wb_we ( .D0(VCCI), .D1(DI0_dly), .SD(VCCI), + .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(Q0)); + vcc DRIVEVCC( .PWR1(VCCI)); + gnd DRIVEGND( .PWR0(GNDI)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -2735,112 +3233,159 @@ module SLICE_58 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); + (CLK => Q0) = (0:0:0,0:0:0); + $setuphold (posedge CLK, DI0, 0:0:0, 0:0:0,,,, CLK_dly, DI0_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); endspecify endmodule module lut40090 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h00C8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFF08) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40091 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0010) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hCCEC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_59 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SUM0_i_m3_0_SLICE_59 ( input D1, B1, A1, D0, B0, A0, M0, + output OFX0 ); + wire GNDI, + \ram2e_ufm/SUM0_i_m3_0/SLICE_59/ram2e_ufm/SUM0_i_m3_0/SLICE_59_K1_H1 , + \ram2e_ufm/SUM0_i_m3_0/SLICE_59/ram2e_ufm/SUM0_i_m3_0/GATE_H0 ; - lut40092 DQML_0io_RNO( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40093 DQML_0io_RNO_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40092 \ram2e_ufm/SUM0_i_m3_0/SLICE_59_K1 ( .A(A1), .B(B1), .C(GNDI), + .D(D1), + .Z(\ram2e_ufm/SUM0_i_m3_0/SLICE_59/ram2e_ufm/SUM0_i_m3_0/SLICE_59_K1_H1 )); + gnd DRIVEGND( .PWR0(GNDI)); + lut40093 \ram2e_ufm/SUM0_i_m3_0/GATE ( .A(A0), .B(B0), .C(GNDI), .D(D0), + .Z(\ram2e_ufm/SUM0_i_m3_0/SLICE_59/ram2e_ufm/SUM0_i_m3_0/GATE_H0 )); + selmux2 \ram2e_ufm/SUM0_i_m3_0/SLICE_59_K0K1MUX ( + .D0(\ram2e_ufm/SUM0_i_m3_0/SLICE_59/ram2e_ufm/SUM0_i_m3_0/GATE_H0 ), + .D1(\ram2e_ufm/SUM0_i_m3_0/SLICE_59/ram2e_ufm/SUM0_i_m3_0/SLICE_59_K1_H1 ), + .SD(M0), .Z(OFX0)); specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); + (D1 => OFX0) = (0:0:0,0:0:0); + (B1 => OFX0) = (0:0:0,0:0:0); + (A1 => OFX0) = (0:0:0,0:0:0); + (D0 => OFX0) = (0:0:0,0:0:0); + (B0 => OFX0) = (0:0:0,0:0:0); + (A0 => OFX0) = (0:0:0,0:0:0); + (M0 => OFX0) = (0:0:0,0:0:0); endspecify endmodule module lut40092 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h7737) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFF77) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40093 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFFEE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_60 ( input D1, C1, B1, A1, D0, C0, A0, output F0, F1 ); - wire GNDI; +module selmux2 ( input D0, D1, SD, output Z ); - lut40094 \wb_adr_RNO_1[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40095 \wb_adr_RNO_3[1] ( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); + MUX21 INST1( .D0(D0), .D1(D1), .SD(SD), .Z(Z)); +endmodule + +module ram2e_ufm_un1_CS_0_sqmuxa_0_0_0_SLICE_60 ( input D1, C1, B1, A1, C0, B0, + A0, M0, output OFX0 ); + wire + \ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60/ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60_K1_H1 + , GNDI, + \ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60/ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/GATE_H0 + ; + + lut40094 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60_K1 ( .A(A1), .B(B1), + .C(C1), .D(D1), + .Z(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60/ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60_K1_H1 ) + ); + lut40095 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/GATE ( .A(A0), .B(B0), .C(C0), + .D(GNDI), + .Z(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60/ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/GATE_H0 ) + ); gnd DRIVEGND( .PWR0(GNDI)); + selmux2 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60_K0K1MUX ( + .D0(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60/ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/GATE_H0 ) + , + .D1(\ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60/ram2e_ufm/un1_CS_0_sqmuxa_0_0_0/SLICE_60_K1_H1 ) + , .SD(M0), .Z(OFX0)); specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); + (D1 => OFX0) = (0:0:0,0:0:0); + (C1 => OFX0) = (0:0:0,0:0:0); + (B1 => OFX0) = (0:0:0,0:0:0); + (A1 => OFX0) = (0:0:0,0:0:0); + (C0 => OFX0) = (0:0:0,0:0:0); + (B0 => OFX0) = (0:0:0,0:0:0); + (A0 => OFX0) = (0:0:0,0:0:0); + (M0 => OFX0) = (0:0:0,0:0:0); endspecify endmodule module lut40094 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h2A08) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h3B33) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40095 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h5000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h7F7F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_61 ( input D1, C1, B1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; +module ram2e_ufm_CKE_7_SLICE_61 ( input D1, C1, A1, D0, B0, A0, M0, output + OFX0 ); + wire GNDI, \ram2e_ufm/CKE_7/SLICE_61/ram2e_ufm/CKE_7/SLICE_61_K1_H1 , + \ram2e_ufm/CKE_7/SLICE_61/ram2e_ufm/CKE_7/GATE_H0 ; - lut40096 \wb_dati_7_0_a2_2_0[1] ( .A(GNDI), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40096 \ram2e_ufm/CKE_7/SLICE_61_K1 ( .A(A1), .B(GNDI), .C(C1), .D(D1), + .Z(\ram2e_ufm/CKE_7/SLICE_61/ram2e_ufm/CKE_7/SLICE_61_K1_H1 )); gnd DRIVEGND( .PWR0(GNDI)); - lut40097 \FS_RNIOD6E_1[8] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40097 \ram2e_ufm/CKE_7/GATE ( .A(A0), .B(B0), .C(GNDI), .D(D0), + .Z(\ram2e_ufm/CKE_7/SLICE_61/ram2e_ufm/CKE_7/GATE_H0 )); + selmux2 \ram2e_ufm/CKE_7/SLICE_61_K0K1MUX ( + .D0(\ram2e_ufm/CKE_7/SLICE_61/ram2e_ufm/CKE_7/GATE_H0 ), + .D1(\ram2e_ufm/CKE_7/SLICE_61/ram2e_ufm/CKE_7/SLICE_61_K1_H1 ), .SD(M0), + .Z(OFX0)); specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); + (D1 => OFX0) = (0:0:0,0:0:0); + (C1 => OFX0) = (0:0:0,0:0:0); + (A1 => OFX0) = (0:0:0,0:0:0); + (D0 => OFX0) = (0:0:0,0:0:0); + (B0 => OFX0) = (0:0:0,0:0:0); + (A0 => OFX0) = (0:0:0,0:0:0); + (M0 => OFX0) = (0:0:0,0:0:0); endspecify endmodule module lut40096 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hC000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h50FF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40097 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0010) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0088) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_62 ( input D1, C1, B1, A1, D0, B0, A0, output F0, F1 ); - wire GNDI; +module ram2e_ufm_SLICE_62 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); - lut40098 \wb_adr_RNO_0[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40099 \wb_adr_RNO_2[1] ( .A(A0), .B(B0), .C(GNDI), .D(D0), .Z(F0)); - gnd DRIVEGND( .PWR0(GNDI)); + lut40098 \ram2e_ufm/CmdExecMXO2_3_0_a3_0_RNIAJ811 ( .A(A1), .B(B1), .C(C1), + .D(D1), .Z(F1)); + lut40099 \ram2e_ufm/CmdExecMXO2_3_0_a3_0_RNIPG3P2 ( .A(A0), .B(B0), .C(C0), + .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -2848,6 +3393,7 @@ module SLICE_62 ( input D1, C1, B1, A1, D0, B0, A0, output F0, F1 ); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); endspecify @@ -2861,21 +3407,23 @@ endmodule module lut40099 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h8877) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFF10) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_63 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 ); - wire GNDI; +module ram2e_ufm_SLICE_63 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); - lut40069 \un1_LEDEN13_2_i_o2_2[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40100 \FS_RNI9FGA[1] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); - gnd DRIVEGND( .PWR0(GNDI)); + lut40100 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_o3_0_RNIA0N95 ( .A(A1), .B(B1), + .C(C1), .D(D1), .Z(F1)); + lut40101 \ram2e_ufm/S_r_i_0_o2_RNI3VQTC[1] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); @@ -2885,15 +3433,21 @@ endmodule module lut40100 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFEFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hF0F2) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_64 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module lut40101 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFDFC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_64 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); wire GNDI; - lut40101 \FS_RNI6JJA[8] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + lut40102 \ram2e_ufm/wb_adr_7_i_i_a3_6[0] ( .A(A1), .B(B1), .C(C1), .D(GNDI), + .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40102 \un1_RWMask_0_sqmuxa_1_i_0_RNO[0] ( .A(A0), .B(B0), .C(C0), .D(D0), + lut40103 \ram2e_ufm/wb_adr_7_i_i_5[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify @@ -2908,24 +3462,25 @@ module SLICE_64 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); endmodule -module lut40101 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h7F7F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - module lut40102 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0080) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0808) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_65 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; +module lut40103 ( input A, B, C, D, output Z ); - lut40103 \wb_dati_7_0_a2_0_0[6] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40104 \wb_dati_7_0_0_RNO[7] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + ROM16X1A #(16'hDCCC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_65 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40104 \ram2e_ufm/SUM0_i_a3_1 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40100 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_o3_0_RNIN3AF2 ( .A(A0), .B(B0), + .C(C0), .D(D0), .Z(F0)); specify + (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); @@ -2937,24 +3492,22 @@ module SLICE_65 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); endmodule -module lut40103 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0202) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - module lut40104 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h8000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h002A) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_66 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; +module ram2e_ufm_SLICE_66 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); - lut40105 \FS_RNIJ9MH[14] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40106 wb_we_RNO_3( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40105 \ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_0[0] ( .A(A1), .B(B1), + .C(C1), .D(D1), .Z(F1)); + lut40106 \ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0[0] ( .A(A0), .B(B0), + .C(C0), .D(D0), .Z(F0)); specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); @@ -2967,24 +3520,25 @@ endmodule module lut40105 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h1111) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hF0E0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40106 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h080C) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hBBBA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_67 ( input D1, C1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_67 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); wire GNDI; - lut40107 wb_reqc_1( .A(GNDI), .B(GNDI), .C(C1), .D(D1), .Z(F1)); + lut40107 \ram2e_ufm/nRAS_s_i_0_m3 ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40108 wb_reqc_1_RNIRU4M1( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40108 \ram2e_ufm/nRAS_s_i_0_o2_0 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify - (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); @@ -2995,18 +3549,21 @@ endmodule module lut40107 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFFF0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hA3A3) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40108 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0800) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFBFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_68 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_68 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); - lut40109 \wb_dati_7_0_0[3] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40110 \FS_RNIOD6E_0[8] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40109 \ram2e_ufm/wb_adr_7_i_i_3[0] ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40110 \ram2e_ufm/wb_adr_7_i_i_3_1[0] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -3023,18 +3580,20 @@ endmodule module lut40109 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hEAC0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h4004) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40110 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0800) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h01A1) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_69 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_69 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); - lut40111 \RA_42_0[10] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40112 \RA_42_0_RNO[10] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40111 \ram2e_ufm/nCAS_s_i_0_a3_0 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40112 \ram2e_ufm/wb_rst16_i_i_i_o3 ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -3051,22 +3610,25 @@ endmodule module lut40111 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFFF4) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0400) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40112 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h1200) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFFFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_70 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_70 ( input D1, B1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; - lut40113 \wb_dati_7_0_a2[4] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40114 \FS_RNIOD6E[8] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40113 \ram2e_ufm/wb_dati_7_0_0_a3_12[7] ( .A(A1), .B(B1), .C(GNDI), + .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40114 \ram2e_ufm/wb_dati_7_0_0_0_RNO[7] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); @@ -3079,27 +3641,29 @@ endmodule module lut40113 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h00C8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h1100) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40114 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h6A00) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h80A0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_71 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_71 ( input D1, C1, A1, D0, C0, A0, output F0, F1 ); + wire GNDI; - lut40115 nRWE_r_0_RNO( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40116 \S_RNII9DO1_3[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40115 \ram2e_ufm/RA_35_0_0_a3_4[7] ( .A(A1), .B(GNDI), .C(C1), .D(D1), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40116 \ram2e_ufm/nRAS_s_i_0_a3_4 ( .A(A0), .B(GNDI), .C(C0), .D(D0), + .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); endspecify @@ -3107,18 +3671,21 @@ endmodule module lut40115 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h00DF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h00A0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40116 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0005) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_72 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_72 ( input D1, C1, B1, A1, D0, B0, A0, output F0, F1 ); + wire GNDI; - lut40117 Ready_0_sqmuxa_0_a2_6_a2_4( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40118 \FS_RNI5OOF1[15] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40117 \ram2e_ufm/BA_4[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40118 \ram2e_ufm/un1_RC12_i_0_o3 ( .A(A0), .B(B0), .C(GNDI), .D(D0), + .Z(F0)); + gnd DRIVEGND( .PWR0(GNDI)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -3126,7 +3693,6 @@ module SLICE_72 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); endspecify @@ -3135,18 +3701,21 @@ endmodule module lut40117 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h8000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hF100) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40118 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0004) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFFEE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_73 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_73 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); - lut40119 \wb_adr_7_0_a2_1[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40120 \FS_RNIK5632[15] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40119 \ram2e_ufm/wb_dati_7_0_0_o3_0[2] ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40120 \ram2e_ufm/wb_dati_7_0_0_a3_3[4] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -3163,7 +3732,7 @@ endmodule module lut40119 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h00D0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hEAAA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40120 ( input A, B, C, D, output Z ); @@ -3171,18 +3740,19 @@ module lut40120 ( input A, B, C, D, output Z ); ROM16X1A #(16'h0002) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_74 ( input D1, C1, B1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_74 ( input D1, C1, A1, D0, C0, B0, A0, output F0, F1 ); wire GNDI; - lut40121 \wb_dati_7_0_a2_5[4] ( .A(GNDI), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40121 \ram2e_ufm/RA_35_2_0_0[10] ( .A(A1), .B(GNDI), .C(C1), .D(D1), + .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40104 \wb_dati_7_0_a2_5_RNIC22J[4] ( .A(A0), .B(B0), .C(C0), .D(D0), + lut40122 \ram2e_ufm/RA_35_2_0_a3_5[10] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); @@ -3193,95 +3763,56 @@ endmodule module lut40121 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0C00) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_75 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40110 nCS_6_u_i_a2_4( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut4 nCS_6_u_i_a2_4_RNI3A062( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); - gnd DRIVEGND( .PWR0(GNDI)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module SLICE_76 ( input D1, A1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40122 nCS_6_u_i_o2_0( .A(A1), .B(GNDI), .C(GNDI), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40123 nCS_6_u_i_a2_4_RNICJKD2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - + ROM16X1A #(16'hFAAA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40122 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFFAA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0004) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_75 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40123 \ram2e_ufm/wb_dati_7_0_0_a3_15[7] ( .A(A1), .B(B1), .C(C1), + .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40124 \ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0_0_RNO[0] ( .A(A0), .B(B0), + .C(C0), .D(D0), .Z(F0)); + + specify + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + endmodule module lut40123 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_77 ( input D1, C1, A1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40124 un1_CS_0_sqmuxa_0_0_a2_10( .A(A1), .B(GNDI), .C(C1), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40125 un1_CS_0_sqmuxa_0_0_2_RNIQS7F( .A(A0), .B(B0), .C(C0), .D(D0), - .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - + ROM16X1A #(16'h0101) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40124 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h00A0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h4000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40125 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0007) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_78 ( input D1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_76 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); wire GNDI; - lut40122 nCAS_s_i_o2( .A(A1), .B(GNDI), .C(GNDI), .D(D1), .Z(F1)); + lut40125 \ram2e_ufm/wb_dati_7_0_0_a3_13[7] ( .A(A1), .B(B1), .C(GNDI), + .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40126 nCAS_0io_RNO( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40126 \ram2e_ufm/wb_dati_7_0_0_0_0_RNO[7] ( .A(A0), .B(B0), .C(C0), + .D(D0), .Z(F0)); specify - (D1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); @@ -3291,23 +3822,29 @@ module SLICE_78 ( input D1, A1, D0, C0, B0, A0, output F0, F1 ); endmodule -module lut40126 ( input A, B, C, D, output Z ); +module lut40125 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hABBB) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h4444) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_79 ( input D1, C1, B1, A1, B0, A0, output F0, F1 ); +module lut40126 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h2000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_77 ( input D1, C1, B1, D0, C0, B0, A0, output F0, F1 ); wire GNDI; - lut40127 nCS_6_u_i_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40128 nCS_0io_RNO( .A(A0), .B(B0), .C(GNDI), .D(GNDI), .Z(F0)); + lut40127 \ram2e_ufm/SUM2_0_o2 ( .A(GNDI), .B(B1), .C(C1), .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); + lut40128 \ram2e_ufm/N_314_i_i_o3 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); endspecify @@ -3316,25 +3853,27 @@ endmodule module lut40127 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0122) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hF3FF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40128 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h1111) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hEFFF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_80 ( input D1, B1, A1, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_78 ( input C1, A1, D0, C0, B0, A0, output F0, F1 ); wire GNDI; - lut40129 nRAS_2_iv_0_a2_0( .A(A1), .B(B1), .C(GNDI), .D(D1), .Z(F1)); + lut40129 \ram2e_ufm/S_r_i_0_o2[1] ( .A(A1), .B(GNDI), .C(C1), .D(GNDI), + .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40130 nRAS_2_iv_i( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); + lut40130 \ram2e_ufm/S_r_i_0_o2_RNIP4KI1[1] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); specify - (D1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); @@ -3344,18 +3883,21 @@ endmodule module lut40129 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h1144) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFAFA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40130 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h5151) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0008) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_81 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_79 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); - lut40131 un1_CS_0_sqmuxa_0_0_a2_1_6( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40132 un1_CS_0_sqmuxa_0_0_2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40131 \ram2e_ufm/un1_LEDEN_0_sqmuxa_1_i_0_0[0] ( .A(A1), .B(B1), .C(C1), + .D(D1), .Z(F1)); + lut40132 \ram2e_ufm/S_r_i_0_o2_RNIOGTF1[1] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -3372,18 +3914,21 @@ endmodule module lut40131 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0200) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h8F88) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40132 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFF80) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hDFFF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_82 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_80 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); - lut40133 un1_CS_0_sqmuxa_0_0_a2_5( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40134 un1_CS_0_sqmuxa_0_0_3( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40133 \ram2e_ufm/un1_wb_cyc_stb_0_sqmuxa_1_i_0_0_a2[0] ( .A(A1), .B(B1), + .C(C1), .D(D1), .Z(F1)); + lut40134 \ram2e_ufm/CmdBitbangMXO2_RNINSM62 ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -3400,18 +3945,21 @@ endmodule module lut40133 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h070F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0010) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40134 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFFFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hF4F0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_83 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_81 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); - lut40135 nCS_6_u_i_a2_1_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40136 nCS_6_u_i_1( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40135 \ram2e_ufm/wb_dati_7_0_0_a3_14[7] ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40136 \ram2e_ufm/wb_dati_7_0_0_a3_13_RNI81UL[7] ( .A(A0), .B(B0), .C(C0), + .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -3428,18 +3976,21 @@ endmodule module lut40135 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0100) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40136 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hECCC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h8000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_84 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_82 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); - lut40137 \wb_dati_7_0_a2[6] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40138 \wb_dati_7_0_1[6] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40137 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_0 ( .A(A1), .B(B1), .C(C1), + .D(D1), .Z(F1)); + lut40051 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_0_RNIVQNQ2 ( .A(A0), .B(B0), .C(C0), + .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -3456,18 +4007,47 @@ endmodule module lut40137 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h8008) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0800) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_83 ( input D1, C1, B1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40138 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_1_0 ( .A(GNDI), .B(B1), .C(C1), + .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40139 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_1_0_RNIS35S ( .A(A0), .B(B0), + .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + endmodule module lut40138 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFEFA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0C00) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_85 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module lut40139 ( input A, B, C, D, output Z ); - lut40139 \wb_adr_7_0_1[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40140 \wb_adr_7_0_4[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + ROM16X1A #(16'hC040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_84 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40140 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_9_RNIJT9D1 ( .A(A1), .B(B1), + .C(C1), .D(D1), .Z(F1)); + lut40141 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_9_RNI3N8T5 ( .A(A0), .B(B0), + .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -3482,20 +4062,165 @@ module SLICE_85 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); endmodule -module lut40139 ( input A, B, C, D, output Z ); +module lut40140 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hCC08) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0002) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40140 ( input A, B, C, D, output Z ); +module lut40141 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h5510) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_85 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40142 \ram2e_ufm/wb_dati_7_0_0_a3_10[7] ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40143 \ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0_0[0] ( .A(A0), .B(B0), .C(C0), + .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40142 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0020) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40143 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hC0EA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_86 ( input C1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40025 \ram2e_ufm/wb_we_7_iv_0_0_0_a3_1 ( .A(A1), .B(GNDI), .C(C1), + .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40144 \ram2e_ufm/wb_adr_7_i_i_4[0] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + + specify + (C1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40144 ( input A, B, C, D, output Z ); ROM16X1A #(16'hFFFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_86 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_87 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 ); + wire GNDI; - lut40141 \wb_dati_7_0_a2_2[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40142 \un1_LEDEN_0_sqmuxa_1_i_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), + lut40145 \ram2e_ufm/CmdSetRWBankFFChip_3_0_a8_0_o3_RNIGCV91 ( .A(A1), .B(B1), + .C(C1), .D(D1), .Z(F1)); + lut40146 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_m3 ( .A(A0), .B(B0), .C(C0), + .D(GNDI), .Z(F0)); + gnd DRIVEGND( .PWR0(GNDI)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40145 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFFFB) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40146 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hB1B1) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_88 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40147 \ram2e_ufm/wb_dati_7_0_0_a3_4_1_0[7] ( .A(A1), .B(B1), .C(GNDI), + .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40148 \ram2e_ufm/wb_dati_7_0_0_RNO_0[7] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + + specify + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40147 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h2222) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40148 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hAA80) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_89 ( input D1, B1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40149 \ram2e_ufm/wb_dati_7_0_0_a3_7[3] ( .A(A1), .B(B1), .C(GNDI), .D(D1), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40081 \ram2e_ufm/wb_dati_7_0_0_0_0[3] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40149 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0044) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_90 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40150 \ram2e_ufm/wb_dati_7_0_0_a3_1_0[6] ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40151 \ram2e_ufm/wb_dati_7_0_0_0[6] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify @@ -3511,158 +4236,21 @@ module SLICE_86 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); endmodule -module lut40141 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0100) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40142 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hECA0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_87 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40143 un1_CS_0_sqmuxa_0_0_a2_4_4( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40144 un1_CS_0_sqmuxa_0_0_a2_4( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); - gnd DRIVEGND( .PWR0(GNDI)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40143 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h2000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40144 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h1010) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_88 ( input D1, A1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40145 \FS_RNI9Q57[13] ( .A(A1), .B(GNDI), .C(GNDI), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40146 \wb_dati_7_0_o2_0[2] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40145 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hFF55) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40146 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hFF80) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_89 ( input D1, A1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40122 \wb_adr_7_0_o2[0] ( .A(A1), .B(GNDI), .C(GNDI), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40147 \wb_adr_7_0_a2_2[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40147 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0200) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_90 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40148 \wb_dati_7_0_o2[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40149 \wb_dati_7_0_a2[1] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); - gnd DRIVEGND( .PWR0(GNDI)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40148 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0810) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40149 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h8080) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_91 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40150 un1_CS_0_sqmuxa_0_0_a2_2_4( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40151 un1_CS_0_sqmuxa_0_0_a2_2( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); - gnd DRIVEGND( .PWR0(GNDI)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - module lut40150 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0080) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0401) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40151 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h8080) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hEAC0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_92 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_91 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); - lut40152 \wb_adr_7_0_a2_0_0[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40153 \wb_adr_7_0_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40152 \ram2e_ufm/nRAS_s_i_0_a3_8 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40153 \ram2e_ufm/nRAS_s_i_0_0 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -3679,18 +4267,19 @@ endmodule module lut40152 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h4450) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0002) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40153 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hF888) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h5444) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_93 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_92 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); - lut40154 un1_CS_0_sqmuxa_0_0_a2_1_2( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40098 un1_CS_0_sqmuxa_0_0_a2_1_4( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40154 \ram2e_ufm/CKE_7s2_0_0_o3 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40155 \ram2e_ufm/nCAS_s_i_0_a3 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -3707,43 +4296,28 @@ endmodule module lut40154 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0400) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_94 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40155 un1_CS_0_sqmuxa_0_0_a2_3_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40093 un1_CS_0_sqmuxa_0_0_a2_3_2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - + ROM16X1A #(16'h4E0A) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40155 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h8000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0A08) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_95 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_93 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 ); + wire GNDI; - lut40156 wb_we_RNO_4( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40157 wb_we_RNO_2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40156 \ram2e_ufm/wb_dati_7_0_0_o2[4] ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40016 \ram2e_ufm/wb_dati_7_0_0_a3[4] ( .A(A0), .B(B0), .C(C0), .D(GNDI), + .Z(F0)); + gnd DRIVEGND( .PWR0(GNDI)); specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); @@ -3753,82 +4327,50 @@ endmodule module lut40156 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0400) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h6888) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_94 ( input D1, C1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40157 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_o3 ( .A(GNDI), .B(GNDI), .C(C1), + .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40158 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_2_2 ( .A(A0), .B(B0), .C(C0), + .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + endmodule module lut40157 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFFF8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_96 ( input D1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40158 \RA_42_i_o2[8] ( .A(A1), .B(B1), .C(GNDI), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40159 \RA_0io_RNO[8] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - + ROM16X1A #(16'hF0FF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40158 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hEEFF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h1000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40159 ( input A, B, C, D, output Z ); +module ram2e_ufm_SLICE_95 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); - ROM16X1A #(16'h0B08) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_97 ( input D1, A1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40160 \wb_dati_7_0_a2_1[0] ( .A(A1), .B(GNDI), .C(GNDI), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40161 CKE_6_iv_i_a2_3( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40160 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hAA00) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40161 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0800) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_98 ( input D1, C1, B1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40162 un1_CS_0_sqmuxa_0_0_a2_16( .A(GNDI), .B(B1), .C(C1), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40163 un1_CS_0_sqmuxa_0_0_a2_4_2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40159 \ram2e_ufm/RA_35_0_0_o2[5] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40160 \ram2e_ufm/RA_35_0_0_0[4] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); @@ -3837,27 +4379,85 @@ module SLICE_98 ( input D1, C1, B1, D0, C0, B0, A0, output F0, F1 ); endmodule +module lut40159 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFCC8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40160 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hECA0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_96 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40161 \ram2e_ufm/RA_35_0_0_o2_0[5] ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40162 \ram2e_ufm/RA_35_0_0_0_0[6] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40161 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0326) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + module lut40162 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0003) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hEAC0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_97 ( input D1, C1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40163 \ram2e_ufm/wb_dati_7_0_0_a3_0_0[1] ( .A(A1), .B(GNDI), .C(C1), + .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40151 \ram2e_ufm/wb_dati_7_0_0_0[1] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + endmodule module lut40163 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0400) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hA000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_99 ( input D1, B1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_98 ( input D1, C1, D0, C0, B0, A0, output F0, F1 ); wire GNDI; - lut40164 un1_CS_0_sqmuxa_0_0_a2_12( .A(GNDI), .B(B1), .C(GNDI), .D(D1), - .Z(F1)); + lut40164 \ram2e_ufm/wb_dati_7_0_0_a3_9[7] ( .A(GNDI), .B(GNDI), .C(C1), + .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40143 un1_CS_0_sqmuxa_0_0_a2_2_2( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40165 \ram2e_ufm/wb_dati_7_0_0_0_0[7] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); @@ -3868,48 +4468,88 @@ endmodule module lut40164 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0033) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h00F0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_100 ( input C1, B1, A1, D0, C0, B0, output F0, F1 ); +module lut40165 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hEAC0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_99 ( input D1, B1, A1, D0, C0, B0, A0, output F0, F1 ); wire GNDI; - lut40165 un1_CS_0_sqmuxa_0_0_a2_17( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + lut40166 \ram2e_ufm/wb_adr_7_i_i_a3_2_0[0] ( .A(A1), .B(B1), .C(GNDI), + .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40166 CmdSetRWBankFFMXO2_4_u_0_0_a2_0_0( .A(GNDI), .B(B0), .C(C0), .D(D0), + lut40167 \ram2e_ufm/wb_adr_7_i_i_1[0] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40166 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0022) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40167 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hF040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_100 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40168 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_o3_0 ( .A(A1), .B(B1), .C(C1), + .D(D1), .Z(F1)); + lut40169 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_o3_0_RNI9H8R ( .A(A0), .B(B0), + .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40168 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFFFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40169 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hF7B3) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_101 ( input C1, B1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40170 \ram2e_ufm/CmdSetRWBankFFChip_3_0_a8_0_o3 ( .A(GNDI), .B(B1), + .C(C1), .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40171 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_o2 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40165 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h8080) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40166 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h00C0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_101 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40167 wb_reqc_1_RNIEO5C1( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40168 \S_RNII9DO1_1[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); @@ -3918,102 +4558,28 @@ module SLICE_101 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); endmodule -module lut40167 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h0001) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module lut40168 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'hD821) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_102 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40169 \S_s_0_1[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40170 \BA_0io_RNO[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module lut40169 ( input A, B, C, D, output Z ); - - ROM16X1A #(16'h8F0A) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - module lut40170 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0020) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_103 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40156 \RA_0io_RNO[11] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40134 wb_req_RNO_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module SLICE_104 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40171 \wb_dati_7_0_a2_3[4] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40172 \wb_adr_7_0_a2_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - + ROM16X1A #(16'h3F3F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40171 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h1000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h88B8) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40172 ( input A, B, C, D, output Z ); +module ram2e_ufm_SLICE_102 ( input D1, C1, A1, C0, B0, A0, output F0, F1 ); + wire GNDI; - ROM16X1A #(16'hA0E0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_105 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40173 \un1_LEDEN_0_sqmuxa_1_i_a2_0_1[0] ( .A(A1), .B(B1), .C(C1), .D(D1), + lut40172 \ram2e_ufm/CKE_7s2_0_0_a2_1 ( .A(A1), .B(GNDI), .C(C1), .D(D1), .Z(F1)); - lut40070 \wb_dati_7_0_a2_1[7] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40173 \ram2e_ufm/CKE_7s2_0_0 ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); @@ -4021,21 +4587,28 @@ module SLICE_105 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); endmodule -module lut40173 ( input A, B, C, D, output Z ); +module lut40172 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0010) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0500) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_106 ( input C1, B1, C0, B0, A0, output F0, F1 ); +module lut40173 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFEFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_103 ( input D1, A1, D0, C0, B0, A0, output F0, F1 ); wire GNDI; - lut40174 \S_RNINI6S[1] ( .A(GNDI), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + lut40174 \ram2e_ufm/wb_dati_7_0_0_0_o2[7] ( .A(A1), .B(GNDI), .C(GNDI), + .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40175 CKE_6_iv_i_0_1_RNO( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); + lut40175 \ram2e_ufm/wb_adr_RNO_1[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); + (D1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); @@ -4045,70 +4618,51 @@ endmodule module lut40174 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h3F3F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hAAFF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40175 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h1515) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0C88) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_107 ( input D1, C1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_104 ( input D1, C1, B1, A1, C0, B0, output F0, F1 ); wire GNDI; - lut40176 \S_r_i_o2[1] ( .A(GNDI), .B(GNDI), .C(C1), .D(D1), .Z(F1)); + lut40176 \ram2e_ufm/wb_dati_7_0_0_o2_0[3] ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40177 \ram2e_ufm/wb_dati_7_0_0_0_a3_0[3] ( .A(GNDI), .B(B0), .C(C0), + .D(GNDI), .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); - lut40023 \BA_0io_RNO[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); endspecify endmodule module lut40176 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0FFF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_108 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - wire GNDI; - - lut40177 \wb_adr_7_0_a2_5_0[0] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40178 \wb_dati_7_0_a2[7] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); - - specify - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (D0 => F0) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - + ROM16X1A #(16'h28A4) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40177 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h1010) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h3030) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module lut40178 ( input A, B, C, D, output Z ); +module ram2e_ufm_SLICE_105 ( input D1, C1, B1, A1, D0, C0, B0, output F0, F1 ); + wire GNDI; - ROM16X1A #(16'h8200) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); -endmodule - -module SLICE_109 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40179 \wb_dati_7_0_0[7] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40179 \wb_dati_7_0_0[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40178 \ram2e_ufm/S_r_i_0_o2_RNI62C53[1] ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40179 \ram2e_ufm/N_285_i ( .A(GNDI), .B(B0), .C(C0), .D(D0), .Z(F0)); + gnd DRIVEGND( .PWR0(GNDI)); specify (D1 => F1) = (0:0:0,0:0:0); @@ -4118,25 +4672,31 @@ module SLICE_109 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); endspecify endmodule -module lut40179 ( input A, B, C, D, output Z ); +module lut40178 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hF888) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0001) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_110 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); +module lut40179 ( input A, B, C, D, output Z ); - lut40180 \RA_0io_RNO[9] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40181 CmdBitbangMXO2_RNI8CSO1( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + ROM16X1A #(16'h00CF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_106 ( input C1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40180 \ram2e_ufm/S_r_i_0_o2[2] ( .A(A1), .B(GNDI), .C(C1), .D(GNDI), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40181 \ram2e_ufm/RA_35_2_0_a3[10] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); specify - (D1 => F1) = (0:0:0,0:0:0); (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); @@ -4148,26 +4708,29 @@ endmodule module lut40180 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0203) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h5F5F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40181 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h000B) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h8C0C) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_111 ( input D1, B1, A1, D0, B0, A0, output F0, F1 ); - wire GNDI; +module ram2e_ufm_SLICE_107 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); - lut40182 \RA_42_3_0[5] ( .A(A1), .B(B1), .C(GNDI), .D(D1), .Z(F1)); - gnd DRIVEGND( .PWR0(GNDI)); - lut40183 \RA_0io_RNO[4] ( .A(A0), .B(B0), .C(GNDI), .D(D0), .Z(F0)); + lut40182 \ram2e_ufm/CKE_7_m1_0_0_o2_RNIGC501 ( .A(A1), .B(B1), .C(C1), + .D(D1), .Z(F1)); + lut40183 \ram2e_ufm/RA_35_i_i_0_a3[1] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); endspecify @@ -4176,19 +4739,21 @@ endmodule module lut40182 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hAABB) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0100) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40183 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hAA88) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hE040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_112 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_108 ( input D1, C1, B1, A1, D0, C0, B0, output F0, F1 ); wire GNDI; - lut40184 nCS_6_u_i_a2_0( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40185 \RA_0io_RNO[6] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); + lut40184 \ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_o3[0] ( .A(A1), .B(B1), + .C(C1), .D(D1), .Z(F1)); + lut40185 \ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_a3_0_0[0] ( .A(GNDI), + .B(B0), .C(C0), .D(D0), .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); specify @@ -4196,51 +4761,33 @@ module SLICE_112 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 ); (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); endspecify endmodule module lut40184 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0004) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hFFFE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40185 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hE0E0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0F03) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_113 ( input C1, B1, A1, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_109 ( input C1, A1, D0, C0, B0, A0, output F0, F1 ); wire GNDI; - lut40025 \RA_0io_RNO[2] ( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + lut40186 \ram2e_ufm/wb_we_7_iv_0_0_0_a3_6 ( .A(A1), .B(GNDI), .C(C1), + .D(GNDI), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40025 \RA_0io_RNO[7] ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); + lut40187 \ram2e_ufm/wb_we_RNO_3 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); - (A1 => F1) = (0:0:0,0:0:0); - (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module SLICE_114 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); - - lut40186 \wb_dati_7_0_0[4] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40187 \un1_RWMask_0_sqmuxa_1_i_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), - .Z(F0)); - - specify - (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); - (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); @@ -4252,51 +4799,56 @@ endmodule module lut40186 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hEAC0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0505) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40187 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hECA0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hAAEA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_115 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_110 ( input D1, C1, B1, A1, D0, C0, output F0, F1 ); wire GNDI; - lut40188 nWE80_pad_RNI3ICD( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); + lut40188 \ram2e_ufm/wb_we_7_iv_0_0_0_a3_7 ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40189 \ram2e_ufm/un1_RWMask_0_sqmuxa_1_i_0_a3_0_0[0] ( .A(GNDI), .B(GNDI), + .C(C0), .D(D0), .Z(F0)); gnd DRIVEGND( .PWR0(GNDI)); - lut40189 nRWE_r_0( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); - (B0 => F0) = (0:0:0,0:0:0); - (A0 => F0) = (0:0:0,0:0:0); endspecify endmodule module lut40188 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hEEEE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h8000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40189 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h0F08) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hF000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_116 ( input B1, A1, D0, C0, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_111 ( input D1, C1, A1, D0, C0, B0, A0, output F0, F1 ); wire GNDI; - lut40190 \wb_adr_7_0_o2_2[0] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), .Z(F1)); + lut40190 \ram2e_ufm/wb_dati_7_0_0_a3_2[3] ( .A(A1), .B(GNDI), .C(C1), .D(D1), + .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40191 \wb_dati_7_0_a2_1_0[0] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + lut40191 \ram2e_ufm/wb_dati_7_0_0_0_o2[3] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); specify - (B1 => F1) = (0:0:0,0:0:0); + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); @@ -4308,27 +4860,29 @@ endmodule module lut40190 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h7777) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'hA000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40191 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h4080) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h8380) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_117 ( input D1, C1, B1, A1, C0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_112 ( input D1, B1, A1, D0, C0, B0, A0, output F0, F1 ); wire GNDI; - lut40192 \RWBank_5_0_o2[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); - lut40193 LED_pad_RNO( .A(A0), .B(GNDI), .C(C0), .D(GNDI), .Z(F0)); + lut40192 \ram2e_ufm/nRAS_s_i_0_a3_6 ( .A(A1), .B(B1), .C(GNDI), .D(D1), + .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); + lut40193 \ram2e_ufm/nRAS_s_i_0_a3_1 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); (B1 => F1) = (0:0:0,0:0:0); (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); endspecify @@ -4336,26 +4890,29 @@ endmodule module lut40192 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hFFEC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h1100) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40193 ( input A, B, C, D, output Z ); - ROM16X1A #(16'hAFAF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_118 ( input D1, C1, B0, A0, output F0, F1 ); +module ram2e_ufm_SLICE_113 ( input D1, B1, A1, D0, C0, B0, A0, output F0, F1 ); wire GNDI; - lut40194 un1_CS_0_sqmuxa_0_0_a2_11( .A(GNDI), .B(GNDI), .C(C1), .D(D1), + lut40194 \ram2e_ufm/nRAS_s_i_0_a3_5 ( .A(A1), .B(B1), .C(GNDI), .D(D1), .Z(F1)); gnd DRIVEGND( .PWR0(GNDI)); - lut40195 un1_CS_0_sqmuxa_0_0_a2_13( .A(A0), .B(B0), .C(GNDI), .D(GNDI), + lut40195 \ram2e_ufm/RA_35_2_0_a3_3[10] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify (D1 => F1) = (0:0:0,0:0:0); - (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); (A0 => F0) = (0:0:0,0:0:0); endspecify @@ -4364,20 +4921,26 @@ endmodule module lut40194 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h000F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h0022) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule module lut40195 ( input A, B, C, D, output Z ); - ROM16X1A #(16'h8888) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); + ROM16X1A #(16'h4000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule -module SLICE_119 ( input D0, C0, B0, A0, output F0 ); +module ram2e_ufm_SLICE_114 ( input C1, B1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; - lut40196 Ready_0_sqmuxa_0_a2_6_a2_2_0( .A(A0), .B(B0), .C(C0), .D(D0), - .Z(F0)); + lut40196 \ram2e_ufm/wb_adr_RNO_2[1] ( .A(A1), .B(B1), .C(C1), .D(GNDI), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40197 \ram2e_ufm/wb_adr_RNO_0[1] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); specify + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); (D0 => F0) = (0:0:0,0:0:0); (C0 => F0) = (0:0:0,0:0:0); (B0 => F0) = (0:0:0,0:0:0); @@ -4388,9 +4951,929 @@ endmodule module lut40196 ( input A, B, C, D, output Z ); + ROM16X1A #(16'h8787) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40197 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0020) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_115 ( input D1, C1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40198 \ram2e_ufm/un2_S_2_i_0_0_o3 ( .A(GNDI), .B(GNDI), .C(C1), .D(D1), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40199 \ram2e_ufm/CKE_7s2_0_0_0 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40198 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFFF0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40199 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h5700) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_116 ( input D1, C1, B1, A1, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40200 \ram2e_ufm/CmdExecMXO2_3_0_a3_0 ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40201 \ram2e_ufm/CmdSetRWBankFFChip_3_0_a8_0_a3_0 ( .A(A0), .B(B0), + .C(C0), .D(GNDI), .Z(F0)); + gnd DRIVEGND( .PWR0(GNDI)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40200 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0080) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40201 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h4040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_117 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40202 \ram2e_ufm/S_s_0_0_RNO[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40203 \ram2e_ufm/N_225_i ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40202 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h5554) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40203 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0007) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_118 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40204 \ram2e_ufm/CKE_7_m1_0_0_o2_RNI7FOA1 ( .A(A1), .B(B1), .C(C1), + .D(D1), .Z(F1)); + lut40205 \ram2e_ufm/N_201_i ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40204 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0004) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40205 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h000D) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_119 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40206 \ram2e_ufm/S_r_i_0_o2_RNIBAU51[1] ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40207 \ram2e_ufm/un1_CKE75_0_i_0 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40206 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0200) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40207 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hE36F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_120 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40208 \ram2e_ufm/DQMH_4_iv_0_0_i_i_a3_0_a3 ( .A(A1), .B(B1), .C(C1), + .D(D1), .Z(F1)); + lut40209 \ram2e_ufm/N_507_i ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40208 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0BBB) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40209 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hF111) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_121 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40210 \ram2e_ufm/Vout3_0_a3_0_a3_0_a3 ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40211 \ram2e_ufm/RA_35_0_0_o2[11] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40210 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h1000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40211 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFFE0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_122 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40212 \ram2e_ufm/nRWE_s_i_0_63_1 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40213 \ram2e_ufm/nCAS_s_i_0_m2 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40212 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h75FA) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40213 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h5F6E) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_123 ( input D1, C1, B1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40214 \ram2e_ufm/wb_adr_RNO_3[1] ( .A(GNDI), .B(B1), .C(C1), .D(D1), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40215 \ram2e_ufm/wb_dati_7_0_0_a3_8[3] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40214 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h3FFF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40215 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0080) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_124 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40216 \ram2e_ufm/RA_35_0_0_0[5] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40217 \ram2e_ufm/RA_35_0_0_RNO[0] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40216 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h40CC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40217 ( input A, B, C, D, output Z ); + ROM16X1A #(16'h8000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); endmodule +module ram2e_ufm_SLICE_125 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40218 \ram2e_ufm/wb_adr_7_i_i_o2_1[0] ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40219 \ram2e_ufm/wb_dati_7_0_0_a3_2[4] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40218 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h5CFC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40219 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0020) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_126 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40220 \ram2e_ufm/wb_we_RNO_1 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40221 \ram2e_ufm/wb_adr_7_i_i_a3_4[0] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40220 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h2BAF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40221 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0400) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_127 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40222 \ram2e_ufm/un9_VOEEN_0_a2_0_a3_0_a3 ( .A(A1), .B(B1), .C(C1), + .D(D1), .Z(F1)); + lut40223 \ram2e_ufm/RA_35_2_30_a3_2 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40222 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40223 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0080) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_128 ( input D1, C1, B1, A1, D0, C0, B0, output F0, F1 ); + wire GNDI; + + lut40224 \ram2e_ufm/wb_dati_7_0_0_0_a3_0[0] ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40225 \ram2e_ufm/wb_adr_RNO_4[1] ( .A(GNDI), .B(B0), .C(C0), .D(D0), + .Z(F0)); + gnd DRIVEGND( .PWR0(GNDI)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40224 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0880) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40225 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h00C0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_129 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40226 \ram2e_ufm/BA_4[1] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40227 \ram2e_ufm/RA_35_2_0_RNO[10] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40226 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hA0A2) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40227 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0001) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_130 ( input C1, A1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40228 \ram2e_ufm/N_187_i ( .A(A1), .B(GNDI), .C(C1), .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40229 \ram2e_ufm/wb_we_RNO_0 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (C1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40228 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h5050) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40229 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFF40) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_131 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40230 \ram2e_ufm/Ready3_0_a3_5 ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40231 \ram2e_ufm/wb_dati_7_0_0_0_a3[7] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40230 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h8000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40231 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h8040) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_132 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40232 \ram2e_ufm/RA_35_0_0_1[0] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40233 \ram2e_ufm/RA_35_0_0_0_0[7] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40232 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0777) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40233 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hF888) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_133 ( input D1, C1, B1, A1, D0, C0, B0, output F0, F1 ); + wire GNDI; + + lut40234 \ram2e_ufm/un1_CS_0_sqmuxa_0_0_a3_0_1 ( .A(A1), .B(B1), .C(C1), + .D(D1), .Z(F1)); + lut40127 \ram2e_ufm/SUM0_i_o2_2 ( .A(GNDI), .B(B0), .C(C0), .D(D0), .Z(F0)); + gnd DRIVEGND( .PWR0(GNDI)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40234 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h8000) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_134 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40184 \ram2e_ufm/un1_CmdSetRWBankFFChip13_1_i_0_0_o3_3[0] ( .A(A1), + .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40235 \ram2e_ufm/RA_35_0_0_a3[2] ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40235 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hA808) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_135 ( input D1, C1, D0, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40236 \ram2e_ufm/S_r_i_0_o2_0[1] ( .A(GNDI), .B(GNDI), .C(C1), .D(D1), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40237 \ram2e_ufm/RA_35_2_0_a3_0[10] ( .A(A0), .B(B0), .C(C0), .D(D0), + .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40236 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0FFF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40237 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h1050) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_136 ( input D1, C1, B1, C0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40238 \ram2e_ufm/nRAS_s_i_0_o2 ( .A(GNDI), .B(B1), .C(C1), .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40239 \ram2e_ufm/un1_nDOE_i ( .A(A0), .B(B0), .C(C0), .D(GNDI), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40238 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h0F3F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40239 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hF7F7) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_137 ( input D1, C1, A1, D0, C0, A0, output F0, F1 ); + wire GNDI; + + lut40240 \ram2e_ufm/RDOE_i ( .A(A1), .B(GNDI), .C(C1), .D(D1), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40241 \ram2e_ufm/LEDEN_RNI6G6M ( .A(A0), .B(GNDI), .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40240 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hAAA0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40241 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hF5FF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_138 ( input C1, B1, A1, D0, C0, B0, output F0, F1 ); + wire GNDI; + + lut40242 VOEEN_RNO( .A(A1), .B(B1), .C(C1), .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40243 DOEEN_RNO( .A(GNDI), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40242 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h1313) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40243 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h003F) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module SLICE_139 ( input C1, A1, D0, B0, A0, output F0, F1 ); + wire GNDI; + + lut40244 nVOE_pad_RNO( .A(A1), .B(GNDI), .C(C1), .D(GNDI), .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40245 S_1( .A(A0), .B(B0), .C(GNDI), .D(D0), .Z(F0)); + + specify + (C1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40244 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hAFAF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40245 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h2200) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_140 ( input C1, A1, D0, C0, output F0, F1 ); + wire GNDI; + + lut40025 \ram2e_ufm/RA_35_0_0_a3_0[2] ( .A(A1), .B(GNDI), .C(C1), .D(GNDI), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40189 \ram2e_ufm/RA_35_0_0_a3[5] ( .A(GNDI), .B(GNDI), .C(C0), .D(D0), + .Z(F0)); + + specify + (C1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module ram2e_ufm_SLICE_141 ( input D1, C1, D0, B0, output F0, F1 ); + wire GNDI; + + lut40189 \ram2e_ufm/RDout_i_0_i_a3[0] ( .A(GNDI), .B(GNDI), .C(C1), .D(D1), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40246 \ram2e_ufm/N_263_i ( .A(GNDI), .B(B0), .C(GNDI), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40246 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hCC00) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_142 ( input D1, C1, B1, D0, B0, output F0, F1 ); + wire GNDI; + + lut40247 \ram2e_ufm/CmdLEDGet_3_0_a3_1 ( .A(GNDI), .B(B1), .C(C1), .D(D1), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40248 \ram2e_ufm/RDout_i_i_a3[4] ( .A(GNDI), .B(B0), .C(GNDI), .D(D0), + .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40247 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'h00C0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40248 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hCC00) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_143 ( input D1, B1, D0, B0, output F0, F1 ); + wire GNDI; + + lut40248 \ram2e_ufm/RDout_i_0_i_a3[1] ( .A(GNDI), .B(B1), .C(GNDI), .D(D1), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40248 \ram2e_ufm/RDout_i_0_i_a3[7] ( .A(GNDI), .B(B0), .C(GNDI), .D(D0), + .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module ram2e_ufm_SLICE_144 ( input B1, A1, D0, B0, output F0, F1 ); + wire GNDI; + + lut40073 \ram2e_ufm/RDout_i_0_i_a3[2] ( .A(A1), .B(B1), .C(GNDI), .D(GNDI), + .Z(F1)); + gnd DRIVEGND( .PWR0(GNDI)); + lut40248 \ram2e_ufm/RDout_i_0_i_a3[6] ( .A(GNDI), .B(B0), .C(GNDI), .D(D0), + .Z(F0)); + + specify + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module ram2e_ufm_SLICE_145 ( input D1, C1, B1, A1, C0, B0, output F0, F1 ); + wire GNDI; + + lut40249 \ram2e_ufm/wb_dati_7_0_0_0[4] ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40250 \ram2e_ufm/wb_dati_7_0_0_o2_0[7] ( .A(GNDI), .B(B0), .C(C0), + .D(GNDI), .Z(F0)); + gnd DRIVEGND( .PWR0(GNDI)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40249 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hECA0) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module lut40250 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hCFCF) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_146 ( input D1, C1, B1, A1, D0, C0, B0, A0, output F0, + F1 ); + + lut40251 \ram2e_ufm/RA_35_0_0_0[9] ( .A(A1), .B(B1), .C(C1), .D(D1), .Z(F1)); + lut40188 \ram2e_ufm/Ready3_0_a3_3 ( .A(A0), .B(B0), .C(C0), .D(D0), .Z(F0)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (D0 => F0) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + (A0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40251 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hA0EC) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + +module ram2e_ufm_SLICE_147 ( input D1, C1, B1, A1, C0, B0, output F0, F1 ); + wire GNDI; + + lut40252 \ram2e_ufm/RWBank_3_0_0_o3[0] ( .A(A1), .B(B1), .C(C1), .D(D1), + .Z(F1)); + lut40061 \ram2e_ufm/RDout_i_0_i_a3[5] ( .A(GNDI), .B(B0), .C(C0), .D(GNDI), + .Z(F0)); + gnd DRIVEGND( .PWR0(GNDI)); + + specify + (D1 => F1) = (0:0:0,0:0:0); + (C1 => F1) = (0:0:0,0:0:0); + (B1 => F1) = (0:0:0,0:0:0); + (A1 => F1) = (0:0:0,0:0:0); + (C0 => F0) = (0:0:0,0:0:0); + (B0 => F0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module lut40252 ( input A, B, C, D, output Z ); + + ROM16X1A #(16'hFEEE) INST10( .AD0(A), .AD1(B), .AD2(C), .AD3(D), .DO0(Z)); +endmodule + module RD_0_ ( output PADDI, input PADDT, PADDO, inout RD0 ); xo2iobuf \RD_pad[0] ( .I(PADDO), .T(PADDT), .Z(PADDI), .PAD(RD0), .PADI(RD0)); @@ -4413,7 +5896,7 @@ endmodule module LED ( input PADDO, output LED ); - xo2iobuf0197 LED_pad( .I(PADDO), .PAD(LED)); + xo2iobuf0253 LED_pad( .I(PADDO), .PAD(LED)); specify (PADDO => LED) = (0:0:0,0:0:0); @@ -4421,14 +5904,14 @@ module LED ( input PADDO, output LED ); endmodule -module xo2iobuf0197 ( input I, output PAD ); +module xo2iobuf0253 ( input I, output PAD ); OB INST5( .I(I), .O(PAD)); endmodule module C14M ( output PADDI, input C14M ); - xo2iobuf0198 C14M_pad( .Z(PADDI), .PAD(C14M)); + xo2iobuf0254 C14M_pad( .Z(PADDI), .PAD(C14M)); specify (C14M => PADDI) = (0:0:0,0:0:0); @@ -4438,71 +5921,11 @@ module C14M ( output PADDI, input C14M ); endmodule -module xo2iobuf0198 ( output Z, input PAD ); +module xo2iobuf0254 ( output Z, input PAD ); IB INST1( .I(PAD), .O(Z)); endmodule -module DQMH ( input IOLDO, output DQMH ); - - xo2iobuf0197 DQMH_pad( .I(IOLDO), .PAD(DQMH)); - - specify - (IOLDO => DQMH) = (0:0:0,0:0:0); - endspecify - -endmodule - -module DQMH_MGIOL ( output IOLDO, input OPOS, CLK ); - wire VCCI, GNDI, OPOS_dly, CLK_dly; - - mfflsre DQMH_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), - .Q(IOLDO)); - vcc DRIVEVCC( .PWR1(VCCI)); - gnd DRIVEGND( .PWR0(GNDI)); - - specify - (CLK => IOLDO) = (0:0:0,0:0:0); - $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - endspecify - -endmodule - -module mfflsre ( input D0, SP, CK, LSR, output Q ); - - FD1P3BX INST01( .D(D0), .SP(SP), .CK(CK), .PD(LSR), .Q(Q)); - defparam INST01.GSR = "DISABLED"; -endmodule - -module DQML ( input IOLDO, output DQML ); - - xo2iobuf0197 DQML_pad( .I(IOLDO), .PAD(DQML)); - - specify - (IOLDO => DQML) = (0:0:0,0:0:0); - endspecify - -endmodule - -module DQML_MGIOL ( output IOLDO, input OPOS, CLK ); - wire VCCI, GNDI, OPOS_dly, CLK_dly; - - mfflsre DQML_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), - .Q(IOLDO)); - vcc DRIVEVCC( .PWR1(VCCI)); - gnd DRIVEGND( .PWR0(GNDI)); - - specify - (CLK => IOLDO) = (0:0:0,0:0:0); - $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - endspecify - -endmodule - module RD_7_ ( output PADDI, input PADDT, PADDO, inout RD7 ); xo2iobuf \RD_pad[7] ( .I(PADDO), .T(PADDT), .Z(PADDI), .PAD(RD7), .PADI(RD7)); @@ -4601,305 +6024,416 @@ module RD_1_ ( output PADDI, input PADDT, PADDO, inout RD1 ); endmodule -module RA_11_ ( input IOLDO, output RA11 ); +module DQMH ( input IOLDO, output DQMH ); - xo2iobuf0197 \RA_pad[11] ( .I(IOLDO), .PAD(RA11)); + xo2iobuf0253 DQMH_pad( .I(IOLDO), .PAD(DQMH)); specify - (IOLDO => RA11) = (0:0:0,0:0:0); + (IOLDO => DQMH) = (0:0:0,0:0:0); endspecify endmodule -module RA_11__MGIOL ( output IOLDO, input OPOS, CLK ); - wire VCCI, GNDI, OPOS_dly, CLK_dly; +module DQMH_MGIOL ( output IOLDO, input OPOS, CE, CLK ); + wire GNDI, OPOS_dly, CLK_dly, CE_dly; - mfflsre0199 \RA_0io[11] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), + mfflsre DQMH_0io( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(IOLDO)); - vcc DRIVEVCC( .PWR1(VCCI)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); endspecify endmodule -module mfflsre0199 ( input D0, SP, CK, LSR, output Q ); +module mfflsre ( input D0, SP, CK, LSR, output Q ); + + FD1P3BX INST01( .D(D0), .SP(SP), .CK(CK), .PD(LSR), .Q(Q)); + defparam INST01.GSR = "DISABLED"; +endmodule + +module DQML ( input IOLDO, output DQML ); + + xo2iobuf0253 DQML_pad( .I(IOLDO), .PAD(DQML)); + + specify + (IOLDO => DQML) = (0:0:0,0:0:0); + endspecify + +endmodule + +module DQML_MGIOL ( output IOLDO, input OPOS, CE, CLK ); + wire GNDI, OPOS_dly, CLK_dly, CE_dly; + + mfflsre DQML_0io( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), + .Q(IOLDO)); + gnd DRIVEGND( .PWR0(GNDI)); + + specify + (CLK => IOLDO) = (0:0:0,0:0:0); + $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + endspecify + +endmodule + +module RAout_11_ ( input IOLDO, output RAout11 ); + + xo2iobuf0253 \RAout_pad[11] ( .I(IOLDO), .PAD(RAout11)); + + specify + (IOLDO => RAout11) = (0:0:0,0:0:0); + endspecify + +endmodule + +module RAout_11__MGIOL ( output IOLDO, input OPOS, CLK ); + wire VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly; + + mfflsre0255 \RAout_0io[11] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), + .LSR(GNDI), .Q(IOLDO)); + vcc DRIVEVCC( .PWR1(VCCI)); + inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); + gnd DRIVEGND( .PWR0(GNDI)); + + specify + (CLK => IOLDO) = (0:0:0,0:0:0); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); + endspecify + +endmodule + +module mfflsre0255 ( input D0, SP, CK, LSR, output Q ); FD1P3DX INST01( .D(D0), .SP(SP), .CK(CK), .CD(LSR), .Q(Q)); defparam INST01.GSR = "DISABLED"; endmodule -module RA_10_ ( input IOLDO, output RA10 ); +module inverter ( input I, output Z ); - xo2iobuf0197 \RA_pad[10] ( .I(IOLDO), .PAD(RA10)); + INV INST1( .A(I), .Z(Z)); +endmodule + +module RAout_10_ ( input IOLDO, output RAout10 ); + + xo2iobuf0253 \RAout_pad[10] ( .I(IOLDO), .PAD(RAout10)); specify - (IOLDO => RA10) = (0:0:0,0:0:0); + (IOLDO => RAout10) = (0:0:0,0:0:0); endspecify endmodule -module RA_10__MGIOL ( output IOLDO, input OPOS, CLK ); - wire VCCI, GNDI, OPOS_dly, CLK_dly; +module RAout_10__MGIOL ( output IOLDO, input OPOS, CLK ); + wire VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly; - mfflsre0199 \RA_0io[10] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), - .Q(IOLDO)); + mfflsre0255 \RAout_0io[10] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), + .LSR(GNDI), .Q(IOLDO)); vcc DRIVEVCC( .PWR1(VCCI)); + inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); - $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); + $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); endspecify endmodule -module RA_9_ ( input IOLDO, output RA9 ); +module RAout_9_ ( input IOLDO, output RAout9 ); - xo2iobuf0197 \RA_pad[9] ( .I(IOLDO), .PAD(RA9)); + xo2iobuf0253 \RAout_pad[9] ( .I(IOLDO), .PAD(RAout9)); specify - (IOLDO => RA9) = (0:0:0,0:0:0); + (IOLDO => RAout9) = (0:0:0,0:0:0); endspecify endmodule -module RA_9__MGIOL ( output IOLDO, input OPOS, CLK ); - wire VCCI, GNDI, OPOS_dly, CLK_dly; +module RAout_9__MGIOL ( output IOLDO, input OPOS, CLK ); + wire VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly; - mfflsre0199 \RA_0io[9] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), - .Q(IOLDO)); + mfflsre0255 \RAout_0io[9] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), + .LSR(GNDI), .Q(IOLDO)); vcc DRIVEVCC( .PWR1(VCCI)); + inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); - $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); + $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); endspecify endmodule -module RA_8_ ( input IOLDO, output RA8 ); +module RAout_8_ ( input IOLDO, output RAout8 ); - xo2iobuf0197 \RA_pad[8] ( .I(IOLDO), .PAD(RA8)); + xo2iobuf0253 \RAout_pad[8] ( .I(IOLDO), .PAD(RAout8)); specify - (IOLDO => RA8) = (0:0:0,0:0:0); + (IOLDO => RAout8) = (0:0:0,0:0:0); endspecify endmodule -module RA_8__MGIOL ( output IOLDO, input OPOS, CLK ); - wire VCCI, GNDI, OPOS_dly, CLK_dly; +module RAout_8__MGIOL ( output IOLDO, input OPOS, CLK ); + wire VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly; - mfflsre0199 \RA_0io[8] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), - .Q(IOLDO)); + mfflsre0255 \RAout_0io[8] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), + .LSR(GNDI), .Q(IOLDO)); vcc DRIVEVCC( .PWR1(VCCI)); + inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); - $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); + $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); endspecify endmodule -module RA_7_ ( input IOLDO, output RA7 ); +module RAout_7_ ( input IOLDO, output RAout7 ); - xo2iobuf0197 \RA_pad[7] ( .I(IOLDO), .PAD(RA7)); + xo2iobuf0253 \RAout_pad[7] ( .I(IOLDO), .PAD(RAout7)); specify - (IOLDO => RA7) = (0:0:0,0:0:0); + (IOLDO => RAout7) = (0:0:0,0:0:0); endspecify endmodule -module RA_7__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire GNDI, OPOS_dly, CLK_dly, CE_dly; +module RAout_7__MGIOL ( output IOLDO, input OPOS, CLK ); + wire VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly; - mfflsre0199 \RA_0io[7] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), + mfflsre0255 \RAout_0io[7] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(IOLDO)); + vcc DRIVEVCC( .PWR1(VCCI)); + inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); - $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); + $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); endspecify endmodule -module RA_6_ ( input IOLDO, output RA6 ); +module RAout_6_ ( input IOLDO, output RAout6 ); - xo2iobuf0197 \RA_pad[6] ( .I(IOLDO), .PAD(RA6)); + xo2iobuf0253 \RAout_pad[6] ( .I(IOLDO), .PAD(RAout6)); specify - (IOLDO => RA6) = (0:0:0,0:0:0); + (IOLDO => RAout6) = (0:0:0,0:0:0); endspecify endmodule -module RA_6__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire GNDI, OPOS_dly, CLK_dly, CE_dly; +module RAout_6__MGIOL ( output IOLDO, input OPOS, CLK ); + wire VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly; - mfflsre0199 \RA_0io[6] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), + mfflsre0255 \RAout_0io[6] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(IOLDO)); + vcc DRIVEVCC( .PWR1(VCCI)); + inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); - $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); + $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); endspecify endmodule -module RA_5_ ( input IOLDO, output RA5 ); +module RAout_5_ ( input IOLDO, output RAout5 ); - xo2iobuf0197 \RA_pad[5] ( .I(IOLDO), .PAD(RA5)); + xo2iobuf0253 \RAout_pad[5] ( .I(IOLDO), .PAD(RAout5)); specify - (IOLDO => RA5) = (0:0:0,0:0:0); + (IOLDO => RAout5) = (0:0:0,0:0:0); endspecify endmodule -module RA_5__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire GNDI, OPOS_dly, CLK_dly, CE_dly; +module RAout_5__MGIOL ( output IOLDO, input OPOS, CLK ); + wire VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly; - mfflsre0199 \RA_0io[5] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), + mfflsre0255 \RAout_0io[5] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(IOLDO)); + vcc DRIVEVCC( .PWR1(VCCI)); + inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); - $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); + $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); endspecify endmodule -module RA_4_ ( input IOLDO, output RA4 ); +module RAout_4_ ( input IOLDO, output RAout4 ); - xo2iobuf0197 \RA_pad[4] ( .I(IOLDO), .PAD(RA4)); + xo2iobuf0253 \RAout_pad[4] ( .I(IOLDO), .PAD(RAout4)); specify - (IOLDO => RA4) = (0:0:0,0:0:0); + (IOLDO => RAout4) = (0:0:0,0:0:0); endspecify endmodule -module RA_4__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire GNDI, OPOS_dly, CLK_dly, CE_dly; +module RAout_4__MGIOL ( output IOLDO, input OPOS, CLK ); + wire VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly; - mfflsre0199 \RA_0io[4] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), + mfflsre0255 \RAout_0io[4] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(IOLDO)); + vcc DRIVEVCC( .PWR1(VCCI)); + inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); - $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); + $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); endspecify endmodule -module RA_3_ ( input PADDO, output RA3 ); +module RAout_3_ ( input IOLDO, output RAout3 ); - xo2iobuf0197 \RA_pad[3] ( .I(PADDO), .PAD(RA3)); + xo2iobuf0253 \RAout_pad[3] ( .I(IOLDO), .PAD(RAout3)); specify - (PADDO => RA3) = (0:0:0,0:0:0); + (IOLDO => RAout3) = (0:0:0,0:0:0); endspecify endmodule -module RA_2_ ( input IOLDO, output RA2 ); +module RAout_3__MGIOL ( output IOLDO, input OPOS, CLK ); + wire VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly; - xo2iobuf0197 \RA_pad[2] ( .I(IOLDO), .PAD(RA2)); - - specify - (IOLDO => RA2) = (0:0:0,0:0:0); - endspecify - -endmodule - -module RA_2__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire GNDI, OPOS_dly, CLK_dly, CE_dly; - - mfflsre0199 \RA_0io[2] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), + mfflsre0255 \RAout_0io[3] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(IOLDO)); + vcc DRIVEVCC( .PWR1(VCCI)); + inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); - $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); + $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); endspecify endmodule -module RA_1_ ( input IOLDO, output RA1 ); +module RAout_2_ ( input IOLDO, output RAout2 ); - xo2iobuf0197 \RA_pad[1] ( .I(IOLDO), .PAD(RA1)); + xo2iobuf0253 \RAout_pad[2] ( .I(IOLDO), .PAD(RAout2)); specify - (IOLDO => RA1) = (0:0:0,0:0:0); + (IOLDO => RAout2) = (0:0:0,0:0:0); endspecify endmodule -module RA_1__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire GNDI, OPOS_dly, CLK_dly, CE_dly; +module RAout_2__MGIOL ( output IOLDO, input OPOS, CLK ); + wire VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly; - mfflsre0199 \RA_0io[1] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), + mfflsre0255 \RAout_0io[2] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(IOLDO)); + vcc DRIVEVCC( .PWR1(VCCI)); + inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); - $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); + $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); endspecify endmodule -module RA_0_ ( input PADDO, output RA0 ); +module RAout_1_ ( input IOLDO, output RAout1 ); - xo2iobuf0197 \RA_pad[0] ( .I(PADDO), .PAD(RA0)); + xo2iobuf0253 \RAout_pad[1] ( .I(IOLDO), .PAD(RAout1)); specify - (PADDO => RA0) = (0:0:0,0:0:0); + (IOLDO => RAout1) = (0:0:0,0:0:0); + endspecify + +endmodule + +module RAout_1__MGIOL ( output IOLDO, input OPOS, CLK ); + wire VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly; + + mfflsre0255 \RAout_0io[1] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), + .LSR(GNDI), .Q(IOLDO)); + vcc DRIVEVCC( .PWR1(VCCI)); + inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); + gnd DRIVEGND( .PWR0(GNDI)); + + specify + (CLK => IOLDO) = (0:0:0,0:0:0); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); + endspecify + +endmodule + +module RAout_0_ ( input IOLDO, output RAout0 ); + + xo2iobuf0253 \RAout_pad[0] ( .I(IOLDO), .PAD(RAout0)); + + specify + (IOLDO => RAout0) = (0:0:0,0:0:0); + endspecify + +endmodule + +module RAout_0__MGIOL ( output IOLDO, input OPOS, CLK ); + wire VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly; + + mfflsre0255 \RAout_0io[0] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), + .LSR(GNDI), .Q(IOLDO)); + vcc DRIVEVCC( .PWR1(VCCI)); + inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); + gnd DRIVEGND( .PWR0(GNDI)); + + specify + (CLK => IOLDO) = (0:0:0,0:0:0); + $width (posedge CLK, 0:0:0); + $width (negedge CLK, 0:0:0); + $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); endspecify endmodule module BA_1_ ( input IOLDO, output BA1 ); - xo2iobuf0197 \BA_pad[1] ( .I(IOLDO), .PAD(BA1)); + xo2iobuf0253 \BA_pad[1] ( .I(IOLDO), .PAD(BA1)); specify (IOLDO => BA1) = (0:0:0,0:0:0); @@ -4907,16 +6441,16 @@ module BA_1_ ( input IOLDO, output BA1 ); endmodule -module BA_1__MGIOL ( output IOLDO, input OPOS, LSR, CLK ); - wire VCCI, OPOS_dly, CLK_dly, LSR_dly; +module BA_1__MGIOL ( output IOLDO, input OPOS, CE, LSR, CLK ); + wire OPOS_dly, CLK_dly, CE_dly, LSR_dly; - mfflsre0200 \BA_0io[1] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), + mfflsre0256 \BA_0io[1] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), .LSR(LSR_dly), .Q(IOLDO)); - vcc DRIVEVCC( .PWR1(VCCI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $setuphold (posedge CLK, LSR, 0:0:0, 0:0:0,,,, CLK_dly, LSR_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); @@ -4924,7 +6458,7 @@ module BA_1__MGIOL ( output IOLDO, input OPOS, LSR, CLK ); endmodule -module mfflsre0200 ( input D0, SP, CK, LSR, output Q ); +module mfflsre0256 ( input D0, SP, CK, LSR, output Q ); FD1P3IX INST01( .D(D0), .SP(SP), .CK(CK), .CD(LSR), .Q(Q)); defparam INST01.GSR = "DISABLED"; @@ -4932,7 +6466,7 @@ endmodule module BA_0_ ( input IOLDO, output BA0 ); - xo2iobuf0197 \BA_pad[0] ( .I(IOLDO), .PAD(BA0)); + xo2iobuf0253 \BA_pad[0] ( .I(IOLDO), .PAD(BA0)); specify (IOLDO => BA0) = (0:0:0,0:0:0); @@ -4940,16 +6474,16 @@ module BA_0_ ( input IOLDO, output BA0 ); endmodule -module BA_0__MGIOL ( output IOLDO, input OPOS, LSR, CLK ); - wire VCCI, OPOS_dly, CLK_dly, LSR_dly; +module BA_0__MGIOL ( output IOLDO, input OPOS, CE, LSR, CLK ); + wire OPOS_dly, CLK_dly, CE_dly, LSR_dly; - mfflsre0200 \BA_0io[0] ( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), + mfflsre0256 \BA_0io[0] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), .LSR(LSR_dly), .Q(IOLDO)); - vcc DRIVEVCC( .PWR1(VCCI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $setuphold (posedge CLK, LSR, 0:0:0, 0:0:0,,,, CLK_dly, LSR_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); @@ -4957,144 +6491,131 @@ module BA_0__MGIOL ( output IOLDO, input OPOS, LSR, CLK ); endmodule -module nRWE ( input IOLDO, output nRWE ); +module nRWEout ( input IOLDO, output nRWEout ); - xo2iobuf0197 nRWE_pad( .I(IOLDO), .PAD(nRWE)); + xo2iobuf0253 nRWEout_pad( .I(IOLDO), .PAD(nRWEout)); specify - (IOLDO => nRWE) = (0:0:0,0:0:0); + (IOLDO => nRWEout) = (0:0:0,0:0:0); endspecify endmodule -module nRWE_MGIOL ( output IOLDO, input OPOS, CLK ); - wire VCCI, GNDI, OPOS_dly, CLK_dly; +module nRWEout_MGIOL ( output IOLDO, input OPOS, CLK ); + wire VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly; - mfflsre nRWE_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), + mfflsre nRWEout_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(IOLDO)); vcc DRIVEVCC( .PWR1(VCCI)); + inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); - $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); + $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); endspecify endmodule -module nCAS ( input IOLDO, output nCAS ); +module nCASout ( input IOLDO, output nCASout ); - xo2iobuf0197 nCAS_pad( .I(IOLDO), .PAD(nCAS)); + xo2iobuf0253 nCASout_pad( .I(IOLDO), .PAD(nCASout)); specify - (IOLDO => nCAS) = (0:0:0,0:0:0); + (IOLDO => nCASout) = (0:0:0,0:0:0); endspecify endmodule -module nCAS_MGIOL ( output IOLDO, input OPOS, CLK ); - wire VCCI, GNDI, OPOS_dly, CLK_dly; +module nCASout_MGIOL ( output IOLDO, input OPOS, CLK ); + wire VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly; - mfflsre nCAS_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), + mfflsre nCASout_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(IOLDO)); vcc DRIVEVCC( .PWR1(VCCI)); + inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); - $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); + $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); endspecify endmodule -module nRAS ( input IOLDO, output nRAS ); +module nRASout ( input IOLDO, output nRASout ); - xo2iobuf0197 nRAS_pad( .I(IOLDO), .PAD(nRAS)); + xo2iobuf0253 nRASout_pad( .I(IOLDO), .PAD(nRASout)); specify - (IOLDO => nRAS) = (0:0:0,0:0:0); + (IOLDO => nRASout) = (0:0:0,0:0:0); endspecify endmodule -module nRAS_MGIOL ( output IOLDO, input OPOS, CLK ); - wire VCCI, GNDI, OPOS_dly, CLK_dly; +module nRASout_MGIOL ( output IOLDO, input OPOS, CLK ); + wire VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly; - mfflsre nRAS_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), + mfflsre nRASout_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(IOLDO)); vcc DRIVEVCC( .PWR1(VCCI)); + inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); - $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); + $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); endspecify endmodule -module nCS ( input IOLDO, output nCS ); +module nCSout ( input PADDO, output nCSout ); - xo2iobuf0197 nCS_pad( .I(IOLDO), .PAD(nCS)); + xo2iobuf0253 nCSout_pad( .I(PADDO), .PAD(nCSout)); specify - (IOLDO => nCS) = (0:0:0,0:0:0); + (PADDO => nCSout) = (0:0:0,0:0:0); endspecify endmodule -module nCS_MGIOL ( output IOLDO, input OPOS, CLK ); - wire VCCI, GNDI, OPOS_dly, CLK_dly; +module CKEout ( input IOLDO, output CKEout ); - mfflsre nCS_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), + xo2iobuf0253 CKEout_pad( .I(IOLDO), .PAD(CKEout)); + + specify + (IOLDO => CKEout) = (0:0:0,0:0:0); + endspecify + +endmodule + +module CKEout_MGIOL ( output IOLDO, input OPOS, CLK ); + wire VCCI, CLK_NOTIN, GNDI, OPOS_dly, CLK_dly; + + mfflsre0255 CKEout_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_NOTIN), .LSR(GNDI), .Q(IOLDO)); vcc DRIVEVCC( .PWR1(VCCI)); + inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); - $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - endspecify - -endmodule - -module CKE ( input IOLDO, output CKE ); - - xo2iobuf0197 CKE_pad( .I(IOLDO), .PAD(CKE)); - - specify - (IOLDO => CKE) = (0:0:0,0:0:0); - endspecify - -endmodule - -module CKE_MGIOL ( output IOLDO, input OPOS, CLK ); - wire VCCI, GNDI, OPOS_dly, CLK_dly; - - mfflsre0199 CKE_0io( .D0(OPOS_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), - .Q(IOLDO)); - vcc DRIVEVCC( .PWR1(VCCI)); - gnd DRIVEGND( .PWR0(GNDI)); - - specify - (CLK => IOLDO) = (0:0:0,0:0:0); - $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); + $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); endspecify endmodule module nVOE ( input PADDO, output nVOE ); - xo2iobuf0197 nVOE_pad( .I(PADDO), .PAD(nVOE)); + xo2iobuf0253 nVOE_pad( .I(PADDO), .PAD(nVOE)); specify (PADDO => nVOE) = (0:0:0,0:0:0); @@ -5104,7 +6625,7 @@ endmodule module Vout_7_ ( input IOLDO, output Vout7 ); - xo2iobuf0197 \Vout_pad[7] ( .I(IOLDO), .PAD(Vout7)); + xo2iobuf0253 \Vout_pad[7] ( .I(IOLDO), .PAD(Vout7)); specify (IOLDO => Vout7) = (0:0:0,0:0:0); @@ -5113,31 +6634,25 @@ module Vout_7_ ( input IOLDO, output Vout7 ); endmodule module Vout_7__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly; + wire GNDI, OPOS_dly, CLK_dly, CE_dly; - mfflsre0199 \Vout_0io[7] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), + mfflsre0255 \Vout_0io[7] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(IOLDO)); - inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); + $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); - $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); endspecify endmodule -module inverter ( input I, output Z ); - - INV INST1( .A(I), .Z(Z)); -endmodule - module Vout_6_ ( input IOLDO, output Vout6 ); - xo2iobuf0197 \Vout_pad[6] ( .I(IOLDO), .PAD(Vout6)); + xo2iobuf0253 \Vout_pad[6] ( .I(IOLDO), .PAD(Vout6)); specify (IOLDO => Vout6) = (0:0:0,0:0:0); @@ -5146,26 +6661,25 @@ module Vout_6_ ( input IOLDO, output Vout6 ); endmodule module Vout_6__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly; + wire GNDI, OPOS_dly, CLK_dly, CE_dly; - mfflsre0199 \Vout_0io[6] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), + mfflsre0255 \Vout_0io[6] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(IOLDO)); - inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); + $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); - $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); endspecify endmodule module Vout_5_ ( input IOLDO, output Vout5 ); - xo2iobuf0197 \Vout_pad[5] ( .I(IOLDO), .PAD(Vout5)); + xo2iobuf0253 \Vout_pad[5] ( .I(IOLDO), .PAD(Vout5)); specify (IOLDO => Vout5) = (0:0:0,0:0:0); @@ -5174,26 +6688,25 @@ module Vout_5_ ( input IOLDO, output Vout5 ); endmodule module Vout_5__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly; + wire GNDI, OPOS_dly, CLK_dly, CE_dly; - mfflsre0199 \Vout_0io[5] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), + mfflsre0255 \Vout_0io[5] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(IOLDO)); - inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); + $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); - $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); endspecify endmodule module Vout_4_ ( input IOLDO, output Vout4 ); - xo2iobuf0197 \Vout_pad[4] ( .I(IOLDO), .PAD(Vout4)); + xo2iobuf0253 \Vout_pad[4] ( .I(IOLDO), .PAD(Vout4)); specify (IOLDO => Vout4) = (0:0:0,0:0:0); @@ -5202,26 +6715,25 @@ module Vout_4_ ( input IOLDO, output Vout4 ); endmodule module Vout_4__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly; + wire GNDI, OPOS_dly, CLK_dly, CE_dly; - mfflsre0199 \Vout_0io[4] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), + mfflsre0255 \Vout_0io[4] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(IOLDO)); - inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); + $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); - $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); endspecify endmodule module Vout_3_ ( input IOLDO, output Vout3 ); - xo2iobuf0197 \Vout_pad[3] ( .I(IOLDO), .PAD(Vout3)); + xo2iobuf0253 \Vout_pad[3] ( .I(IOLDO), .PAD(Vout3)); specify (IOLDO => Vout3) = (0:0:0,0:0:0); @@ -5230,26 +6742,25 @@ module Vout_3_ ( input IOLDO, output Vout3 ); endmodule module Vout_3__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly; + wire GNDI, OPOS_dly, CLK_dly, CE_dly; - mfflsre0199 \Vout_0io[3] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), + mfflsre0255 \Vout_0io[3] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(IOLDO)); - inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); + $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); - $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); endspecify endmodule module Vout_2_ ( input IOLDO, output Vout2 ); - xo2iobuf0197 \Vout_pad[2] ( .I(IOLDO), .PAD(Vout2)); + xo2iobuf0253 \Vout_pad[2] ( .I(IOLDO), .PAD(Vout2)); specify (IOLDO => Vout2) = (0:0:0,0:0:0); @@ -5258,26 +6769,25 @@ module Vout_2_ ( input IOLDO, output Vout2 ); endmodule module Vout_2__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly; + wire GNDI, OPOS_dly, CLK_dly, CE_dly; - mfflsre0199 \Vout_0io[2] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), + mfflsre0255 \Vout_0io[2] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(IOLDO)); - inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); + $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); - $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); endspecify endmodule module Vout_1_ ( input IOLDO, output Vout1 ); - xo2iobuf0197 \Vout_pad[1] ( .I(IOLDO), .PAD(Vout1)); + xo2iobuf0253 \Vout_pad[1] ( .I(IOLDO), .PAD(Vout1)); specify (IOLDO => Vout1) = (0:0:0,0:0:0); @@ -5286,26 +6796,25 @@ module Vout_1_ ( input IOLDO, output Vout1 ); endmodule module Vout_1__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly; + wire GNDI, OPOS_dly, CLK_dly, CE_dly; - mfflsre0199 \Vout_0io[1] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), + mfflsre0255 \Vout_0io[1] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(IOLDO)); - inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); + $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); - $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); endspecify endmodule module Vout_0_ ( input IOLDO, output Vout0 ); - xo2iobuf0197 \Vout_pad[0] ( .I(IOLDO), .PAD(Vout0)); + xo2iobuf0253 \Vout_pad[0] ( .I(IOLDO), .PAD(Vout0)); specify (IOLDO => Vout0) = (0:0:0,0:0:0); @@ -5314,26 +6823,25 @@ module Vout_0_ ( input IOLDO, output Vout0 ); endmodule module Vout_0__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly; + wire GNDI, OPOS_dly, CLK_dly, CE_dly; - mfflsre0199 \Vout_0io[0] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), + mfflsre0255 \Vout_0io[0] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_dly), .LSR(GNDI), .Q(IOLDO)); - inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); gnd DRIVEGND( .PWR0(GNDI)); specify (CLK => IOLDO) = (0:0:0,0:0:0); + $setuphold (posedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); + $setuphold (posedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); $width (posedge CLK, 0:0:0); $width (negedge CLK, 0:0:0); - $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); endspecify endmodule module nDOE ( input PADDO, output nDOE ); - xo2iobuf0197 nDOE_pad( .I(PADDO), .PAD(nDOE)); + xo2iobuf0253 nDOE_pad( .I(PADDO), .PAD(nDOE)); specify (PADDO => nDOE) = (0:0:0,0:0:0); @@ -5341,238 +6849,89 @@ module nDOE ( input PADDO, output nDOE ); endmodule -module Dout_7_ ( input IOLDO, output Dout7 ); +module Dout_7_ ( input PADDO, output Dout7 ); - xo2iobuf0201 \Dout_pad[7] ( .I(IOLDO), .PAD(Dout7)); + xo2iobuf0253 \Dout_pad[7] ( .I(PADDO), .PAD(Dout7)); specify - (IOLDO => Dout7) = (0:0:0,0:0:0); + (PADDO => Dout7) = (0:0:0,0:0:0); endspecify endmodule -module xo2iobuf0201 ( input I, output PAD ); +module Dout_6_ ( input PADDO, output Dout6 ); - OB INST5( .I(I), .O(PAD)); -endmodule - -module Dout_7__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly; - - mfflsre0199 \Dout_0io[7] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), - .LSR(GNDI), .Q(IOLDO)); - inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); - gnd DRIVEGND( .PWR0(GNDI)); + xo2iobuf0253 \Dout_pad[6] ( .I(PADDO), .PAD(Dout6)); specify - (CLK => IOLDO) = (0:0:0,0:0:0); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + (PADDO => Dout6) = (0:0:0,0:0:0); endspecify endmodule -module Dout_6_ ( input IOLDO, output Dout6 ); +module Dout_5_ ( input PADDO, output Dout5 ); - xo2iobuf0201 \Dout_pad[6] ( .I(IOLDO), .PAD(Dout6)); + xo2iobuf0253 \Dout_pad[5] ( .I(PADDO), .PAD(Dout5)); specify - (IOLDO => Dout6) = (0:0:0,0:0:0); + (PADDO => Dout5) = (0:0:0,0:0:0); endspecify endmodule -module Dout_6__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly; +module Dout_4_ ( input PADDO, output Dout4 ); - mfflsre0199 \Dout_0io[6] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), - .LSR(GNDI), .Q(IOLDO)); - inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); - gnd DRIVEGND( .PWR0(GNDI)); + xo2iobuf0253 \Dout_pad[4] ( .I(PADDO), .PAD(Dout4)); specify - (CLK => IOLDO) = (0:0:0,0:0:0); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + (PADDO => Dout4) = (0:0:0,0:0:0); endspecify endmodule -module Dout_5_ ( input IOLDO, output Dout5 ); +module Dout_3_ ( input PADDO, output Dout3 ); - xo2iobuf0201 \Dout_pad[5] ( .I(IOLDO), .PAD(Dout5)); + xo2iobuf0253 \Dout_pad[3] ( .I(PADDO), .PAD(Dout3)); specify - (IOLDO => Dout5) = (0:0:0,0:0:0); + (PADDO => Dout3) = (0:0:0,0:0:0); endspecify endmodule -module Dout_5__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly; +module Dout_2_ ( input PADDO, output Dout2 ); - mfflsre0199 \Dout_0io[5] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), - .LSR(GNDI), .Q(IOLDO)); - inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); - gnd DRIVEGND( .PWR0(GNDI)); + xo2iobuf0253 \Dout_pad[2] ( .I(PADDO), .PAD(Dout2)); specify - (CLK => IOLDO) = (0:0:0,0:0:0); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + (PADDO => Dout2) = (0:0:0,0:0:0); endspecify endmodule -module Dout_4_ ( input IOLDO, output Dout4 ); +module Dout_1_ ( input PADDO, output Dout1 ); - xo2iobuf0201 \Dout_pad[4] ( .I(IOLDO), .PAD(Dout4)); + xo2iobuf0253 \Dout_pad[1] ( .I(PADDO), .PAD(Dout1)); specify - (IOLDO => Dout4) = (0:0:0,0:0:0); + (PADDO => Dout1) = (0:0:0,0:0:0); endspecify endmodule -module Dout_4__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly; +module Dout_0_ ( input PADDO, output Dout0 ); - mfflsre0199 \Dout_0io[4] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), - .LSR(GNDI), .Q(IOLDO)); - inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); - gnd DRIVEGND( .PWR0(GNDI)); + xo2iobuf0253 \Dout_pad[0] ( .I(PADDO), .PAD(Dout0)); specify - (CLK => IOLDO) = (0:0:0,0:0:0); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); - endspecify - -endmodule - -module Dout_3_ ( input IOLDO, output Dout3 ); - - xo2iobuf0201 \Dout_pad[3] ( .I(IOLDO), .PAD(Dout3)); - - specify - (IOLDO => Dout3) = (0:0:0,0:0:0); - endspecify - -endmodule - -module Dout_3__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly; - - mfflsre0199 \Dout_0io[3] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), - .LSR(GNDI), .Q(IOLDO)); - inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); - gnd DRIVEGND( .PWR0(GNDI)); - - specify - (CLK => IOLDO) = (0:0:0,0:0:0); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); - endspecify - -endmodule - -module Dout_2_ ( input IOLDO, output Dout2 ); - - xo2iobuf0201 \Dout_pad[2] ( .I(IOLDO), .PAD(Dout2)); - - specify - (IOLDO => Dout2) = (0:0:0,0:0:0); - endspecify - -endmodule - -module Dout_2__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly; - - mfflsre0199 \Dout_0io[2] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), - .LSR(GNDI), .Q(IOLDO)); - inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); - gnd DRIVEGND( .PWR0(GNDI)); - - specify - (CLK => IOLDO) = (0:0:0,0:0:0); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); - endspecify - -endmodule - -module Dout_1_ ( input IOLDO, output Dout1 ); - - xo2iobuf0201 \Dout_pad[1] ( .I(IOLDO), .PAD(Dout1)); - - specify - (IOLDO => Dout1) = (0:0:0,0:0:0); - endspecify - -endmodule - -module Dout_1__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly; - - mfflsre0199 \Dout_0io[1] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), - .LSR(GNDI), .Q(IOLDO)); - inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); - gnd DRIVEGND( .PWR0(GNDI)); - - specify - (CLK => IOLDO) = (0:0:0,0:0:0); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); - endspecify - -endmodule - -module Dout_0_ ( input IOLDO, output Dout0 ); - - xo2iobuf0201 \Dout_pad[0] ( .I(IOLDO), .PAD(Dout0)); - - specify - (IOLDO => Dout0) = (0:0:0,0:0:0); - endspecify - -endmodule - -module Dout_0__MGIOL ( output IOLDO, input OPOS, CE, CLK ); - wire CLK_NOTIN, GNDI, OPOS_dly, CLK_dly, CE_dly; - - mfflsre0199 \Dout_0io[0] ( .D0(OPOS_dly), .SP(CE_dly), .CK(CLK_NOTIN), - .LSR(GNDI), .Q(IOLDO)); - inverter CLK_INVERTERIN( .I(CLK_dly), .Z(CLK_NOTIN)); - gnd DRIVEGND( .PWR0(GNDI)); - - specify - (CLK => IOLDO) = (0:0:0,0:0:0); - $width (posedge CLK, 0:0:0); - $width (negedge CLK, 0:0:0); - $setuphold (negedge CLK, OPOS, 0:0:0, 0:0:0,,,, CLK_dly, OPOS_dly); - $setuphold (negedge CLK, CE, 0:0:0, 0:0:0,,,, CLK_dly, CE_dly); + (PADDO => Dout0) = (0:0:0,0:0:0); endspecify endmodule module Din_7_ ( output PADDI, input Din7 ); - xo2iobuf0198 \Din_pad[7] ( .Z(PADDI), .PAD(Din7)); + xo2iobuf0254 \Din_pad[7] ( .Z(PADDI), .PAD(Din7)); specify (Din7 => PADDI) = (0:0:0,0:0:0); @@ -5584,7 +6943,7 @@ endmodule module Din_6_ ( output PADDI, input Din6 ); - xo2iobuf0198 \Din_pad[6] ( .Z(PADDI), .PAD(Din6)); + xo2iobuf0254 \Din_pad[6] ( .Z(PADDI), .PAD(Din6)); specify (Din6 => PADDI) = (0:0:0,0:0:0); @@ -5596,7 +6955,7 @@ endmodule module Din_5_ ( output PADDI, input Din5 ); - xo2iobuf0198 \Din_pad[5] ( .Z(PADDI), .PAD(Din5)); + xo2iobuf0254 \Din_pad[5] ( .Z(PADDI), .PAD(Din5)); specify (Din5 => PADDI) = (0:0:0,0:0:0); @@ -5608,7 +6967,7 @@ endmodule module Din_4_ ( output PADDI, input Din4 ); - xo2iobuf0198 \Din_pad[4] ( .Z(PADDI), .PAD(Din4)); + xo2iobuf0254 \Din_pad[4] ( .Z(PADDI), .PAD(Din4)); specify (Din4 => PADDI) = (0:0:0,0:0:0); @@ -5620,7 +6979,7 @@ endmodule module Din_3_ ( output PADDI, input Din3 ); - xo2iobuf0198 \Din_pad[3] ( .Z(PADDI), .PAD(Din3)); + xo2iobuf0254 \Din_pad[3] ( .Z(PADDI), .PAD(Din3)); specify (Din3 => PADDI) = (0:0:0,0:0:0); @@ -5632,7 +6991,7 @@ endmodule module Din_2_ ( output PADDI, input Din2 ); - xo2iobuf0198 \Din_pad[2] ( .Z(PADDI), .PAD(Din2)); + xo2iobuf0254 \Din_pad[2] ( .Z(PADDI), .PAD(Din2)); specify (Din2 => PADDI) = (0:0:0,0:0:0); @@ -5644,7 +7003,7 @@ endmodule module Din_1_ ( output PADDI, input Din1 ); - xo2iobuf0198 \Din_pad[1] ( .Z(PADDI), .PAD(Din1)); + xo2iobuf0254 \Din_pad[1] ( .Z(PADDI), .PAD(Din1)); specify (Din1 => PADDI) = (0:0:0,0:0:0); @@ -5656,7 +7015,7 @@ endmodule module Din_0_ ( output PADDI, input Din0 ); - xo2iobuf0198 \Din_pad[0] ( .Z(PADDI), .PAD(Din0)); + xo2iobuf0254 \Din_pad[0] ( .Z(PADDI), .PAD(Din0)); specify (Din0 => PADDI) = (0:0:0,0:0:0); @@ -5668,7 +7027,7 @@ endmodule module Ain_7_ ( output PADDI, input Ain7 ); - xo2iobuf0198 \Ain_pad[7] ( .Z(PADDI), .PAD(Ain7)); + xo2iobuf0254 \Ain_pad[7] ( .Z(PADDI), .PAD(Ain7)); specify (Ain7 => PADDI) = (0:0:0,0:0:0); @@ -5680,7 +7039,7 @@ endmodule module Ain_6_ ( output PADDI, input Ain6 ); - xo2iobuf0198 \Ain_pad[6] ( .Z(PADDI), .PAD(Ain6)); + xo2iobuf0254 \Ain_pad[6] ( .Z(PADDI), .PAD(Ain6)); specify (Ain6 => PADDI) = (0:0:0,0:0:0); @@ -5692,7 +7051,7 @@ endmodule module Ain_5_ ( output PADDI, input Ain5 ); - xo2iobuf0198 \Ain_pad[5] ( .Z(PADDI), .PAD(Ain5)); + xo2iobuf0254 \Ain_pad[5] ( .Z(PADDI), .PAD(Ain5)); specify (Ain5 => PADDI) = (0:0:0,0:0:0); @@ -5704,7 +7063,7 @@ endmodule module Ain_4_ ( output PADDI, input Ain4 ); - xo2iobuf0198 \Ain_pad[4] ( .Z(PADDI), .PAD(Ain4)); + xo2iobuf0254 \Ain_pad[4] ( .Z(PADDI), .PAD(Ain4)); specify (Ain4 => PADDI) = (0:0:0,0:0:0); @@ -5716,7 +7075,7 @@ endmodule module Ain_3_ ( output PADDI, input Ain3 ); - xo2iobuf0198 \Ain_pad[3] ( .Z(PADDI), .PAD(Ain3)); + xo2iobuf0254 \Ain_pad[3] ( .Z(PADDI), .PAD(Ain3)); specify (Ain3 => PADDI) = (0:0:0,0:0:0); @@ -5728,7 +7087,7 @@ endmodule module Ain_2_ ( output PADDI, input Ain2 ); - xo2iobuf0198 \Ain_pad[2] ( .Z(PADDI), .PAD(Ain2)); + xo2iobuf0254 \Ain_pad[2] ( .Z(PADDI), .PAD(Ain2)); specify (Ain2 => PADDI) = (0:0:0,0:0:0); @@ -5740,7 +7099,7 @@ endmodule module Ain_1_ ( output PADDI, input Ain1 ); - xo2iobuf0198 \Ain_pad[1] ( .Z(PADDI), .PAD(Ain1)); + xo2iobuf0254 \Ain_pad[1] ( .Z(PADDI), .PAD(Ain1)); specify (Ain1 => PADDI) = (0:0:0,0:0:0); @@ -5752,7 +7111,7 @@ endmodule module Ain_0_ ( output PADDI, input Ain0 ); - xo2iobuf0198 \Ain_pad[0] ( .Z(PADDI), .PAD(Ain0)); + xo2iobuf0254 \Ain_pad[0] ( .Z(PADDI), .PAD(Ain0)); specify (Ain0 => PADDI) = (0:0:0,0:0:0); @@ -5764,7 +7123,7 @@ endmodule module nC07X ( output PADDI, input nC07X ); - xo2iobuf0198 nC07X_pad( .Z(PADDI), .PAD(nC07X)); + xo2iobuf0254 nC07X_pad( .Z(PADDI), .PAD(nC07X)); specify (nC07X => PADDI) = (0:0:0,0:0:0); @@ -5776,7 +7135,7 @@ endmodule module nEN80 ( output PADDI, input nEN80 ); - xo2iobuf0198 nEN80_pad( .Z(PADDI), .PAD(nEN80)); + xo2iobuf0254 nEN80_pad( .Z(PADDI), .PAD(nEN80)); specify (nEN80 => PADDI) = (0:0:0,0:0:0); @@ -5786,21 +7145,9 @@ module nEN80 ( output PADDI, input nEN80 ); endmodule -module nWE80 ( output PADDI, input nWE80 ); - - xo2iobuf0198 nWE80_pad( .Z(PADDI), .PAD(nWE80)); - - specify - (nWE80 => PADDI) = (0:0:0,0:0:0); - $width (posedge nWE80, 0:0:0); - $width (negedge nWE80, 0:0:0); - endspecify - -endmodule - module nWE ( output PADDI, input nWE ); - xo2iobuf0198 nWE_pad( .Z(PADDI), .PAD(nWE)); + xo2iobuf0254 nWE_pad( .Z(PADDI), .PAD(nWE)); specify (nWE => PADDI) = (0:0:0,0:0:0); @@ -5812,7 +7159,7 @@ endmodule module PHI1 ( output PADDI, input PHI1 ); - xo2iobuf0198 PHI1_pad( .Z(PADDI), .PAD(PHI1)); + xo2iobuf0254 PHI1_pad( .Z(PADDI), .PAD(PHI1)); specify (PHI1 => PADDI) = (0:0:0,0:0:0); @@ -5825,7 +7172,7 @@ endmodule module PHI1_MGIOL ( input DI, CLK, output IN ); wire VCCI, GNDI, DI_dly, CLK_dly; - smuxlregsre PHI1reg_0io( .D0(DI_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), + smuxlregsre PHI1r_0io( .D0(DI_dly), .SP(VCCI), .CK(CLK_dly), .LSR(GNDI), .Q(IN)); vcc DRIVEVCC( .PWR1(VCCI)); gnd DRIVEGND( .PWR0(GNDI)); @@ -5845,14 +7192,14 @@ module smuxlregsre ( input D0, SP, CK, LSR, output Q ); defparam INST01.GSR = "DISABLED"; endmodule -module ufmefb_EFBInst_0 ( input WBCLKI, WBRSTI, WBCYCI, WBSTBI, WBWEI, WBADRI0, - WBADRI1, WBADRI2, WBADRI3, WBADRI4, WBADRI5, WBADRI6, WBADRI7, WBDATI0, - WBDATI1, WBDATI2, WBDATI3, WBDATI4, WBDATI5, WBDATI6, WBDATI7, output - WBDATO0, WBDATO1, WBDATO2, WBDATO3, WBDATO4, WBDATO5, WBDATO6, WBDATO7, - WBACKO ); +module ram2e_ufm_ufmefb_EFBInst_0 ( input WBCLKI, WBRSTI, WBCYCI, WBSTBI, + WBWEI, WBADRI0, WBADRI1, WBADRI2, WBADRI3, WBADRI4, WBADRI5, WBADRI6, + WBADRI7, WBDATI0, WBDATI1, WBDATI2, WBDATI3, WBDATI4, WBDATI5, WBDATI6, + WBDATI7, output WBDATO0, WBDATO1, WBDATO2, WBDATO3, WBDATO4, WBDATO5, + WBDATO6, WBDATO7, WBACKO ); wire VCCI, GNDI; - EFB_B \ufmefb/EFBInst_0_EFB ( .WBCLKI(WBCLKI), .WBRSTI(WBRSTI), + EFB_B \ram2e_ufm/ufmefb/EFBInst_0_EFB ( .WBCLKI(WBCLKI), .WBRSTI(WBRSTI), .WBCYCI(WBCYCI), .WBSTBI(WBSTBI), .WBWEI(WBWEI), .WBADRI0(WBADRI0), .WBADRI1(WBADRI1), .WBADRI2(WBADRI2), .WBADRI3(WBADRI3), .WBADRI4(WBADRI4), .WBADRI5(WBADRI5), .WBADRI6(WBADRI6), .WBADRI7(WBADRI7), .WBDATI0(WBDATI0), diff --git a/CPLD/LCMXO2-640HC/impl1/hdla_gen_hierarchy.html b/CPLD/LCMXO2-640HC/impl1/hdla_gen_hierarchy.html index 639e926..1142cd1 100644 --- a/CPLD/LCMXO2-640HC/impl1/hdla_gen_hierarchy.html +++ b/CPLD/LCMXO2-640HC/impl1/hdla_gen_hierarchy.html @@ -1,11 +1,13 @@ -
    Setting log file to '//Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/impl1/hdla_gen_hierarchy.html'.
    +         	                                   	                                                	                                                 	                                                  	
    Setting log file to '//Mac/iCloud/Repos/ram2e/CPLD/LCMXO2-640HC/impl1/hdla_gen_hierarchy.html'.
     Starting: parse design source files
     (VERI-1482) Analyzing Verilog file 'C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v'
    -(VERI-1482) Analyzing Verilog file '//Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-LCMXO2.v'
    -(VERI-1482) Analyzing Verilog file '//Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/REFB.v'
    -INFO - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-LCMXO2.v(1,8-1,13) (VERI-1018) compiling module 'RAM2E'
    -INFO - //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-LCMXO2.v(1,1-831,10) (VERI-9000) elaborating module 'RAM2E'
    -INFO - //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/REFB.v(8,1-113,10) (VERI-9000) elaborating module 'REFB_uniq_1'
    +(VERI-1482) Analyzing Verilog file '//Mac/iCloud/Repos/ram2e/CPLD/RAM2E.v'
    +(VERI-1482) Analyzing Verilog file '//Mac/iCloud/Repos/ram2e/CPLD/UFM-LCMXO2.v'
    +(VERI-1482) Analyzing Verilog file '//Mac/iCloud/Repos/ram2e/CPLD/LCMXO2-640HC/REFB.v'
    +INFO - //Mac/iCloud/Repos/ram2e/CPLD/RAM2E.v(1,8-1,13) (VERI-1018) compiling module 'RAM2E'
    +INFO - //Mac/iCloud/Repos/ram2e/CPLD/RAM2E.v(1,1-473,10) (VERI-9000) elaborating module 'RAM2E'
    +INFO - //Mac/iCloud/Repos/ram2e/CPLD/UFM-LCMXO2.v(1,1-334,10) (VERI-9000) elaborating module 'RAM2E_UFM_uniq_1'
    +INFO - //Mac/iCloud/Repos/ram2e/CPLD/LCMXO2-640HC/REFB.v(8,1-113,10) (VERI-9000) elaborating module 'REFB_uniq_1'
     INFO - C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1120,1-1122,10) (VERI-9000) elaborating module 'VHI_uniq_1'
     INFO - C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1124,1-1126,10) (VERI-9000) elaborating module 'VLO_uniq_1'
     INFO - C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1800,1-1872,10) (VERI-9000) elaborating module 'EFB_uniq_1'
    diff --git a/CPLD/LCMXO2-640HC/impl1/ram2e_lcmxo2_640hc_impl1.ior b/CPLD/LCMXO2-640HC/impl1/ram2e_lcmxo2_640hc_impl1.ior
    index 0656e13..0068b9c 100644
    --- a/CPLD/LCMXO2-640HC/impl1/ram2e_lcmxo2_640hc_impl1.ior
    +++ b/CPLD/LCMXO2-640HC/impl1/ram2e_lcmxo2_640hc_impl1.ior
    @@ -29,9 +29,9 @@ Performance Hardware Data Status:   Final          Version 34.4.
     // Package: TQFP100
     // ncd File: ram2e_lcmxo2_640hc_impl1.ncd
     // Version: Diamond (64-bit) 3.12.1.454
    -// Written on Thu Sep 21 05:35:10 2023
    +// Written on Thu Dec 28 23:10:19 2023
     // M: Minimum Performance Grade
    -// iotiming RAM2E_LCMXO2_640HC_impl1.ncd RAM2E_LCMXO2_640HC_impl1.prf -gui -msgset //Mac/iCloud/Repos/RAM2E/CPLD/LCMXO2-640HC/promote.xml
    +// iotiming RAM2E_LCMXO2_640HC_impl1.ncd RAM2E_LCMXO2_640HC_impl1.prf -gui -msgset //Mac/iCloud/Repos/ram2e/CPLD/LCMXO2-640HC/promote.xml
     
     I/O Timing Report (All units are in ns)
     
    @@ -41,78 +41,79 @@ Worst Case Results across Performance Grades (M, 6, 5, 4):
     
     Port   Clock Edge  Setup Performance_Grade  Hold Performance_Grade
     ----------------------------------------------------------------------
    -Ain[0] C14M  R     0.502      4       0.868     4
    -Ain[1] C14M  R     2.364      4      -0.126     M
    -Ain[2] C14M  R     2.421      4      -0.129     M
    -Ain[3] C14M  R     0.574      4       0.786     4
    -Ain[4] C14M  R     1.452      4       0.140     M
    -Ain[5] C14M  R     2.076      4      -0.039     M
    -Ain[6] C14M  R     1.515      4       0.124     M
    -Ain[7] C14M  R     2.270      4      -0.095     M
    -Din[0] C14M  R     9.252      4       1.162     4
    -Din[1] C14M  R     8.868      4       0.657     4
    -Din[2] C14M  R     8.368      4       0.864     4
    -Din[3] C14M  R     8.749      4       1.339     4
    -Din[4] C14M  R     9.095      4       0.770     4
    -Din[5] C14M  R     8.195      4       1.176     4
    -Din[6] C14M  R     6.162      4       0.760     4
    -Din[7] C14M  R     7.060      4       1.093     4
    -PHI1   C14M  R     2.045      4       3.047     4
    -RD[0]  C14M  F     0.267      4       0.866     4
    -RD[1]  C14M  F     0.173      4       1.383     4
    -RD[2]  C14M  F     0.924      4       1.018     4
    -RD[3]  C14M  F     0.267      4       0.866     4
    -RD[4]  C14M  F     0.173      4       0.937     4
    -RD[5]  C14M  F     0.267      4       0.866     4
    -RD[6]  C14M  F     0.766      4       0.866     4
    -RD[7]  C14M  F     0.267      4       1.312     4
    -nC07X  C14M  R     0.077      4       1.144     4
    -nEN80  C14M  R     6.415      4      -0.286     M
    -nWE    C14M  R     0.691      4       0.684     4
    -nWE80  C14M  R     2.845      4      -0.260     M
    +Ain[0] C14M  R     2.463      4      -0.066     M
    +Ain[1] C14M  R     1.330      4       0.135     6
    +Ain[2] C14M  R     1.221      4       0.223     4
    +Ain[3] C14M  R     2.776      4      -0.165     M
    +Ain[4] C14M  R     1.603      4       0.140     M
    +Ain[5] C14M  R     0.021      6       1.287     4
    +Ain[6] C14M  R     1.444      4       0.205     M
    +Ain[7] C14M  R     1.816      4       0.114     M
    +Din[0] C14M  R     8.919      4       0.723     4
    +Din[1] C14M  R     8.410      4       1.156     4
    +Din[2] C14M  R     8.503      4       1.181     4
    +Din[3] C14M  R     8.783      4       0.110     M
    +Din[4] C14M  R    10.420      4       1.022     4
    +Din[5] C14M  R     8.001      4       0.566     4
    +Din[6] C14M  R     9.731      4       1.050     4
    +Din[7] C14M  R    10.052      4       0.862     4
    +PHI1   C14M  R     2.579      4       3.047     4
    +RD[0]  C14M  R     0.267      4       0.866     4
    +RD[1]  C14M  R     0.173      4       0.937     4
    +RD[2]  C14M  R     0.100      4       1.018     4
    +RD[3]  C14M  R     0.267      4       0.866     4
    +RD[4]  C14M  R     0.172      4       0.936     4
    +RD[5]  C14M  R     0.267      4       0.866     4
    +RD[6]  C14M  R     0.766      4       0.420     4
    +RD[7]  C14M  R     0.267      4       0.866     4
    +nC07X  C14M  R     0.998      4       0.405     6
    +nEN80  C14M  R     6.107      4       0.114     M
    +nWE    C14M  R     6.726      4       0.069     M
     
     
     // Clock to Output Delay
     
    -Port    Clock Edge  Max_Delay Performance_Grade  Min_Delay Performance_Grade
    +Port      Clock Edge  Max_Delay Performance_Grade  Min_Delay Performance_Grade
     ------------------------------------------------------------------------
    -BA[0]   C14M  R     8.629         4        2.885          M
    -BA[1]   C14M  R     8.629         4        2.885          M
    -CKE     C14M  R     8.629         4        2.885          M
    -DQMH    C14M  R     8.609         4        2.892          M
    -DQML    C14M  R     8.609         4        2.892          M
    -Dout[0] C14M  F     8.955         4        3.164          M
    -Dout[1] C14M  F     8.955         4        3.164          M
    -Dout[2] C14M  F     8.944         4        3.158          M
    -Dout[3] C14M  F     8.955         4        3.164          M
    -Dout[4] C14M  F     8.944         4        3.158          M
    -Dout[5] C14M  F     8.944         4        3.158          M
    -Dout[6] C14M  F     8.955         4        3.164          M
    -Dout[7] C14M  F     8.955         4        3.164          M
    -LED     C14M  R    19.941         4        8.191          M
    -RA[0]   C14M  R    10.013         4        3.186          M
    -RA[10]  C14M  R     8.629         4        2.885          M
    -RA[11]  C14M  R     8.629         4        2.885          M
    -RA[1]   C14M  R     8.695         4        2.890          M
    -RA[2]   C14M  R     8.695         4        2.890          M
    -RA[3]   C14M  R    10.013         4        3.186          M
    -RA[4]   C14M  R     8.695         4        2.890          M
    -RA[5]   C14M  R     8.695         4        2.890          M
    -RA[6]   C14M  R     8.695         4        2.890          M
    -RA[7]   C14M  R     8.695         4        2.890          M
    -RA[8]   C14M  R     8.629         4        2.885          M
    -RA[9]   C14M  R     8.629         4        2.885          M
    -Vout[0] C14M  F     9.553         4        3.402          M
    -Vout[1] C14M  F     9.553         4        3.402          M
    -Vout[2] C14M  F     9.553         4        3.402          M
    -Vout[3] C14M  F     9.553         4        3.402          M
    -Vout[4] C14M  F     9.553         4        3.402          M
    -Vout[5] C14M  F     9.553         4        3.402          M
    -Vout[6] C14M  F     9.553         4        3.402          M
    -Vout[7] C14M  F     9.553         4        3.402          M
    -nCAS    C14M  R     8.629         4        2.885          M
    -nCS     C14M  R     8.629         4        2.885          M
    -nDOE    C14M  R    11.976         4        3.776          M
    -nRAS    C14M  R     8.629         4        2.885          M
    -nRWE    C14M  R     8.629         4        2.885          M
    +BA[0]     C14M  R     8.629         4        2.885          M
    +BA[1]     C14M  R     8.629         4        2.885          M
    +CKEout    C14M  F     8.629         4        2.885          M
    +DQMH      C14M  R     8.609         4        2.892          M
    +DQML      C14M  R     8.609         4        2.892          M
    +LED       C14M  R    19.935         4        8.161          M
    +RAout[0]  C14M  F     8.695         4        2.890          M
    +RAout[10] C14M  F     8.629         4        2.885          M
    +RAout[11] C14M  F     8.629         4        2.885          M
    +RAout[1]  C14M  F     8.695         4        2.890          M
    +RAout[2]  C14M  F     8.695         4        2.890          M
    +RAout[3]  C14M  F     8.695         4        2.890          M
    +RAout[4]  C14M  F     8.695         4        2.890          M
    +RAout[5]  C14M  F     8.695         4        2.890          M
    +RAout[6]  C14M  F     8.695         4        2.890          M
    +RAout[7]  C14M  F     8.695         4        2.890          M
    +RAout[8]  C14M  F     8.629         4        2.885          M
    +RAout[9]  C14M  F     8.629         4        2.885          M
    +RD[0]     C14M  R    11.414         4        3.265          M
    +RD[1]     C14M  R    11.811         4        3.265          M
    +RD[2]     C14M  R    11.925         4        3.265          M
    +RD[3]     C14M  R    11.384         4        3.265          M
    +RD[4]     C14M  R    12.301         4        3.371          M
    +RD[5]     C14M  R    12.767         4        3.371          M
    +RD[6]     C14M  R    12.010         4        3.371          M
    +RD[7]     C14M  R    12.313         4        3.371          M
    +Vout[0]   C14M  R     9.553         4        3.402          M
    +Vout[1]   C14M  R     9.553         4        3.402          M
    +Vout[2]   C14M  R     9.553         4        3.402          M
    +Vout[3]   C14M  R     9.553         4        3.402          M
    +Vout[4]   C14M  R     9.553         4        3.402          M
    +Vout[5]   C14M  R     9.553         4        3.402          M
    +Vout[6]   C14M  R     9.553         4        3.402          M
    +Vout[7]   C14M  R     9.553         4        3.402          M
    +nCASout   C14M  F     8.629         4        2.885          M
    +nDOE      C14M  R    12.048         4        3.811          M
    +nRASout   C14M  F     8.629         4        2.885          M
    +nRWEout   C14M  F     8.629         4        2.885          M
    +nVOE      C14M  R    12.164         4        3.783          M
     WARNING: you must also run trce with hold speed: 4
    +WARNING: you must also run trce with setup speed: 6
    +WARNING: you must also run trce with hold speed: 6
    diff --git a/CPLD/LCMXO2-640HC/promote.xml b/CPLD/LCMXO2-640HC/promote.xml
    index 2c3c713..3764576 100644
    --- a/CPLD/LCMXO2-640HC/promote.xml
    +++ b/CPLD/LCMXO2-640HC/promote.xml
    @@ -1,3 +1,3 @@
     
    -
    +
     
    diff --git a/CPLD/LCMXO2-640HC/reportview.xml b/CPLD/LCMXO2-640HC/reportview.xml
    index 146942a..ed7b29c 100644
    --- a/CPLD/LCMXO2-640HC/reportview.xml
    +++ b/CPLD/LCMXO2-640HC/reportview.xml
    @@ -3,7 +3,7 @@
     
         
             
    -        
    +        
             
             
         
    diff --git a/CPLD/MAXII/RAM2E.qsf b/CPLD/MAXII/RAM2E.qsf
    index 722f2da..f1854f5 100644
    --- a/CPLD/MAXII/RAM2E.qsf
    +++ b/CPLD/MAXII/RAM2E.qsf
    @@ -42,7 +42,7 @@ set_global_assignment -name DEVICE EPM240T100C5
     set_global_assignment -name TOP_LEVEL_ENTITY RAM2E
     set_global_assignment -name ORIGINAL_QUARTUS_VERSION 19.1.0
     set_global_assignment -name PROJECT_CREATION_TIME_DATE "07:26:23  AUGUST 20, 2023"
    -set_global_assignment -name LAST_QUARTUS_VERSION "19.1.0 Lite Edition"
    +set_global_assignment -name LAST_QUARTUS_VERSION "19.1.0 SP0.02std Lite Edition"
     set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
     set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
     set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
    @@ -75,7 +75,6 @@ set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nEN80
     
     set_location_assignment PIN_33 -to nWE80
     set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nWE80
    -set_instance_assignment -name PAD_TO_CORE_DELAY 0 -to nWE80
     set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nWE80
     
     set_location_assignment PIN_52 -to nC07X
    @@ -124,7 +123,6 @@ set_location_assignment PIN_85 -to Dout[7]
     set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Dout
     set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to Dout
     set_instance_assignment -name SLOW_SLEW_RATE ON -to Dout
    -set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to Dout
     set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to Dout
     
     set_location_assignment PIN_50 -to nVOE
    @@ -147,40 +145,39 @@ set_instance_assignment -name SLOW_SLEW_RATE ON -to Vout
     set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to Vout
     set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to Vout
     
    -set_location_assignment PIN_4 -to CKE
    -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to CKE
    -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to CKE
    -set_instance_assignment -name SLOW_SLEW_RATE ON -to CKE
    -set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to CKE
    -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to CKE
    +set_location_assignment PIN_4 -to CKEout
    +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to CKEout
    +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to CKEout
    +set_instance_assignment -name SLOW_SLEW_RATE ON -to CKEout
    +set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to CKEout
    +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to CKEout
     
    -set_location_assignment PIN_8 -to nCS
    -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nCS
    -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nCS
    -set_instance_assignment -name SLOW_SLEW_RATE ON -to nCS
    -set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to nCS
    -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nCS
    +set_location_assignment PIN_8 -to nCSout
    +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nCSout
    +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nCSout
    +set_instance_assignment -name SLOW_SLEW_RATE ON -to nCSout
    +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nCSout
     
    -set_location_assignment PIN_2 -to nRWE
    -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nRWE
    -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nRWE
    -set_instance_assignment -name SLOW_SLEW_RATE ON -to nRWE
    -set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to nRWE
    -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nRWE
    +set_location_assignment PIN_2 -to nRWEout
    +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nRWEout
    +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nRWEout
    +set_instance_assignment -name SLOW_SLEW_RATE ON -to nRWEout
    +set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to nRWEout
    +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nRWEout
     
    -set_location_assignment PIN_5 -to nRAS
    -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nRAS
    -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nRAS
    -set_instance_assignment -name SLOW_SLEW_RATE ON -to nRAS
    -set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to nRAS
    -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nRAS
    +set_location_assignment PIN_5 -to nRASout
    +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nRASout
    +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nRASout
    +set_instance_assignment -name SLOW_SLEW_RATE ON -to nRASout
    +set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to nRASout
    +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nRASout
     
    -set_location_assignment PIN_3 -to nCAS
    -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nCAS
    -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nCAS
    -set_instance_assignment -name SLOW_SLEW_RATE ON -to nCAS
    -set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to nCAS
    -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nCAS
    +set_location_assignment PIN_3 -to nCASout
    +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nCASout
    +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nCASout
    +set_instance_assignment -name SLOW_SLEW_RATE ON -to nCASout
    +set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to nCASout
    +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nCASout
     
     set_location_assignment PIN_6 -to BA[0]
     set_location_assignment PIN_14 -to BA[1]
    @@ -190,23 +187,23 @@ set_instance_assignment -name SLOW_SLEW_RATE ON -to BA
     set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to BA
     set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to BA
     
    -set_location_assignment PIN_18 -to RA[0]
    -set_location_assignment PIN_20 -to RA[1]
    -set_location_assignment PIN_30 -to RA[2]
    -set_location_assignment PIN_27 -to RA[3]
    -set_location_assignment PIN_26 -to RA[4]
    -set_location_assignment PIN_29 -to RA[5]
    -set_location_assignment PIN_21 -to RA[6]
    -set_location_assignment PIN_19 -to RA[7]
    -set_location_assignment PIN_17 -to RA[8]
    -set_location_assignment PIN_15 -to RA[9]
    -set_location_assignment PIN_16 -to RA[10]
    -set_location_assignment PIN_7 -to RA[11]
    -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to RA
    -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RA
    -set_instance_assignment -name SLOW_SLEW_RATE ON -to RA
    -set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to RA
    -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RA
    +set_location_assignment PIN_18 -to RAout[0]
    +set_location_assignment PIN_20 -to RAout[1]
    +set_location_assignment PIN_30 -to RAout[2]
    +set_location_assignment PIN_27 -to RAout[3]
    +set_location_assignment PIN_26 -to RAout[4]
    +set_location_assignment PIN_29 -to RAout[5]
    +set_location_assignment PIN_21 -to RAout[6]
    +set_location_assignment PIN_19 -to RAout[7]
    +set_location_assignment PIN_17 -to RAout[8]
    +set_location_assignment PIN_15 -to RAout[9]
    +set_location_assignment PIN_16 -to RAout[10]
    +set_location_assignment PIN_7 -to RAout[11]
    +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to RAout
    +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RAout
    +set_instance_assignment -name SLOW_SLEW_RATE ON -to RAout
    +set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to RAout
    +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RAout
     
     set_location_assignment PIN_100 -to DQMH
     set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to DQMH
    @@ -238,11 +235,12 @@ set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to RD
     set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RD
     
     set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "NO HEAT SINK WITH STILL AIR"
    -set_global_assignment -name VERILOG_FILE "../RAM2E-MAX.v"
    +set_location_assignment PIN_88 -to LED
    +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to LED
    +set_instance_assignment -name SLOW_SLEW_RATE ON -to LED
    +set_global_assignment -name VERILOG_FILE ../RAM2E.v
    +set_global_assignment -name VERILOG_FILE "../UFM-MAX.v"
     set_global_assignment -name QIP_FILE UFM.qip
     set_global_assignment -name MIF_FILE ../RAM2E.mif
     set_global_assignment -name SDC_FILE ../RAM2E.sdc
    -set_global_assignment -name SDC_FILE "../RAM2E-MAX.sdc"
    -set_location_assignment PIN_88 -to LED
    -set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to LED
    -set_instance_assignment -name SLOW_SLEW_RATE ON -to LED
    \ No newline at end of file
    +set_global_assignment -name SDC_FILE "../RAM2E-MAX.sdc"
    \ No newline at end of file
    diff --git a/CPLD/MAXII/output_files/RAM2E.asm.rpt b/CPLD/MAXII/output_files/RAM2E.asm.rpt
    index c9fac51..6d4d777 100644
    --- a/CPLD/MAXII/output_files/RAM2E.asm.rpt
    +++ b/CPLD/MAXII/output_files/RAM2E.asm.rpt
    @@ -1,6 +1,6 @@
     Assembler report for RAM2E
    -Thu Sep 21 05:34:41 2023
    -Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
    +Thu Dec 28 23:09:46 2023
    +Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition
     
     
     ---------------------
    @@ -10,7 +10,7 @@ Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
       2. Assembler Summary
       3. Assembler Settings
       4. Assembler Generated Files
    -  5. Assembler Device Options: /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pof
    +  5. Assembler Device Options: Y:/Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pof
       6. Assembler Messages
     
     
    @@ -38,7 +38,7 @@ https://fpgasoftware.intel.com/eula.
     +---------------------------------------------------------------+
     ; Assembler Summary                                             ;
     +-----------------------+---------------------------------------+
    -; Assembler Status      ; Successful - Thu Sep 21 05:34:41 2023 ;
    +; Assembler Status      ; Successful - Thu Dec 28 23:09:46 2023 ;
     ; Revision Name         ; RAM2E                                 ;
     ; Top-level Entity Name ; RAM2E                                 ;
     ; Family                ; MAX II                                ;
    @@ -53,23 +53,23 @@ https://fpgasoftware.intel.com/eula.
     +--------+---------+---------------+
     
     
    -+------------------------------------------------+
    -; Assembler Generated Files                      ;
    -+------------------------------------------------+
    -; File Name                                      ;
    -+------------------------------------------------+
    -; /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pof ;
    -+------------------------------------------------+
    ++--------------------------------------------------+
    +; Assembler Generated Files                        ;
    ++--------------------------------------------------+
    +; File Name                                        ;
    ++--------------------------------------------------+
    +; Y:/Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pof ;
    ++--------------------------------------------------+
     
     
    -+--------------------------------------------------------------------------+
    -; Assembler Device Options: /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pof ;
    -+----------------+---------------------------------------------------------+
    -; Option         ; Setting                                                 ;
    -+----------------+---------------------------------------------------------+
    -; JTAG usercode  ; 0x0016D33C                                              ;
    -; Checksum       ; 0x0016D634                                              ;
    -+----------------+---------------------------------------------------------+
    ++----------------------------------------------------------------------------+
    +; Assembler Device Options: Y:/Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pof ;
    ++----------------+-----------------------------------------------------------+
    +; Option         ; Setting                                                   ;
    ++----------------+-----------------------------------------------------------+
    +; JTAG usercode  ; 0x00165DEE                                                ;
    +; Checksum       ; 0x0016605E                                                ;
    ++----------------+-----------------------------------------------------------+
     
     
     +--------------------+
    @@ -77,15 +77,15 @@ https://fpgasoftware.intel.com/eula.
     +--------------------+
     Info: *******************************************************************
     Info: Running Quartus Prime Assembler
    -    Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
    -    Info: Processing started: Thu Sep 21 05:34:39 2023
    +    Info: Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition
    +    Info: Processing started: Thu Dec 28 23:09:46 2023
     Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2E-MAXII -c RAM2E
     Info (115031): Writing out detailed assembly data for power analysis
     Info (115030): Assembler is generating device programming files
     Info: Quartus Prime Assembler was successful. 0 errors, 0 warnings
    -    Info: Peak virtual memory: 13092 megabytes
    -    Info: Processing ended: Thu Sep 21 05:34:41 2023
    -    Info: Elapsed time: 00:00:02
    +    Info: Peak virtual memory: 13071 megabytes
    +    Info: Processing ended: Thu Dec 28 23:09:47 2023
    +    Info: Elapsed time: 00:00:01
         Info: Total CPU time (on all processors): 00:00:01
     
     
    diff --git a/CPLD/MAXII/output_files/RAM2E.done b/CPLD/MAXII/output_files/RAM2E.done
    index 9e5945b..b88a509 100644
    --- a/CPLD/MAXII/output_files/RAM2E.done
    +++ b/CPLD/MAXII/output_files/RAM2E.done
    @@ -1 +1 @@
    -Thu Sep 21 05:34:46 2023
    +Thu Dec 28 23:09:51 2023
    diff --git a/CPLD/MAXII/output_files/RAM2E.fit.rpt b/CPLD/MAXII/output_files/RAM2E.fit.rpt
    index 5adbd10..972e71e 100644
    --- a/CPLD/MAXII/output_files/RAM2E.fit.rpt
    +++ b/CPLD/MAXII/output_files/RAM2E.fit.rpt
    @@ -1,6 +1,6 @@
     Fitter report for RAM2E
    -Thu Sep 21 05:34:37 2023
    -Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
    +Thu Dec 28 23:09:44 2023
    +Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition
     
     
     ---------------------
    @@ -54,21 +54,21 @@ https://fpgasoftware.intel.com/eula.
     
     
     
    -+---------------------------------------------------------------------+
    -; Fitter Summary                                                      ;
    -+-----------------------+---------------------------------------------+
    -; Fitter Status         ; Successful - Thu Sep 21 05:34:37 2023       ;
    -; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ;
    -; Revision Name         ; RAM2E                                       ;
    -; Top-level Entity Name ; RAM2E                                       ;
    -; Family                ; MAX II                                      ;
    -; Device                ; EPM240T100C5                                ;
    -; Timing Models         ; Final                                       ;
    -; Total logic elements  ; 197 / 240 ( 82 % )                          ;
    -; Total pins            ; 70 / 80 ( 88 % )                            ;
    -; Total virtual pins    ; 0                                           ;
    -; UFM blocks            ; 1 / 1 ( 100 % )                             ;
    -+-----------------------+---------------------------------------------+
    ++-------------------------------------------------------------------------------------+
    +; Fitter Summary                                                                      ;
    ++-----------------------+-------------------------------------------------------------+
    +; Fitter Status         ; Successful - Thu Dec 28 23:09:44 2023                       ;
    +; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition ;
    +; Revision Name         ; RAM2E                                                       ;
    +; Top-level Entity Name ; RAM2E                                                       ;
    +; Family                ; MAX II                                                      ;
    +; Device                ; EPM240T100C5                                                ;
    +; Timing Models         ; Final                                                       ;
    +; Total logic elements  ; 238 / 240 ( 99 % )                                          ;
    +; Total pins            ; 70 / 80 ( 88 % )                                            ;
    +; Total virtual pins    ; 0                                                           ;
    +; UFM blocks            ; 1 / 1 ( 100 % )                                             ;
    ++-----------------------+-------------------------------------------------------------+
     
     
     +--------------------------------------------------------------------------------------------------------------------------------------+
    @@ -134,15 +134,15 @@ https://fpgasoftware.intel.com/eula.
     ;                            ;             ;
     ; Usage by Processor         ; % Time Used ;
     ;     Processor 1            ; 100.0%      ;
    -;     Processor 2            ;   1.2%      ;
    -;     Processors 3-4         ;   1.1%      ;
    +;     Processor 2            ;   1.1%      ;
    +;     Processors 3-4         ;   1.0%      ;
     +----------------------------+-------------+
     
     
     +--------------+
     ; Pin-Out File ;
     +--------------+
    -The pin-out file can be found in /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pin.
    +The pin-out file can be found in Y:/Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pin.
     
     
     +---------------------------------------------------------------------+
    @@ -150,27 +150,27 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pin.
     +---------------------------------------------+-----------------------+
     ; Resource                                    ; Usage                 ;
     +---------------------------------------------+-----------------------+
    -; Total logic elements                        ; 197 / 240 ( 82 % )    ;
    -;     -- Combinational with no register       ; 85                    ;
    -;     -- Register only                        ; 19                    ;
    -;     -- Combinational with a register        ; 93                    ;
    +; Total logic elements                        ; 238 / 240 ( 99 % )    ;
    +;     -- Combinational with no register       ; 115                   ;
    +;     -- Register only                        ; 26                    ;
    +;     -- Combinational with a register        ; 97                    ;
     ;                                             ;                       ;
     ; Logic element usage by number of LUT inputs ;                       ;
    -;     -- 4 input functions                    ; 103                   ;
    -;     -- 3 input functions                    ; 29                    ;
    -;     -- 2 input functions                    ; 42                    ;
    -;     -- 1 input functions                    ; 3                     ;
    +;     -- 4 input functions                    ; 118                   ;
    +;     -- 3 input functions                    ; 41                    ;
    +;     -- 2 input functions                    ; 48                    ;
    +;     -- 1 input functions                    ; 4                     ;
     ;     -- 0 input functions                    ; 1                     ;
     ;                                             ;                       ;
     ; Logic elements by mode                      ;                       ;
    -;     -- normal mode                          ; 183                   ;
    +;     -- normal mode                          ; 224                   ;
     ;     -- arithmetic mode                      ; 14                    ;
    -;     -- qfbk mode                            ; 8                     ;
    +;     -- qfbk mode                            ; 6                     ;
     ;     -- register cascade mode                ; 0                     ;
    -;     -- synchronous clear/load mode          ; 12                    ;
    +;     -- synchronous clear/load mode          ; 24                    ;
     ;     -- asynchronous clear/load mode         ; 0                     ;
     ;                                             ;                       ;
    -; Total registers                             ; 112 / 240 ( 47 % )    ;
    +; Total registers                             ; 123 / 240 ( 51 % )    ;
     ; Total LABs                                  ; 24 / 24 ( 100 % )     ;
     ; Logic elements in carry chains              ; 15                    ;
     ; Virtual pins                                ; 0                     ;
    @@ -185,11 +185,11 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pin.
     ; Global signals                              ; 1                     ;
     ;     -- Global clocks                        ; 1 / 4 ( 25 % )        ;
     ; JTAGs                                       ; 0 / 1 ( 0 % )         ;
    -; Average interconnect usage (total/H/V)      ; 22.5% / 24.3% / 20.7% ;
    -; Peak interconnect usage (total/H/V)         ; 22.5% / 24.3% / 20.7% ;
    -; Maximum fan-out                             ; 112                   ;
    -; Highest non-global fan-out                  ; 31                    ;
    -; Total fan-out                               ; 847                   ;
    +; Average interconnect usage (total/H/V)      ; 26.0% / 25.7% / 26.3% ;
    +; Peak interconnect usage (total/H/V)         ; 26.0% / 25.7% / 26.3% ;
    +; Maximum fan-out                             ; 123                   ;
    +; Highest non-global fan-out                  ; 35                    ;
    +; Total fan-out                               ; 976                   ;
     ; Average fan-out                             ; 3.16                  ;
     +---------------------------------------------+-----------------------+
     
    @@ -207,69 +207,69 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pin.
     ; Ain[5] ; 34    ; 1        ; 3            ; 0            ; 1           ; 1                     ; 0                  ; no     ; no              ; no       ; Off          ; 3.3-V LVCMOS ; User                 ; no             ;
     ; Ain[6] ; 39    ; 1        ; 5            ; 0            ; 3           ; 1                     ; 0                  ; no     ; no              ; no       ; Off          ; 3.3-V LVCMOS ; User                 ; no             ;
     ; Ain[7] ; 53    ; 2        ; 8            ; 1            ; 3           ; 1                     ; 0                  ; no     ; no              ; no       ; Off          ; 3.3-V LVCMOS ; User                 ; no             ;
    -; C14M   ; 12    ; 1        ; 1            ; 3            ; 3           ; 112                   ; 0                  ; yes    ; no              ; no       ; Off          ; 3.3-V LVCMOS ; User                 ; no             ;
    -; Din[0] ; 38    ; 1        ; 4            ; 0            ; 0           ; 14                    ; 0                  ; no     ; no              ; no       ; Off          ; 3.3-V LVCMOS ; User                 ; no             ;
    -; Din[1] ; 40    ; 1        ; 5            ; 0            ; 2           ; 7                     ; 0                  ; no     ; no              ; no       ; Off          ; 3.3-V LVCMOS ; User                 ; no             ;
    +; C14M   ; 12    ; 1        ; 1            ; 3            ; 3           ; 123                   ; 0                  ; yes    ; no              ; no       ; Off          ; 3.3-V LVCMOS ; User                 ; no             ;
    +; Din[0] ; 38    ; 1        ; 4            ; 0            ; 0           ; 15                    ; 0                  ; no     ; no              ; no       ; Off          ; 3.3-V LVCMOS ; User                 ; no             ;
    +; Din[1] ; 40    ; 1        ; 5            ; 0            ; 2           ; 11                    ; 0                  ; no     ; no              ; no       ; Off          ; 3.3-V LVCMOS ; User                 ; no             ;
     ; Din[2] ; 42    ; 1        ; 5            ; 0            ; 0           ; 13                    ; 0                  ; no     ; no              ; no       ; Off          ; 3.3-V LVCMOS ; User                 ; no             ;
    -; Din[3] ; 41    ; 1        ; 5            ; 0            ; 1           ; 12                    ; 0                  ; no     ; no              ; no       ; Off          ; 3.3-V LVCMOS ; User                 ; no             ;
    -; Din[4] ; 48    ; 1        ; 6            ; 0            ; 0           ; 9                     ; 0                  ; no     ; no              ; no       ; Off          ; 3.3-V LVCMOS ; User                 ; no             ;
    -; Din[5] ; 49    ; 1        ; 7            ; 0            ; 2           ; 8                     ; 0                  ; no     ; no              ; no       ; Off          ; 3.3-V LVCMOS ; User                 ; no             ;
    +; Din[3] ; 41    ; 1        ; 5            ; 0            ; 1           ; 13                    ; 0                  ; no     ; no              ; no       ; Off          ; 3.3-V LVCMOS ; User                 ; no             ;
    +; Din[4] ; 48    ; 1        ; 6            ; 0            ; 0           ; 10                    ; 0                  ; no     ; no              ; no       ; Off          ; 3.3-V LVCMOS ; User                 ; no             ;
    +; Din[5] ; 49    ; 1        ; 7            ; 0            ; 2           ; 9                     ; 0                  ; no     ; no              ; no       ; Off          ; 3.3-V LVCMOS ; User                 ; no             ;
     ; Din[6] ; 36    ; 1        ; 4            ; 0            ; 2           ; 8                     ; 0                  ; no     ; no              ; no       ; Off          ; 3.3-V LVCMOS ; User                 ; no             ;
    -; Din[7] ; 35    ; 1        ; 3            ; 0            ; 0           ; 8                     ; 0                  ; no     ; no              ; no       ; Off          ; 3.3-V LVCMOS ; User                 ; no             ;
    +; Din[7] ; 35    ; 1        ; 3            ; 0            ; 0           ; 9                     ; 0                  ; no     ; no              ; no       ; Off          ; 3.3-V LVCMOS ; User                 ; no             ;
     ; PHI1   ; 37    ; 1        ; 4            ; 0            ; 1           ; 3                     ; 0                  ; no     ; no              ; no       ; Off          ; 3.3-V LVCMOS ; User                 ; no             ;
     ; nC07X  ; 52    ; 2        ; 8            ; 1            ; 4           ; 1                     ; 0                  ; no     ; no              ; no       ; Off          ; 3.3-V LVCMOS ; User                 ; no             ;
    -; nEN80  ; 28    ; 1        ; 2            ; 0            ; 1           ; 5                     ; 0                  ; no     ; no              ; no       ; Off          ; 3.3-V LVCMOS ; User                 ; no             ;
    -; nWE    ; 51    ; 1        ; 7            ; 0            ; 0           ; 2                     ; 0                  ; no     ; no              ; no       ; Off          ; 3.3-V LVCMOS ; User                 ; no             ;
    -; nWE80  ; 33    ; 1        ; 3            ; 0            ; 2           ; 2                     ; 0                  ; no     ; no              ; no       ; Off          ; 3.3-V LVCMOS ; User                 ; no             ;
    +; nEN80  ; 28    ; 1        ; 2            ; 0            ; 1           ; 10                    ; 0                  ; no     ; no              ; no       ; Off          ; 3.3-V LVCMOS ; User                 ; no             ;
    +; nWE    ; 51    ; 1        ; 7            ; 0            ; 0           ; 8                     ; 0                  ; no     ; no              ; no       ; Off          ; 3.3-V LVCMOS ; User                 ; no             ;
    +; nWE80  ; 33    ; 1        ; 3            ; 0            ; 2           ; 0                     ; 0                  ; no     ; no              ; no       ; Off          ; 3.3-V LVCMOS ; User                 ; no             ;
     +--------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+----------------+
     
     
    -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
    -; Output Pins                                                                                                                                                                                                                                                                                                               ;
    -+---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+
    -; Name    ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Fast Output Connection ; Location assigned by ; Load  ; Output Enable Source ; Output Enable Group ;
    -+---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+
    -; BA[0]   ; 6     ; 1        ; 1            ; 3            ; 0           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    -; BA[1]   ; 14    ; 1        ; 1            ; 2            ; 0           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    -; CKE     ; 4     ; 1        ; 1            ; 4            ; 2           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    -; DQMH    ; 100   ; 2        ; 2            ; 5            ; 2           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    -; DQML    ; 98    ; 2        ; 2            ; 5            ; 0           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    -; Dout[0] ; 77    ; 2        ; 7            ; 5            ; 2           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    -; Dout[1] ; 76    ; 2        ; 7            ; 5            ; 1           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; no                     ; User                 ; 10 pF ; -                    ; -                   ;
    -; Dout[2] ; 74    ; 2        ; 8            ; 4            ; 0           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    -; Dout[3] ; 75    ; 2        ; 7            ; 5            ; 0           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    -; Dout[4] ; 73    ; 2        ; 8            ; 4            ; 1           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; no                     ; User                 ; 10 pF ; -                    ; -                   ;
    -; Dout[5] ; 72    ; 2        ; 8            ; 4            ; 2           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    -; Dout[6] ; 84    ; 2        ; 6            ; 5            ; 3           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    -; Dout[7] ; 85    ; 2        ; 5            ; 5            ; 0           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    -; LED     ; 88    ; 2        ; 5            ; 5            ; 3           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 16mA             ; no                     ; User                 ; 10 pF ; -                    ; -                   ;
    -; RA[0]   ; 18    ; 1        ; 1            ; 1            ; 0           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; no                     ; User                 ; 10 pF ; -                    ; -                   ;
    -; RA[10]  ; 16    ; 1        ; 1            ; 2            ; 2           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    -; RA[11]  ; 7     ; 1        ; 1            ; 3            ; 1           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    -; RA[1]   ; 20    ; 1        ; 1            ; 1            ; 2           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    -; RA[2]   ; 30    ; 1        ; 3            ; 0            ; 3           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    -; RA[3]   ; 27    ; 1        ; 2            ; 0            ; 2           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; no                     ; User                 ; 10 pF ; -                    ; -                   ;
    -; RA[4]   ; 26    ; 1        ; 2            ; 0            ; 3           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    -; RA[5]   ; 29    ; 1        ; 2            ; 0            ; 0           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    -; RA[6]   ; 21    ; 1        ; 1            ; 1            ; 3           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    -; RA[7]   ; 19    ; 1        ; 1            ; 1            ; 1           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    -; RA[8]   ; 17    ; 1        ; 1            ; 2            ; 3           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    -; RA[9]   ; 15    ; 1        ; 1            ; 2            ; 1           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    -; Vout[0] ; 70    ; 2        ; 8            ; 4            ; 4           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    -; Vout[1] ; 67    ; 2        ; 8            ; 3            ; 2           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    -; Vout[2] ; 69    ; 2        ; 8            ; 3            ; 0           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    -; Vout[3] ; 62    ; 2        ; 8            ; 2            ; 0           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    -; Vout[4] ; 71    ; 2        ; 8            ; 4            ; 3           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    -; Vout[5] ; 68    ; 2        ; 8            ; 3            ; 1           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    -; Vout[6] ; 58    ; 2        ; 8            ; 2            ; 2           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    -; Vout[7] ; 57    ; 2        ; 8            ; 2            ; 3           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    -; nCAS    ; 3     ; 1        ; 1            ; 4            ; 1           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; no                     ; User                 ; 10 pF ; -                    ; -                   ;
    -; nCS     ; 8     ; 1        ; 1            ; 3            ; 2           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    -; nDOE    ; 55    ; 2        ; 8            ; 1            ; 1           ; no              ; yes            ; no              ; no         ; no            ; no       ; On           ; 3.3-V LVCMOS ; 4mA              ; no                     ; User                 ; 10 pF ; -                    ; -                   ;
    -; nRAS    ; 5     ; 1        ; 1            ; 4            ; 3           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    -; nRWE    ; 2     ; 1        ; 1            ; 4            ; 0           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    -; nVOE    ; 50    ; 1        ; 7            ; 0            ; 1           ; no              ; yes            ; no              ; no         ; no            ; no       ; On           ; 3.3-V LVCMOS ; 4mA              ; no                     ; User                 ; 10 pF ; -                    ; -                   ;
    -+---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+
    ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
    +; Output Pins                                                                                                                                                                                                                                                                                                                 ;
    ++-----------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+
    +; Name      ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Fast Output Connection ; Location assigned by ; Load  ; Output Enable Source ; Output Enable Group ;
    ++-----------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+
    +; BA[0]     ; 6     ; 1        ; 1            ; 3            ; 0           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    +; BA[1]     ; 14    ; 1        ; 1            ; 2            ; 0           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    +; CKEout    ; 4     ; 1        ; 1            ; 4            ; 2           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    +; DQMH      ; 100   ; 2        ; 2            ; 5            ; 2           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    +; DQML      ; 98    ; 2        ; 2            ; 5            ; 0           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    +; Dout[0]   ; 77    ; 2        ; 7            ; 5            ; 2           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; no                     ; User                 ; 10 pF ; -                    ; -                   ;
    +; Dout[1]   ; 76    ; 2        ; 7            ; 5            ; 1           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; no                     ; User                 ; 10 pF ; -                    ; -                   ;
    +; Dout[2]   ; 74    ; 2        ; 8            ; 4            ; 0           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; no                     ; User                 ; 10 pF ; -                    ; -                   ;
    +; Dout[3]   ; 75    ; 2        ; 7            ; 5            ; 0           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; no                     ; User                 ; 10 pF ; -                    ; -                   ;
    +; Dout[4]   ; 73    ; 2        ; 8            ; 4            ; 1           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; no                     ; User                 ; 10 pF ; -                    ; -                   ;
    +; Dout[5]   ; 72    ; 2        ; 8            ; 4            ; 2           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; no                     ; User                 ; 10 pF ; -                    ; -                   ;
    +; Dout[6]   ; 84    ; 2        ; 6            ; 5            ; 3           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; no                     ; User                 ; 10 pF ; -                    ; -                   ;
    +; Dout[7]   ; 85    ; 2        ; 5            ; 5            ; 0           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; no                     ; User                 ; 10 pF ; -                    ; -                   ;
    +; LED       ; 88    ; 2        ; 5            ; 5            ; 3           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVTTL  ; 16mA             ; no                     ; User                 ; 10 pF ; -                    ; -                   ;
    +; RAout[0]  ; 18    ; 1        ; 1            ; 1            ; 0           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    +; RAout[10] ; 16    ; 1        ; 1            ; 2            ; 2           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    +; RAout[11] ; 7     ; 1        ; 1            ; 3            ; 1           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    +; RAout[1]  ; 20    ; 1        ; 1            ; 1            ; 2           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    +; RAout[2]  ; 30    ; 1        ; 3            ; 0            ; 3           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    +; RAout[3]  ; 27    ; 1        ; 2            ; 0            ; 2           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    +; RAout[4]  ; 26    ; 1        ; 2            ; 0            ; 3           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    +; RAout[5]  ; 29    ; 1        ; 2            ; 0            ; 0           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    +; RAout[6]  ; 21    ; 1        ; 1            ; 1            ; 3           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    +; RAout[7]  ; 19    ; 1        ; 1            ; 1            ; 1           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    +; RAout[8]  ; 17    ; 1        ; 1            ; 2            ; 3           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    +; RAout[9]  ; 15    ; 1        ; 1            ; 2            ; 1           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    +; Vout[0]   ; 70    ; 2        ; 8            ; 4            ; 4           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; no                     ; User                 ; 10 pF ; -                    ; -                   ;
    +; Vout[1]   ; 67    ; 2        ; 8            ; 3            ; 2           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    +; Vout[2]   ; 69    ; 2        ; 8            ; 3            ; 0           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    +; Vout[3]   ; 62    ; 2        ; 8            ; 2            ; 0           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; no                     ; User                 ; 10 pF ; -                    ; -                   ;
    +; Vout[4]   ; 71    ; 2        ; 8            ; 4            ; 3           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    +; Vout[5]   ; 68    ; 2        ; 8            ; 3            ; 1           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    +; Vout[6]   ; 58    ; 2        ; 8            ; 2            ; 2           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    +; Vout[7]   ; 57    ; 2        ; 8            ; 2            ; 3           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    +; nCASout   ; 3     ; 1        ; 1            ; 4            ; 1           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; no                     ; User                 ; 10 pF ; -                    ; -                   ;
    +; nCSout    ; 8     ; 1        ; 1            ; 3            ; 2           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; no                     ; User                 ; 10 pF ; -                    ; -                   ;
    +; nDOE      ; 55    ; 2        ; 8            ; 1            ; 1           ; no              ; yes            ; no              ; no         ; no            ; no       ; On           ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    +; nRASout   ; 5     ; 1        ; 1            ; 4            ; 3           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    +; nRWEout   ; 2     ; 1        ; 1            ; 4            ; 0           ; no              ; yes            ; no              ; no         ; no            ; no       ; Off          ; 3.3-V LVCMOS ; 4mA              ; yes                    ; User                 ; 10 pF ; -                    ; -                   ;
    +; nVOE      ; 50    ; 1        ; 7            ; 0            ; 1           ; no              ; yes            ; no              ; no         ; no            ; no       ; On           ; 3.3-V LVCMOS ; 4mA              ; no                     ; User                 ; 10 pF ; -                    ; -                   ;
    ++-----------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+
     
     
     +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
    @@ -304,35 +304,35 @@ The pin-out file can be found in /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.pin.
     ; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir.   ; I/O Standard ; Voltage   ; I/O Type   ; User Assignment ; Bus Hold ; Weak Pull Up ;
     +----------+------------+----------+----------------+--------+--------------+-----------+------------+-----------------+----------+--------------+
     ; 1        ; 83         ; 2        ; GND*           ;        ;              ;           ; Column I/O ;                 ; no       ; Off          ;
    -; 2        ; 0          ; 1        ; nRWE           ; output ; 3.3-V LVCMOS ;           ; Row I/O    ; Y               ; no       ; Off          ;
    -; 3        ; 1          ; 1        ; nCAS           ; output ; 3.3-V LVCMOS ;           ; Row I/O    ; Y               ; no       ; Off          ;
    -; 4        ; 2          ; 1        ; CKE            ; output ; 3.3-V LVCMOS ;           ; Row I/O    ; Y               ; no       ; Off          ;
    -; 5        ; 3          ; 1        ; nRAS           ; output ; 3.3-V LVCMOS ;           ; Row I/O    ; Y               ; no       ; Off          ;
    +; 2        ; 0          ; 1        ; nRWEout        ; output ; 3.3-V LVCMOS ;           ; Row I/O    ; Y               ; no       ; Off          ;
    +; 3        ; 1          ; 1        ; nCASout        ; output ; 3.3-V LVCMOS ;           ; Row I/O    ; Y               ; no       ; Off          ;
    +; 4        ; 2          ; 1        ; CKEout         ; output ; 3.3-V LVCMOS ;           ; Row I/O    ; Y               ; no       ; Off          ;
    +; 5        ; 3          ; 1        ; nRASout        ; output ; 3.3-V LVCMOS ;           ; Row I/O    ; Y               ; no       ; Off          ;
     ; 6        ; 4          ; 1        ; BA[0]          ; output ; 3.3-V LVCMOS ;           ; Row I/O    ; Y               ; no       ; Off          ;
    -; 7        ; 5          ; 1        ; RA[11]         ; output ; 3.3-V LVCMOS ;           ; Row I/O    ; Y               ; no       ; Off          ;
    -; 8        ; 6          ; 1        ; nCS            ; output ; 3.3-V LVCMOS ;           ; Row I/O    ; Y               ; no       ; Off          ;
    +; 7        ; 5          ; 1        ; RAout[11]      ; output ; 3.3-V LVCMOS ;           ; Row I/O    ; Y               ; no       ; Off          ;
    +; 8        ; 6          ; 1        ; nCSout         ; output ; 3.3-V LVCMOS ;           ; Row I/O    ; Y               ; no       ; Off          ;
     ; 9        ;            ; 1        ; VCCIO1         ; power  ;              ; 3.3V      ; --         ;                 ; --       ; --           ;
     ; 10       ;            ;          ; GNDIO          ; gnd    ;              ;           ; --         ;                 ; --       ; --           ;
     ; 11       ;            ;          ; GNDINT         ; gnd    ;              ;           ; --         ;                 ; --       ; --           ;
     ; 12       ; 7          ; 1        ; C14M           ; input  ; 3.3-V LVCMOS ;           ; Row I/O    ; Y               ; no       ; Off          ;
     ; 13       ;            ;          ; VCCINT         ; power  ;              ; 2.5V/3.3V ; --         ;                 ; --       ; --           ;
     ; 14       ; 8          ; 1        ; BA[1]          ; output ; 3.3-V LVCMOS ;           ; Row I/O    ; Y               ; no       ; Off          ;
    -; 15       ; 9          ; 1        ; RA[9]          ; output ; 3.3-V LVCMOS ;           ; Row I/O    ; Y               ; no       ; Off          ;
    -; 16       ; 10         ; 1        ; RA[10]         ; output ; 3.3-V LVCMOS ;           ; Row I/O    ; Y               ; no       ; Off          ;
    -; 17       ; 11         ; 1        ; RA[8]          ; output ; 3.3-V LVCMOS ;           ; Row I/O    ; Y               ; no       ; Off          ;
    -; 18       ; 12         ; 1        ; RA[0]          ; output ; 3.3-V LVCMOS ;           ; Row I/O    ; Y               ; no       ; Off          ;
    -; 19       ; 13         ; 1        ; RA[7]          ; output ; 3.3-V LVCMOS ;           ; Row I/O    ; Y               ; no       ; Off          ;
    -; 20       ; 14         ; 1        ; RA[1]          ; output ; 3.3-V LVCMOS ;           ; Row I/O    ; Y               ; no       ; Off          ;
    -; 21       ; 15         ; 1        ; RA[6]          ; output ; 3.3-V LVCMOS ;           ; Row I/O    ; Y               ; no       ; Off          ;
    +; 15       ; 9          ; 1        ; RAout[9]       ; output ; 3.3-V LVCMOS ;           ; Row I/O    ; Y               ; no       ; Off          ;
    +; 16       ; 10         ; 1        ; RAout[10]      ; output ; 3.3-V LVCMOS ;           ; Row I/O    ; Y               ; no       ; Off          ;
    +; 17       ; 11         ; 1        ; RAout[8]       ; output ; 3.3-V LVCMOS ;           ; Row I/O    ; Y               ; no       ; Off          ;
    +; 18       ; 12         ; 1        ; RAout[0]       ; output ; 3.3-V LVCMOS ;           ; Row I/O    ; Y               ; no       ; Off          ;
    +; 19       ; 13         ; 1        ; RAout[7]       ; output ; 3.3-V LVCMOS ;           ; Row I/O    ; Y               ; no       ; Off          ;
    +; 20       ; 14         ; 1        ; RAout[1]       ; output ; 3.3-V LVCMOS ;           ; Row I/O    ; Y               ; no       ; Off          ;
    +; 21       ; 15         ; 1        ; RAout[6]       ; output ; 3.3-V LVCMOS ;           ; Row I/O    ; Y               ; no       ; Off          ;
     ; 22       ; 16         ; 1        ; #TMS           ; input  ;              ;           ; --         ;                 ; --       ; --           ;
     ; 23       ; 17         ; 1        ; #TDI           ; input  ;              ;           ; --         ;                 ; --       ; --           ;
     ; 24       ; 18         ; 1        ; #TCK           ; input  ;              ;           ; --         ;                 ; --       ; --           ;
     ; 25       ; 19         ; 1        ; #TDO           ; output ;              ;           ; --         ;                 ; --       ; --           ;
    -; 26       ; 20         ; 1        ; RA[4]          ; output ; 3.3-V LVCMOS ;           ; Column I/O ; Y               ; no       ; Off          ;
    -; 27       ; 21         ; 1        ; RA[3]          ; output ; 3.3-V LVCMOS ;           ; Column I/O ; Y               ; no       ; Off          ;
    +; 26       ; 20         ; 1        ; RAout[4]       ; output ; 3.3-V LVCMOS ;           ; Column I/O ; Y               ; no       ; Off          ;
    +; 27       ; 21         ; 1        ; RAout[3]       ; output ; 3.3-V LVCMOS ;           ; Column I/O ; Y               ; no       ; Off          ;
     ; 28       ; 22         ; 1        ; nEN80          ; input  ; 3.3-V LVCMOS ;           ; Column I/O ; Y               ; no       ; Off          ;
    -; 29       ; 23         ; 1        ; RA[5]          ; output ; 3.3-V LVCMOS ;           ; Column I/O ; Y               ; no       ; Off          ;
    -; 30       ; 24         ; 1        ; RA[2]          ; output ; 3.3-V LVCMOS ;           ; Column I/O ; Y               ; no       ; Off          ;
    +; 29       ; 23         ; 1        ; RAout[5]       ; output ; 3.3-V LVCMOS ;           ; Column I/O ; Y               ; no       ; Off          ;
    +; 30       ; 24         ; 1        ; RAout[2]       ; output ; 3.3-V LVCMOS ;           ; Column I/O ; Y               ; no       ; Off          ;
     ; 31       ;            ; 1        ; VCCIO1         ; power  ;              ; 3.3V      ; --         ;                 ; --       ; --           ;
     ; 32       ;            ;          ; GNDIO          ; gnd    ;              ;           ; --         ;                 ; --       ; --           ;
     ; 33       ; 25         ; 1        ; nWE80          ; input  ; 3.3-V LVCMOS ;           ; Column I/O ; Y               ; no       ; Off          ;
    @@ -423,112 +423,119 @@ Note: Pin directions (input, output or bidir) are based on device operating in u
     Note: User assignments will override these defaults. The user specified values are listed in the Output Pins and Bidir Pins tables.
     
     
    -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
    -; Fitter Resource Utilization by Entity                                                                                                                                                                                                                                                                                       ;
    -+-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+---------------------+--------------+
    -; Compilation Hierarchy Node                                ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name                                                   ; Entity Name         ; Library Name ;
    -+-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+---------------------+--------------+
    -; |RAM2E                                                    ; 197 (197)   ; 112          ; 1          ; 70   ; 0            ; 85 (85)      ; 19 (19)           ; 93 (93)          ; 15 (15)         ; 8 (8)      ; |RAM2E                                                                ; RAM2E               ; work         ;
    -;    |UFM:UFM_inst|                                         ; 0 (0)       ; 0            ; 1          ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |RAM2E|UFM:UFM_inst                                                   ; UFM                 ; work         ;
    -;       |UFM_altufm_none_lbr:UFM_altufm_none_lbr_component| ; 0 (0)       ; 0            ; 1          ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |RAM2E|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component ; UFM_altufm_none_lbr ; work         ;
    -+-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+---------------------+--------------+
    ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
    +; Fitter Resource Utilization by Entity                                                                                                                                                                                                                                                                                                              ;
    ++--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+
    +; Compilation Hierarchy Node                                   ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name                                                                       ; Entity Name         ; Library Name ;
    ++--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+
    +; |RAM2E                                                       ; 238 (180)   ; 123          ; 1          ; 70   ; 0            ; 115 (90)     ; 26 (23)           ; 97 (67)          ; 15 (15)         ; 6 (1)      ; |RAM2E                                                                                    ; RAM2E               ; work         ;
    +;    |RAM2E_UFM:ram2e_ufm|                                     ; 58 (58)     ; 33           ; 1          ; 0    ; 0            ; 25 (25)      ; 3 (3)             ; 30 (30)          ; 0 (0)           ; 5 (5)      ; |RAM2E|RAM2E_UFM:ram2e_ufm                                                                ; RAM2E_UFM           ; work         ;
    +;       |UFM:UFM_inst|                                         ; 0 (0)       ; 0            ; 1          ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst                                                   ; UFM                 ; work         ;
    +;          |UFM_altufm_none_lbr:UFM_altufm_none_lbr_component| ; 0 (0)       ; 0            ; 1          ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component ; UFM_altufm_none_lbr ; work         ;
    ++--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+
     Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy.
     
     
    -+------------------------------------+
    -; Delay Chain Summary                ;
    -+---------+----------+---------------+
    -; Name    ; Pin Type ; Pad to Core 0 ;
    -+---------+----------+---------------+
    -; LED     ; Output   ; --            ;
    -; Dout[0] ; Output   ; --            ;
    -; Dout[1] ; Output   ; --            ;
    -; Dout[2] ; Output   ; --            ;
    -; Dout[3] ; Output   ; --            ;
    -; Dout[4] ; Output   ; --            ;
    -; Dout[5] ; Output   ; --            ;
    -; Dout[6] ; Output   ; --            ;
    -; Dout[7] ; Output   ; --            ;
    -; nDOE    ; Output   ; --            ;
    -; Vout[0] ; Output   ; --            ;
    -; Vout[1] ; Output   ; --            ;
    -; Vout[2] ; Output   ; --            ;
    -; Vout[3] ; Output   ; --            ;
    -; Vout[4] ; Output   ; --            ;
    -; Vout[5] ; Output   ; --            ;
    -; Vout[6] ; Output   ; --            ;
    -; Vout[7] ; Output   ; --            ;
    -; nVOE    ; Output   ; --            ;
    -; CKE     ; Output   ; --            ;
    -; nCS     ; Output   ; --            ;
    -; nRAS    ; Output   ; --            ;
    -; nCAS    ; Output   ; --            ;
    -; nRWE    ; Output   ; --            ;
    -; BA[0]   ; Output   ; --            ;
    -; BA[1]   ; Output   ; --            ;
    -; RA[0]   ; Output   ; --            ;
    -; RA[1]   ; Output   ; --            ;
    -; RA[2]   ; Output   ; --            ;
    -; RA[3]   ; Output   ; --            ;
    -; RA[4]   ; Output   ; --            ;
    -; RA[5]   ; Output   ; --            ;
    -; RA[6]   ; Output   ; --            ;
    -; RA[7]   ; Output   ; --            ;
    -; RA[8]   ; Output   ; --            ;
    -; RA[9]   ; Output   ; --            ;
    -; RA[10]  ; Output   ; --            ;
    -; RA[11]  ; Output   ; --            ;
    -; DQML    ; Output   ; --            ;
    -; DQMH    ; Output   ; --            ;
    -; RD[0]   ; Bidir    ; (0)           ;
    -; RD[1]   ; Bidir    ; (0)           ;
    -; RD[2]   ; Bidir    ; (0)           ;
    -; RD[3]   ; Bidir    ; (0)           ;
    -; RD[4]   ; Bidir    ; (0)           ;
    -; RD[5]   ; Bidir    ; (0)           ;
    -; RD[6]   ; Bidir    ; (0)           ;
    -; RD[7]   ; Bidir    ; (0)           ;
    -; nEN80   ; Input    ; (0)           ;
    -; nWE     ; Input    ; (0)           ;
    -; PHI1    ; Input    ; (1)           ;
    -; Din[0]  ; Input    ; (0)           ;
    -; C14M    ; Input    ; (0)           ;
    -; nWE80   ; Input    ; (0)           ;
    -; Ain[0]  ; Input    ; (0)           ;
    -; Ain[1]  ; Input    ; (0)           ;
    -; Ain[2]  ; Input    ; (0)           ;
    -; Ain[3]  ; Input    ; (0)           ;
    -; Ain[4]  ; Input    ; (0)           ;
    -; Ain[5]  ; Input    ; (0)           ;
    -; Ain[6]  ; Input    ; (0)           ;
    -; Ain[7]  ; Input    ; (0)           ;
    -; Din[6]  ; Input    ; (0)           ;
    -; Din[2]  ; Input    ; (0)           ;
    -; Din[1]  ; Input    ; (0)           ;
    -; Din[5]  ; Input    ; (0)           ;
    -; Din[7]  ; Input    ; (0)           ;
    -; Din[4]  ; Input    ; (0)           ;
    -; Din[3]  ; Input    ; (0)           ;
    -; nC07X   ; Input    ; (0)           ;
    -+---------+----------+---------------+
    ++--------------------------------------+
    +; Delay Chain Summary                  ;
    ++-----------+----------+---------------+
    +; Name      ; Pin Type ; Pad to Core 0 ;
    ++-----------+----------+---------------+
    +; LED       ; Output   ; --            ;
    +; nWE80     ; Input    ; (0)           ;
    +; Dout[0]   ; Output   ; --            ;
    +; Dout[1]   ; Output   ; --            ;
    +; Dout[2]   ; Output   ; --            ;
    +; Dout[3]   ; Output   ; --            ;
    +; Dout[4]   ; Output   ; --            ;
    +; Dout[5]   ; Output   ; --            ;
    +; Dout[6]   ; Output   ; --            ;
    +; Dout[7]   ; Output   ; --            ;
    +; nDOE      ; Output   ; --            ;
    +; Vout[0]   ; Output   ; --            ;
    +; Vout[1]   ; Output   ; --            ;
    +; Vout[2]   ; Output   ; --            ;
    +; Vout[3]   ; Output   ; --            ;
    +; Vout[4]   ; Output   ; --            ;
    +; Vout[5]   ; Output   ; --            ;
    +; Vout[6]   ; Output   ; --            ;
    +; Vout[7]   ; Output   ; --            ;
    +; nVOE      ; Output   ; --            ;
    +; CKEout    ; Output   ; --            ;
    +; nCSout    ; Output   ; --            ;
    +; nRASout   ; Output   ; --            ;
    +; nCASout   ; Output   ; --            ;
    +; nRWEout   ; Output   ; --            ;
    +; BA[0]     ; Output   ; --            ;
    +; BA[1]     ; Output   ; --            ;
    +; RAout[0]  ; Output   ; --            ;
    +; RAout[1]  ; Output   ; --            ;
    +; RAout[2]  ; Output   ; --            ;
    +; RAout[3]  ; Output   ; --            ;
    +; RAout[4]  ; Output   ; --            ;
    +; RAout[5]  ; Output   ; --            ;
    +; RAout[6]  ; Output   ; --            ;
    +; RAout[7]  ; Output   ; --            ;
    +; RAout[8]  ; Output   ; --            ;
    +; RAout[9]  ; Output   ; --            ;
    +; RAout[10] ; Output   ; --            ;
    +; RAout[11] ; Output   ; --            ;
    +; DQML      ; Output   ; --            ;
    +; DQMH      ; Output   ; --            ;
    +; RD[0]     ; Bidir    ; (0)           ;
    +; RD[1]     ; Bidir    ; (0)           ;
    +; RD[2]     ; Bidir    ; (0)           ;
    +; RD[3]     ; Bidir    ; (0)           ;
    +; RD[4]     ; Bidir    ; (0)           ;
    +; RD[5]     ; Bidir    ; (0)           ;
    +; RD[6]     ; Bidir    ; (0)           ;
    +; RD[7]     ; Bidir    ; (0)           ;
    +; nEN80     ; Input    ; (0)           ;
    +; nWE       ; Input    ; (0)           ;
    +; PHI1      ; Input    ; (1)           ;
    +; C14M      ; Input    ; (0)           ;
    +; Din[0]    ; Input    ; (0)           ;
    +; Din[6]    ; Input    ; (0)           ;
    +; Din[1]    ; Input    ; (0)           ;
    +; Din[5]    ; Input    ; (0)           ;
    +; Din[7]    ; Input    ; (0)           ;
    +; Din[4]    ; Input    ; (0)           ;
    +; Din[2]    ; Input    ; (0)           ;
    +; Din[3]    ; Input    ; (0)           ;
    +; nC07X     ; Input    ; (0)           ;
    +; Ain[0]    ; Input    ; (0)           ;
    +; Ain[1]    ; Input    ; (0)           ;
    +; Ain[2]    ; Input    ; (0)           ;
    +; Ain[3]    ; Input    ; (0)           ;
    +; Ain[4]    ; Input    ; (0)           ;
    +; Ain[5]    ; Input    ; (0)           ;
    +; Ain[6]    ; Input    ; (0)           ;
    +; Ain[7]    ; Input    ; (0)           ;
    ++-----------+----------+---------------+
     
     
    -+-------------------------------------------------------------------------------------------------------+
    -; Control Signals                                                                                       ;
    -+------------+-------------+---------+---------------+--------+----------------------+------------------+
    -; Name       ; Location    ; Fan-Out ; Usage         ; Global ; Global Resource Used ; Global Line Name ;
    -+------------+-------------+---------+---------------+--------+----------------------+------------------+
    -; C14M       ; PIN_12      ; 112     ; Clock         ; yes    ; Global Clock         ; GCLK0            ;
    -; CS[0]~2    ; LC_X3_Y2_N8 ; 3       ; Clock enable  ; no     ; --                   ; --               ;
    -; Equal9~1   ; LC_X3_Y3_N0 ; 16      ; Clock enable  ; no     ; --                   ; --               ;
    -; Equal9~2   ; LC_X7_Y2_N5 ; 8       ; Clock enable  ; no     ; --                   ; --               ;
    -; RA[0]~15   ; LC_X2_Y2_N0 ; 8       ; Clock enable  ; no     ; --                   ; --               ;
    -; RDOE       ; LC_X3_Y3_N5 ; 8       ; Output enable ; no     ; --                   ; --               ;
    -; RWMask~1   ; LC_X4_Y1_N4 ; 8       ; Clock enable  ; no     ; --                   ; --               ;
    -; S[2]       ; LC_X6_Y3_N6 ; 22      ; Sync. clear   ; no     ; --                   ; --               ;
    -; UFMD[15]~0 ; LC_X6_Y1_N2 ; 8       ; Clock enable  ; no     ; --                   ; --               ;
    -; always2~8  ; LC_X3_Y3_N7 ; 16      ; Clock enable  ; no     ; --                   ; --               ;
    -+------------+-------------+---------+---------------+--------+----------------------+------------------+
    ++---------------------------------------------------------------------------------------------------------------------------+
    +; Control Signals                                                                                                           ;
    ++--------------------------------+-------------+---------+---------------+--------+----------------------+------------------+
    +; Name                           ; Location    ; Fan-Out ; Usage         ; Global ; Global Resource Used ; Global Line Name ;
    ++--------------------------------+-------------+---------+---------------+--------+----------------------+------------------+
    +; BA[1]~0                        ; LC_X2_Y2_N7 ; 2       ; Clock enable  ; no     ; --                   ; --               ;
    +; C14M                           ; PIN_12      ; 123     ; Clock         ; yes    ; Global Clock         ; GCLK0            ;
    +; CS[0]~2                        ; LC_X6_Y4_N2 ; 3       ; Clock enable  ; no     ; --                   ; --               ;
    +; DQML~0                         ; LC_X2_Y4_N0 ; 2       ; Clock enable  ; no     ; --                   ; --               ;
    +; Equal1~1                       ; LC_X7_Y4_N1 ; 8       ; Clock enable  ; no     ; --                   ; --               ;
    +; Equal1~2                       ; LC_X7_Y4_N2 ; 8       ; Clock enable  ; no     ; --                   ; --               ;
    +; Equal1~4                       ; LC_X5_Y4_N7 ; 4       ; Clock enable  ; no     ; --                   ; --               ;
    +; Equal1~5                       ; LC_X4_Y4_N9 ; 3       ; Clock enable  ; no     ; --                   ; --               ;
    +; Mux14~0                        ; LC_X2_Y2_N0 ; 2       ; Clock enable  ; no     ; --                   ; --               ;
    +; RAM2E_UFM:ram2e_ufm|RWMask~1   ; LC_X6_Y1_N3 ; 8       ; Clock enable  ; no     ; --                   ; --               ;
    +; RAM2E_UFM:ram2e_ufm|UFMD[15]~1 ; LC_X4_Y1_N1 ; 8       ; Clock enable  ; no     ; --                   ; --               ;
    +; RAM2E_UFM:ram2e_ufm|always2~8  ; LC_X7_Y4_N3 ; 16      ; Clock enable  ; no     ; --                   ; --               ;
    +; RA[2]~0                        ; LC_X2_Y2_N5 ; 6       ; Clock enable  ; no     ; --                   ; --               ;
    +; RDOE                           ; LC_X7_Y2_N7 ; 8       ; Output enable ; no     ; --                   ; --               ;
    +; S[0]                           ; LC_X7_Y3_N8 ; 35      ; Sync. clear   ; no     ; --                   ; --               ;
    +; S[3]                           ; LC_X5_Y4_N6 ; 32      ; Sync. clear   ; no     ; --                   ; --               ;
    ++--------------------------------+-------------+---------+---------------+--------+----------------------+------------------+
     
     
     +---------------------------------------------------------------------+
    @@ -536,7 +543,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
     +------+----------+---------+----------------------+------------------+
     ; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ;
     +------+----------+---------+----------------------+------------------+
    -; C14M ; PIN_12   ; 112     ; Global Clock         ; GCLK0            ;
    +; C14M ; PIN_12   ; 123     ; Global Clock         ; GCLK0            ;
     +------+----------+---------+----------------------+------------------+
     
     
    @@ -545,120 +552,122 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
     +-----------------------+--------------------+
     ; Routing Resource Type ; Usage              ;
     +-----------------------+--------------------+
    -; C4s                   ; 139 / 784 ( 18 % ) ;
    -; Direct links          ; 38 / 888 ( 4 % )   ;
    +; C4s                   ; 163 / 784 ( 21 % ) ;
    +; Direct links          ; 46 / 888 ( 5 % )   ;
     ; Global clocks         ; 1 / 4 ( 25 % )     ;
     ; LAB clocks            ; 6 / 32 ( 19 % )    ;
    -; LUT chains            ; 4 / 216 ( 2 % )    ;
    -; Local interconnects   ; 282 / 888 ( 32 % ) ;
    -; R4s                   ; 134 / 704 ( 19 % ) ;
    +; LUT chains            ; 9 / 216 ( 4 % )    ;
    +; Local interconnects   ; 324 / 888 ( 36 % ) ;
    +; R4s                   ; 142 / 704 ( 20 % ) ;
     +-----------------------+--------------------+
     
     
     +---------------------------------------------------------------------------+
     ; LAB Logic Elements                                                        ;
     +--------------------------------------------+------------------------------+
    -; Number of Logic Elements  (Average = 8.21) ; Number of LABs  (Total = 24) ;
    +; Number of Logic Elements  (Average = 9.92) ; Number of LABs  (Total = 24) ;
     +--------------------------------------------+------------------------------+
    -; 1                                          ; 2                            ;
    +; 1                                          ; 0                            ;
     ; 2                                          ; 0                            ;
    -; 3                                          ; 1                            ;
    +; 3                                          ; 0                            ;
     ; 4                                          ; 0                            ;
    -; 5                                          ; 1                            ;
    -; 6                                          ; 1                            ;
    +; 5                                          ; 0                            ;
    +; 6                                          ; 0                            ;
     ; 7                                          ; 0                            ;
    -; 8                                          ; 3                            ;
    -; 9                                          ; 3                            ;
    -; 10                                         ; 13                           ;
    +; 8                                          ; 1                            ;
    +; 9                                          ; 0                            ;
    +; 10                                         ; 23                           ;
     +--------------------------------------------+------------------------------+
     
     
     +-------------------------------------------------------------------+
     ; LAB-wide Signals                                                  ;
     +------------------------------------+------------------------------+
    -; LAB-wide Signals  (Average = 1.38) ; Number of LABs  (Total = 24) ;
    +; LAB-wide Signals  (Average = 1.54) ; Number of LABs  (Total = 24) ;
     +------------------------------------+------------------------------+
     ; 1 Clock                            ; 23                           ;
    -; 1 Clock enable                     ; 9                            ;
    -; 2 Clock enables                    ; 1                            ;
    +; 1 Clock enable                     ; 10                           ;
    +; 1 Sync. clear                      ; 2                            ;
    +; 2 Clock enables                    ; 2                            ;
     +------------------------------------+------------------------------+
     
     
    -+----------------------------------------------------------------------------+
    -; LAB Signals Sourced                                                        ;
    -+---------------------------------------------+------------------------------+
    -; Number of Signals Sourced  (Average = 8.54) ; Number of LABs  (Total = 24) ;
    -+---------------------------------------------+------------------------------+
    -; 0                                           ; 0                            ;
    -; 1                                           ; 2                            ;
    -; 2                                           ; 0                            ;
    -; 3                                           ; 1                            ;
    -; 4                                           ; 0                            ;
    -; 5                                           ; 1                            ;
    -; 6                                           ; 1                            ;
    -; 7                                           ; 0                            ;
    -; 8                                           ; 3                            ;
    -; 9                                           ; 2                            ;
    -; 10                                          ; 11                           ;
    -; 11                                          ; 1                            ;
    -; 12                                          ; 1                            ;
    -; 13                                          ; 0                            ;
    -; 14                                          ; 1                            ;
    -+---------------------------------------------+------------------------------+
    ++-----------------------------------------------------------------------------+
    +; LAB Signals Sourced                                                         ;
    ++----------------------------------------------+------------------------------+
    +; Number of Signals Sourced  (Average = 10.17) ; Number of LABs  (Total = 24) ;
    ++----------------------------------------------+------------------------------+
    +; 0                                            ; 0                            ;
    +; 1                                            ; 0                            ;
    +; 2                                            ; 0                            ;
    +; 3                                            ; 0                            ;
    +; 4                                            ; 0                            ;
    +; 5                                            ; 0                            ;
    +; 6                                            ; 0                            ;
    +; 7                                            ; 0                            ;
    +; 8                                            ; 1                            ;
    +; 9                                            ; 0                            ;
    +; 10                                           ; 21                           ;
    +; 11                                           ; 1                            ;
    +; 12                                           ; 0                            ;
    +; 13                                           ; 0                            ;
    +; 14                                           ; 0                            ;
    +; 15                                           ; 1                            ;
    ++----------------------------------------------+------------------------------+
     
     
     +--------------------------------------------------------------------------------+
     ; LAB Signals Sourced Out                                                        ;
     +-------------------------------------------------+------------------------------+
    -; Number of Signals Sourced Out  (Average = 6.33) ; Number of LABs  (Total = 24) ;
    +; Number of Signals Sourced Out  (Average = 7.08) ; Number of LABs  (Total = 24) ;
     +-------------------------------------------------+------------------------------+
     ; 0                                               ; 0                            ;
    -; 1                                               ; 2                            ;
    +; 1                                               ; 1                            ;
     ; 2                                               ; 0                            ;
     ; 3                                               ; 2                            ;
    -; 4                                               ; 2                            ;
    +; 4                                               ; 1                            ;
     ; 5                                               ; 3                            ;
    -; 6                                               ; 4                            ;
    +; 6                                               ; 2                            ;
     ; 7                                               ; 3                            ;
    -; 8                                               ; 2                            ;
    -; 9                                               ; 2                            ;
    +; 8                                               ; 4                            ;
    +; 9                                               ; 4                            ;
     ; 10                                              ; 3                            ;
     ; 11                                              ; 0                            ;
    -; 12                                              ; 1                            ;
    +; 12                                              ; 0                            ;
    +; 13                                              ; 1                            ;
     +-------------------------------------------------+------------------------------+
     
     
     +-----------------------------------------------------------------------------+
     ; LAB Distinct Inputs                                                         ;
     +----------------------------------------------+------------------------------+
    -; Number of Distinct Inputs  (Average = 10.42) ; Number of LABs  (Total = 24) ;
    +; Number of Distinct Inputs  (Average = 12.17) ; Number of LABs  (Total = 24) ;
     +----------------------------------------------+------------------------------+
     ; 0                                            ; 0                            ;
     ; 1                                            ; 0                            ;
     ; 2                                            ; 0                            ;
    -; 3                                            ; 3                            ;
    -; 4                                            ; 0                            ;
    -; 5                                            ; 2                            ;
    -; 6                                            ; 2                            ;
    -; 7                                            ; 1                            ;
    -; 8                                            ; 3                            ;
    +; 3                                            ; 0                            ;
    +; 4                                            ; 1                            ;
    +; 5                                            ; 0                            ;
    +; 6                                            ; 1                            ;
    +; 7                                            ; 2                            ;
    +; 8                                            ; 2                            ;
     ; 9                                            ; 1                            ;
    -; 10                                           ; 0                            ;
    -; 11                                           ; 2                            ;
    -; 12                                           ; 2                            ;
    -; 13                                           ; 1                            ;
    -; 14                                           ; 2                            ;
    +; 10                                           ; 2                            ;
    +; 11                                           ; 1                            ;
    +; 12                                           ; 3                            ;
    +; 13                                           ; 3                            ;
    +; 14                                           ; 1                            ;
     ; 15                                           ; 1                            ;
    -; 16                                           ; 1                            ;
    -; 17                                           ; 1                            ;
    -; 18                                           ; 0                            ;
    -; 19                                           ; 1                            ;
    +; 16                                           ; 2                            ;
    +; 17                                           ; 2                            ;
    +; 18                                           ; 1                            ;
    +; 19                                           ; 0                            ;
     ; 20                                           ; 0                            ;
     ; 21                                           ; 0                            ;
     ; 22                                           ; 0                            ;
     ; 23                                           ; 0                            ;
    -; 24                                           ; 0                            ;
    -; 25                                           ; 1                            ;
    +; 24                                           ; 1                            ;
     +----------------------------------------------+------------------------------+
     
     
    @@ -697,17 +706,17 @@ Info (332129): Detected timing requirements -- optimizing circuit to achieve onl
     Info (332111): Found 3 clocks
         Info (332111):   Period   Clock Name
         Info (332111): ======== ============
    -    Info (332111):  200.000        ARCLK
         Info (332111):   69.841         C14M
    -    Info (332111):  200.000        DRCLK
    +    Info (332111):  200.000 ram2e_ufm|ARCLK|regout
    +    Info (332111):  200.000 ram2e_ufm|DRCLK|regout
     Info (186079): Completed User Assigned Global Signals Promotion Operation
    -Info (186215): Automatically promoted signal "C14M" to use Global clock in PIN 12 File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-MAX.v Line: 8
    +Info (186215): Automatically promoted signal "C14M" to use Global clock in PIN 12 File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 8
     Info (186079): Completed Auto Global Promotion Operation
     Info (176234): Starting register packing
     Info (186468): Started processing fast register assignments
     Info (186469): Finished processing fast register assignments
     Info (176235): Finished register packing
    -Info (171121): Fitter preparation operations ending: elapsed time is 00:00:01
    +Info (171121): Fitter preparation operations ending: elapsed time is 00:00:00
     Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family.
     Info (170189): Fitter placement preparation operations beginning
     Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00
    @@ -715,18 +724,17 @@ Info (170191): Fitter placement operations beginning
     Info (170137): Fitter placement was successful
     Info (170192): Fitter placement operations ending: elapsed time is 00:00:01
     Info (170193): Fitter routing operations beginning
    -Info (170089): 5e+01 ns of routing delay (approximately 3.1% of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report.
    -Info (170195): Router estimated average interconnect usage is 20% of the available device resources
    -    Info (170196): Router estimated peak interconnect usage is 20% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5
    -Info (170199): The Fitter performed an Auto Fit compilation.  Optimizations were skipped to reduce compilation time.
    -    Info (170201): Optimizations that may affect the design's routability were skipped
    +Info (170089): 5e+01 ns of routing delay (approximately 3.0% of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report.
    +Info (170195): Router estimated average interconnect usage is 24% of the available device resources
    +    Info (170196): Router estimated peak interconnect usage is 24% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5
    +Info (170202): The Fitter performed an Auto Fit compilation.  No optimizations were skipped because the design's timing and routability requirements required full optimization.
     Info (170194): Fitter routing operations ending: elapsed time is 00:00:01
    -Info (11888): Total time spent on timing analysis during the Fitter is 0.83 seconds.
    +Info (11888): Total time spent on timing analysis during the Fitter is 0.84 seconds.
     Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00
    -Info (144001): Generated suppressed messages file /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.fit.smsg
    +Info (144001): Generated suppressed messages file Y:/Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.fit.smsg
     Info: Quartus Prime Fitter was successful. 0 errors, 1 warning
    -    Info: Peak virtual memory: 13770 megabytes
    -    Info: Processing ended: Thu Sep 21 05:34:37 2023
    +    Info: Peak virtual memory: 13747 megabytes
    +    Info: Processing ended: Thu Dec 28 23:09:44 2023
         Info: Elapsed time: 00:00:04
         Info: Total CPU time (on all processors): 00:00:04
     
    @@ -734,6 +742,6 @@ Info: Quartus Prime Fitter was successful. 0 errors, 1 warning
     +----------------------------+
     ; Fitter Suppressed Messages ;
     +----------------------------+
    -The suppressed messages can be found in /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.fit.smsg.
    +The suppressed messages can be found in Y:/Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.fit.smsg.
     
     
    diff --git a/CPLD/MAXII/output_files/RAM2E.fit.summary b/CPLD/MAXII/output_files/RAM2E.fit.summary
    index f06b8fb..304f616 100644
    --- a/CPLD/MAXII/output_files/RAM2E.fit.summary
    +++ b/CPLD/MAXII/output_files/RAM2E.fit.summary
    @@ -1,11 +1,11 @@
    -Fitter Status : Successful - Thu Sep 21 05:34:37 2023
    -Quartus Prime Version : 19.1.0 Build 670 09/22/2019 SJ Lite Edition
    +Fitter Status : Successful - Thu Dec 28 23:09:44 2023
    +Quartus Prime Version : 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition
     Revision Name : RAM2E
     Top-level Entity Name : RAM2E
     Family : MAX II
     Device : EPM240T100C5
     Timing Models : Final
    -Total logic elements : 197 / 240 ( 82 % )
    +Total logic elements : 238 / 240 ( 99 % )
     Total pins : 70 / 80 ( 88 % )
     Total virtual pins : 0
     UFM blocks : 1 / 1 ( 100 % )
    diff --git a/CPLD/MAXII/output_files/RAM2E.flow.rpt b/CPLD/MAXII/output_files/RAM2E.flow.rpt
    index ecb9121..3d4dbde 100644
    --- a/CPLD/MAXII/output_files/RAM2E.flow.rpt
    +++ b/CPLD/MAXII/output_files/RAM2E.flow.rpt
    @@ -1,6 +1,6 @@
     Flow report for RAM2E
    -Thu Sep 21 05:34:45 2023
    -Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
    +Thu Dec 28 23:09:50 2023
    +Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition
     
     
     ---------------------
    @@ -38,21 +38,21 @@ https://fpgasoftware.intel.com/eula.
     
     
     
    -+---------------------------------------------------------------------+
    -; Flow Summary                                                        ;
    -+-----------------------+---------------------------------------------+
    -; Flow Status           ; Successful - Thu Sep 21 05:34:41 2023       ;
    -; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ;
    -; Revision Name         ; RAM2E                                       ;
    -; Top-level Entity Name ; RAM2E                                       ;
    -; Family                ; MAX II                                      ;
    -; Device                ; EPM240T100C5                                ;
    -; Timing Models         ; Final                                       ;
    -; Total logic elements  ; 197 / 240 ( 82 % )                          ;
    -; Total pins            ; 70 / 80 ( 88 % )                            ;
    -; Total virtual pins    ; 0                                           ;
    -; UFM blocks            ; 1 / 1 ( 100 % )                             ;
    -+-----------------------+---------------------------------------------+
    ++-------------------------------------------------------------------------------------+
    +; Flow Summary                                                                        ;
    ++-----------------------+-------------------------------------------------------------+
    +; Flow Status           ; Successful - Thu Dec 28 23:09:46 2023                       ;
    +; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition ;
    +; Revision Name         ; RAM2E                                                       ;
    +; Top-level Entity Name ; RAM2E                                                       ;
    +; Family                ; MAX II                                                      ;
    +; Device                ; EPM240T100C5                                                ;
    +; Timing Models         ; Final                                                       ;
    +; Total logic elements  ; 238 / 240 ( 99 % )                                          ;
    +; Total pins            ; 70 / 80 ( 88 % )                                            ;
    +; Total virtual pins    ; 0                                                           ;
    +; UFM blocks            ; 1 / 1 ( 100 % )                                             ;
    ++-----------------------+-------------------------------------------------------------+
     
     
     +-----------------------------------------+
    @@ -60,7 +60,7 @@ https://fpgasoftware.intel.com/eula.
     +-------------------+---------------------+
     ; Option            ; Setting             ;
     +-------------------+---------------------+
    -; Start date & time ; 09/21/2023 05:33:57 ;
    +; Start date & time ; 12/28/2023 23:09:12 ;
     ; Main task         ; Compilation         ;
     ; Revision Name     ; RAM2E               ;
     +-------------------+---------------------+
    @@ -71,7 +71,7 @@ https://fpgasoftware.intel.com/eula.
     +---------------------------------------+------------------------------+---------------+-------------+------------+
     ; Assignment Name                       ; Value                        ; Default Value ; Entity Name ; Section Id ;
     +---------------------------------------+------------------------------+---------------+-------------+------------+
    -; COMPILER_SIGNATURE_ID                 ; 121381084694.169528883703908 ; --            ; --          ; --         ;
    +; COMPILER_SIGNATURE_ID                 ; 121381084694.170382295203604 ; --            ; --          ; --         ;
     ; MAX_CORE_JUNCTION_TEMP                ; 85                           ; --            ; --          ; --         ;
     ; MIN_CORE_JUNCTION_TEMP                ; 0                            ; --            ; --          ; --         ;
     ; NUM_PARALLEL_PROCESSORS               ; 4                            ; --            ; --          ; --         ;
    @@ -86,11 +86,11 @@ https://fpgasoftware.intel.com/eula.
     +----------------------+--------------+-------------------------+---------------------+------------------------------------+
     ; Module Name          ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ;
     +----------------------+--------------+-------------------------+---------------------+------------------------------------+
    -; Analysis & Synthesis ; 00:00:35     ; 1.0                     ; 13144 MB            ; 00:00:49                           ;
    -; Fitter               ; 00:00:04     ; 1.0                     ; 13770 MB            ; 00:00:04                           ;
    -; Assembler            ; 00:00:02     ; 1.0                     ; 13091 MB            ; 00:00:01                           ;
    -; Timing Analyzer      ; 00:00:02     ; 1.0                     ; 13089 MB            ; 00:00:02                           ;
    -; Total                ; 00:00:43     ; --                      ; --                  ; 00:00:56                           ;
    +; Analysis & Synthesis ; 00:00:28     ; 1.0                     ; 13113 MB            ; 00:00:42                           ;
    +; Fitter               ; 00:00:04     ; 1.0                     ; 13747 MB            ; 00:00:04                           ;
    +; Assembler            ; 00:00:00     ; 1.0                     ; 13067 MB            ; 00:00:01                           ;
    +; Timing Analyzer      ; 00:00:02     ; 1.0                     ; 13064 MB            ; 00:00:02                           ;
    +; Total                ; 00:00:34     ; --                      ; --                  ; 00:00:49                           ;
     +----------------------+--------------+-------------------------+---------------------+------------------------------------+
     
     
    diff --git a/CPLD/MAXII/output_files/RAM2E.jdi b/CPLD/MAXII/output_files/RAM2E.jdi
    index eb86129..dad2d4f 100644
    --- a/CPLD/MAXII/output_files/RAM2E.jdi
    +++ b/CPLD/MAXII/output_files/RAM2E.jdi
    @@ -1,6 +1,6 @@
     
       
    -    
    +    
       
       
         
    diff --git a/CPLD/MAXII/output_files/RAM2E.map.rpt b/CPLD/MAXII/output_files/RAM2E.map.rpt
    index da29b55..d5d2070 100644
    --- a/CPLD/MAXII/output_files/RAM2E.map.rpt
    +++ b/CPLD/MAXII/output_files/RAM2E.map.rpt
    @@ -1,6 +1,6 @@
     Analysis & Synthesis report for RAM2E
    -Thu Sep 21 05:34:32 2023
    -Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
    +Thu Dec 28 23:09:39 2023
    +Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition
     
     
     ---------------------
    @@ -17,7 +17,7 @@ Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
       9. General Register Statistics
      10. Inverted Register Statistics
      11. Multiplexer Restructuring Statistics (Restructuring Performed)
    - 12. Port Connectivity Checks: "UFM:UFM_inst"
    + 12. Port Connectivity Checks: "RAM2E_UFM:ram2e_ufm|UFM:UFM_inst"
      13. Analysis & Synthesis Messages
      14. Analysis & Synthesis Suppressed Messages
     
    @@ -43,19 +43,19 @@ https://fpgasoftware.intel.com/eula.
     
     
     
    -+---------------------------------------------------------------------------+
    -; Analysis & Synthesis Summary                                              ;
    -+-----------------------------+---------------------------------------------+
    -; Analysis & Synthesis Status ; Successful - Thu Sep 21 05:34:32 2023       ;
    -; Quartus Prime Version       ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ;
    -; Revision Name               ; RAM2E                                       ;
    -; Top-level Entity Name       ; RAM2E                                       ;
    -; Family                      ; MAX II                                      ;
    -; Total logic elements        ; 205                                         ;
    -; Total pins                  ; 70                                          ;
    -; Total virtual pins          ; 0                                           ;
    -; UFM blocks                  ; 1 / 1 ( 100 % )                             ;
    -+-----------------------------+---------------------------------------------+
    ++-------------------------------------------------------------------------------------------+
    +; Analysis & Synthesis Summary                                                              ;
    ++-----------------------------+-------------------------------------------------------------+
    +; Analysis & Synthesis Status ; Successful - Thu Dec 28 23:09:39 2023                       ;
    +; Quartus Prime Version       ; 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition ;
    +; Revision Name               ; RAM2E                                                       ;
    +; Top-level Entity Name       ; RAM2E                                                       ;
    +; Family                      ; MAX II                                                      ;
    +; Total logic elements        ; 244                                                         ;
    +; Total pins                  ; 70                                                          ;
    +; Total virtual pins          ; 0                                                           ;
    +; UFM blocks                  ; 1 / 1 ( 100 % )                                             ;
    ++-----------------------------+-------------------------------------------------------------+
     
     
     +------------------------------------------------------------------------------------------------------------+
    @@ -146,15 +146,16 @@ https://fpgasoftware.intel.com/eula.
     +----------------------------+-------------+
     
     
    -+---------------------------------------------------------------------------------------------------------------------------------------------+
    -; Analysis & Synthesis Source Files Read                                                                                                      ;
    -+----------------------------------+-----------------+----------------------------------+-------------------------------------------+---------+
    -; File Name with User-Entered Path ; Used in Netlist ; File Type                        ; File Name with Absolute Path              ; Library ;
    -+----------------------------------+-----------------+----------------------------------+-------------------------------------------+---------+
    -; ../RAM2E-MAX.v                   ; yes             ; User Verilog HDL File            ; //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-MAX.v ;         ;
    -; UFM.v                            ; yes             ; User Wizard-Generated File       ; //Mac/iCloud/Repos/RAM2E/CPLD/MAXII/UFM.v ;         ;
    -; ../RAM2E.mif                     ; yes             ; User Memory Initialization File  ; //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.mif   ;         ;
    -+----------------------------------+-----------------+----------------------------------+-------------------------------------------+---------+
    ++-----------------------------------------------------------------------------------------------------------------------------------+
    +; Analysis & Synthesis Source Files Read                                                                                            ;
    ++----------------------------------+-----------------+----------------------------------+---------------------------------+---------+
    +; File Name with User-Entered Path ; Used in Netlist ; File Type                        ; File Name with Absolute Path    ; Library ;
    ++----------------------------------+-----------------+----------------------------------+---------------------------------+---------+
    +; ../RAM2E.v                       ; yes             ; User Verilog HDL File            ; Y:/Repos/RAM2E/CPLD/RAM2E.v     ;         ;
    +; ../UFM-MAX.v                     ; yes             ; User Verilog HDL File            ; Y:/Repos/RAM2E/CPLD/UFM-MAX.v   ;         ;
    +; UFM.v                            ; yes             ; User Wizard-Generated File       ; Y:/Repos/RAM2E/CPLD/MAXII/UFM.v ;         ;
    +; ../RAM2E.mif                     ; yes             ; User Memory Initialization File  ; Y:/Repos/RAM2E/CPLD/RAM2E.mif   ;         ;
    ++----------------------------------+-----------------+----------------------------------+---------------------------------+---------+
     
     
     +-----------------------------------------------------+
    @@ -162,56 +163,57 @@ https://fpgasoftware.intel.com/eula.
     +---------------------------------------------+-------+
     ; Resource                                    ; Usage ;
     +---------------------------------------------+-------+
    -; Total logic elements                        ; 205   ;
    -;     -- Combinational with no register       ; 93    ;
    -;     -- Register only                        ; 27    ;
    -;     -- Combinational with a register        ; 85    ;
    +; Total logic elements                        ; 244   ;
    +;     -- Combinational with no register       ; 121   ;
    +;     -- Register only                        ; 32    ;
    +;     -- Combinational with a register        ; 91    ;
     ;                                             ;       ;
     ; Logic element usage by number of LUT inputs ;       ;
    -;     -- 4 input functions                    ; 103   ;
    -;     -- 3 input functions                    ; 29    ;
    -;     -- 2 input functions                    ; 42    ;
    -;     -- 1 input functions                    ; 3     ;
    +;     -- 4 input functions                    ; 118   ;
    +;     -- 3 input functions                    ; 41    ;
    +;     -- 2 input functions                    ; 48    ;
    +;     -- 1 input functions                    ; 4     ;
     ;     -- 0 input functions                    ; 1     ;
     ;                                             ;       ;
     ; Logic elements by mode                      ;       ;
    -;     -- normal mode                          ; 191   ;
    +;     -- normal mode                          ; 230   ;
     ;     -- arithmetic mode                      ; 14    ;
     ;     -- qfbk mode                            ; 0     ;
     ;     -- register cascade mode                ; 0     ;
    -;     -- synchronous clear/load mode          ; 1     ;
    +;     -- synchronous clear/load mode          ; 3     ;
     ;     -- asynchronous clear/load mode         ; 0     ;
     ;                                             ;       ;
    -; Total registers                             ; 112   ;
    +; Total registers                             ; 123   ;
     ; Total logic cells in carry chains           ; 15    ;
     ; I/O pins                                    ; 70    ;
     ; UFM blocks                                  ; 1     ;
     ; Maximum fan-out node                        ; C14M  ;
    -; Maximum fan-out                             ; 112   ;
    -; Total fan-out                               ; 850   ;
    -; Average fan-out                             ; 3.08  ;
    +; Maximum fan-out                             ; 123   ;
    +; Total fan-out                               ; 977   ;
    +; Average fan-out                             ; 3.10  ;
     +---------------------------------------------+-------+
     
     
    -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
    -; Analysis & Synthesis Resource Utilization by Entity                                                                                                                                                                                                                                                                         ;
    -+-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+---------------------+--------------+
    -; Compilation Hierarchy Node                                ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name                                                   ; Entity Name         ; Library Name ;
    -+-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+---------------------+--------------+
    -; |RAM2E                                                    ; 205 (205)   ; 112          ; 1          ; 70   ; 0            ; 93 (93)      ; 27 (27)           ; 85 (85)          ; 15 (15)         ; 0 (0)      ; |RAM2E                                                                ; RAM2E               ; work         ;
    -;    |UFM:UFM_inst|                                         ; 0 (0)       ; 0            ; 1          ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |RAM2E|UFM:UFM_inst                                                   ; UFM                 ; work         ;
    -;       |UFM_altufm_none_lbr:UFM_altufm_none_lbr_component| ; 0 (0)       ; 0            ; 1          ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |RAM2E|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component ; UFM_altufm_none_lbr ; work         ;
    -+-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+---------------------+--------------+
    ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
    +; Analysis & Synthesis Resource Utilization by Entity                                                                                                                                                                                                                                                                                                ;
    ++--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+
    +; Compilation Hierarchy Node                                   ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name                                                                       ; Entity Name         ; Library Name ;
    ++--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+
    +; |RAM2E                                                       ; 244 (181)   ; 123          ; 1          ; 70   ; 0            ; 121 (91)     ; 32 (24)           ; 91 (66)          ; 15 (15)         ; 0 (0)      ; |RAM2E                                                                                    ; RAM2E               ; work         ;
    +;    |RAM2E_UFM:ram2e_ufm|                                     ; 63 (63)     ; 33           ; 1          ; 0    ; 0            ; 30 (30)      ; 8 (8)             ; 25 (25)          ; 0 (0)           ; 0 (0)      ; |RAM2E|RAM2E_UFM:ram2e_ufm                                                                ; RAM2E_UFM           ; work         ;
    +;       |UFM:UFM_inst|                                         ; 0 (0)       ; 0            ; 1          ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst                                                   ; UFM                 ; work         ;
    +;          |UFM_altufm_none_lbr:UFM_altufm_none_lbr_component| ; 0 (0)       ; 0            ; 1          ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component ; UFM_altufm_none_lbr ; work         ;
    ++--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+
     Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy.
     
     
    -+--------------------------------------------------------------------------------------------------------------------+
    -; Analysis & Synthesis IP Cores Summary                                                                              ;
    -+--------+---------------------------+---------+--------------+--------------+---------------------+-----------------+
    -; Vendor ; IP Core Name              ; Version ; Release Date ; License Type ; Entity Instance     ; IP Include File ;
    -+--------+---------------------------+---------+--------------+--------------+---------------------+-----------------+
    -; Altera ; ALTUFM_NONE Intel FPGA IP ; 19.1    ; N/A          ; N/A          ; |RAM2E|UFM:UFM_inst ; UFM.v           ;
    -+--------+---------------------------+---------+--------------+--------------+---------------------+-----------------+
    ++----------------------------------------------------------------------------------------------------------------------------------------+
    +; Analysis & Synthesis IP Cores Summary                                                                                                  ;
    ++--------+---------------------------+---------+--------------+--------------+-----------------------------------------+-----------------+
    +; Vendor ; IP Core Name              ; Version ; Release Date ; License Type ; Entity Instance                         ; IP Include File ;
    ++--------+---------------------------+---------+--------------+--------------+-----------------------------------------+-----------------+
    +; Altera ; ALTUFM_NONE Intel FPGA IP ; 19.1    ; N/A          ; N/A          ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst ; UFM.v           ;
    ++--------+---------------------------+---------+--------------+--------------+-----------------------------------------+-----------------+
     
     
     +------------------------------------------------------+
    @@ -219,12 +221,12 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
     +----------------------------------------------+-------+
     ; Statistic                                    ; Value ;
     +----------------------------------------------+-------+
    -; Total registers                              ; 112   ;
    -; Number of registers using Synchronous Clear  ; 1     ;
    +; Total registers                              ; 123   ;
    +; Number of registers using Synchronous Clear  ; 3     ;
     ; Number of registers using Synchronous Load   ; 0     ;
     ; Number of registers using Asynchronous Clear ; 0     ;
     ; Number of registers using Asynchronous Load  ; 0     ;
    -; Number of registers using Clock Enable       ; 60    ;
    +; Number of registers using Clock Enable       ; 62    ;
     ; Number of registers using Preset             ; 0     ;
     +----------------------------------------------+-------+
     
    @@ -234,30 +236,36 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
     +----------------------------------------+---------+
     ; Inverted Register                      ; Fan out ;
     +----------------------------------------+---------+
    -; nCS~reg0                               ; 1       ;
    -; nRAS~reg0                              ; 1       ;
    -; nCAS~reg0                              ; 1       ;
    -; nRWE~reg0                              ; 1       ;
    +; nRASout~reg0                           ; 1       ;
    +; nCASout~reg0                           ; 1       ;
    +; nRWEout~reg0                           ; 1       ;
     ; DQML~reg0                              ; 1       ;
     ; DQMH~reg0                              ; 1       ;
    -; Total number of inverted registers = 6 ;         ;
    +; CKE                                    ; 1       ;
    +; nRAS                                   ; 1       ;
    +; nCAS                                   ; 1       ;
    +; nRWE                                   ; 1       ;
    +; Total number of inverted registers = 9 ;         ;
     +----------------------------------------+---------+
     
     
    -+------------------------------------------------------------------------------------------------------------------------------------------+
    -; Multiplexer Restructuring Statistics (Restructuring Performed)                                                                           ;
    -+--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+
    -; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ;
    -+--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+
    -; 3:1                ; 8 bits    ; 16 LEs        ; 8 LEs                ; 8 LEs                  ; Yes        ; |RAM2E|RA[0]~reg0          ;
    -; 4:1                ; 4 bits    ; 8 LEs         ; 8 LEs                ; 0 LEs                  ; Yes        ; |RAM2E|S[2]                ;
    -; 4:1                ; 3 bits    ; 6 LEs         ; 3 LEs                ; 3 LEs                  ; Yes        ; |RAM2E|CS[0]               ;
    -; 4:1                ; 8 bits    ; 16 LEs        ; 16 LEs               ; 0 LEs                  ; Yes        ; |RAM2E|RWMask[4]           ;
    -+--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+
    ++----------------------------------------------------------------------------------------------------------------------------------------------------+
    +; Multiplexer Restructuring Statistics (Restructuring Performed)                                                                                     ;
    ++--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------+
    +; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output           ;
    ++--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------+
    +; 4:1                ; 4 bits    ; 8 LEs         ; 8 LEs                ; 0 LEs                  ; Yes        ; |RAM2E|S[0]                          ;
    +; 4:1                ; 3 bits    ; 6 LEs         ; 3 LEs                ; 3 LEs                  ; Yes        ; |RAM2E|CS[0]                         ;
    +; 4:1                ; 8 bits    ; 16 LEs        ; 16 LEs               ; 0 LEs                  ; Yes        ; |RAM2E|RAM2E_UFM:ram2e_ufm|RWMask[5] ;
    +; 16:1               ; 2 bits    ; 20 LEs        ; 2 LEs                ; 18 LEs                 ; Yes        ; |RAM2E|BA[1]~reg0                    ;
    +; 17:1               ; 4 bits    ; 44 LEs        ; 8 LEs                ; 36 LEs                 ; Yes        ; |RAM2E|RA[4]                         ;
    +; 19:1               ; 2 bits    ; 24 LEs        ; 4 LEs                ; 20 LEs                 ; Yes        ; |RAM2E|RA[2]                         ;
    +; 10:1               ; 2 bits    ; 12 LEs        ; 4 LEs                ; 8 LEs                  ; Yes        ; |RAM2E|DQML~reg0                     ;
    ++--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------+
     
     
     +------------------------------------------------------------------------------------------------------------------+
    -; Port Connectivity Checks: "UFM:UFM_inst"                                                                         ;
    +; Port Connectivity Checks: "RAM2E_UFM:ram2e_ufm|UFM:UFM_inst"                                                     ;
     +--------+--------+----------+-------------------------------------------------------------------------------------+
     ; Port   ; Type   ; Severity ; Details                                                                             ;
     +--------+--------+----------+-------------------------------------------------------------------------------------+
    @@ -272,35 +280,50 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
     +-------------------------------+
     Info: *******************************************************************
     Info: Running Quartus Prime Analysis & Synthesis
    -    Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
    -    Info: Processing started: Thu Sep 21 05:33:57 2023
    +    Info: Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition
    +    Info: Processing started: Thu Dec 28 23:09:11 2023
     Info: Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2E-MAXII -c RAM2E
     Info (20032): Parallel compilation is enabled and will use up to 4 processors
    -Info (12021): Found 1 design units, including 1 entities, in source file //mac/icloud/repos/ram2e/cpld/ram2e-max.v
    -    Info (12023): Found entity 1: RAM2E File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-MAX.v Line: 1
    +Info (12021): Found 1 design units, including 1 entities, in source file /repos/ram2e/cpld/ram2e.v
    +    Info (12023): Found entity 1: RAM2E File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 1
    +Info (12021): Found 1 design units, including 1 entities, in source file /repos/ram2e/cpld/ufm-max.v
    +    Info (12023): Found entity 1: RAM2E_UFM File: Y:/Repos/RAM2E/CPLD/UFM-MAX.v Line: 1
     Info (12021): Found 2 design units, including 2 entities, in source file ufm.v
    -    Info (12023): Found entity 1: UFM_altufm_none_lbr File: //Mac/iCloud/Repos/RAM2E/CPLD/MAXII/UFM.v Line: 47
    -    Info (12023): Found entity 2: UFM File: //Mac/iCloud/Repos/RAM2E/CPLD/MAXII/UFM.v Line: 166
    +    Info (12023): Found entity 1: UFM_altufm_none_lbr File: Y:/Repos/RAM2E/CPLD/MAXII/UFM.v Line: 47
    +    Info (12023): Found entity 2: UFM File: Y:/Repos/RAM2E/CPLD/MAXII/UFM.v Line: 166
     Info (12127): Elaborating entity "RAM2E" for the top level hierarchy
    -Info (12128): Elaborating entity "UFM" for hierarchy "UFM:UFM_inst" File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-MAX.v Line: 93
    -Info (12128): Elaborating entity "UFM_altufm_none_lbr" for hierarchy "UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component" File: //Mac/iCloud/Repos/RAM2E/CPLD/MAXII/UFM.v Line: 217
    -Info (21057): Implemented 276 device resources after synthesis - the final resource count might be different
    +Info (12128): Elaborating entity "RAM2E_UFM" for hierarchy "RAM2E_UFM:ram2e_ufm" File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 112
    +Info (12128): Elaborating entity "UFM" for hierarchy "RAM2E_UFM:ram2e_ufm|UFM:UFM_inst" File: Y:/Repos/RAM2E/CPLD/UFM-MAX.v Line: 79
    +Info (12128): Elaborating entity "UFM_altufm_none_lbr" for hierarchy "RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component" File: Y:/Repos/RAM2E/CPLD/MAXII/UFM.v Line: 217
    +Warning (13024): Output pins are stuck at VCC or GND
    +    Warning (13410): Pin "nCSout" is stuck at GND File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 78
    +Warning (14632): Output pin "Dout[0]" driven by bidirectional pin "RD[0]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 52
    +Warning (14632): Output pin "Dout[1]" driven by bidirectional pin "RD[1]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 52
    +Warning (14632): Output pin "Dout[2]" driven by bidirectional pin "RD[2]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 52
    +Warning (14632): Output pin "Dout[3]" driven by bidirectional pin "RD[3]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 52
    +Warning (14632): Output pin "Dout[4]" driven by bidirectional pin "RD[4]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 52
    +Warning (14632): Output pin "Dout[5]" driven by bidirectional pin "RD[5]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 52
    +Warning (14632): Output pin "Dout[6]" driven by bidirectional pin "RD[6]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 52
    +Warning (14632): Output pin "Dout[7]" driven by bidirectional pin "RD[7]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 52
    +Warning (21074): Design contains 1 input pin(s) that do not drive logic
    +    Warning (15610): No output dependent on input pin "nWE80" File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 11
    +Info (21057): Implemented 315 device resources after synthesis - the final resource count might be different
         Info (21058): Implemented 22 input pins
         Info (21059): Implemented 40 output pins
         Info (21060): Implemented 8 bidirectional pins
    -    Info (21061): Implemented 205 logic cells
    +    Info (21061): Implemented 244 logic cells
         Info (21070): Implemented 1 User Flash Memory blocks
    -Info (144001): Generated suppressed messages file /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.map.smsg
    -Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 0 warnings
    -    Info: Peak virtual memory: 13144 megabytes
    -    Info: Processing ended: Thu Sep 21 05:34:32 2023
    -    Info: Elapsed time: 00:00:35
    -    Info: Total CPU time (on all processors): 00:00:49
    +Info (144001): Generated suppressed messages file Y:/Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.map.smsg
    +Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 12 warnings
    +    Info: Peak virtual memory: 13113 megabytes
    +    Info: Processing ended: Thu Dec 28 23:09:39 2023
    +    Info: Elapsed time: 00:00:28
    +    Info: Total CPU time (on all processors): 00:00:42
     
     
     +------------------------------------------+
     ; Analysis & Synthesis Suppressed Messages ;
     +------------------------------------------+
    -The suppressed messages can be found in /Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.map.smsg.
    +The suppressed messages can be found in Y:/Repos/RAM2E/CPLD/MAXII/output_files/RAM2E.map.smsg.
     
     
    diff --git a/CPLD/MAXII/output_files/RAM2E.map.smsg b/CPLD/MAXII/output_files/RAM2E.map.smsg
    index 06be456..b2004b0 100644
    --- a/CPLD/MAXII/output_files/RAM2E.map.smsg
    +++ b/CPLD/MAXII/output_files/RAM2E.map.smsg
    @@ -1,3 +1,3 @@
    -Warning (10273): Verilog HDL warning at RAM2E-MAX.v(46): extended using "x" or "z" File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-MAX.v Line: 46
    -Warning (10463): Verilog HDL Declaration warning at UFM.v(73): "program" is SystemVerilog-2005 keyword File: //Mac/iCloud/Repos/RAM2E/CPLD/MAXII/UFM.v Line: 73
    -Warning (10463): Verilog HDL Declaration warning at UFM.v(189): "program" is SystemVerilog-2005 keyword File: //Mac/iCloud/Repos/RAM2E/CPLD/MAXII/UFM.v Line: 189
    +Warning (10273): Verilog HDL warning at RAM2E.v(74): extended using "x" or "z" File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 74
    +Warning (10463): Verilog HDL Declaration warning at UFM.v(73): "program" is SystemVerilog-2005 keyword File: Y:/Repos/RAM2E/CPLD/MAXII/UFM.v Line: 73
    +Warning (10463): Verilog HDL Declaration warning at UFM.v(189): "program" is SystemVerilog-2005 keyword File: Y:/Repos/RAM2E/CPLD/MAXII/UFM.v Line: 189
    diff --git a/CPLD/MAXII/output_files/RAM2E.map.summary b/CPLD/MAXII/output_files/RAM2E.map.summary
    index 8b68ed4..0d1b334 100644
    --- a/CPLD/MAXII/output_files/RAM2E.map.summary
    +++ b/CPLD/MAXII/output_files/RAM2E.map.summary
    @@ -1,9 +1,9 @@
    -Analysis & Synthesis Status : Successful - Thu Sep 21 05:34:32 2023
    -Quartus Prime Version : 19.1.0 Build 670 09/22/2019 SJ Lite Edition
    +Analysis & Synthesis Status : Successful - Thu Dec 28 23:09:39 2023
    +Quartus Prime Version : 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition
     Revision Name : RAM2E
     Top-level Entity Name : RAM2E
     Family : MAX II
    -Total logic elements : 205
    +Total logic elements : 244
     Total pins : 70
     Total virtual pins : 0
     UFM blocks : 1 / 1 ( 100 % )
    diff --git a/CPLD/MAXII/output_files/RAM2E.pin b/CPLD/MAXII/output_files/RAM2E.pin
    index f8fa7ef..3f032f5 100644
    --- a/CPLD/MAXII/output_files/RAM2E.pin
    +++ b/CPLD/MAXII/output_files/RAM2E.pin
    @@ -58,41 +58,41 @@
      -- Pin directions (input, output or bidir) are based on device operating in user mode.
      ---------------------------------------------------------------------------------
     
    -Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
    +Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition
     CHIP  "RAM2E"  ASSIGNED TO AN: EPM240T100C5
     
     Pin Name/Usage               : Location  : Dir.   : I/O Standard      : Voltage : I/O Bank  : User Assignment
     -------------------------------------------------------------------------------------------------------------
     GND*                         : 1         :        :                   :         : 2         :                
    -nRWE                         : 2         : output : 3.3-V LVCMOS      :         : 1         : Y              
    -nCAS                         : 3         : output : 3.3-V LVCMOS      :         : 1         : Y              
    -CKE                          : 4         : output : 3.3-V LVCMOS      :         : 1         : Y              
    -nRAS                         : 5         : output : 3.3-V LVCMOS      :         : 1         : Y              
    +nRWEout                      : 2         : output : 3.3-V LVCMOS      :         : 1         : Y              
    +nCASout                      : 3         : output : 3.3-V LVCMOS      :         : 1         : Y              
    +CKEout                       : 4         : output : 3.3-V LVCMOS      :         : 1         : Y              
    +nRASout                      : 5         : output : 3.3-V LVCMOS      :         : 1         : Y              
     BA[0]                        : 6         : output : 3.3-V LVCMOS      :         : 1         : Y              
    -RA[11]                       : 7         : output : 3.3-V LVCMOS      :         : 1         : Y              
    -nCS                          : 8         : output : 3.3-V LVCMOS      :         : 1         : Y              
    +RAout[11]                    : 7         : output : 3.3-V LVCMOS      :         : 1         : Y              
    +nCSout                       : 8         : output : 3.3-V LVCMOS      :         : 1         : Y              
     VCCIO1                       : 9         : power  :                   : 3.3V    : 1         :                
     GNDIO                        : 10        : gnd    :                   :         :           :                
     GNDINT                       : 11        : gnd    :                   :         :           :                
     C14M                         : 12        : input  : 3.3-V LVCMOS      :         : 1         : Y              
     VCCINT                       : 13        : power  :                   : 2.5V/3.3V :           :                
     BA[1]                        : 14        : output : 3.3-V LVCMOS      :         : 1         : Y              
    -RA[9]                        : 15        : output : 3.3-V LVCMOS      :         : 1         : Y              
    -RA[10]                       : 16        : output : 3.3-V LVCMOS      :         : 1         : Y              
    -RA[8]                        : 17        : output : 3.3-V LVCMOS      :         : 1         : Y              
    -RA[0]                        : 18        : output : 3.3-V LVCMOS      :         : 1         : Y              
    -RA[7]                        : 19        : output : 3.3-V LVCMOS      :         : 1         : Y              
    -RA[1]                        : 20        : output : 3.3-V LVCMOS      :         : 1         : Y              
    -RA[6]                        : 21        : output : 3.3-V LVCMOS      :         : 1         : Y              
    +RAout[9]                     : 15        : output : 3.3-V LVCMOS      :         : 1         : Y              
    +RAout[10]                    : 16        : output : 3.3-V LVCMOS      :         : 1         : Y              
    +RAout[8]                     : 17        : output : 3.3-V LVCMOS      :         : 1         : Y              
    +RAout[0]                     : 18        : output : 3.3-V LVCMOS      :         : 1         : Y              
    +RAout[7]                     : 19        : output : 3.3-V LVCMOS      :         : 1         : Y              
    +RAout[1]                     : 20        : output : 3.3-V LVCMOS      :         : 1         : Y              
    +RAout[6]                     : 21        : output : 3.3-V LVCMOS      :         : 1         : Y              
     TMS                          : 22        : input  :                   :         : 1         :                
     TDI                          : 23        : input  :                   :         : 1         :                
     TCK                          : 24        : input  :                   :         : 1         :                
     TDO                          : 25        : output :                   :         : 1         :                
    -RA[4]                        : 26        : output : 3.3-V LVCMOS      :         : 1         : Y              
    -RA[3]                        : 27        : output : 3.3-V LVCMOS      :         : 1         : Y              
    +RAout[4]                     : 26        : output : 3.3-V LVCMOS      :         : 1         : Y              
    +RAout[3]                     : 27        : output : 3.3-V LVCMOS      :         : 1         : Y              
     nEN80                        : 28        : input  : 3.3-V LVCMOS      :         : 1         : Y              
    -RA[5]                        : 29        : output : 3.3-V LVCMOS      :         : 1         : Y              
    -RA[2]                        : 30        : output : 3.3-V LVCMOS      :         : 1         : Y              
    +RAout[5]                     : 29        : output : 3.3-V LVCMOS      :         : 1         : Y              
    +RAout[2]                     : 30        : output : 3.3-V LVCMOS      :         : 1         : Y              
     VCCIO1                       : 31        : power  :                   : 3.3V    : 1         :                
     GNDIO                        : 32        : gnd    :                   :         :           :                
     nWE80                        : 33        : input  : 3.3-V LVCMOS      :         : 1         : Y              
    diff --git a/CPLD/MAXII/output_files/RAM2E.pof b/CPLD/MAXII/output_files/RAM2E.pof
    index d5b599ee334f3bd7f3560c379a9baeace736c5a3..041a29044d9d4ccbb0ee69337530ba51fe528fb4 100644
    GIT binary patch
    literal 7877
    zcmeHMe{fXQy}uC>a0JrU`m3*jt#78|A1ws(D1wscvod`SA{{DdYl!+FDSamC5MT>=
    zyC?R&1|6lHsl{i?3n^{YX&p$XR`O)oJy8UwzWk`23KF<`TO=DL?!92#z3kqc^L?N1
    zxf|5h_y3!D!eq}q=llJBzTflxanASduD)x9Wm#p`4D(r!&#!NNVDpx(ZIRWRH#Kj>
    zXKT~u2b!BVZjP+oxVddpYfEI|()kPLS4aMF>!yb`M82}5I#RuK!JJ8YaVVc`rw`E`){AvtVLwy2A7dZy&&cQC
    zfRCXY{RY>*v|qyyT%vGaqAuK*1AUk9O1KVo1%42~AMPVC@*&+e_nep+o8uKrv@5BiI@G73N2y`#!yk5(bE>Jfmnf}7w%`abh{J!AR>HSP4A&Uuf5{=oHDueN?ub?r|=`Pwf<
    z^o)PMpep<8)<1O*)2(NgTj#5;eagtId66$c{%uwHZspvk<}!VH=Zj45F!CxmeR@UH
    zhO>jGA0JxLIJd3)^{%d=Xw5IWyLe|XJQx~y=1j)T4n6dSJCIu1{i_>m_SV#_+11q*
    z(!C_<1oB5ZV_I-LU8Tv@S!8Ev|Lmtalh^Qo3X%cyC4n8%75H0_Kd5_8DdyWt0(wsw
    zdJglvpgPVE#pjA^>~vtPqd`JPP7^;gUf9VAM*q7<`svenr|r
    zKH^MDQ;$$ce60Jh&(v2|YM$#D;+=B((uyXE=@1ur%km&f7!G-@9T~&IL6W`=SfHsy>IC>%9{hQnc`@uEjrvteQcAZ5DrhkDB;!*YUgrG>!yk84pc2yH
    ztMoeH^Ddar!6=o^wX#2re0PqTwDA0p4t>m*IDxq~^@EYLLz8BGxS2BpY}Z*wFn=;Rb>4{A59j|wWFhZq-+`ZeUw<@8o#lH7!Y|J}Dlgq!JlSFY^8jCq2>x_O`d&+HnW?OgHJM@(+##7+ec_fmm<8u-5
    zS^Sxy%->ndZhuKHS+V+?JKre0?bTL4JvqB1$OXvn
    zL#P|9$Hue+eBtB%K;9Gb7YB?I$&#FOAaC@>!}<&N;X6~fTz`yDY2Vy`Sg(g2TR%*P
    z{pS92*q?Dwls8_Y3ug*H1t6wtYQR`mqVH*U9S8bdoI3=0_!(zgY(L2~cx@oV++3~!
    z^@AuXofN5hkxTM@$9BbhS9_}7j{07@;S}Th0KT{$Gx<;1QFm&-4xKl`^9dK$0!^Y>;pZZ%1gq9DP~OXzy4)t$(=U=L^cK^o1*reXTA~*L4{A?jF^j*}0)(`K`fkiP{ce%@&E!zac;8jgzM11*QE!H(dpkM*gfLzJ#_Z%rlIKJli{$0KEaIkp9k&CV*KP|cP0=YMe>Zr*r_?Al
    zYfq?{O?3zbEx#)p=09aN=9P07$1O$LL5CRfhjPGyyx_XCMSg@5TPYf35>FRm=mLzr
    z>_36??xoh7be~G8!+(F{TxasIJ-^S`7lZD&S5VEUVjZ7z@@^pJX`Pom5v&c**H@-x
    z5<|y!Yg?@iD5?VIgu7_mj|Brpe)qDQXJpELz05>lf|1CWT^Ai!IuZ<*jQ4ki)Ghz)|8D^
    zMLLYUq8k=uW>ma#=gR~0R&;jD@{7~1uBeGj*j8sK?+pwbi)J$Q0|Q=TQ*90IKYJ*;
    z(C^-J($EzRZFus*RqkNR7mu!5Ra-Oo%SB!3n#66pp6@dB7$TESzzI$r`V!7>TexPK@4oxzA4+}ip1IPku2;+mS2&Kde7~?`Iq+Ozl~z$xJU;T)gS
    z5DNFB+#w;T056OXwbzq(AnrMF;2U~D=H$6`>(Ybb;&8lOKQ>6cH|aXJ_+oGqtR$)z
    z?PJ=N-q!r9a_`}!*lq{!e)IiA6#gjH-+?y|;_fdzMs)7gQ@Lc(KXG8(R`n~78vK2S
    zZncU>Ze9KKA5YI%8=3jt@{5+W%Id%P=!1_Qz-uP%-Ln41SIbsEeA%C_xVnSsWtYvF
    z-k(&TLp#U&Ue-S|Q#rGutpDtf?kIoiz1bJvDyyjb%llOu%8Y*tb!V+Jm0#;Dzvb+Y
    zR#{K|c6Oo6s<@*6{q576&<=23y)uxAZo~ce_;5>OZOw}lJtxoAByj)PCI7se>3lGg
    zdB>gq#%u20uI_#RwP)ywJ-GkE`YQmU7zhIY7~TWW!Qd5(qVx{1Lww{b+bQ60Ps@5d
    zYp)k!=DcD34o2Gnjx(aw2{iiA;x^y62a^e=U%;R7vxJ;rewHUH_|mMSK4nRdhlWz#
    z8-QPN8hM63b&mj_iY57?M4mGa{t6`N9QU1|6{&&OMYzs$qtEA&&jEfvE0CabGPGmF
    z(33cC{GcG1Kg|F4u(uEJ`&jQ9#@A@m87J)1Mc7xHIoGd8?)s)vFg!@bao%H{?ckB`
    zKP5dHA{xg$&}oT!^G*B;$0Az|zkTX%bx;a)Rh+gmBZdZc9hs!~{WmV$Mf3WfygzbY
    zSse?Yg6yiF&C1Mpd*#t1{h-gZW?%fmT+lCcSsliHMR(%p`yPW|dcO$m
    z4RNI5ga73Sc*1_*WMMhpSy(T}rRabk-`^NJ5JZ&96dv*K13w++-v?@Y`1gU|qfaOb
    zzVSc0AA^Inzh3`j{!u^uU-HMb)~xB5ei4fBFG2|5C;vY2z$YH~f9ipm=C@+1{~tX8
    Bg%AJ$
    
    literal 7861
    zcmeHMe{57&b{;Pnk}83sl(y-X#M(Ant-2xnD2q_mc6O7Xs!8fpyDZsO;LR57(qwE2
    zFcV;BE|F4_t(IkX5$uxGc4?JtrOHgDRd9jKT#{hg2yCyKf<^2%7l&dmw&y*-@q5g?
    zx%c!t_r1ZOZl(RJ|1_ZSoqNvt&N=tobI!f*&9hBw6NyAkV!r+R4F3LE$MajacWkSB
    zcI%epX8dh$-THhoxp`~dH#TqGwxzwTZuy#}%a<;z`)bFQ7dO>?Y4x(YWosT;vEq>x
    z%a*UH``Vw>J-uc7=DH_0ZP^al#Ag!sLgkasKC|MFmVJHsvSp92O3X>z4br-{?OV3L
    zxOr2e7Bc*AQR1H8v0wPl&)FqIM7ptiyo*T8>@;L(aJ+w<$b6@3P=jaro)AVsE*0=x
    z6%CI{r@?pe(If)!ji#V`pu+RU%NF;SA4hniz|J8Xjg4eL(K-~q#aHP(2Eg;ENqZ#j
    z#8vsyhYOGhs`zkLu8IdgeW(5zt9OWWNRn6T05pq(Jph|T(k<-n%Ve&i<>py7t)L#G`T
    zDPwwp6QNHMqmJklXhY>0_RsgH%?$J##ePgi{InSMsh!f39_A@_tk)+K>a#N9%P<-}
    z*nwTd!C1bRjp#;PH8gc2hjqKbN%n8h>K~49Z|=HaT#vHac*<>;B1cd#w7%C#4`q+x
    zyY+{PSP$~5%zhQ2motFqC_ZnNMKjNH3Vhhmp{I?+*h|^Bz+WdhT)@v5SvcmXG?l$P
    z=(<3}qXVp;m6N(1_9-vVYYGc(Y=<&7ZiGNerQyHGQ_)Kkc^R-F1|;K?(hXK$&b=@r
    z^5(_%`jAR(x_@3R(K83XnY{pv4(EKq?3;6`{;N|@
    z?Y--77uLQsx@hgH#I+4GU$OY4sej+hf9z8IW2Y7lys8!^E8&uPiNv)fiJK8#)Xeec
    zCoa|hab@Ag#L`EKbb0aGAAo;p6nR0EqY-By)jHNc(mB|&_JM7=>CVFgt5f4n=S8bu
    z)4;jC-*%^x1NWSFr}B?>9{JZt3oBPXzVGm1%g-T_CQJob-}nweln#vMxD?@fw0`6r
    z2~U%PyccNfp_!z>IggRoN3I7tgnh^v0$Fx3U4)=>ei%DOc$*gz^>6j$!9Ncy@)t?Y
    z`R)Zh&|g5V<_1GzK2xD*MAHztkMbOLb396>!;bYsSUP#wcN)$>Cqyhi9(Si9SD+oh
    zrKEvk;Ip>=frOrdreSi2Ek4YULf%yITz?Akm`k5}h?MOE=wZNFx)0qNHVdc$IADyo
    zG1R+-c|a!;Hed0m1ru46QQ~`eal;x}$l*ZJI1v|M5qY;J8Xi+x+UGpdE1#X$nOi
    zJ-bLcS|1frZ!C|k6+=OB!h?4Pc>w?MSD&o*NA5ccRT0_GkwP38$8?Jya%rb_MheIS
    z)6}6J&bC35c0P&tqIHYk{Fa~0LjM%WoE|m>S%QC)>m&QGn@m={@b>ie#(@-3Klb-n
    zJM=yRKcGLk>U7=MhfgH#J@@|nrF%*X7vD^LYo4r7as0Af--gCSVr>50#NT}R)MuH0
    zkZKb19-JZll}nNR6EA3xGOPc*E|O&`8Eu=3H%ot;sA6=`bf(8sQubbtTg
    zfcp;WZ^av3Cx7--&*7g%_Ae@BcBhbUD)8;OXpnEV{^IyZ#2fX(@kD2|@drmwbD!nS
    zak4mf8+y3?pq?LzhlBi#W^JNGLU3RK^%x-9vp|jBynE>?xrC3j>13D4a
    zANL3Li}T!7#gNwLb;{&K>_=2*67uL%fzXJP86GAbY=b=34+`G~Ix;Rs-$SC84`tFJ
    zvN+<0UsOT>>raqwjL&Z@jO{o@EnGjO8Kcg1
    zUD)8K>r*zq4rN0l*AJpEssho;Xx*Wx#uvL(^xH{64fMqEO=6>bCl7hVWBi!u{V191
    z9g;W~H{?P+kP+QDH+tjx6P}N~XE!b&25H581}#vsKH%{m{XuVT^F1@dDUjW5!VuKx
    z{L|F%kxT#8(33}#%hOA5hpXQE&Bl}OaBE+_t2XiT1ugS#c61Kk|H^{F=1O|rUt7GMNjzbGeEPnFbM->=2RGMr
    zmOi`Z`*UBZEZI|I@%m!oG4tvk=)cs|mH=O`*|XsE4=U-YWQ3=4=gzc_jX8aP=dDeB
    zIhC7sa322Fq~kck#wXn}c4%V2?SFAWU)xxIW#^Hf9PV0q;Hm2Qr-w;j3$496ccC}tBkw(uMG@6o8o6#rg&KQc?GyNks#R0FdYC7(6B!FEE$Jv|}E?Kj5cr95^o3b-
    zVqB~rBlMUw>>3oQJ>R4ZH8%+GZkLPClMHTy$ZxK9@Nt%41RnYMP9*Pge}^6BZ^oSx
    zqi|s0SXG}1v=ex?Kck+lT+Y)XqyM~FBfj}=#5tw|kN(5!^C(}`CC~#?LOc5~!mo?v
    zI+fKEZ1f9>F
    zvwGhw(@;Jn(KqMRPv_PCQ{sH-7hXf(``7wM)3$z~ZwUU0C+D2{!=>OSGj}iWpFDMK
    z-RMi42GmogaUS~yFL&>4dAeqmx$ig5eD`d)fXEQuO2JXfrAbE
    zKPW9Z9pN>d8#&Y3GS+wQdxI^l4U4$`+|=qZXJ2Q$Zg=3&1kS&<&ma8Lfv%HFj=c8T
    z+LZ^sw(t0wn2!Y^R~?1(FU4`Par28f=-Dr)B_X57Zvqg^_LtYbR_Uiv&d5~-ZwaR;M1_@dkT0wKd~GI7JnX6(YzW5fj(Ibb)Ibf
    zrP&{rZ!TsV&Q_cfo*2p=^tVIK>WS*_svuc*_}+y29QVj6zhL<#$Y2SyWO~v=ouDq2
    zZ@PDcJFyt1Z-6Iuzto7@Xhzg^`aRm^?KgVxAHQ+izS7mD)cA2NZwunRtrT=#uUP#@
    z$`v|+`m3$HJH9vd+{P=V#6$z0-|kM-9sLjJpP>r(2l4W*cmH|b`sX&LGc~{FgTFX2
    zm(8O7B0YD1XHeewi!VO3#4Nh+%zbmG(?_EFX9;-r^WJa0*KL}<_|O%q`BB4xU%z($
    z=SD4EQ$Nl>)ZfTquVqIjb$t4;GqG~{<<5(xI6hM@*WcBFr~4+xmVR#Wkrm%vd-#o~
    z9zO8KY`~LyHvE4Y2w1fUxAiO`qTHGJU?=xokR0>Iz%Y0Aqfb&9~
    znzs6{L*|wqC_{Y+lO@_2)44vu*TqnId851%@E-*V?>oX-9AvPMva06<=CNN)rwN`*
    z=)uR*g|zglJdY0Bl>z7?y-Qg+c>{Eo=Y1}TSwG9bGoAORM8~Zk(S2YX`6UH+cku20
    zX+a*JqkKN2{!CQ23iYQfp7nq)VttnHt7E`N=U+)4Q?Y-L=l;Yv4|Wy5PQm*V_G9>#
    zKhUGx9O|5AWWm~He!OlTCE+QT_IBb3sC4_p;h`W%dhU2BGU{x72z)8yuCrPYG2v76vEx5^PDF{
    zITDn|>ne?qS$&0|@%3J*b97xr^~dM!9s8qNw&4B4WTbzzyngXNd?>VT!kY`CW<9aJ
    zYw04?-=5LzkD$MU)-P^Zp8)?|-mm6Tx#I!6PyLrAdoGJS_{DPT=f?)AqMK|V>eiKQ
    zT?-P4o9Wj^vjy;V2!7KZ@ZaP0GXDYQ@7y!0qIirrZLK@T?faLOhHagA|MLB{smso}
    zeKtP){^hN2x1j#+IX~g%A9arW@N8D*`r~^E+XK~gUFvs>GMXdq
    z!rt$QpHFPQdqy9}p%(MqXn#5X7|;9Ajs8d>&$+(9=ldb(5g&B30pDZ3i<>QQz^9o{
    zc>j{ey-daOkz7ecc-Tju7hx{OLmqP(+vU8h_VEbE^RnghJmNzh^-=W)iH`4DM)d%C
    z5aq=z-tw({6(9LIORvsv@wp6d^Zypz`WMCFm+7zSL8yU`^@qQLywyAF5BI@a_^O`U
    z{JCZ4SMkU0BjPSUg3hQ9eNd{Oj`X9SiL%0}6u;lsc-XJ{VMqKv@cJPCKG4u@@cY0A
    z_1ajeGk|6=^@#65Fw{UTJyzX)M-KK=J;1U`+x
    P|I-NEWq&JvW%R!RD_8Z*
    
    diff --git a/CPLD/MAXII/output_files/RAM2E.sta.rpt b/CPLD/MAXII/output_files/RAM2E.sta.rpt
    index 1a2c492..c4c394c 100644
    --- a/CPLD/MAXII/output_files/RAM2E.sta.rpt
    +++ b/CPLD/MAXII/output_files/RAM2E.sta.rpt
    @@ -1,6 +1,6 @@
     Timing Analyzer report for RAM2E
    -Thu Sep 21 05:34:45 2023
    -Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
    +Thu Dec 28 23:09:50 2023
    +Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition
     
     
     ---------------------
    @@ -17,11 +17,11 @@ Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
       9. Recovery Summary
      10. Removal Summary
      11. Minimum Pulse Width Summary
    - 12. Setup: 'DRCLK'
    - 13. Setup: 'ARCLK'
    + 12. Setup: 'ram2e_ufm|DRCLK|regout'
    + 13. Setup: 'ram2e_ufm|ARCLK|regout'
      14. Setup: 'C14M'
    - 15. Hold: 'ARCLK'
    - 16. Hold: 'DRCLK'
    + 15. Hold: 'ram2e_ufm|DRCLK|regout'
    + 16. Hold: 'ram2e_ufm|ARCLK|regout'
      17. Hold: 'C14M'
      18. Setup Transfers
      19. Hold Transfers
    @@ -57,18 +57,18 @@ https://fpgasoftware.intel.com/eula.
     
     
     
    -+-----------------------------------------------------------------------------+
    -; Timing Analyzer Summary                                                     ;
    -+-----------------------+-----------------------------------------------------+
    -; Quartus Prime Version ; Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition ;
    -; Timing Analyzer       ; Legacy Timing Analyzer                              ;
    -; Revision Name         ; RAM2E                                               ;
    -; Device Family         ; MAX II                                              ;
    -; Device Name           ; EPM240T100C5                                        ;
    -; Timing Models         ; Final                                               ;
    -; Delay Model           ; Slow Model                                          ;
    -; Rise/Fall Delays      ; Unavailable                                         ;
    -+-----------------------+-----------------------------------------------------+
    ++---------------------------------------------------------------------------------------------+
    +; Timing Analyzer Summary                                                                     ;
    ++-----------------------+---------------------------------------------------------------------+
    +; Quartus Prime Version ; Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition ;
    +; Timing Analyzer       ; Legacy Timing Analyzer                                              ;
    +; Revision Name         ; RAM2E                                                               ;
    +; Device Family         ; MAX II                                                              ;
    +; Device Name           ; EPM240T100C5                                                        ;
    +; Timing Models         ; Final                                                               ;
    +; Delay Model           ; Slow Model                                                          ;
    +; Rise/Fall Delays      ; Unavailable                                                         ;
    ++-----------------------+---------------------------------------------------------------------+
     
     
     +------------------------------------------+
    @@ -80,11 +80,10 @@ https://fpgasoftware.intel.com/eula.
     ; Maximum allowed            ; 4           ;
     ;                            ;             ;
     ; Average used               ; 1.00        ;
    -; Maximum used               ; 2           ;
    +; Maximum used               ; 1           ;
     ;                            ;             ;
     ; Usage by Processor         ; % Time Used ;
     ;     Processor 1            ; 100.0%      ;
    -;     Processor 2            ;   0.2%      ;
     +----------------------------+-------------+
     
     
    @@ -93,54 +92,54 @@ https://fpgasoftware.intel.com/eula.
     +------------------+--------+--------------------------+
     ; SDC File Path    ; Status ; Read at                  ;
     +------------------+--------+--------------------------+
    -; ../RAM2E.sdc     ; OK     ; Thu Sep 21 05:34:44 2023 ;
    -; ../RAM2E-MAX.sdc ; OK     ; Thu Sep 21 05:34:44 2023 ;
    +; ../RAM2E.sdc     ; OK     ; Thu Dec 28 23:09:50 2023 ;
    +; ../RAM2E-MAX.sdc ; OK     ; Thu Dec 28 23:09:50 2023 ;
     +------------------+--------+--------------------------+
     
     
    -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
    -; Clocks                                                                                                                                                                              ;
    -+------------+------+---------+-----------+-------+---------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------+
    -; Clock Name ; Type ; Period  ; Frequency ; Rise  ; Fall    ; Duty Cycle ; Divide by ; Multiply by ; Phase ; Offset ; Edge List ; Edge Shift ; Inverted ; Master ; Source ; Targets   ;
    -+------------+------+---------+-----------+-------+---------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------+
    -; ARCLK      ; Base ; 200.000 ; 5.0 MHz   ; 0.000 ; 100.000 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { ARCLK } ;
    -; C14M       ; Base ; 69.841  ; 14.32 MHz ; 0.000 ; 34.920  ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { C14M }  ;
    -; DRCLK      ; Base ; 200.000 ; 5.0 MHz   ; 0.000 ; 100.000 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { DRCLK } ;
    -+------------+------+---------+-----------+-------+---------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------+
    ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
    +; Clocks                                                                                                                                                                                                           ;
    ++------------------------+------+---------+-----------+-------+---------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+----------------------------+
    +; Clock Name             ; Type ; Period  ; Frequency ; Rise  ; Fall    ; Duty Cycle ; Divide by ; Multiply by ; Phase ; Offset ; Edge List ; Edge Shift ; Inverted ; Master ; Source ; Targets                    ;
    ++------------------------+------+---------+-----------+-------+---------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+----------------------------+
    +; C14M                   ; Base ; 69.841  ; 14.32 MHz ; 0.000 ; 34.920  ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { C14M }                   ;
    +; ram2e_ufm|ARCLK|regout ; Base ; 200.000 ; 5.0 MHz   ; 0.000 ; 100.000 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { ram2e_ufm|ARCLK|regout } ;
    +; ram2e_ufm|DRCLK|regout ; Base ; 200.000 ; 5.0 MHz   ; 0.000 ; 100.000 ;            ;           ;             ;       ;        ;           ;            ;          ;        ;        ; { ram2e_ufm|DRCLK|regout } ;
    ++------------------------+------+---------+-----------+-------+---------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+----------------------------+
     
     
    -+-------------------------------------------------+
    -; Fmax Summary                                    ;
    -+-----------+-----------------+------------+------+
    -; Fmax      ; Restricted Fmax ; Clock Name ; Note ;
    -+-----------+-----------------+------------+------+
    -; 10.0 MHz  ; 10.0 MHz        ; ARCLK      ;      ;
    -; 10.0 MHz  ; 10.0 MHz        ; DRCLK      ;      ;
    -; 61.13 MHz ; 61.13 MHz       ; C14M       ;      ;
    -+-----------+-----------------+------------+------+
    ++-------------------------------------------------------------+
    +; Fmax Summary                                                ;
    ++-----------+-----------------+------------------------+------+
    +; Fmax      ; Restricted Fmax ; Clock Name             ; Note ;
    ++-----------+-----------------+------------------------+------+
    +; 10.0 MHz  ; 10.0 MHz        ; ram2e_ufm|ARCLK|regout ;      ;
    +; 10.0 MHz  ; 10.0 MHz        ; ram2e_ufm|DRCLK|regout ;      ;
    +; 70.81 MHz ; 70.81 MHz       ; C14M                   ;      ;
    ++-----------+-----------------+------------------------+------+
     This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods.  FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock.  Paths of different clocks, including generated clocks, are ignored.  For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis.
     
     
    -+---------------------------------+
    -; Setup Summary                   ;
    -+-------+---------+---------------+
    -; Clock ; Slack   ; End Point TNS ;
    -+-------+---------+---------------+
    -; DRCLK ; -23.265 ; -23.265       ;
    -; ARCLK ; -23.125 ; -23.125       ;
    -; C14M  ; -8.026  ; -92.836       ;
    -+-------+---------+---------------+
    ++--------------------------------------------------+
    +; Setup Summary                                    ;
    ++------------------------+---------+---------------+
    +; Clock                  ; Slack   ; End Point TNS ;
    ++------------------------+---------+---------------+
    +; ram2e_ufm|DRCLK|regout ; -23.738 ; -23.738       ;
    +; ram2e_ufm|ARCLK|regout ; -23.720 ; -23.720       ;
    +; C14M                   ; -9.644  ; -106.641      ;
    ++------------------------+---------+---------------+
     
     
    -+---------------------------------+
    -; Hold Summary                    ;
    -+-------+---------+---------------+
    -; Clock ; Slack   ; End Point TNS ;
    -+-------+---------+---------------+
    -; ARCLK ; -16.874 ; -16.874       ;
    -; DRCLK ; -16.746 ; -16.746       ;
    -; C14M  ; 1.415   ; 0.000         ;
    -+-------+---------+---------------+
    ++--------------------------------------------------+
    +; Hold Summary                                     ;
    ++------------------------+---------+---------------+
    +; Clock                  ; Slack   ; End Point TNS ;
    ++------------------------+---------+---------------+
    +; ram2e_ufm|DRCLK|regout ; -16.287 ; -16.287       ;
    +; ram2e_ufm|ARCLK|regout ; -16.279 ; -16.279       ;
    +; C14M                   ; 1.421   ; 0.000         ;
    ++------------------------+---------+---------------+
     
     
     --------------------
    @@ -155,302 +154,302 @@ No paths to report.
     No paths to report.
     
     
    -+--------------------------------+
    -; Minimum Pulse Width Summary    ;
    -+-------+--------+---------------+
    -; Clock ; Slack  ; End Point TNS ;
    -+-------+--------+---------------+
    -; C14M  ; 34.654 ; 0.000         ;
    -; ARCLK ; 70.000 ; 0.000         ;
    -; DRCLK ; 70.000 ; 0.000         ;
    -+-------+--------+---------------+
    ++-------------------------------------------------+
    +; Minimum Pulse Width Summary                     ;
    ++------------------------+--------+---------------+
    +; Clock                  ; Slack  ; End Point TNS ;
    ++------------------------+--------+---------------+
    +; C14M                   ; 34.654 ; 0.000         ;
    +; ram2e_ufm|ARCLK|regout ; 70.000 ; 0.000         ;
    +; ram2e_ufm|DRCLK|regout ; 70.000 ; 0.000         ;
    ++------------------------+--------+---------------+
     
     
    -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
    -; Setup: 'DRCLK'                                                                                                                                                                                                                                                            ;
    -+---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
    -; Slack   ; From Node                                                                                   ; To Node                                                                                     ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
    -+---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
    -; -23.265 ; DRShift                                                                                     ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M         ; DRCLK       ; 0.001        ; -1.725     ; 1.541      ;
    -; -23.253 ; DRDIn                                                                                       ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M         ; DRCLK       ; 0.001        ; -1.725     ; 1.529      ;
    -; 100.000 ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; DRCLK        ; DRCLK       ; 200.000      ; 0.000      ; 80.000     ;
    -+---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
    ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
    +; Setup: 'ram2e_ufm|DRCLK|regout'                                                                                                                                                                                                                                                                                                        ;
    ++---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+
    +; Slack   ; From Node                                                                                                       ; To Node                                                                                                         ; Launch Clock           ; Latch Clock            ; Relationship ; Clock Skew ; Data Delay ;
    ++---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+
    +; -23.738 ; RAM2E_UFM:ram2e_ufm|DRDIn                                                                                       ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M                   ; ram2e_ufm|DRCLK|regout ; 0.001        ; -1.671     ; 2.068      ;
    +; -23.712 ; RAM2E_UFM:ram2e_ufm|DRShift                                                                                     ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M                   ; ram2e_ufm|DRCLK|regout ; 0.001        ; -1.671     ; 2.042      ;
    +; 100.000 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; ram2e_ufm|DRCLK|regout ; ram2e_ufm|DRCLK|regout ; 200.000      ; 0.000      ; 80.000     ;
    ++---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+
     
     
    -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
    -; Setup: 'ARCLK'                                                                                                                                                                                                                                                                                    ;
    -+---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
    -; Slack   ; From Node                                                                                               ; To Node                                                                                                 ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
    -+---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
    -; -23.125 ; ARShift                                                                                                 ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M         ; ARCLK       ; 0.001        ; -1.597     ; 1.529      ;
    -; 100.000 ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ARCLK        ; ARCLK       ; 200.000      ; 0.000      ; 80.000     ;
    -+---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
    ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
    +; Setup: 'ram2e_ufm|ARCLK|regout'                                                                                                                                                                                                                                                                                                                                ;
    ++---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+
    +; Slack   ; From Node                                                                                                                   ; To Node                                                                                                                     ; Launch Clock           ; Latch Clock            ; Relationship ; Clock Skew ; Data Delay ;
    ++---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+
    +; -23.720 ; RAM2E_UFM:ram2e_ufm|ARShift                                                                                                 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M                   ; ram2e_ufm|ARCLK|regout ; 0.001        ; -1.663     ; 2.058      ;
    +; 100.000 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; 200.000      ; 0.000      ; 80.000     ;
    ++---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+
     
     
    -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
    -; Setup: 'C14M'                                                                                                                                                                             ;
    -+--------+---------------------------------------------------------------------------------------------+--------------+--------------+-------------+--------------+------------+------------+
    -; Slack  ; From Node                                                                                   ; To Node      ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
    -+--------+---------------------------------------------------------------------------------------------+--------------+--------------+-------------+--------------+------------+------------+
    -; -8.026 ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RWMask[4]    ; DRCLK        ; C14M        ; 0.001        ; 1.725      ; 9.419      ;
    -; -8.026 ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RWMask[5]    ; DRCLK        ; C14M        ; 0.001        ; 1.725      ; 9.419      ;
    -; -8.026 ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RWMask[7]    ; DRCLK        ; C14M        ; 0.001        ; 1.725      ; 9.419      ;
    -; -8.026 ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RWMask[0]    ; DRCLK        ; C14M        ; 0.001        ; 1.725      ; 9.419      ;
    -; -8.026 ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RWMask[1]    ; DRCLK        ; C14M        ; 0.001        ; 1.725      ; 9.419      ;
    -; -8.026 ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RWMask[2]    ; DRCLK        ; C14M        ; 0.001        ; 1.725      ; 9.419      ;
    -; -8.026 ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RWMask[3]    ; DRCLK        ; C14M        ; 0.001        ; 1.725      ; 9.419      ;
    -; -8.026 ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RWMask[6]    ; DRCLK        ; C14M        ; 0.001        ; 1.725      ; 9.419      ;
    -; -7.612 ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; LEDEN        ; DRCLK        ; C14M        ; 0.001        ; 1.725      ; 9.005      ;
    -; -7.370 ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; UFMInitDone  ; DRCLK        ; C14M        ; 0.001        ; 1.725      ; 8.763      ;
    -; -7.319 ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; UFMReqErase  ; DRCLK        ; C14M        ; 0.001        ; 1.725      ; 8.712      ;
    -; -6.327 ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; UFMD[8]      ; DRCLK        ; C14M        ; 0.001        ; 1.725      ; 7.720      ;
    -; 27.280 ; S[1]                                                                                        ; Vout[0]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 7.307      ;
    -; 27.280 ; S[1]                                                                                        ; Vout[4]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 7.307      ;
    -; 27.332 ; S[1]                                                                                        ; Vout[1]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 7.255      ;
    -; 27.332 ; S[1]                                                                                        ; Vout[2]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 7.255      ;
    -; 27.332 ; S[1]                                                                                        ; Vout[5]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 7.255      ;
    -; 27.583 ; S[2]                                                                                        ; Dout[0]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 7.004      ;
    -; 27.583 ; S[2]                                                                                        ; Dout[1]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 7.004      ;
    -; 27.583 ; S[2]                                                                                        ; Dout[2]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 7.004      ;
    -; 27.583 ; S[2]                                                                                        ; Dout[3]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 7.004      ;
    -; 27.583 ; S[2]                                                                                        ; Dout[4]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 7.004      ;
    -; 27.583 ; S[2]                                                                                        ; Dout[5]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 7.004      ;
    -; 27.585 ; S[2]                                                                                        ; Dout[6]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 7.002      ;
    -; 27.590 ; S[2]                                                                                        ; Dout[7]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.997      ;
    -; 27.761 ; S[1]                                                                                        ; Dout[0]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.826      ;
    -; 27.761 ; S[1]                                                                                        ; Dout[1]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.826      ;
    -; 27.761 ; S[1]                                                                                        ; Dout[2]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.826      ;
    -; 27.761 ; S[1]                                                                                        ; Dout[3]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.826      ;
    -; 27.761 ; S[1]                                                                                        ; Dout[4]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.826      ;
    -; 27.761 ; S[1]                                                                                        ; Dout[5]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.826      ;
    -; 27.763 ; S[1]                                                                                        ; Dout[6]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.824      ;
    -; 27.768 ; S[1]                                                                                        ; Dout[7]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.819      ;
    -; 27.779 ; S[0]                                                                                        ; Dout[0]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.808      ;
    -; 27.779 ; S[0]                                                                                        ; Dout[1]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.808      ;
    -; 27.779 ; S[0]                                                                                        ; Dout[2]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.808      ;
    -; 27.779 ; S[0]                                                                                        ; Dout[3]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.808      ;
    -; 27.779 ; S[0]                                                                                        ; Dout[4]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.808      ;
    -; 27.779 ; S[0]                                                                                        ; Dout[5]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.808      ;
    -; 27.781 ; S[0]                                                                                        ; Dout[6]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.806      ;
    -; 27.786 ; S[0]                                                                                        ; Dout[7]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.801      ;
    -; 27.878 ; S[2]                                                                                        ; Vout[0]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.709      ;
    -; 27.878 ; S[2]                                                                                        ; Vout[4]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.709      ;
    -; 27.930 ; S[2]                                                                                        ; Vout[1]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.657      ;
    -; 27.930 ; S[2]                                                                                        ; Vout[2]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.657      ;
    -; 27.930 ; S[2]                                                                                        ; Vout[5]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.657      ;
    -; 28.203 ; S[3]                                                                                        ; Dout[0]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.384      ;
    -; 28.203 ; S[3]                                                                                        ; Dout[1]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.384      ;
    -; 28.203 ; S[3]                                                                                        ; Dout[2]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.384      ;
    -; 28.203 ; S[3]                                                                                        ; Dout[3]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.384      ;
    -; 28.203 ; S[3]                                                                                        ; Dout[4]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.384      ;
    -; 28.203 ; S[3]                                                                                        ; Dout[5]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.384      ;
    -; 28.205 ; S[3]                                                                                        ; Dout[6]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.382      ;
    -; 28.210 ; S[3]                                                                                        ; Dout[7]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.377      ;
    -; 28.368 ; S[1]                                                                                        ; Vout[3]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.219      ;
    -; 28.368 ; S[1]                                                                                        ; Vout[6]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.219      ;
    -; 28.368 ; S[1]                                                                                        ; Vout[7]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.219      ;
    -; 28.431 ; S[3]                                                                                        ; Vout[0]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.156      ;
    -; 28.431 ; S[3]                                                                                        ; Vout[4]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.156      ;
    -; 28.483 ; S[3]                                                                                        ; Vout[1]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.104      ;
    -; 28.483 ; S[3]                                                                                        ; Vout[2]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.104      ;
    -; 28.483 ; S[3]                                                                                        ; Vout[5]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.104      ;
    -; 28.546 ; S[0]                                                                                        ; Vout[0]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.041      ;
    -; 28.546 ; S[0]                                                                                        ; Vout[4]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 6.041      ;
    -; 28.598 ; S[0]                                                                                        ; Vout[1]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 5.989      ;
    -; 28.598 ; S[0]                                                                                        ; Vout[2]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 5.989      ;
    -; 28.598 ; S[0]                                                                                        ; Vout[5]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 5.989      ;
    -; 28.966 ; S[2]                                                                                        ; Vout[3]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 5.621      ;
    -; 28.966 ; S[2]                                                                                        ; Vout[6]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 5.621      ;
    -; 28.966 ; S[2]                                                                                        ; Vout[7]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 5.621      ;
    -; 29.519 ; S[3]                                                                                        ; Vout[3]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 5.068      ;
    -; 29.519 ; S[3]                                                                                        ; Vout[6]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 5.068      ;
    -; 29.519 ; S[3]                                                                                        ; Vout[7]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 5.068      ;
    -; 29.634 ; S[0]                                                                                        ; Vout[3]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 4.953      ;
    -; 29.634 ; S[0]                                                                                        ; Vout[6]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 4.953      ;
    -; 29.634 ; S[0]                                                                                        ; Vout[7]~reg0 ; C14M         ; C14M        ; 34.920       ; 0.000      ; 4.953      ;
    -; 53.482 ; FS[15]                                                                                      ; nRAS~reg0    ; C14M         ; C14M        ; 69.841       ; 0.000      ; 16.026     ;
    -; 53.855 ; FS[14]                                                                                      ; nRAS~reg0    ; C14M         ; C14M        ; 69.841       ; 0.000      ; 15.653     ;
    -; 54.606 ; FS[15]                                                                                      ; nCS~reg0     ; C14M         ; C14M        ; 69.841       ; 0.000      ; 14.902     ;
    -; 54.773 ; FS[15]                                                                                      ; RA[10]~reg0  ; C14M         ; C14M        ; 69.841       ; 0.000      ; 14.735     ;
    -; 54.979 ; FS[14]                                                                                      ; nCS~reg0     ; C14M         ; C14M        ; 69.841       ; 0.000      ; 14.529     ;
    -; 55.110 ; FS[15]                                                                                      ; nCAS~reg0    ; C14M         ; C14M        ; 69.841       ; 0.000      ; 14.398     ;
    -; 55.146 ; FS[14]                                                                                      ; RA[10]~reg0  ; C14M         ; C14M        ; 69.841       ; 0.000      ; 14.362     ;
    -; 55.483 ; FS[14]                                                                                      ; nCAS~reg0    ; C14M         ; C14M        ; 69.841       ; 0.000      ; 14.025     ;
    -; 55.674 ; FS[11]                                                                                      ; nRAS~reg0    ; C14M         ; C14M        ; 69.841       ; 0.000      ; 13.834     ;
    -; 55.804 ; FS[10]                                                                                      ; nRAS~reg0    ; C14M         ; C14M        ; 69.841       ; 0.000      ; 13.704     ;
    -; 56.000 ; FS[8]                                                                                       ; nRAS~reg0    ; C14M         ; C14M        ; 69.841       ; 0.000      ; 13.508     ;
    -; 56.341 ; FS[9]                                                                                       ; nRAS~reg0    ; C14M         ; C14M        ; 69.841       ; 0.000      ; 13.167     ;
    -; 56.591 ; FS[12]                                                                                      ; nRAS~reg0    ; C14M         ; C14M        ; 69.841       ; 0.000      ; 12.917     ;
    -; 56.798 ; FS[11]                                                                                      ; nCS~reg0     ; C14M         ; C14M        ; 69.841       ; 0.000      ; 12.710     ;
    -; 56.928 ; FS[10]                                                                                      ; nCS~reg0     ; C14M         ; C14M        ; 69.841       ; 0.000      ; 12.580     ;
    -; 56.931 ; FS[13]                                                                                      ; nRAS~reg0    ; C14M         ; C14M        ; 69.841       ; 0.000      ; 12.577     ;
    -; 56.965 ; FS[11]                                                                                      ; RA[10]~reg0  ; C14M         ; C14M        ; 69.841       ; 0.000      ; 12.543     ;
    -; 56.994 ; S[2]                                                                                        ; RWMask[4]    ; C14M         ; C14M        ; 69.841       ; 0.000      ; 12.514     ;
    -; 56.994 ; S[2]                                                                                        ; RWMask[5]    ; C14M         ; C14M        ; 69.841       ; 0.000      ; 12.514     ;
    -; 56.994 ; S[2]                                                                                        ; RWMask[7]    ; C14M         ; C14M        ; 69.841       ; 0.000      ; 12.514     ;
    -; 56.994 ; S[2]                                                                                        ; RWMask[0]    ; C14M         ; C14M        ; 69.841       ; 0.000      ; 12.514     ;
    -; 56.994 ; S[2]                                                                                        ; RWMask[1]    ; C14M         ; C14M        ; 69.841       ; 0.000      ; 12.514     ;
    -; 56.994 ; S[2]                                                                                        ; RWMask[2]    ; C14M         ; C14M        ; 69.841       ; 0.000      ; 12.514     ;
    -; 56.994 ; S[2]                                                                                        ; RWMask[3]    ; C14M         ; C14M        ; 69.841       ; 0.000      ; 12.514     ;
    -+--------+---------------------------------------------------------------------------------------------+--------------+--------------+-------------+--------------+------------+------------+
    ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
    +; Setup: 'C14M'                                                                                                                                                                                                                              ;
    ++--------+-----------------------------------------------------------------------------------------------------------------+---------------------------------+------------------------+-------------+--------------+------------+------------+
    +; Slack  ; From Node                                                                                                       ; To Node                         ; Launch Clock           ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
    ++--------+-----------------------------------------------------------------------------------------------------------------+---------------------------------+------------------------+-------------+--------------+------------+------------+
    +; -9.644 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[6]   ; ram2e_ufm|DRCLK|regout ; C14M        ; 0.001        ; 1.671      ; 10.983     ;
    +; -9.644 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[4]   ; ram2e_ufm|DRCLK|regout ; C14M        ; 0.001        ; 1.671      ; 10.983     ;
    +; -9.157 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[5]   ; ram2e_ufm|DRCLK|regout ; C14M        ; 0.001        ; 1.671      ; 10.496     ;
    +; -9.157 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[0]   ; ram2e_ufm|DRCLK|regout ; C14M        ; 0.001        ; 1.671      ; 10.496     ;
    +; -9.157 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[1]   ; ram2e_ufm|DRCLK|regout ; C14M        ; 0.001        ; 1.671      ; 10.496     ;
    +; -9.157 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[7]   ; ram2e_ufm|DRCLK|regout ; C14M        ; 0.001        ; 1.671      ; 10.496     ;
    +; -9.157 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[2]   ; ram2e_ufm|DRCLK|regout ; C14M        ; 0.001        ; 1.671      ; 10.496     ;
    +; -9.157 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|RWMask[3]   ; ram2e_ufm|DRCLK|regout ; C14M        ; 0.001        ; 1.671      ; 10.496     ;
    +; -8.710 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; ram2e_ufm|DRCLK|regout ; C14M        ; 0.001        ; 1.671      ; 10.049     ;
    +; -8.708 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; ram2e_ufm|DRCLK|regout ; C14M        ; 0.001        ; 1.671      ; 10.047     ;
    +; -8.612 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|LEDEN       ; ram2e_ufm|DRCLK|regout ; C14M        ; 0.001        ; 1.671      ; 9.951      ;
    +; -6.381 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFMD[8]     ; ram2e_ufm|DRCLK|regout ; C14M        ; 0.001        ; 1.671      ; 7.720      ;
    +; 31.279 ; RA[8]                                                                                                           ; RAout[8]~reg0                   ; C14M                   ; C14M        ; 34.920       ; 0.000      ; 3.308      ;
    +; 31.326 ; RA[11]                                                                                                          ; RAout[11]~reg0                  ; C14M                   ; C14M        ; 34.920       ; 0.000      ; 3.261      ;
    +; 31.442 ; RA[9]                                                                                                           ; RAout[9]~reg0                   ; C14M                   ; C14M        ; 34.920       ; 0.000      ; 3.145      ;
    +; 31.464 ; RA[0]                                                                                                           ; RAout[0]~reg0                   ; C14M                   ; C14M        ; 34.920       ; 0.000      ; 3.123      ;
    +; 31.631 ; RA[10]                                                                                                          ; RAout[10]~reg0                  ; C14M                   ; C14M        ; 34.920       ; 0.000      ; 2.956      ;
    +; 31.767 ; CKE                                                                                                             ; CKEout~reg0                     ; C14M                   ; C14M        ; 34.920       ; 0.000      ; 2.820      ;
    +; 31.783 ; RA[5]                                                                                                           ; RAout[5]~reg0                   ; C14M                   ; C14M        ; 34.920       ; 0.000      ; 2.804      ;
    +; 31.887 ; RA[3]                                                                                                           ; RAout[3]~reg0                   ; C14M                   ; C14M        ; 34.920       ; 0.000      ; 2.700      ;
    +; 32.525 ; nCAS                                                                                                            ; nCASout~reg0                    ; C14M                   ; C14M        ; 34.920       ; 0.000      ; 2.062      ;
    +; 32.582 ; nRWE                                                                                                            ; nRWEout~reg0                    ; C14M                   ; C14M        ; 34.920       ; 0.000      ; 2.005      ;
    +; 32.583 ; RA[1]                                                                                                           ; RAout[1]~reg0                   ; C14M                   ; C14M        ; 34.920       ; 0.000      ; 2.004      ;
    +; 32.593 ; nRAS                                                                                                            ; nRASout~reg0                    ; C14M                   ; C14M        ; 34.920       ; 0.000      ; 1.994      ;
    +; 32.721 ; RA[4]                                                                                                           ; RAout[4]~reg0                   ; C14M                   ; C14M        ; 34.920       ; 0.000      ; 1.866      ;
    +; 32.969 ; RA[2]                                                                                                           ; RAout[2]~reg0                   ; C14M                   ; C14M        ; 34.920       ; 0.000      ; 1.618      ;
    +; 32.978 ; RA[7]                                                                                                           ; RAout[7]~reg0                   ; C14M                   ; C14M        ; 34.920       ; 0.000      ; 1.609      ;
    +; 32.989 ; RA[6]                                                                                                           ; RAout[6]~reg0                   ; C14M                   ; C14M        ; 34.920       ; 0.000      ; 1.598      ;
    +; 55.719 ; FS[4]                                                                                                           ; RAM2E_UFM:ram2e_ufm|RWMask[6]   ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 13.789     ;
    +; 55.719 ; FS[4]                                                                                                           ; RAM2E_UFM:ram2e_ufm|RWMask[4]   ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 13.789     ;
    +; 56.206 ; FS[4]                                                                                                           ; RAM2E_UFM:ram2e_ufm|RWMask[5]   ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 13.302     ;
    +; 56.206 ; FS[4]                                                                                                           ; RAM2E_UFM:ram2e_ufm|RWMask[0]   ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 13.302     ;
    +; 56.206 ; FS[4]                                                                                                           ; RAM2E_UFM:ram2e_ufm|RWMask[1]   ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 13.302     ;
    +; 56.206 ; FS[4]                                                                                                           ; RAM2E_UFM:ram2e_ufm|RWMask[7]   ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 13.302     ;
    +; 56.206 ; FS[4]                                                                                                           ; RAM2E_UFM:ram2e_ufm|RWMask[2]   ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 13.302     ;
    +; 56.206 ; FS[4]                                                                                                           ; RAM2E_UFM:ram2e_ufm|RWMask[3]   ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 13.302     ;
    +; 56.603 ; FS[4]                                                                                                           ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 12.905     ;
    +; 56.844 ; FS[4]                                                                                                           ; RAM2E_UFM:ram2e_ufm|UFMD[11]    ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 12.664     ;
    +; 56.844 ; FS[4]                                                                                                           ; RAM2E_UFM:ram2e_ufm|UFMD[10]    ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 12.664     ;
    +; 56.844 ; FS[4]                                                                                                           ; RAM2E_UFM:ram2e_ufm|UFMD[9]     ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 12.664     ;
    +; 56.844 ; FS[4]                                                                                                           ; RAM2E_UFM:ram2e_ufm|UFMD[8]     ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 12.664     ;
    +; 56.844 ; FS[4]                                                                                                           ; RAM2E_UFM:ram2e_ufm|UFMD[13]    ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 12.664     ;
    +; 56.844 ; FS[4]                                                                                                           ; RAM2E_UFM:ram2e_ufm|UFMD[14]    ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 12.664     ;
    +; 56.844 ; FS[4]                                                                                                           ; RAM2E_UFM:ram2e_ufm|UFMD[15]    ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 12.664     ;
    +; 56.844 ; FS[4]                                                                                                           ; RAM2E_UFM:ram2e_ufm|UFMD[12]    ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 12.664     ;
    +; 56.915 ; FS[4]                                                                                                           ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 12.593     ;
    +; 57.079 ; FS[1]                                                                                                           ; RAM2E_UFM:ram2e_ufm|RWMask[6]   ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 12.429     ;
    +; 57.079 ; FS[1]                                                                                                           ; RAM2E_UFM:ram2e_ufm|RWMask[4]   ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 12.429     ;
    +; 57.323 ; FS[4]                                                                                                           ; RAM2E_UFM:ram2e_ufm|LEDEN       ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 12.185     ;
    +; 57.476 ; S[0]                                                                                                            ; RAM2E_UFM:ram2e_ufm|RWMask[6]   ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 12.032     ;
    +; 57.476 ; S[0]                                                                                                            ; RAM2E_UFM:ram2e_ufm|RWMask[4]   ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 12.032     ;
    +; 57.566 ; FS[1]                                                                                                           ; RAM2E_UFM:ram2e_ufm|RWMask[5]   ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.942     ;
    +; 57.566 ; FS[1]                                                                                                           ; RAM2E_UFM:ram2e_ufm|RWMask[0]   ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.942     ;
    +; 57.566 ; FS[1]                                                                                                           ; RAM2E_UFM:ram2e_ufm|RWMask[1]   ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.942     ;
    +; 57.566 ; FS[1]                                                                                                           ; RAM2E_UFM:ram2e_ufm|RWMask[7]   ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.942     ;
    +; 57.566 ; FS[1]                                                                                                           ; RAM2E_UFM:ram2e_ufm|RWMask[2]   ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.942     ;
    +; 57.566 ; FS[1]                                                                                                           ; RAM2E_UFM:ram2e_ufm|RWMask[3]   ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.942     ;
    +; 57.651 ; S[0]                                                                                                            ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.857     ;
    +; 57.772 ; FS[2]                                                                                                           ; RAM2E_UFM:ram2e_ufm|RWMask[6]   ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.736     ;
    +; 57.772 ; FS[2]                                                                                                           ; RAM2E_UFM:ram2e_ufm|RWMask[4]   ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.736     ;
    +; 57.960 ; FS[4]                                                                                                           ; nCAS                            ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.548     ;
    +; 57.963 ; S[0]                                                                                                            ; RAM2E_UFM:ram2e_ufm|RWMask[5]   ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.545     ;
    +; 57.963 ; S[0]                                                                                                            ; RAM2E_UFM:ram2e_ufm|RWMask[0]   ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.545     ;
    +; 57.963 ; S[0]                                                                                                            ; RAM2E_UFM:ram2e_ufm|RWMask[1]   ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.545     ;
    +; 57.963 ; S[0]                                                                                                            ; RAM2E_UFM:ram2e_ufm|RWMask[7]   ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.545     ;
    +; 57.963 ; S[0]                                                                                                            ; RAM2E_UFM:ram2e_ufm|RWMask[2]   ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.545     ;
    +; 57.963 ; S[0]                                                                                                            ; RAM2E_UFM:ram2e_ufm|RWMask[3]   ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.545     ;
    +; 57.963 ; FS[1]                                                                                                           ; RAM2E_UFM:ram2e_ufm|UFMReqErase ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.545     ;
    +; 57.963 ; S[0]                                                                                                            ; RAM2E_UFM:ram2e_ufm|UFMInitDone ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.545     ;
    +; 58.006 ; S[1]                                                                                                            ; RAM2E_UFM:ram2e_ufm|UFMD[11]    ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.502     ;
    +; 58.006 ; S[1]                                                                                                            ; RAM2E_UFM:ram2e_ufm|UFMD[10]    ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.502     ;
    +; 58.006 ; S[1]                                                                                                            ; RAM2E_UFM:ram2e_ufm|UFMD[9]     ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.502     ;
    +; 58.006 ; S[1]                                                                                                            ; RAM2E_UFM:ram2e_ufm|UFMD[8]     ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.502     ;
    +; 58.006 ; S[1]                                                                                                            ; RAM2E_UFM:ram2e_ufm|UFMD[13]    ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.502     ;
    +; 58.006 ; S[1]                                                                                                            ; RAM2E_UFM:ram2e_ufm|UFMD[14]    ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.502     ;
    +; 58.006 ; S[1]                                                                                                            ; RAM2E_UFM:ram2e_ufm|UFMD[15]    ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.502     ;
    +; 58.006 ; S[1]                                                                                                            ; RAM2E_UFM:ram2e_ufm|UFMD[12]    ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.502     ;
    +; 58.030 ; FS[3]                                                                                                           ; RAM2E_UFM:ram2e_ufm|RWMask[6]   ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.478     ;
    +; 58.030 ; FS[3]                                                                                                           ; RAM2E_UFM:ram2e_ufm|RWMask[4]   ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.478     ;
    +; 58.039 ; CS[1]                                                                                                           ; CS[0]                           ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.469     ;
    +; 58.040 ; CS[1]                                                                                                           ; CS[1]                           ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.468     ;
    +; 58.040 ; CS[1]                                                                                                           ; CS[2]                           ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.468     ;
    +; 58.068 ; FS[4]                                                                                                           ; nRWE                            ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.440     ;
    +; 58.143 ; S[3]                                                                                                            ; RAM2E_UFM:ram2e_ufm|UFMD[11]    ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.365     ;
    +; 58.143 ; S[3]                                                                                                            ; RAM2E_UFM:ram2e_ufm|UFMD[10]    ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.365     ;
    +; 58.143 ; S[3]                                                                                                            ; RAM2E_UFM:ram2e_ufm|UFMD[9]     ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.365     ;
    +; 58.143 ; S[3]                                                                                                            ; RAM2E_UFM:ram2e_ufm|UFMD[8]     ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.365     ;
    +; 58.143 ; S[3]                                                                                                            ; RAM2E_UFM:ram2e_ufm|UFMD[13]    ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.365     ;
    +; 58.143 ; S[3]                                                                                                            ; RAM2E_UFM:ram2e_ufm|UFMD[14]    ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.365     ;
    +; 58.143 ; S[3]                                                                                                            ; RAM2E_UFM:ram2e_ufm|UFMD[15]    ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.365     ;
    +; 58.143 ; S[3]                                                                                                            ; RAM2E_UFM:ram2e_ufm|UFMD[12]    ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.365     ;
    +; 58.204 ; FS[1]                                                                                                           ; RAM2E_UFM:ram2e_ufm|UFMD[11]    ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.304     ;
    +; 58.204 ; FS[1]                                                                                                           ; RAM2E_UFM:ram2e_ufm|UFMD[10]    ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.304     ;
    +; 58.204 ; FS[1]                                                                                                           ; RAM2E_UFM:ram2e_ufm|UFMD[9]     ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.304     ;
    +; 58.204 ; FS[1]                                                                                                           ; RAM2E_UFM:ram2e_ufm|UFMD[8]     ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.304     ;
    +; 58.204 ; FS[1]                                                                                                           ; RAM2E_UFM:ram2e_ufm|UFMD[13]    ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.304     ;
    +; 58.204 ; FS[1]                                                                                                           ; RAM2E_UFM:ram2e_ufm|UFMD[14]    ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.304     ;
    +; 58.204 ; FS[1]                                                                                                           ; RAM2E_UFM:ram2e_ufm|UFMD[15]    ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.304     ;
    +; 58.204 ; FS[1]                                                                                                           ; RAM2E_UFM:ram2e_ufm|UFMD[12]    ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.304     ;
    +; 58.230 ; S[0]                                                                                                            ; S[1]                            ; C14M                   ; C14M        ; 69.841       ; 0.000      ; 11.278     ;
    ++--------+-----------------------------------------------------------------------------------------------------------------+---------------------------------+------------------------+-------------+--------------+------------+------------+
     
     
    -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
    -; Hold: 'ARCLK'                                                                                                                                                                                                                                                                                     ;
    -+---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
    -; Slack   ; From Node                                                                                               ; To Node                                                                                                 ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
    -+---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
    -; -16.874 ; ARShift                                                                                                 ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M         ; ARCLK       ; 0.000        ; -1.597     ; 1.529      ;
    -; 60.000  ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ARCLK        ; ARCLK       ; 0.000        ; 0.000      ; 80.000     ;
    -+---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
    ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
    +; Hold: 'ram2e_ufm|DRCLK|regout'                                                                                                                                                                                                                                                                                                         ;
    ++---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+
    +; Slack   ; From Node                                                                                                       ; To Node                                                                                                         ; Launch Clock           ; Latch Clock            ; Relationship ; Clock Skew ; Data Delay ;
    ++---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+
    +; -16.287 ; RAM2E_UFM:ram2e_ufm|DRShift                                                                                     ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M                   ; ram2e_ufm|DRCLK|regout ; 0.000        ; -1.671     ; 2.042      ;
    +; -16.261 ; RAM2E_UFM:ram2e_ufm|DRDIn                                                                                       ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M                   ; ram2e_ufm|DRCLK|regout ; 0.000        ; -1.671     ; 2.068      ;
    +; 60.000  ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; ram2e_ufm|DRCLK|regout ; ram2e_ufm|DRCLK|regout ; 0.000        ; 0.000      ; 80.000     ;
    ++---------+-----------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+
     
     
    -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
    -; Hold: 'DRCLK'                                                                                                                                                                                                                                                             ;
    -+---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
    -; Slack   ; From Node                                                                                   ; To Node                                                                                     ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
    -+---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
    -; -16.746 ; DRDIn                                                                                       ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M         ; DRCLK       ; 0.000        ; -1.725     ; 1.529      ;
    -; -16.734 ; DRShift                                                                                     ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; C14M         ; DRCLK       ; 0.000        ; -1.725     ; 1.541      ;
    -; 60.000  ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|wire_maxii_ufm_block1_drdout ; DRCLK        ; DRCLK       ; 0.000        ; 0.000      ; 80.000     ;
    -+---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
    ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
    +; Hold: 'ram2e_ufm|ARCLK|regout'                                                                                                                                                                                                                                                                                                                                 ;
    ++---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+
    +; Slack   ; From Node                                                                                                                   ; To Node                                                                                                                     ; Launch Clock           ; Latch Clock            ; Relationship ; Clock Skew ; Data Delay ;
    ++---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+
    +; -16.279 ; RAM2E_UFM:ram2e_ufm|ARShift                                                                                                 ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C14M                   ; ram2e_ufm|ARCLK|regout ; 0.000        ; -1.663     ; 2.058      ;
    +; 60.000  ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_lbr:UFM_altufm_none_lbr_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; 0.000        ; 0.000      ; 80.000     ;
    ++---------+-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------+------------------------+------------------------+--------------+------------+------------+
     
     
    -+---------------------------------------------------------------------------------------------------------------+
    -; Hold: 'C14M'                                                                                                  ;
    -+-------+-------------------+-------------+--------------+-------------+--------------+------------+------------+
    -; Slack ; From Node         ; To Node     ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
    -+-------+-------------------+-------------+--------------+-------------+--------------+------------+------------+
    -; 1.415 ; UFMD[12]          ; UFMD[13]    ; C14M         ; C14M        ; 0.000        ; 0.000      ; 1.636      ;
    -; 1.421 ; UFMD[11]          ; UFMD[12]    ; C14M         ; C14M        ; 0.000        ; 0.000      ; 1.642      ;
    -; 1.639 ; DRDIn             ; DRDIn       ; C14M         ; C14M        ; 0.000        ; 0.000      ; 1.860      ;
    -; 1.639 ; FS[0]             ; FS[0]       ; C14M         ; C14M        ; 0.000        ; 0.000      ; 1.860      ;
    -; 1.660 ; CmdTout[2]        ; CmdTout[2]  ; C14M         ; C14M        ; 0.000        ; 0.000      ; 1.881      ;
    -; 1.669 ; UFMD[10]          ; UFMD[11]    ; C14M         ; C14M        ; 0.000        ; 0.000      ; 1.890      ;
    -; 1.701 ; S[3]              ; S[3]        ; C14M         ; C14M        ; 0.000        ; 0.000      ; 1.922      ;
    -; 1.701 ; CS[2]             ; CS[2]       ; C14M         ; C14M        ; 0.000        ; 0.000      ; 1.922      ;
    -; 1.730 ; S[0]              ; S[0]        ; C14M         ; C14M        ; 0.000        ; 0.000      ; 1.951      ;
    -; 1.732 ; S[0]              ; S[1]        ; C14M         ; C14M        ; 0.000        ; 0.000      ; 1.953      ;
    -; 1.822 ; UFMD[8]           ; UFMD[9]     ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.043      ;
    -; 1.844 ; UFMD[9]           ; UFMD[10]    ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.065      ;
    -; 1.953 ; UFMInitDone       ; UFMInitDone ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.174      ;
    -; 1.981 ; CmdTout[1]        ; CmdTout[2]  ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.202      ;
    -; 1.991 ; CmdTout[0]        ; CmdTout[1]  ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.212      ;
    -; 2.107 ; LEDEN             ; LEDEN       ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.328      ;
    -; 2.107 ; FS[7]             ; FS[7]       ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.328      ;
    -; 2.107 ; CmdBitbangMAX     ; DRCLKPulse  ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.328      ;
    -; 2.118 ; Ready             ; Ready       ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.339      ;
    -; 2.126 ; FS[15]            ; FS[15]      ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.347      ;
    -; 2.126 ; FS[5]             ; FS[5]       ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.347      ;
    -; 2.134 ; FS[8]             ; FS[8]       ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.355      ;
    -; 2.138 ; CmdPrgmMAX        ; CmdPrgmMAX  ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.359      ;
    -; 2.143 ; CmdPrgmMAX        ; UFMProgram  ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.364      ;
    -; 2.144 ; UFMErase          ; UFMErase    ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.365      ;
    -; 2.146 ; UFMProgram        ; UFMProgram  ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.367      ;
    -; 2.152 ; FS[9]             ; FS[9]       ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.373      ;
    -; 2.152 ; FS[10]            ; FS[10]      ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.373      ;
    -; 2.162 ; S[2]              ; S[2]        ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.383      ;
    -; 2.165 ; CmdEraseMAX       ; CmdEraseMAX ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.386      ;
    -; 2.199 ; CS[0]             ; CS[0]       ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.420      ;
    -; 2.207 ; CS[0]             ; CS[2]       ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.428      ;
    -; 2.218 ; CS[0]             ; CS[1]       ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.439      ;
    -; 2.233 ; FS[11]            ; FS[11]      ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.454      ;
    -; 2.247 ; FS[6]             ; FS[6]       ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.468      ;
    -; 2.249 ; FS[14]            ; FS[14]      ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.470      ;
    -; 2.260 ; FS[13]            ; FS[13]      ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.481      ;
    -; 2.262 ; FS[12]            ; FS[12]      ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.483      ;
    -; 2.268 ; FS[4]             ; FS[4]       ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.489      ;
    -; 2.273 ; FS[1]             ; FS[1]       ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.494      ;
    -; 2.290 ; FS[3]             ; FS[3]       ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.511      ;
    -; 2.291 ; CmdTout[1]        ; CmdTout[1]  ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.512      ;
    -; 2.295 ; FS[2]             ; FS[2]       ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.516      ;
    -; 2.308 ; CmdTout[0]        ; CmdTout[0]  ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.529      ;
    -; 2.309 ; CmdTout[0]        ; CmdTout[2]  ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.530      ;
    -; 2.382 ; UFMReqErase       ; UFMReqErase ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.603      ;
    -; 2.390 ; S[1]              ; S[1]        ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.611      ;
    -; 2.448 ; UFMD[14]          ; UFMD[15]    ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.669      ;
    -; 2.455 ; CS[1]             ; CS[1]       ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.676      ;
    -; 2.461 ; CS[1]             ; CS[2]       ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.682      ;
    -; 2.622 ; RTPBusyReg        ; UFMProgram  ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.843      ;
    -; 2.624 ; UFMBusyReg        ; UFMErase    ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.845      ;
    -; 2.626 ; RWBank[3]         ; RA[11]~reg0 ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.847      ;
    -; 2.645 ; UFMD[13]          ; UFMD[14]    ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.866      ;
    -; 2.655 ; PHI1reg           ; S[0]        ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.876      ;
    -; 2.720 ; RWSel             ; RWSel       ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.941      ;
    -; 2.732 ; UFMD[15]          ; RWMask[7]   ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.953      ;
    -; 2.766 ; RWSel             ; CmdTout[1]  ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.987      ;
    -; 2.823 ; RWMask[3]         ; RWBank[3]   ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.044      ;
    -; 2.844 ; UFMD[9]           ; RWMask[1]   ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.065      ;
    -; 2.851 ; RWBank[5]         ; BA[1]~reg0  ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.072      ;
    -; 2.911 ; S[3]              ; nCS~reg0    ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.132      ;
    -; 2.913 ; S[3]              ; BA[0]~reg0  ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.134      ;
    -; 2.952 ; RTPBusyReg        ; UFMErase    ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.173      ;
    -; 2.958 ; FS[5]             ; FS[6]       ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.179      ;
    -; 2.966 ; FS[8]             ; FS[9]       ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.187      ;
    -; 2.984 ; FS[9]             ; FS[10]      ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.205      ;
    -; 2.984 ; FS[10]            ; FS[11]      ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.205      ;
    -; 2.992 ; RWMask[2]         ; RWBank[2]   ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.213      ;
    -; 3.041 ; RWBank[0]         ; RA[8]~reg0  ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.262      ;
    -; 3.063 ; RWMask[0]         ; RWBank[0]   ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.284      ;
    -; 3.069 ; FS[5]             ; FS[7]       ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.290      ;
    -; 3.077 ; FS[8]             ; FS[10]      ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.298      ;
    -; 3.095 ; FS[10]            ; FS[12]      ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.316      ;
    -; 3.095 ; FS[9]             ; FS[11]      ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.316      ;
    -; 3.096 ; RWSel             ; DRCLKPulse  ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.317      ;
    -; 3.098 ; RWSel             ; CmdTout[0]  ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.319      ;
    -; 3.118 ; S[0]              ; DOEEN       ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.339      ;
    -; 3.134 ; RWBank[1]         ; RA[9]~reg0  ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.355      ;
    -; 3.163 ; UFMD[11]          ; RWMask[3]   ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.384      ;
    -; 3.168 ; DRCLKPulse        ; DRCLK       ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.389      ;
    -; 3.170 ; RWBank[7]         ; RA[8]~reg0  ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.391      ;
    -; 3.173 ; FS[11]            ; FS[12]      ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.394      ;
    -; 3.187 ; FS[6]             ; FS[7]       ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.408      ;
    -; 3.188 ; FS[8]             ; FS[11]      ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.409      ;
    -; 3.189 ; FS[14]            ; FS[15]      ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.410      ;
    -; 3.189 ; RWMask[4]         ; RWBank[4]   ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.410      ;
    -; 3.200 ; FS[13]            ; FS[14]      ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.421      ;
    -; 3.203 ; RWMask[1]         ; RWBank[1]   ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.424      ;
    -; 3.206 ; FS[9]             ; FS[12]      ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.427      ;
    -; 3.208 ; FS[4]             ; FS[5]       ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.429      ;
    -; 3.213 ; FS[1]             ; FS[2]       ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.434      ;
    -; 3.218 ; CmdSetRWBankFFLED ; RWBank[4]   ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.439      ;
    -; 3.222 ; CmdSetRWBankFFLED ; RWBank[3]   ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.443      ;
    -; 3.230 ; FS[3]             ; FS[4]       ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.451      ;
    -; 3.230 ; RWSel             ; CmdTout[2]  ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.451      ;
    -; 3.236 ; S[0]              ; nCS~reg0    ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.457      ;
    -; 3.241 ; UFMD[12]          ; RWMask[4]   ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.462      ;
    -; 3.274 ; UFMD[14]          ; RWMask[6]   ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.495      ;
    -; 3.299 ; FS[8]             ; FS[12]      ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.520      ;
    -+-------+-------------------+-------------+--------------+-------------+--------------+------------+------------+
    ++---------------------------------------------------------------------------------------------------------------------------------------------------+
    +; Hold: 'C14M'                                                                                                                                      ;
    ++-------+----------------------------------+----------------------------------+--------------+-------------+--------------+------------+------------+
    +; Slack ; From Node                        ; To Node                          ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
    ++-------+----------------------------------+----------------------------------+--------------+-------------+--------------+------------+------------+
    +; 1.421 ; RAM2E_UFM:ram2e_ufm|UFMD[8]      ; RAM2E_UFM:ram2e_ufm|UFMD[9]      ; C14M         ; C14M        ; 0.000        ; 0.000      ; 1.642      ;
    +; 1.421 ; RAM2E_UFM:ram2e_ufm|UFMD[12]     ; RAM2E_UFM:ram2e_ufm|UFMD[13]     ; C14M         ; C14M        ; 0.000        ; 0.000      ; 1.642      ;
    +; 1.445 ; RAM2E_UFM:ram2e_ufm|UFMD[10]     ; RAM2E_UFM:ram2e_ufm|UFMD[11]     ; C14M         ; C14M        ; 0.000        ; 0.000      ; 1.666      ;
    +; 1.451 ; RAM2E_UFM:ram2e_ufm|UFMD[11]     ; RAM2E_UFM:ram2e_ufm|UFMD[12]     ; C14M         ; C14M        ; 0.000        ; 0.000      ; 1.672      ;
    +; 1.461 ; RAM2E_UFM:ram2e_ufm|UFMD[14]     ; RAM2E_UFM:ram2e_ufm|UFMD[15]     ; C14M         ; C14M        ; 0.000        ; 0.000      ; 1.682      ;
    +; 1.639 ; RWSel                            ; RWSel                            ; C14M         ; C14M        ; 0.000        ; 0.000      ; 1.860      ;
    +; 1.684 ; FS[0]                            ; FS[0]                            ; C14M         ; C14M        ; 0.000        ; 0.000      ; 1.905      ;
    +; 1.687 ; CS[1]                            ; CS[1]                            ; C14M         ; C14M        ; 0.000        ; 0.000      ; 1.908      ;
    +; 1.688 ; CS[1]                            ; CS[2]                            ; C14M         ; C14M        ; 0.000        ; 0.000      ; 1.909      ;
    +; 1.696 ; CmdTout[0]                       ; CmdTout[0]                       ; C14M         ; C14M        ; 0.000        ; 0.000      ; 1.917      ;
    +; 1.702 ; CmdTout[0]                       ; CmdTout[1]                       ; C14M         ; C14M        ; 0.000        ; 0.000      ; 1.923      ;
    +; 1.706 ; CmdTout[0]                       ; CmdTout[2]                       ; C14M         ; C14M        ; 0.000        ; 0.000      ; 1.927      ;
    +; 1.716 ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; C14M         ; C14M        ; 0.000        ; 0.000      ; 1.937      ;
    +; 1.818 ; RAM2E_UFM:ram2e_ufm|UFMD[9]      ; RAM2E_UFM:ram2e_ufm|UFMD[10]     ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.039      ;
    +; 1.905 ; RAM2E_UFM:ram2e_ufm|RWMask[4]    ; RWBank[4]                        ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.126      ;
    +; 1.928 ; RWBank[1]                        ; RA[8]                            ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.149      ;
    +; 1.935 ; CS[2]                            ; CS[2]                            ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.156      ;
    +; 1.954 ; RC[0]                            ; RC[0]                            ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.175      ;
    +; 1.961 ; RC[0]                            ; RC[2]                            ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.182      ;
    +; 1.968 ; RC[0]                            ; RC[1]                            ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.189      ;
    +; 1.971 ; S[3]                             ; S[3]                             ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.192      ;
    +; 1.972 ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX  ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX  ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.193      ;
    +; 1.984 ; CS[0]                            ; CS[0]                            ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.205      ;
    +; 1.993 ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX  ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX   ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.214      ;
    +; 1.995 ; CS[0]                            ; CS[1]                            ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.216      ;
    +; 2.107 ; RAM2E_UFM:ram2e_ufm|LEDEN        ; RAM2E_UFM:ram2e_ufm|LEDEN        ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.328      ;
    +; 2.109 ; RAM2E_UFM:ram2e_ufm|RWMask[6]    ; RWBank[6]                        ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.330      ;
    +; 2.116 ; FS[8]                            ; FS[8]                            ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.337      ;
    +; 2.117 ; FS[15]                           ; FS[15]                           ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.338      ;
    +; 2.117 ; FS[5]                            ; FS[5]                            ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.338      ;
    +; 2.125 ; FS[9]                            ; FS[9]                            ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.346      ;
    +; 2.126 ; RAM2E_UFM:ram2e_ufm|DRDIn        ; RAM2E_UFM:ram2e_ufm|DRDIn        ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.347      ;
    +; 2.126 ; FS[7]                            ; FS[7]                            ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.347      ;
    +; 2.128 ; RWBank[7]                        ; RA[8]                            ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.349      ;
    +; 2.133 ; RAM2E_UFM:ram2e_ufm|UFMD[13]     ; RAM2E_UFM:ram2e_ufm|UFMD[14]     ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.354      ;
    +; 2.136 ; RAM2E_UFM:ram2e_ufm|UFMInitDone  ; RAM2E_UFM:ram2e_ufm|UFMInitDone  ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.357      ;
    +; 2.143 ; CmdTout[2]                       ; CmdTout[2]                       ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.364      ;
    +; 2.150 ; Ready                            ; Ready                            ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.371      ;
    +; 2.163 ; RC[1]                            ; RC[1]                            ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.384      ;
    +; 2.181 ; RC[1]                            ; RC[2]                            ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.402      ;
    +; 2.182 ; S[1]                             ; S[1]                             ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.403      ;
    +; 2.184 ; RC[1]                            ; RC[0]                            ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.405      ;
    +; 2.212 ; RA[10]                           ; RA[10]                           ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.433      ;
    +; 2.230 ; FS[6]                            ; FS[6]                            ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.451      ;
    +; 2.232 ; FS[11]                           ; FS[11]                           ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.453      ;
    +; 2.239 ; FS[13]                           ; FS[13]                           ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.460      ;
    +; 2.239 ; FS[14]                           ; FS[14]                           ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.460      ;
    +; 2.241 ; FS[1]                            ; FS[1]                            ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.462      ;
    +; 2.249 ; FS[2]                            ; FS[2]                            ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.470      ;
    +; 2.250 ; FS[12]                           ; FS[12]                           ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.471      ;
    +; 2.259 ; FS[3]                            ; FS[3]                            ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.480      ;
    +; 2.261 ; FS[4]                            ; FS[4]                            ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.482      ;
    +; 2.272 ; RAM2E_UFM:ram2e_ufm|UFMProgram   ; RAM2E_UFM:ram2e_ufm|UFMProgram   ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.493      ;
    +; 2.277 ; RC[2]                            ; RC[2]                            ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.498      ;
    +; 2.279 ; RC[2]                            ; RC[0]                            ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.500      ;
    +; 2.282 ; RC[2]                            ; RC[1]                            ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.503      ;
    +; 2.302 ; CmdTout[1]                       ; CmdTout[1]                       ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.523      ;
    +; 2.305 ; S[3]                             ; RA[11]                           ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.526      ;
    +; 2.310 ; CmdTout[1]                       ; CmdTout[2]                       ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.531      ;
    +; 2.313 ; RAM2E_UFM:ram2e_ufm|RWMask[2]    ; RWBank[2]                        ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.534      ;
    +; 2.316 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX   ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX   ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.537      ;
    +; 2.319 ; CS[0]                            ; CS[2]                            ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.540      ;
    +; 2.323 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX   ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.544      ;
    +; 2.332 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX   ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX  ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.553      ;
    +; 2.347 ; PHI1r                            ; S[0]                             ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.568      ;
    +; 2.372 ; RAM2E_UFM:ram2e_ufm|UFMReqErase  ; RAM2E_UFM:ram2e_ufm|UFMReqErase  ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.593      ;
    +; 2.446 ; RWSel                            ; CmdTout[0]                       ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.667      ;
    +; 2.455 ; RWSel                            ; CmdTout[1]                       ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.676      ;
    +; 2.457 ; S[3]                             ; CKE                              ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.678      ;
    +; 2.459 ; RWSel                            ; CmdTout[2]                       ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.680      ;
    +; 2.531 ; RAM2E_UFM:ram2e_ufm|UFMD[10]     ; RAM2E_UFM:ram2e_ufm|RWMask[2]    ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.752      ;
    +; 2.542 ; S[2]                             ; S[2]                             ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.763      ;
    +; 2.544 ; S[2]                             ; DOEEN                            ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.765      ;
    +; 2.563 ; RAM2E_UFM:ram2e_ufm|UFMD[15]     ; RAM2E_UFM:ram2e_ufm|RWMask[7]    ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.784      ;
    +; 2.606 ; RAM2E_UFM:ram2e_ufm|UFMErase     ; RAM2E_UFM:ram2e_ufm|UFMProgram   ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.827      ;
    +; 2.610 ; RAM2E_UFM:ram2e_ufm|CmdPrgmMAX   ; RAM2E_UFM:ram2e_ufm|UFMErase     ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.831      ;
    +; 2.653 ; RAM2E_UFM:ram2e_ufm|UFMD[9]      ; RAM2E_UFM:ram2e_ufm|RWMask[1]    ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.874      ;
    +; 2.655 ; S[0]                             ; S[0]                             ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.876      ;
    +; 2.656 ; FS[10]                           ; FS[10]                           ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.877      ;
    +; 2.657 ; S[0]                             ; VOEEN                            ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.878      ;
    +; 2.660 ; RAM2E_UFM:ram2e_ufm|UFMD[13]     ; RAM2E_UFM:ram2e_ufm|RWMask[5]    ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.881      ;
    +; 2.678 ; S[1]                             ; DOEEN                            ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.899      ;
    +; 2.719 ; RAM2E_UFM:ram2e_ufm|UFMD[11]     ; RAM2E_UFM:ram2e_ufm|RWMask[3]    ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.940      ;
    +; 2.750 ; S[0]                             ; RA[10]                           ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.971      ;
    +; 2.764 ; RAM2E_UFM:ram2e_ufm|CmdEraseMAX  ; RAM2E_UFM:ram2e_ufm|UFMErase     ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.985      ;
    +; 2.773 ; S[1]                             ; S[3]                             ; C14M         ; C14M        ; 0.000        ; 0.000      ; 2.994      ;
    +; 2.785 ; RAM2E_UFM:ram2e_ufm|RWMask[3]    ; RWBank[3]                        ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.006      ;
    +; 2.794 ; S[0]                             ; RA[8]                            ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.015      ;
    +; 2.815 ; FS[4]                            ; RA[1]                            ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.036      ;
    +; 2.830 ; S[3]                             ; RA[9]                            ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.051      ;
    +; 2.850 ; FS[1]                            ; RA[0]                            ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.071      ;
    +; 2.851 ; RWBank[5]                        ; BA[0]~reg0                       ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.072      ;
    +; 2.902 ; S[2]                             ; nRWE                             ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.123      ;
    +; 2.911 ; S[2]                             ; nCAS                             ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.132      ;
    +; 2.929 ; RAM2E_UFM:ram2e_ufm|RWMask[0]    ; RWBank[0]                        ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.150      ;
    +; 2.933 ; RAM2E_UFM:ram2e_ufm|UFMProgStart ; RAM2E_UFM:ram2e_ufm|UFMErase     ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.154      ;
    +; 2.935 ; RAM2E_UFM:ram2e_ufm|RWMask[5]    ; RWBank[5]                        ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.156      ;
    +; 2.948 ; FS[8]                            ; FS[9]                            ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.169      ;
    +; 2.949 ; FS[5]                            ; FS[6]                            ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.170      ;
    +; 2.957 ; FS[9]                            ; FS[10]                           ; C14M         ; C14M        ; 0.000        ; 0.000      ; 3.178      ;
    ++-------+----------------------------------+----------------------------------+--------------+-------------+--------------+------------+------------+
     
     
    -+-------------------------------------------------------------------+
    -; Setup Transfers                                                   ;
    -+------------+----------+----------+----------+----------+----------+
    -; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
    -+------------+----------+----------+----------+----------+----------+
    -; ARCLK      ; ARCLK    ; 1        ; 0        ; 0        ; 0        ;
    -; C14M       ; ARCLK    ; 1        ; 0        ; 0        ; 0        ;
    -; C14M       ; C14M     ; 1607     ; 0        ; 64       ; 0        ;
    -; DRCLK      ; C14M     ; 13       ; 0        ; 0        ; 0        ;
    -; C14M       ; DRCLK    ; 2        ; 0        ; 0        ; 0        ;
    -; DRCLK      ; DRCLK    ; 1        ; 0        ; 0        ; 0        ;
    -+------------+----------+----------+----------+----------+----------+
    ++---------------------------------------------------------------------------------------------+
    +; Setup Transfers                                                                             ;
    ++------------------------+------------------------+----------+----------+----------+----------+
    +; From Clock             ; To Clock               ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
    ++------------------------+------------------------+----------+----------+----------+----------+
    +; C14M                   ; C14M                   ; 1625     ; 0        ; 16       ; 0        ;
    +; ram2e_ufm|DRCLK|regout ; C14M                   ; 13       ; 0        ; 0        ; 0        ;
    +; C14M                   ; ram2e_ufm|ARCLK|regout ; 1        ; 0        ; 0        ; 0        ;
    +; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; 1        ; 0        ; 0        ; 0        ;
    +; C14M                   ; ram2e_ufm|DRCLK|regout ; 2        ; 0        ; 0        ; 0        ;
    +; ram2e_ufm|DRCLK|regout ; ram2e_ufm|DRCLK|regout ; 1        ; 0        ; 0        ; 0        ;
    ++------------------------+------------------------+----------+----------+----------+----------+
     Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
     
     
    -+-------------------------------------------------------------------+
    -; Hold Transfers                                                    ;
    -+------------+----------+----------+----------+----------+----------+
    -; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
    -+------------+----------+----------+----------+----------+----------+
    -; ARCLK      ; ARCLK    ; 1        ; 0        ; 0        ; 0        ;
    -; C14M       ; ARCLK    ; 1        ; 0        ; 0        ; 0        ;
    -; C14M       ; C14M     ; 1607     ; 0        ; 64       ; 0        ;
    -; DRCLK      ; C14M     ; 13       ; 0        ; 0        ; 0        ;
    -; C14M       ; DRCLK    ; 2        ; 0        ; 0        ; 0        ;
    -; DRCLK      ; DRCLK    ; 1        ; 0        ; 0        ; 0        ;
    -+------------+----------+----------+----------+----------+----------+
    ++---------------------------------------------------------------------------------------------+
    +; Hold Transfers                                                                              ;
    ++------------------------+------------------------+----------+----------+----------+----------+
    +; From Clock             ; To Clock               ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
    ++------------------------+------------------------+----------+----------+----------+----------+
    +; C14M                   ; C14M                   ; 1625     ; 0        ; 16       ; 0        ;
    +; ram2e_ufm|DRCLK|regout ; C14M                   ; 13       ; 0        ; 0        ; 0        ;
    +; C14M                   ; ram2e_ufm|ARCLK|regout ; 1        ; 0        ; 0        ; 0        ;
    +; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; 1        ; 0        ; 0        ; 0        ;
    +; C14M                   ; ram2e_ufm|DRCLK|regout ; 2        ; 0        ; 0        ; 0        ;
    +; ram2e_ufm|DRCLK|regout ; ram2e_ufm|DRCLK|regout ; 1        ; 0        ; 0        ; 0        ;
    ++------------------------+------------------------+----------+----------+----------+----------+
     Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
     
     
    @@ -473,22 +472,22 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi
     +---------------------------------+-------+------+
     ; Illegal Clocks                  ; 0     ; 0    ;
     ; Unconstrained Clocks            ; 0     ; 0    ;
    -; Unconstrained Input Ports       ; 29    ; 29   ;
    -; Unconstrained Input Port Paths  ; 169   ; 169  ;
    -; Unconstrained Output Ports      ; 48    ; 48   ;
    -; Unconstrained Output Port Paths ; 67    ; 67   ;
    +; Unconstrained Input Ports       ; 28    ; 28   ;
    +; Unconstrained Input Port Paths  ; 176   ; 176  ;
    +; Unconstrained Output Ports      ; 47    ; 47   ;
    +; Unconstrained Output Port Paths ; 76    ; 76   ;
     +---------------------------------+-------+------+
     
     
    -+-------------------------------------+
    -; Clock Status Summary                ;
    -+--------+-------+------+-------------+
    -; Target ; Clock ; Type ; Status      ;
    -+--------+-------+------+-------------+
    -; ARCLK  ; ARCLK ; Base ; Constrained ;
    -; C14M   ; C14M  ; Base ; Constrained ;
    -; DRCLK  ; DRCLK ; Base ; Constrained ;
    -+--------+-------+------+-------------+
    ++----------------------------------------------------------------------+
    +; Clock Status Summary                                                 ;
    ++------------------------+------------------------+------+-------------+
    +; Target                 ; Clock                  ; Type ; Status      ;
    ++------------------------+------------------------+------+-------------+
    +; C14M                   ; C14M                   ; Base ; Constrained ;
    +; ram2e_ufm|ARCLK|regout ; ram2e_ufm|ARCLK|regout ; Base ; Constrained ;
    +; ram2e_ufm|DRCLK|regout ; ram2e_ufm|DRCLK|regout ; Base ; Constrained ;
    ++------------------------+------------------------+------+-------------+
     
     
     +---------------------------------------------------------------------------------------------------+
    @@ -524,7 +523,6 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi
     ; nC07X      ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
     ; nEN80      ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
     ; nWE        ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
    -; nWE80      ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
     +------------+--------------------------------------------------------------------------------------+
     
     
    @@ -535,7 +533,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi
     +-------------+---------------------------------------------------------------------------------------+
     ; BA[0]       ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
     ; BA[1]       ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    -; CKE         ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    +; CKEout      ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
     ; DQMH        ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
     ; DQML        ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
     ; Dout[0]     ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    @@ -547,18 +545,18 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi
     ; Dout[6]     ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
     ; Dout[7]     ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
     ; LED         ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    -; RA[0]       ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    -; RA[1]       ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    -; RA[2]       ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    -; RA[3]       ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    -; RA[4]       ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    -; RA[5]       ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    -; RA[6]       ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    -; RA[7]       ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    -; RA[8]       ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    -; RA[9]       ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    -; RA[10]      ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    -; RA[11]      ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    +; RAout[0]    ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    +; RAout[1]    ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    +; RAout[2]    ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    +; RAout[3]    ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    +; RAout[4]    ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    +; RAout[5]    ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    +; RAout[6]    ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    +; RAout[7]    ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    +; RAout[8]    ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    +; RAout[9]    ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    +; RAout[10]   ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    +; RAout[11]   ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
     ; RD[0]       ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
     ; RD[1]       ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
     ; RD[2]       ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    @@ -575,11 +573,10 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi
     ; Vout[5]     ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
     ; Vout[6]     ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
     ; Vout[7]     ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    -; nCAS        ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    -; nCS         ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    +; nCASout     ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
     ; nDOE        ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    -; nRAS        ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    -; nRWE        ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    +; nRASout     ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    +; nRWEout     ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
     ; nVOE        ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
     +-------------+---------------------------------------------------------------------------------------+
     
    @@ -617,7 +614,6 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi
     ; nC07X      ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
     ; nEN80      ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
     ; nWE        ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
    -; nWE80      ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
     +------------+--------------------------------------------------------------------------------------+
     
     
    @@ -628,7 +624,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi
     +-------------+---------------------------------------------------------------------------------------+
     ; BA[0]       ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
     ; BA[1]       ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    -; CKE         ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    +; CKEout      ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
     ; DQMH        ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
     ; DQML        ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
     ; Dout[0]     ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    @@ -640,18 +636,18 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi
     ; Dout[6]     ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
     ; Dout[7]     ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
     ; LED         ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    -; RA[0]       ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    -; RA[1]       ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    -; RA[2]       ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    -; RA[3]       ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    -; RA[4]       ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    -; RA[5]       ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    -; RA[6]       ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    -; RA[7]       ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    -; RA[8]       ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    -; RA[9]       ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    -; RA[10]      ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    -; RA[11]      ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    +; RAout[0]    ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    +; RAout[1]    ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    +; RAout[2]    ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    +; RAout[3]    ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    +; RAout[4]    ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    +; RAout[5]    ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    +; RAout[6]    ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    +; RAout[7]    ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    +; RAout[8]    ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    +; RAout[9]    ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    +; RAout[10]   ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    +; RAout[11]   ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
     ; RD[0]       ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
     ; RD[1]       ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
     ; RD[2]       ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    @@ -668,11 +664,10 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi
     ; Vout[5]     ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
     ; Vout[6]     ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
     ; Vout[7]     ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    -; nCAS        ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    -; nCS         ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    +; nCASout     ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
     ; nDOE        ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    -; nRAS        ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    -; nRWE        ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    +; nRASout     ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
    +; nRWEout     ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
     ; nVOE        ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
     +-------------+---------------------------------------------------------------------------------------+
     
    @@ -682,8 +677,8 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi
     +--------------------------+
     Info: *******************************************************************
     Info: Running Quartus Prime Timing Analyzer
    -    Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
    -    Info: Processing started: Thu Sep 21 05:34:43 2023
    +    Info: Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition
    +    Info: Processing started: Thu Dec 28 23:09:48 2023
     Info: Command: quartus_sta RAM2E-MAXII -c RAM2E
     Info: qsta_default_script.tcl version: #1
     Info (20032): Parallel compilation is enabled and will use up to 4 processors
    @@ -695,37 +690,37 @@ Info (332104): Reading SDC File: '../RAM2E.sdc'
     Info (332104): Reading SDC File: '../RAM2E-MAX.sdc'
     Info: Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON
     Info: Can't run Report Timing Closure Recommendations. The current device family is not supported.
    -Warning (332009): The launch and latch times for the relationship between source clock: C14M and destination clock: ARCLK are outside of the legal time range. The relationship difference is correct, however the launch time is set to 0.
    -Warning (332009): The launch and latch times for the relationship between source clock: C14M and destination clock: DRCLK are outside of the legal time range. The relationship difference is correct, however the launch time is set to 0.
    +Warning (332009): The launch and latch times for the relationship between source clock: C14M and destination clock: ram2e_ufm|ARCLK|regout are outside of the legal time range. The relationship difference is correct, however the launch time is set to 0.
    +Warning (332009): The launch and latch times for the relationship between source clock: C14M and destination clock: ram2e_ufm|DRCLK|regout are outside of the legal time range. The relationship difference is correct, however the launch time is set to 0.
     Critical Warning (332148): Timing requirements not met
    -Info (332146): Worst-case setup slack is -23.265
    +Info (332146): Worst-case setup slack is -23.738
         Info (332119):     Slack       End Point TNS Clock 
         Info (332119): ========= =================== =====================
    -    Info (332119):   -23.265             -23.265 DRCLK 
    -    Info (332119):   -23.125             -23.125 ARCLK 
    -    Info (332119):    -8.026             -92.836 C14M 
    -Info (332146): Worst-case hold slack is -16.874
    +    Info (332119):   -23.738             -23.738 ram2e_ufm|DRCLK|regout 
    +    Info (332119):   -23.720             -23.720 ram2e_ufm|ARCLK|regout 
    +    Info (332119):    -9.644            -106.641 C14M 
    +Info (332146): Worst-case hold slack is -16.287
         Info (332119):     Slack       End Point TNS Clock 
         Info (332119): ========= =================== =====================
    -    Info (332119):   -16.874             -16.874 ARCLK 
    -    Info (332119):   -16.746             -16.746 DRCLK 
    -    Info (332119):     1.415               0.000 C14M 
    +    Info (332119):   -16.287             -16.287 ram2e_ufm|DRCLK|regout 
    +    Info (332119):   -16.279             -16.279 ram2e_ufm|ARCLK|regout 
    +    Info (332119):     1.421               0.000 C14M 
     Info (332140): No Recovery paths to report
     Info (332140): No Removal paths to report
     Info (332146): Worst-case minimum pulse width slack is 34.654
         Info (332119):     Slack       End Point TNS Clock 
         Info (332119): ========= =================== =====================
         Info (332119):    34.654               0.000 C14M 
    -    Info (332119):    70.000               0.000 ARCLK 
    -    Info (332119):    70.000               0.000 DRCLK 
    +    Info (332119):    70.000               0.000 ram2e_ufm|ARCLK|regout 
    +    Info (332119):    70.000               0.000 ram2e_ufm|DRCLK|regout 
     Info (332001): The selected device family is not supported by the report_metastability command.
    -Warning (332009): The launch and latch times for the relationship between source clock: C14M and destination clock: ARCLK are outside of the legal time range. The relationship difference is correct, however the launch time is set to 0.
    -Warning (332009): The launch and latch times for the relationship between source clock: C14M and destination clock: DRCLK are outside of the legal time range. The relationship difference is correct, however the launch time is set to 0.
    +Warning (332009): The launch and latch times for the relationship between source clock: C14M and destination clock: ram2e_ufm|ARCLK|regout are outside of the legal time range. The relationship difference is correct, however the launch time is set to 0.
    +Warning (332009): The launch and latch times for the relationship between source clock: C14M and destination clock: ram2e_ufm|DRCLK|regout are outside of the legal time range. The relationship difference is correct, however the launch time is set to 0.
     Info (332102): Design is not fully constrained for setup requirements
     Info (332102): Design is not fully constrained for hold requirements
     Info: Quartus Prime Timing Analyzer was successful. 0 errors, 5 warnings
    -    Info: Peak virtual memory: 13089 megabytes
    -    Info: Processing ended: Thu Sep 21 05:34:45 2023
    +    Info: Peak virtual memory: 13064 megabytes
    +    Info: Processing ended: Thu Dec 28 23:09:50 2023
         Info: Elapsed time: 00:00:02
         Info: Total CPU time (on all processors): 00:00:02
     
    diff --git a/CPLD/MAXII/output_files/RAM2E.sta.summary b/CPLD/MAXII/output_files/RAM2E.sta.summary
    index 8103b71..9e7e945 100644
    --- a/CPLD/MAXII/output_files/RAM2E.sta.summary
    +++ b/CPLD/MAXII/output_files/RAM2E.sta.summary
    @@ -2,39 +2,39 @@
     Timing Analyzer Summary
     ------------------------------------------------------------
     
    -Type  : Setup 'DRCLK'
    -Slack : -23.265
    -TNS   : -23.265
    +Type  : Setup 'ram2e_ufm|DRCLK|regout'
    +Slack : -23.738
    +TNS   : -23.738
     
    -Type  : Setup 'ARCLK'
    -Slack : -23.125
    -TNS   : -23.125
    +Type  : Setup 'ram2e_ufm|ARCLK|regout'
    +Slack : -23.720
    +TNS   : -23.720
     
     Type  : Setup 'C14M'
    -Slack : -8.026
    -TNS   : -92.836
    +Slack : -9.644
    +TNS   : -106.641
     
    -Type  : Hold 'ARCLK'
    -Slack : -16.874
    -TNS   : -16.874
    +Type  : Hold 'ram2e_ufm|DRCLK|regout'
    +Slack : -16.287
    +TNS   : -16.287
     
    -Type  : Hold 'DRCLK'
    -Slack : -16.746
    -TNS   : -16.746
    +Type  : Hold 'ram2e_ufm|ARCLK|regout'
    +Slack : -16.279
    +TNS   : -16.279
     
     Type  : Hold 'C14M'
    -Slack : 1.415
    +Slack : 1.421
     TNS   : 0.000
     
     Type  : Minimum Pulse Width 'C14M'
     Slack : 34.654
     TNS   : 0.000
     
    -Type  : Minimum Pulse Width 'ARCLK'
    +Type  : Minimum Pulse Width 'ram2e_ufm|ARCLK|regout'
     Slack : 70.000
     TNS   : 0.000
     
    -Type  : Minimum Pulse Width 'DRCLK'
    +Type  : Minimum Pulse Width 'ram2e_ufm|DRCLK|regout'
     Slack : 70.000
     TNS   : 0.000
     
    diff --git a/CPLD/MAXV/RAM2E.qsf b/CPLD/MAXV/RAM2E.qsf
    index d4f161d..19727c5 100644
    --- a/CPLD/MAXV/RAM2E.qsf
    +++ b/CPLD/MAXV/RAM2E.qsf
    @@ -42,7 +42,7 @@ set_global_assignment -name DEVICE 5M240ZT100C5
     set_global_assignment -name TOP_LEVEL_ENTITY RAM2E
     set_global_assignment -name ORIGINAL_QUARTUS_VERSION 19.1.0
     set_global_assignment -name PROJECT_CREATION_TIME_DATE "07:27:32  AUGUST 20, 2023"
    -set_global_assignment -name LAST_QUARTUS_VERSION "19.1.0 Lite Edition"
    +set_global_assignment -name LAST_QUARTUS_VERSION "19.1.0 SP0.02std Lite Edition"
     set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
     set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
     set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
    @@ -74,7 +74,6 @@ set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nEN80
     
     set_location_assignment PIN_33 -to nWE80
     set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nWE80
    -set_instance_assignment -name PAD_TO_CORE_DELAY 0 -to nWE80
     set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nWE80
     
     set_location_assignment PIN_52 -to nC07X
    @@ -123,7 +122,6 @@ set_location_assignment PIN_85 -to Dout[7]
     set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to Dout
     set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to Dout
     set_instance_assignment -name SLOW_SLEW_RATE ON -to Dout
    -set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to Dout
     set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to Dout
     
     set_location_assignment PIN_50 -to nVOE
    @@ -146,40 +144,39 @@ set_instance_assignment -name SLOW_SLEW_RATE ON -to Vout
     set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to Vout
     set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to Vout
     
    -set_location_assignment PIN_4 -to CKE
    -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to CKE
    -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to CKE
    -set_instance_assignment -name SLOW_SLEW_RATE ON -to CKE
    -set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to CKE
    -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to CKE
    +set_location_assignment PIN_4 -to CKEout
    +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to CKEout
    +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to CKEout
    +set_instance_assignment -name SLOW_SLEW_RATE ON -to CKEout
    +set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to CKEout
    +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to CKEout
     
    -set_location_assignment PIN_8 -to nCS
    -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nCS
    -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nCS
    -set_instance_assignment -name SLOW_SLEW_RATE ON -to nCS
    -set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to nCS
    -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nCS
    +set_location_assignment PIN_8 -to nCSout
    +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nCSout
    +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nCSout
    +set_instance_assignment -name SLOW_SLEW_RATE ON -to nCSout
    +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nCSout
     
    -set_location_assignment PIN_2 -to nRWE
    -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nRWE
    -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nRWE
    -set_instance_assignment -name SLOW_SLEW_RATE ON -to nRWE
    -set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to nRWE
    -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nRWE
    +set_location_assignment PIN_2 -to nRWEout
    +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nRWEout
    +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nRWEout
    +set_instance_assignment -name SLOW_SLEW_RATE ON -to nRWEout
    +set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to nRWEout
    +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nRWEout
     
    -set_location_assignment PIN_5 -to nRAS
    -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nRAS
    -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nRAS
    -set_instance_assignment -name SLOW_SLEW_RATE ON -to nRAS
    -set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to nRAS
    -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nRAS
    +set_location_assignment PIN_5 -to nRASout
    +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nRASout
    +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nRASout
    +set_instance_assignment -name SLOW_SLEW_RATE ON -to nRASout
    +set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to nRASout
    +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nRASout
     
    -set_location_assignment PIN_3 -to nCAS
    -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nCAS
    -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nCAS
    -set_instance_assignment -name SLOW_SLEW_RATE ON -to nCAS
    -set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to nCAS
    -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nCAS
    +set_location_assignment PIN_3 -to nCASout
    +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to nCASout
    +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nCASout
    +set_instance_assignment -name SLOW_SLEW_RATE ON -to nCASout
    +set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to nCASout
    +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nCASout
     
     set_location_assignment PIN_6 -to BA[0]
     set_location_assignment PIN_14 -to BA[1]
    @@ -189,23 +186,23 @@ set_instance_assignment -name SLOW_SLEW_RATE ON -to BA
     set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to BA
     set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to BA
     
    -set_location_assignment PIN_18 -to RA[0]
    -set_location_assignment PIN_20 -to RA[1]
    -set_location_assignment PIN_30 -to RA[2]
    -set_location_assignment PIN_27 -to RA[3]
    -set_location_assignment PIN_26 -to RA[4]
    -set_location_assignment PIN_29 -to RA[5]
    -set_location_assignment PIN_21 -to RA[6]
    -set_location_assignment PIN_19 -to RA[7]
    -set_location_assignment PIN_17 -to RA[8]
    -set_location_assignment PIN_15 -to RA[9]
    -set_location_assignment PIN_16 -to RA[10]
    -set_location_assignment PIN_7 -to RA[11]
    -set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to RA
    -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RA
    -set_instance_assignment -name SLOW_SLEW_RATE ON -to RA
    -set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to RA
    -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RA
    +set_location_assignment PIN_18 -to RAout[0]
    +set_location_assignment PIN_20 -to RAout[1]
    +set_location_assignment PIN_30 -to RAout[2]
    +set_location_assignment PIN_27 -to RAout[3]
    +set_location_assignment PIN_26 -to RAout[4]
    +set_location_assignment PIN_29 -to RAout[5]
    +set_location_assignment PIN_21 -to RAout[6]
    +set_location_assignment PIN_19 -to RAout[7]
    +set_location_assignment PIN_17 -to RAout[8]
    +set_location_assignment PIN_15 -to RAout[9]
    +set_location_assignment PIN_16 -to RAout[10]
    +set_location_assignment PIN_7 -to RAout[11]
    +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to RAout
    +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RAout
    +set_instance_assignment -name SLOW_SLEW_RATE ON -to RAout
    +set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to RAout
    +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RAout
     
     set_location_assignment PIN_100 -to DQMH
     set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to DQMH
    @@ -237,11 +234,12 @@ set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to RD
     set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RD
     
     set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "NO HEAT SINK WITH STILL AIR"
    -set_global_assignment -name VERILOG_FILE "../RAM2E-MAX.v"
    +set_location_assignment PIN_88 -to LED
    +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to LED
    +set_instance_assignment -name SLOW_SLEW_RATE ON -to LED
    +set_global_assignment -name VERILOG_FILE ../RAM2E.v
    +set_global_assignment -name VERILOG_FILE "../UFM-MAX.v"
     set_global_assignment -name QIP_FILE UFM.qip
     set_global_assignment -name MIF_FILE ../RAM2E.mif
     set_global_assignment -name SDC_FILE ../RAM2E.sdc
    -set_global_assignment -name SDC_FILE "../RAM2E-MAX.sdc"
    -set_location_assignment PIN_88 -to LED
    -set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to LED
    -set_instance_assignment -name SLOW_SLEW_RATE ON -to LED
    \ No newline at end of file
    +set_global_assignment -name SDC_FILE "../RAM2E-MAX.sdc"
    \ No newline at end of file
    diff --git a/CPLD/MAXV/RAM2E.qws b/CPLD/MAXV/RAM2E.qws
    index 8de3d3ecec64b3ff743c66893051e3ad1a1fae78..f6f69ce180188e29d6210913345135901ddd90b8 100644
    GIT binary patch
    delta 67
    zcmaFO@|tDBTsDRi3=B-%6Bk
       
    -    
    +    
       
       
         
    diff --git a/CPLD/MAXV/output_files/RAM2E.map.rpt b/CPLD/MAXV/output_files/RAM2E.map.rpt
    index 6f3badf..51a17f5 100644
    --- a/CPLD/MAXV/output_files/RAM2E.map.rpt
    +++ b/CPLD/MAXV/output_files/RAM2E.map.rpt
    @@ -1,6 +1,6 @@
     Analysis & Synthesis report for RAM2E
    -Thu Sep 21 05:34:33 2023
    -Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
    +Thu Dec 28 23:09:40 2023
    +Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition
     
     
     ---------------------
    @@ -17,7 +17,7 @@ Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
       9. General Register Statistics
      10. Inverted Register Statistics
      11. Multiplexer Restructuring Statistics (Restructuring Performed)
    - 12. Port Connectivity Checks: "UFM:UFM_inst"
    + 12. Port Connectivity Checks: "RAM2E_UFM:ram2e_ufm|UFM:UFM_inst"
      13. Analysis & Synthesis Messages
      14. Analysis & Synthesis Suppressed Messages
     
    @@ -43,19 +43,19 @@ https://fpgasoftware.intel.com/eula.
     
     
     
    -+---------------------------------------------------------------------------+
    -; Analysis & Synthesis Summary                                              ;
    -+-----------------------------+---------------------------------------------+
    -; Analysis & Synthesis Status ; Successful - Thu Sep 21 05:34:33 2023       ;
    -; Quartus Prime Version       ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ;
    -; Revision Name               ; RAM2E                                       ;
    -; Top-level Entity Name       ; RAM2E                                       ;
    -; Family                      ; MAX V                                       ;
    -; Total logic elements        ; 205                                         ;
    -; Total pins                  ; 70                                          ;
    -; Total virtual pins          ; 0                                           ;
    -; UFM blocks                  ; 1 / 1 ( 100 % )                             ;
    -+-----------------------------+---------------------------------------------+
    ++-------------------------------------------------------------------------------------------+
    +; Analysis & Synthesis Summary                                                              ;
    ++-----------------------------+-------------------------------------------------------------+
    +; Analysis & Synthesis Status ; Successful - Thu Dec 28 23:09:40 2023                       ;
    +; Quartus Prime Version       ; 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition ;
    +; Revision Name               ; RAM2E                                                       ;
    +; Top-level Entity Name       ; RAM2E                                                       ;
    +; Family                      ; MAX V                                                       ;
    +; Total logic elements        ; 244                                                         ;
    +; Total pins                  ; 70                                                          ;
    +; Total virtual pins          ; 0                                                           ;
    +; UFM blocks                  ; 1 / 1 ( 100 % )                                             ;
    ++-----------------------------+-------------------------------------------------------------+
     
     
     +------------------------------------------------------------------------------------------------------------+
    @@ -146,15 +146,16 @@ https://fpgasoftware.intel.com/eula.
     +----------------------------+-------------+
     
     
    -+---------------------------------------------------------------------------------------------------------------------------------------------+
    -; Analysis & Synthesis Source Files Read                                                                                                      ;
    -+----------------------------------+-----------------+----------------------------------+-------------------------------------------+---------+
    -; File Name with User-Entered Path ; Used in Netlist ; File Type                        ; File Name with Absolute Path              ; Library ;
    -+----------------------------------+-----------------+----------------------------------+-------------------------------------------+---------+
    -; ../RAM2E-MAX.v                   ; yes             ; User Verilog HDL File            ; //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-MAX.v ;         ;
    -; UFM.v                            ; yes             ; User Wizard-Generated File       ; //Mac/iCloud/Repos/RAM2E/CPLD/MAXV/UFM.v  ;         ;
    -; ../RAM2E.mif                     ; yes             ; User Memory Initialization File  ; //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E.mif   ;         ;
    -+----------------------------------+-----------------+----------------------------------+-------------------------------------------+---------+
    ++----------------------------------------------------------------------------------------------------------------------------------+
    +; Analysis & Synthesis Source Files Read                                                                                           ;
    ++----------------------------------+-----------------+----------------------------------+--------------------------------+---------+
    +; File Name with User-Entered Path ; Used in Netlist ; File Type                        ; File Name with Absolute Path   ; Library ;
    ++----------------------------------+-----------------+----------------------------------+--------------------------------+---------+
    +; ../RAM2E.v                       ; yes             ; User Verilog HDL File            ; Y:/Repos/RAM2E/CPLD/RAM2E.v    ;         ;
    +; ../UFM-MAX.v                     ; yes             ; User Verilog HDL File            ; Y:/Repos/RAM2E/CPLD/UFM-MAX.v  ;         ;
    +; UFM.v                            ; yes             ; User Wizard-Generated File       ; Y:/Repos/RAM2E/CPLD/MAXV/UFM.v ;         ;
    +; ../RAM2E.mif                     ; yes             ; User Memory Initialization File  ; Y:/Repos/RAM2E/CPLD/RAM2E.mif  ;         ;
    ++----------------------------------+-----------------+----------------------------------+--------------------------------+---------+
     
     
     +-----------------------------------------------------+
    @@ -162,56 +163,57 @@ https://fpgasoftware.intel.com/eula.
     +---------------------------------------------+-------+
     ; Resource                                    ; Usage ;
     +---------------------------------------------+-------+
    -; Total logic elements                        ; 205   ;
    -;     -- Combinational with no register       ; 93    ;
    -;     -- Register only                        ; 27    ;
    -;     -- Combinational with a register        ; 85    ;
    +; Total logic elements                        ; 244   ;
    +;     -- Combinational with no register       ; 121   ;
    +;     -- Register only                        ; 32    ;
    +;     -- Combinational with a register        ; 91    ;
     ;                                             ;       ;
     ; Logic element usage by number of LUT inputs ;       ;
    -;     -- 4 input functions                    ; 103   ;
    -;     -- 3 input functions                    ; 29    ;
    -;     -- 2 input functions                    ; 42    ;
    -;     -- 1 input functions                    ; 3     ;
    +;     -- 4 input functions                    ; 118   ;
    +;     -- 3 input functions                    ; 41    ;
    +;     -- 2 input functions                    ; 48    ;
    +;     -- 1 input functions                    ; 4     ;
     ;     -- 0 input functions                    ; 1     ;
     ;                                             ;       ;
     ; Logic elements by mode                      ;       ;
    -;     -- normal mode                          ; 191   ;
    +;     -- normal mode                          ; 230   ;
     ;     -- arithmetic mode                      ; 14    ;
     ;     -- qfbk mode                            ; 0     ;
     ;     -- register cascade mode                ; 0     ;
    -;     -- synchronous clear/load mode          ; 1     ;
    +;     -- synchronous clear/load mode          ; 3     ;
     ;     -- asynchronous clear/load mode         ; 0     ;
     ;                                             ;       ;
    -; Total registers                             ; 112   ;
    +; Total registers                             ; 123   ;
     ; Total logic cells in carry chains           ; 15    ;
     ; I/O pins                                    ; 70    ;
     ; UFM blocks                                  ; 1     ;
     ; Maximum fan-out node                        ; C14M  ;
    -; Maximum fan-out                             ; 112   ;
    -; Total fan-out                               ; 850   ;
    -; Average fan-out                             ; 3.08  ;
    +; Maximum fan-out                             ; 123   ;
    +; Total fan-out                               ; 977   ;
    +; Average fan-out                             ; 3.10  ;
     +---------------------------------------------+-------+
     
     
    -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
    -; Analysis & Synthesis Resource Utilization by Entity                                                                                                                                                                                                                                                                         ;
    -+-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+---------------------+--------------+
    -; Compilation Hierarchy Node                                ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name                                                   ; Entity Name         ; Library Name ;
    -+-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+---------------------+--------------+
    -; |RAM2E                                                    ; 205 (205)   ; 112          ; 1          ; 70   ; 0            ; 93 (93)      ; 27 (27)           ; 85 (85)          ; 15 (15)         ; 0 (0)      ; |RAM2E                                                                ; RAM2E               ; work         ;
    -;    |UFM:UFM_inst|                                         ; 0 (0)       ; 0            ; 1          ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |RAM2E|UFM:UFM_inst                                                   ; UFM                 ; work         ;
    -;       |UFM_altufm_none_p8r:UFM_altufm_none_p8r_component| ; 0 (0)       ; 0            ; 1          ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |RAM2E|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component ; UFM_altufm_none_p8r ; work         ;
    -+-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-----------------------------------------------------------------------+---------------------+--------------+
    ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
    +; Analysis & Synthesis Resource Utilization by Entity                                                                                                                                                                                                                                                                                                ;
    ++--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+
    +; Compilation Hierarchy Node                                   ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name                                                                       ; Entity Name         ; Library Name ;
    ++--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+
    +; |RAM2E                                                       ; 244 (181)   ; 123          ; 1          ; 70   ; 0            ; 121 (91)     ; 32 (24)           ; 91 (66)          ; 15 (15)         ; 0 (0)      ; |RAM2E                                                                                    ; RAM2E               ; work         ;
    +;    |RAM2E_UFM:ram2e_ufm|                                     ; 63 (63)     ; 33           ; 1          ; 0    ; 0            ; 30 (30)      ; 8 (8)             ; 25 (25)          ; 0 (0)           ; 0 (0)      ; |RAM2E|RAM2E_UFM:ram2e_ufm                                                                ; RAM2E_UFM           ; work         ;
    +;       |UFM:UFM_inst|                                         ; 0 (0)       ; 0            ; 1          ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst                                                   ; UFM                 ; work         ;
    +;          |UFM_altufm_none_p8r:UFM_altufm_none_p8r_component| ; 0 (0)       ; 0            ; 1          ; 0    ; 0            ; 0 (0)        ; 0 (0)             ; 0 (0)            ; 0 (0)           ; 0 (0)      ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component ; UFM_altufm_none_p8r ; work         ;
    ++--------------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+-------------------------------------------------------------------------------------------+---------------------+--------------+
     Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy.
     
     
    -+--------------------------------------------------------------------------------------------------------------------+
    -; Analysis & Synthesis IP Cores Summary                                                                              ;
    -+--------+---------------------------+---------+--------------+--------------+---------------------+-----------------+
    -; Vendor ; IP Core Name              ; Version ; Release Date ; License Type ; Entity Instance     ; IP Include File ;
    -+--------+---------------------------+---------+--------------+--------------+---------------------+-----------------+
    -; Altera ; ALTUFM_NONE Intel FPGA IP ; 19.1    ; N/A          ; N/A          ; |RAM2E|UFM:UFM_inst ; UFM.v           ;
    -+--------+---------------------------+---------+--------------+--------------+---------------------+-----------------+
    ++----------------------------------------------------------------------------------------------------------------------------------------+
    +; Analysis & Synthesis IP Cores Summary                                                                                                  ;
    ++--------+---------------------------+---------+--------------+--------------+-----------------------------------------+-----------------+
    +; Vendor ; IP Core Name              ; Version ; Release Date ; License Type ; Entity Instance                         ; IP Include File ;
    ++--------+---------------------------+---------+--------------+--------------+-----------------------------------------+-----------------+
    +; Altera ; ALTUFM_NONE Intel FPGA IP ; 19.1    ; N/A          ; N/A          ; |RAM2E|RAM2E_UFM:ram2e_ufm|UFM:UFM_inst ; UFM.v           ;
    ++--------+---------------------------+---------+--------------+--------------+-----------------------------------------+-----------------+
     
     
     +------------------------------------------------------+
    @@ -219,12 +221,12 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
     +----------------------------------------------+-------+
     ; Statistic                                    ; Value ;
     +----------------------------------------------+-------+
    -; Total registers                              ; 112   ;
    -; Number of registers using Synchronous Clear  ; 1     ;
    +; Total registers                              ; 123   ;
    +; Number of registers using Synchronous Clear  ; 3     ;
     ; Number of registers using Synchronous Load   ; 0     ;
     ; Number of registers using Asynchronous Clear ; 0     ;
     ; Number of registers using Asynchronous Load  ; 0     ;
    -; Number of registers using Clock Enable       ; 60    ;
    +; Number of registers using Clock Enable       ; 62    ;
     ; Number of registers using Preset             ; 0     ;
     +----------------------------------------------+-------+
     
    @@ -234,30 +236,36 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
     +----------------------------------------+---------+
     ; Inverted Register                      ; Fan out ;
     +----------------------------------------+---------+
    -; nCS~reg0                               ; 1       ;
    -; nRAS~reg0                              ; 1       ;
    -; nCAS~reg0                              ; 1       ;
    -; nRWE~reg0                              ; 1       ;
    +; nRASout~reg0                           ; 1       ;
    +; nCASout~reg0                           ; 1       ;
    +; nRWEout~reg0                           ; 1       ;
     ; DQML~reg0                              ; 1       ;
     ; DQMH~reg0                              ; 1       ;
    -; Total number of inverted registers = 6 ;         ;
    +; CKE                                    ; 1       ;
    +; nRAS                                   ; 1       ;
    +; nCAS                                   ; 1       ;
    +; nRWE                                   ; 1       ;
    +; Total number of inverted registers = 9 ;         ;
     +----------------------------------------+---------+
     
     
    -+------------------------------------------------------------------------------------------------------------------------------------------+
    -; Multiplexer Restructuring Statistics (Restructuring Performed)                                                                           ;
    -+--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+
    -; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ;
    -+--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+
    -; 3:1                ; 8 bits    ; 16 LEs        ; 8 LEs                ; 8 LEs                  ; Yes        ; |RAM2E|RA[0]~reg0          ;
    -; 4:1                ; 4 bits    ; 8 LEs         ; 8 LEs                ; 0 LEs                  ; Yes        ; |RAM2E|S[2]                ;
    -; 4:1                ; 3 bits    ; 6 LEs         ; 3 LEs                ; 3 LEs                  ; Yes        ; |RAM2E|CS[0]               ;
    -; 4:1                ; 8 bits    ; 16 LEs        ; 16 LEs               ; 0 LEs                  ; Yes        ; |RAM2E|RWMask[4]           ;
    -+--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+
    ++----------------------------------------------------------------------------------------------------------------------------------------------------+
    +; Multiplexer Restructuring Statistics (Restructuring Performed)                                                                                     ;
    ++--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------+
    +; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output           ;
    ++--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------+
    +; 4:1                ; 4 bits    ; 8 LEs         ; 8 LEs                ; 0 LEs                  ; Yes        ; |RAM2E|S[0]                          ;
    +; 4:1                ; 3 bits    ; 6 LEs         ; 3 LEs                ; 3 LEs                  ; Yes        ; |RAM2E|CS[0]                         ;
    +; 4:1                ; 8 bits    ; 16 LEs        ; 16 LEs               ; 0 LEs                  ; Yes        ; |RAM2E|RAM2E_UFM:ram2e_ufm|RWMask[5] ;
    +; 16:1               ; 2 bits    ; 20 LEs        ; 2 LEs                ; 18 LEs                 ; Yes        ; |RAM2E|BA[1]~reg0                    ;
    +; 17:1               ; 4 bits    ; 44 LEs        ; 8 LEs                ; 36 LEs                 ; Yes        ; |RAM2E|RA[4]                         ;
    +; 19:1               ; 2 bits    ; 24 LEs        ; 4 LEs                ; 20 LEs                 ; Yes        ; |RAM2E|RA[2]                         ;
    +; 10:1               ; 2 bits    ; 12 LEs        ; 4 LEs                ; 8 LEs                  ; Yes        ; |RAM2E|DQML~reg0                     ;
    ++--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------+
     
     
     +------------------------------------------------------------------------------------------------------------------+
    -; Port Connectivity Checks: "UFM:UFM_inst"                                                                         ;
    +; Port Connectivity Checks: "RAM2E_UFM:ram2e_ufm|UFM:UFM_inst"                                                     ;
     +--------+--------+----------+-------------------------------------------------------------------------------------+
     ; Port   ; Type   ; Severity ; Details                                                                             ;
     +--------+--------+----------+-------------------------------------------------------------------------------------+
    @@ -272,35 +280,50 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi
     +-------------------------------+
     Info: *******************************************************************
     Info: Running Quartus Prime Analysis & Synthesis
    -    Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
    -    Info: Processing started: Thu Sep 21 05:33:58 2023
    +    Info: Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition
    +    Info: Processing started: Thu Dec 28 23:09:12 2023
     Info: Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2E-MAXV -c RAM2E
     Info (20032): Parallel compilation is enabled and will use up to 4 processors
    -Info (12021): Found 1 design units, including 1 entities, in source file //mac/icloud/repos/ram2e/cpld/ram2e-max.v
    -    Info (12023): Found entity 1: RAM2E File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-MAX.v Line: 1
    +Info (12021): Found 1 design units, including 1 entities, in source file /repos/ram2e/cpld/ram2e.v
    +    Info (12023): Found entity 1: RAM2E File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 1
    +Info (12021): Found 1 design units, including 1 entities, in source file /repos/ram2e/cpld/ufm-max.v
    +    Info (12023): Found entity 1: RAM2E_UFM File: Y:/Repos/RAM2E/CPLD/UFM-MAX.v Line: 1
     Info (12021): Found 2 design units, including 2 entities, in source file ufm.v
    -    Info (12023): Found entity 1: UFM_altufm_none_p8r File: //Mac/iCloud/Repos/RAM2E/CPLD/MAXV/UFM.v Line: 47
    -    Info (12023): Found entity 2: UFM File: //Mac/iCloud/Repos/RAM2E/CPLD/MAXV/UFM.v Line: 166
    +    Info (12023): Found entity 1: UFM_altufm_none_p8r File: Y:/Repos/RAM2E/CPLD/MAXV/UFM.v Line: 47
    +    Info (12023): Found entity 2: UFM File: Y:/Repos/RAM2E/CPLD/MAXV/UFM.v Line: 166
     Info (12127): Elaborating entity "RAM2E" for the top level hierarchy
    -Info (12128): Elaborating entity "UFM" for hierarchy "UFM:UFM_inst" File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-MAX.v Line: 93
    -Info (12128): Elaborating entity "UFM_altufm_none_p8r" for hierarchy "UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component" File: //Mac/iCloud/Repos/RAM2E/CPLD/MAXV/UFM.v Line: 217
    -Info (21057): Implemented 276 device resources after synthesis - the final resource count might be different
    +Info (12128): Elaborating entity "RAM2E_UFM" for hierarchy "RAM2E_UFM:ram2e_ufm" File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 112
    +Info (12128): Elaborating entity "UFM" for hierarchy "RAM2E_UFM:ram2e_ufm|UFM:UFM_inst" File: Y:/Repos/RAM2E/CPLD/UFM-MAX.v Line: 79
    +Info (12128): Elaborating entity "UFM_altufm_none_p8r" for hierarchy "RAM2E_UFM:ram2e_ufm|UFM:UFM_inst|UFM_altufm_none_p8r:UFM_altufm_none_p8r_component" File: Y:/Repos/RAM2E/CPLD/MAXV/UFM.v Line: 217
    +Warning (13024): Output pins are stuck at VCC or GND
    +    Warning (13410): Pin "nCSout" is stuck at GND File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 78
    +Warning (14632): Output pin "Dout[0]" driven by bidirectional pin "RD[0]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 52
    +Warning (14632): Output pin "Dout[1]" driven by bidirectional pin "RD[1]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 52
    +Warning (14632): Output pin "Dout[2]" driven by bidirectional pin "RD[2]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 52
    +Warning (14632): Output pin "Dout[3]" driven by bidirectional pin "RD[3]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 52
    +Warning (14632): Output pin "Dout[4]" driven by bidirectional pin "RD[4]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 52
    +Warning (14632): Output pin "Dout[5]" driven by bidirectional pin "RD[5]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 52
    +Warning (14632): Output pin "Dout[6]" driven by bidirectional pin "RD[6]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 52
    +Warning (14632): Output pin "Dout[7]" driven by bidirectional pin "RD[7]" cannot be tri-stated File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 52
    +Warning (21074): Design contains 1 input pin(s) that do not drive logic
    +    Warning (15610): No output dependent on input pin "nWE80" File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 11
    +Info (21057): Implemented 315 device resources after synthesis - the final resource count might be different
         Info (21058): Implemented 22 input pins
         Info (21059): Implemented 40 output pins
         Info (21060): Implemented 8 bidirectional pins
    -    Info (21061): Implemented 205 logic cells
    +    Info (21061): Implemented 244 logic cells
         Info (21070): Implemented 1 User Flash Memory blocks
    -Info (144001): Generated suppressed messages file /Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.map.smsg
    -Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 0 warnings
    -    Info: Peak virtual memory: 13144 megabytes
    -    Info: Processing ended: Thu Sep 21 05:34:33 2023
    -    Info: Elapsed time: 00:00:35
    -    Info: Total CPU time (on all processors): 00:00:50
    +Info (144001): Generated suppressed messages file Y:/Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.map.smsg
    +Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 12 warnings
    +    Info: Peak virtual memory: 13113 megabytes
    +    Info: Processing ended: Thu Dec 28 23:09:40 2023
    +    Info: Elapsed time: 00:00:28
    +    Info: Total CPU time (on all processors): 00:00:41
     
     
     +------------------------------------------+
     ; Analysis & Synthesis Suppressed Messages ;
     +------------------------------------------+
    -The suppressed messages can be found in /Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.map.smsg.
    +The suppressed messages can be found in Y:/Repos/RAM2E/CPLD/MAXV/output_files/RAM2E.map.smsg.
     
     
    diff --git a/CPLD/MAXV/output_files/RAM2E.map.smsg b/CPLD/MAXV/output_files/RAM2E.map.smsg
    index 0b3ca79..37d8d09 100644
    --- a/CPLD/MAXV/output_files/RAM2E.map.smsg
    +++ b/CPLD/MAXV/output_files/RAM2E.map.smsg
    @@ -1,3 +1,3 @@
    -Warning (10273): Verilog HDL warning at RAM2E-MAX.v(46): extended using "x" or "z" File: //Mac/iCloud/Repos/RAM2E/CPLD/RAM2E-MAX.v Line: 46
    -Warning (10463): Verilog HDL Declaration warning at UFM.v(73): "program" is SystemVerilog-2005 keyword File: //Mac/iCloud/Repos/RAM2E/CPLD/MAXV/UFM.v Line: 73
    -Warning (10463): Verilog HDL Declaration warning at UFM.v(189): "program" is SystemVerilog-2005 keyword File: //Mac/iCloud/Repos/RAM2E/CPLD/MAXV/UFM.v Line: 189
    +Warning (10273): Verilog HDL warning at RAM2E.v(74): extended using "x" or "z" File: Y:/Repos/RAM2E/CPLD/RAM2E.v Line: 74
    +Warning (10463): Verilog HDL Declaration warning at UFM.v(73): "program" is SystemVerilog-2005 keyword File: Y:/Repos/RAM2E/CPLD/MAXV/UFM.v Line: 73
    +Warning (10463): Verilog HDL Declaration warning at UFM.v(189): "program" is SystemVerilog-2005 keyword File: Y:/Repos/RAM2E/CPLD/MAXV/UFM.v Line: 189
    diff --git a/CPLD/MAXV/output_files/RAM2E.map.summary b/CPLD/MAXV/output_files/RAM2E.map.summary
    index e2665d6..83dcb8d 100644
    --- a/CPLD/MAXV/output_files/RAM2E.map.summary
    +++ b/CPLD/MAXV/output_files/RAM2E.map.summary
    @@ -1,9 +1,9 @@
    -Analysis & Synthesis Status : Successful - Thu Sep 21 05:34:33 2023
    -Quartus Prime Version : 19.1.0 Build 670 09/22/2019 SJ Lite Edition
    +Analysis & Synthesis Status : Successful - Thu Dec 28 23:09:40 2023
    +Quartus Prime Version : 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition
     Revision Name : RAM2E
     Top-level Entity Name : RAM2E
     Family : MAX V
    -Total logic elements : 205
    +Total logic elements : 244
     Total pins : 70
     Total virtual pins : 0
     UFM blocks : 1 / 1 ( 100 % )
    diff --git a/CPLD/MAXV/output_files/RAM2E.pin b/CPLD/MAXV/output_files/RAM2E.pin
    index e88a551..2236865 100644
    --- a/CPLD/MAXV/output_files/RAM2E.pin
    +++ b/CPLD/MAXV/output_files/RAM2E.pin
    @@ -58,41 +58,41 @@
      -- Pin directions (input, output or bidir) are based on device operating in user mode.
      ---------------------------------------------------------------------------------
     
    -Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition
    +Quartus Prime Version 19.1.0 Build 670 09/22/2019 Patches 0.02std SJ Lite Edition
     CHIP  "RAM2E"  ASSIGNED TO AN: 5M240ZT100C5
     
     Pin Name/Usage               : Location  : Dir.   : I/O Standard      : Voltage : I/O Bank  : User Assignment
     -------------------------------------------------------------------------------------------------------------
     GND                          : 1         : gnd    :                   :         :           :                
    -nRWE                         : 2         : output : 3.3-V LVCMOS      :         : 1         : Y              
    -nCAS                         : 3         : output : 3.3-V LVCMOS      :         : 1         : Y              
    -CKE                          : 4         : output : 3.3-V LVCMOS      :         : 1         : Y              
    -nRAS                         : 5         : output : 3.3-V LVCMOS      :         : 1         : Y              
    +nRWEout                      : 2         : output : 3.3-V LVCMOS      :         : 1         : Y              
    +nCASout                      : 3         : output : 3.3-V LVCMOS      :         : 1         : Y              
    +CKEout                       : 4         : output : 3.3-V LVCMOS      :         : 1         : Y              
    +nRASout                      : 5         : output : 3.3-V LVCMOS      :         : 1         : Y              
     BA[0]                        : 6         : output : 3.3-V LVCMOS      :         : 1         : Y              
    -RA[11]                       : 7         : output : 3.3-V LVCMOS      :         : 1         : Y              
    -nCS                          : 8         : output : 3.3-V LVCMOS      :         : 1         : Y              
    +RAout[11]                    : 7         : output : 3.3-V LVCMOS      :         : 1         : Y              
    +nCSout                       : 8         : output : 3.3-V LVCMOS      :         : 1         : Y              
     VCCIO1                       : 9         : power  :                   : 3.3V    : 1         :                
     GND                          : 10        : gnd    :                   :         :           :                
     GND                          : 11        : gnd    :                   :         :           :                
     C14M                         : 12        : input  : 3.3-V LVCMOS      :         : 1         : Y              
     VCCINT                       : 13        : power  :                   : 1.8V    :           :                
     BA[1]                        : 14        : output : 3.3-V LVCMOS      :         : 1         : Y              
    -RA[9]                        : 15        : output : 3.3-V LVCMOS      :         : 1         : Y              
    -RA[10]                       : 16        : output : 3.3-V LVCMOS      :         : 1         : Y              
    -RA[8]                        : 17        : output : 3.3-V LVCMOS      :         : 1         : Y              
    -RA[0]                        : 18        : output : 3.3-V LVCMOS      :         : 1         : Y              
    -RA[7]                        : 19        : output : 3.3-V LVCMOS      :         : 1         : Y              
    -RA[1]                        : 20        : output : 3.3-V LVCMOS      :         : 1         : Y              
    -RA[6]                        : 21        : output : 3.3-V LVCMOS      :         : 1         : Y              
    +RAout[9]                     : 15        : output : 3.3-V LVCMOS      :         : 1         : Y              
    +RAout[10]                    : 16        : output : 3.3-V LVCMOS      :         : 1         : Y              
    +RAout[8]                     : 17        : output : 3.3-V LVCMOS      :         : 1         : Y              
    +RAout[0]                     : 18        : output : 3.3-V LVCMOS      :         : 1         : Y              
    +RAout[7]                     : 19        : output : 3.3-V LVCMOS      :         : 1         : Y              
    +RAout[1]                     : 20        : output : 3.3-V LVCMOS      :         : 1         : Y              
    +RAout[6]                     : 21        : output : 3.3-V LVCMOS      :         : 1         : Y              
     TMS                          : 22        : input  :                   :         : 1         :                
     TDI                          : 23        : input  :                   :         : 1         :                
     TCK                          : 24        : input  :                   :         : 1         :                
     TDO                          : 25        : output :                   :         : 1         :                
    -RA[4]                        : 26        : output : 3.3-V LVCMOS      :         : 1         : Y              
    -RA[3]                        : 27        : output : 3.3-V LVCMOS      :         : 1         : Y              
    +RAout[4]                     : 26        : output : 3.3-V LVCMOS      :         : 1         : Y              
    +RAout[3]                     : 27        : output : 3.3-V LVCMOS      :         : 1         : Y              
     nEN80                        : 28        : input  : 3.3-V LVCMOS      :         : 1         : Y              
    -RA[5]                        : 29        : output : 3.3-V LVCMOS      :         : 1         : Y              
    -RA[2]                        : 30        : output : 3.3-V LVCMOS      :         : 1         : Y              
    +RAout[5]                     : 29        : output : 3.3-V LVCMOS      :         : 1         : Y              
    +RAout[2]                     : 30        : output : 3.3-V LVCMOS      :         : 1         : Y              
     VCCIO1                       : 31        : power  :                   : 3.3V    : 1         :                
     GND                          : 32        : gnd    :                   :         :           :                
     nWE80                        : 33        : input  : 3.3-V LVCMOS      :         : 1         : Y              
    diff --git a/CPLD/MAXV/output_files/RAM2E.pof b/CPLD/MAXV/output_files/RAM2E.pof
    index 18142e9d8dd91f0f9c92103fb47779487b7028f2..bebf2bcd3462d040b0297a0171971d41474f1f18 100644
    GIT binary patch
    literal 7877
    zcmeHMdvH|Od0!ceS0rJ_O(HshsF}9OI57xh%v0Jn9+QUw({X`}2Q2J>2n~_~0yaqA
    zJ@QOU+^GRO1gEmQsMJH#)|KS3YRjPBJ;cx2k+f-Qp$h5lwE=01Uxb}zeE=Y0L0
    zb5}r|Y5(p_y)(OK&-eJ9?|iRw?(X^rRtExsg1`*@xdoqpw(pTWd-pYzt>3e=b~`@n
    zckFqjws!lTvW?sKH0-ReD_gXD!J-A_W#8DhbJw=AdzO}$l`mhoc=5u;<%^b=t$$?i
    z_rAZqp{#sC`QnDX+sYpNPT88Bd$*U}w{7QM=mu^H6k~}cYZu>L{?MNo4l#*UCgmL?YOlJWj;Y2P8>~Cwr4b^0DJ_0iNbN
    zj7bY}Aw9QJ(gocVVd&V95yV{Zr69MpZ&J;_^s(04eSu{(pIkl1_x$Jrf`pr+Jb#Lg
    z(S<(1d_DFFy*xjUBgB-xcSBC;ldbJ?Gyj`@#)!~Oe4+FdK2X^d-jw~It9@O!U(M4d
    zqp9_8;_LObKKwI9_xBGaNnQ;26oKw<<2jxQpQv?GN)KOd;%hubPiX&;8{vv?P2ppN
    z-D#ztHq?M%+@vqCzK6LW>A5a&e7>XNt>sb~#z6_#L
    zS>sCS@^0|E6R*M_)>QuLeb|OGj*TckDZ4pFuJ>nBJg)L7Wxiqk@e;WluDUx*iVCjf?*Pp1*&z&rbF2>hH6UFOUA?vd-fxD;_-5
    z;;*m$)$O?^w~`UqyN_&veaRG@qxTOQMwUX1BILlYDpT@tRwF~bx{O3h#{pd8F}It{
    zAxq04Kfr;UbF&`gL5tztkGJBFT*vpg?jsOr2Oao24rvE{%kj9NaQ!Fh>xMnD)l#?*
    zQwaEoBfcA4==uYlC+a&n>L;Gp$2!0V9U~K#hy7WWpeCxpdrDt~PLX8spl^S^zj?5~
    zOZ8Dz@6KQbYlY#PhiQV4=Zc0j(q{Y@dHEh
    z^PZ^2I)fsHe4)sdpNFExmQDWp)|kyqKJxL%z&eK`i_kA*tq7yLEBz>+RwO@jxwP=b
    z*E=Im%u4rX|I4Y`eB0&P(&_E0{!)C}s?1$(LE*1{!#6h14Ae$um1F{em*#zUhSoP$
    zBv*~j_)*Ir{ik>2@b+cdCGw8ndpmmDm2gX;);EIAs?nb;Z7w|X#u54LW!T@*V^vqK
    zUamal>x-r}J$<2?cY1rCa%xu3Z!jiI(_Xs!ggN+(kI!uT;Q2bcc67m8>+FABKJUbn
    zPpfvu8
    z95{v|mX0qOBHYlywSUp`GnBD}qwrMx21vT~Bsj+m`PZfNRGdlUBY!9p!-3@CJn`x1
    zzvzb|Npf7vIis5H<@uw?F3Le4IPKU+2>l+o==B;G*`vqEILTjiF_qQ{3-}b_nodd7
    zXMK~_XAUfP*DAUJ3C-90>gOp$KH=%}8TKnX)NbG>rq6LZ9Krc!fe#=VL4W7!Sb|&!
    z=EVQmKz&NL+JYZR<`mAP);A#|;qBBG;2U~>@INE?*VhM#UgunjAq|EmF{X
    zmhb;aO(sr5`zYbW(0m1Y8|uD02Z+~7s`L!KFVQ&4(7&@{A@Yn{ICV`Kx!b;KZn)srANK}ju(M;!#KZB#
    zX0$cxqRddgCu~dWZwUs9<7@M?d$&Tb`Kx
    z=A6iV-z^D83T9{4&$+Up)IYz>##?WZtq;6Vyy{H+o~?Hl&Xc#_@%GDiOoU7Q`V+xF
    zoSm8TotKN7&%~!Ue09kP75ud7r8!qBzgVE-ldA7Q{e_Zm4fn)r_D4d-#9Y(fy)
    zEew7|-y5|LK3Zd^)|B+ujeh;hpF45-FITVZy!TK`g|;8@HxW-{8znPJUgZVOvm5oN
    z&Ji1ab|^BT_~`ElzX&2uS{`vDi%bLyQm9Wt;vo89s{Z4jBN^BMI+Vdz^`f&|>6@Ub
    zQN$eD@8<{fJt-30(sb6L_&g0H=@3$&&Dn234=VZk^z}WpF6@9Y39j?gr*lKCN1_S!
    zV!O}}a>dFax7Sl{8V`?jRra9D0Of!q0z(tEoldFj*um&&IV@#A0DG0I%)F
    z8u-FQNW}Kz)KvF}Si#aC(;Hl~Zq-Yl6Ocye~(j|xhs-#V?!X!h&J
    zSn=4x(HY-rt_>vT%>VwZ+rrmIva#kF7v}xCTI-A8sxxxwzx}xQ^@~U5_szPkF#8AB
    zN@jk=Gn>EZ@84GSSCj1RITYW2F<243GB}v1_<|c9jQaa0`{Kd#>+D|p>;0p3vF_fJ
    z&pm(q6zcCN?p5%eNMRpMs0Y(ZqV7VdKk>WgA9*8?#v=kQJ{~2QbVURFuJp+rkOP8;
    zYY|^d^<#(dct9pMkvIPHiOvTL`oiJ@d6B^?7_`2+Z$PdRc~^}Q>y1wqw0?dj(ZVZbY1~TdZ>@CyEGp-y1vxSt-ZSo{;|m3
    z0(|V=*LRaj--KUmPqqsHN#0K4MefHB`bthPK~>iC>OOATW0=!Nntn2;_NC@R&4K$6
    z`r{5PvsTxS_MZ#;P|sCP&N8r$0ygkgdfRj7&>y6oavaYl&chC#-#G3I!oPp^li6>F
    zLT=lj4L^*>pdX0|g9N91{V^sjQH{E)jXg#Kmk@&7_;{1BU-a!~O-+)UX0{8LkO_u9
    zB?fF*te;1*ewHzogMRwOiR|%6Rki7!`m4To1{#)MsFgYJxA12VXWY~O6n3Q(xkMA$
    z*Qy^ooqKIkSe^d*bm@w{Fyy{#uI1<5!L~Mrdys+qQ!JtPcVfkG_4$Ua>x)18!B3_K
    zO5Ky$Kjz2KebsXAXnE>EvKR>A}y~-Qhr;)^A%8tlt{inMAvcaAQKHd@dRw4M)x6bS|MZx@%pY6JOAh257
    zFWBZ`)L+JY(~R%mR#kB-+tTtL&O_r4zds1->pOV{1
    ztGh+7pM{M%FNB2SNy+g$QqteoyNZrC>Wd+cPMGU>w(30SHYMVy^@aNVh#a0h>$K*m
    zo_Ylfj+ri=KYF=}{}sFyPCg&FNyMe=xCih&r|4BQ>3bwU2U*DL
    z^AYl}gERC2WtX^tKa|5pWd>NP)$=OOs5d&ES2dDUT@Kl^MMai0L4jsZm3r}W@Q)GZeYoS`Not-lW?Ae|xno+lFt
    zi?cxpHj+hx9839KuZL&MtOdWgYK}f=aTzwuy!}d^=n~GrG3mfQP8*3-oTvDkbGVb5
    zDbL9`?0})@M=aLDZM{F>^NdW68xUH6z-5Ps_7F{mC*A7&`i^w1W0wX5J2xP4FNt;^
    z&V3Efi-Q{fnOyKs#=du7pm2EdozIsnTl7S>bpG@}xtvw{%);le)^-X8YIcA>d8TAz
    z$;?HMXXnoUY+(JrRF*c=tKf?q6^$l;{ATa)WPDv^&H%%P(n!0Dml3;$`keBX7#q8ol~6@q)3j{a<+|KhxnXu7ClfxbV)NTWhG
    zO;YWH)vCUY#L)E(S@;Dw8lPcyy`EHEspmh~3n_nnJRd^BV~uz=P-M_Eg5BWf^uCDu
    za{1p4u;)BJ=n6;O>$uXVb5J!f=JDCoIyt>go_@XmaKdq=_44>rde`w){V80@{4u|7
    zUXFcI-k)E`zi#JsIrKY?m&fVW_MtXz*g0j78vh4;WskOtqy8ZWe@y91vTnxbiVnZ)
    zex>@8q`_<@o<;I>!udw(9)Y(_a!f_^=Jjsw
    vm%mN_q}-?T|2zIE4HVsa{U4z+^^XvO`swRa4}9u@|EC_9ssAgU^T>Y#hQoiO
    
    literal 7861
    zcmeHMeQ;IBb$|LuHC{m34?ghkqS9({w
    zr@ym%^+D{v`%f*9_U@kj{q~%*=bYX9-UIjFok%3A60_{zd-3U`K>LF
    zC8{xpe-KL}b2wxKBf7<$(}JVO}!izS4$U
    zPp5zx#2if`gxqKfGri+PC0a6KagSaQwk3+y*SC7r!?ePI;z6XEprV>wZZES?zuar$AL`6I{2
    z_QJ1%s7SC2P#pGjGXib1n@lq7wz
    zA@nwV)AASBB}UBOAN)H#b9|Qn;*uMmOfQ&mCUMv0xeuSpz6<``>cmQOAPf12H|AeF
    zICIg!!Wm~~-gSA=!#|7hbGpoTt54})oSJXKrh8JAO?Cc?{o|>@A6R^G&AgfAWX<2|
    zu2akNVP@vNl|OsWuV?u&?d%`$dXu~Vr)Qv}uler9t2;{V?f&ZIkk`@g_(gB`Q@BW-JP^
    zFR{FcHdM%K?w|KiU-D9uu1G6KyarQwCJ~>&?+y`vlJejv(J9Td>H#aP9kjttK;&7_Z$CEE*OvTk#j~duG8{pAl+
    zLOQ(+DiQdBF$lp_q{CwhdeV7^$3jp|Y!kfB2=ql?Hi5^EBZ>s)gX_ysLV+MXREe~D
    z+~Q+D3Rf=_<3+lY>q`K;fiu$1+a_Rzim(cy9&aEmBZn^uZiU{XL^*ui`X~J&{7W+X
    z0`MuwAoq?0oVA=6YB<)%=8vwVEAR{IA@eENMXP-sN!V}W>j*Sp{?wQj1rPNBW)%X|
    z(P2`yjtd37Z2eQl7~Xd;kBW!&d0novwx{VF`Nt6`(1;g?9axX$zbB1Sbma2|byyq7
    zMcZx#JltvJc7Lg1*VPLRgWr9+a+dUn|9R9CQV-hoWpvG;rXa6_w4g9Y@}Cc1y@LAN
    zVEMQ0o%5T)AIT-B*LNqIZ+NJ0!{}>?^7;}LFE&~GHa8@0GoQWviJm|HFlwIjP*?R6
    zpG%Z)f_)d4xb;^x-fZrF;NjC<-SeAo81Gs&`t~j53uB}&NQ>7?6W7fB8yBDckM8+*
    z-}X}1O{23OTDpVf8D}58HPD+(hCSWi>uYXKug+Z=>p4?jf1#tJ-N{AkGf#c`(?8rY
    zxb%6y_fMbec;laH55M{5SB{)GhLnu#~ogK_Iv<7wE3pV#)-~h
    zO}D;LMoM)t;CfjB3++oIme4x6loIEHas7bnvjQ&kIA2-sJm+Z^dE%qbc`pcYj_oIU
    z7r&bFS))V~=bul%!aVwBUQ(2sI$tf1K8N#@l3Bz>U@D6ATDFt%6z3nGcfTC#fdPX}
    zCy>R`?JwjL^PtK}#CKG#K>sLvy&U|8URNIY3vEY9uVz0u{+E@1
    zfE;y8pd5LuhcANR61AbOAihm}{-(eiLSG_jJPN>1W=}E+K;Ggf^ur>47*S4zm;BC`
    z&D!XsvhhzzGUqg<6zorDt-YW|HpKk4UT{7_j!o1gWjcU^p#vy+TpH2O`L<+fqo&Qc
    z_WANBQSR64tUM<~WDXi>`NP(CO4OxyZ-21lrMg`HAudqT!3R4!&mYg_+|&3T$qQrBZ+)YMY-1SUmc$1I2UYQ1>}uW;J4WIEZ*b!=vsa`eiHHBgnngh-+AQ2
    z#5~=3k;d$NC>I%z%GeL>>Ld0SF`)NZJ)kd9+TmjV^7u%Q!l`AFp@KZ}5okJ8S!*v@
    z{O005elJjnTcSL;G0)*$9*0&x3UTXXJ|sZXKD16h$HVc+_gAITWdZ)IlgD)cc}L|3
    z$<>JnIEW|kXi;65m)bN*mB-tO7Dp_8O9jOxUWezcl>lZ?$3)4-2lKMr(@Gu-iw5`G
    zU!=`gDHV?c@ALpREixyHCNeLagMErDeuJAQa#55?ORTSZ6yA?eFM~M#C-LONE3m(0
    z_UrjHqbY4aXi_wF2p_G)opsULS6ZYMD<%;vueJ*
    zEKxoBkBe(Qvw-FYUvJLfH^9<90=FSM7RUy!i+9RVKnqWW~#{F;XO
    zi36imhihghXwl_`77zQZeD0~PgEcg72JpAkBxYP)6yr5@51eUf9_;DkIz5ydyif6{Vo2o=UeYvSKssMPY?B;XkUNixi=^FB{Ccjo(f(56_7_s
    z>cIxFU$DO0e>u!2h=MF3nmZ
    zU!6S0WB)J*@yB_L`pP_ZecNJPh16qxw2OD{PsuS(M&Z2-gFKG;wzcDU#%rD2jatt2
    z1oeY(z6AvykLO`N-h({G$L~+q<8A(kq?w5K2^_c3CrC*c6(F!lrs1Cm_qXZmi=5=T
    z5KxXJl_SXE^J)6y+yGCIHpoL`6!ePEU%dbEJb|=E1;O}ufcpem)WO)lmIoOt?-Nvj
    ze&8h;9~v`+j9Q2_4z6(bRXIHPdPaf_B_u^
    z?;$1jO26!xfvNfd!PvPHZO5v3KXLwx?dPfq6$H|S3UB0^v>qNiEW9h5+G=?mS~~q!
    zb(hj#2*2^8+Nd<^Qf9T#?X+>S{(}F5@W0e0qVbES34X#p$NqWci{o^X^DptsSsN2i
    zuefRS=D%FJr0VJ)&iKL6{|0xdVH|!ZF+1_r{F;aN-}&SdwKF0A_qB;Q|9}U*c%^QL
    z-kCA`+3L}SRr$=qYnv0B?D;tcJnR3Z#L)Z~zB3#7T~&2#N!7JYiFU*KYwGEC`FEhN
    z`R#`K_ABkR@6^{1dF}no3;BmW=idkZpFICJt%px|KmKB8;m{rH57ZyB@-d0S2C?9|
    z{7dF&+@32R0O|Pc{^yEpZ-{-v{m+*go<1J)JO7PgE%+yg_>q-DMyHa5eI~ksU&{kL
    z-XkUOYuK-2B<(ogQX%(j{l^^UspQhQZv?`v@A&(9T(UhBOdlU3;rIpQq*%^z8d)AZ
    zbKlOF9REX(-;Rsus%@pU!}6<`U&Qwv$j5O10bW>MYB8=Aq@~kK3!8sM=nMbgT*UxdJNCoiKK3F?|)d2<9EVu
    zxCbbAFZobA{UNJ_A>?)+3G<6a3T~|&epS~0_8uTS4Jtmr`^m;N<>1>q_E3|;`WZUm
    zH7tKQplI!~`27>F!=_vUKgVNm^)zaGtH6Hzywmc(U-?wy>yK_Rx~q{thx>Zn<6y(TElMQPdB}^E&o!2Z9%DK4WvWL{
    z{Qf-P7bR+r79iKrbouhkXGd#qzYp@-1vTT=E*kJ!TXqlG&tIC??dTZkc=nk`cMN%r
    zmJeF@cE9#l&He|yjb|_UIRAd~QfFb!ny<}$_F32qXCS9I|4>g^e@c;2ejAyh?@!jZ
    ziVz_mI>oqlBIYt(trv0G@dbN!wN+K;PXs
    z$8>(4haklN>G9(5Q#>&~=A9a!m^V!hpHA^l8@KvQ%)h>!nvZedWB%y6an_SmY}fVp
    zkLq*1{G&Wh{?T!qFH?F(%*+1&6rV1=N;io3hhV#Ns(9Et{~
    zxWmW&Jn#3ObN=MYzOE#DX3n+89BZyIcJJBSZ`doNpGpbPzNP&@%dG`6cZbmO{Lht)
    zy@&gIYd2XJr;jeq*3KTZ!nE9K*3PybcC@@gyu$pCmkRcd9@cKpla9DC$Y3{5ae-q2
    zG7;H{ZTFFHy&l<)_-Il264;J{q!r5A1Zc83UcL6j?^~u`AALn&c*^l-BPbELgg--B
    z>?X0W*hrtQZDzmv`|`EN6P3?LZn+z`LWBhq&1K+GU*ugwAK#L3Nk*|jO`t%D?$kp7
    z()XbY_(Ow1`wtqH^grnMLI3>ww&usPdK}pIXXKz@PR5VFB22P(|E@(>@BUbpylfBK
    z>w5ZsfB3)O>$JfiQ(op0yQB$NoiM$IOFf)4v_e!p@tY+u(Yd&sdgHT5VMoshiE-&^
    zUkv0Hi2TM&Uhqdh|2tkelS2ZFeMSgSs7YtTGJNAaDzC1wBq&3IvaLf3o*0MxC#3%?
    zIxJ~3IrQ=L(>E^nEQeU>!yldk5DaRwHHyvLkxL~AWw%#>
    z3fZd|{Tscp;~O->A9LuxfzEA%3y?0(@`lg_Dk##N%V_=eC>eEu|!Io$GPZkuU$AhWg7X|!2
    zP-d14a47ox9HJ_{W1;C+i!fyP
    z+rjnEb#}_j*`}|7YpQp$qgV
    z;|Z6ge*WA6!zPjvDgf|?S#d42IV8)%bV!!BitDgKGhT&*385+sOvF8P`4Gvw%}_sR#Uhq6ZwDR3taXIa&o{y^B~^ICqU#w@By+w+`UjbB6M+b
    z5-oqrPQZCRo*@_8Xy`0jl4tSJWdnO%1|}&~(4o{wr!~6#zE;leL+Lj?9Iq!>cby>7
    zE$$8p#y46Nkl#c=f8`!g6^oXkuCiJ^WO2?rk33BwFtU)-|Ld5gLM%Pei}&+hIJ|YQ
    zVhYyE?yMoNURpu*71Nz71WkE-_qpnNX1%>HD*@-awNx*
    zl*t{#Zw57{_Q?@l>ux8D{wR(g2M~&!1A2bOwOy+?e-oK}k|&1k$&-~VG`s@Baj6~<
    z$Z`-=U)SeL>_V=S!@}R)?fkkp{f}PU!Cf(8Y%eWP03zpgxs{BW7y8vQ?YARka&-LH
    zNkK%+ZK1Egw&{R09K(WyA*E
    zx&Sf?&&WSIRx7)&pU~8`GJ$j{(GA(lK%s2ZKsK4XXl|X)41C}f6sPY|EX0g0gxB&c)cExOcZuW`n$&q;w~C
    zvUg{cSD1F7D(E=7(x*~Xtc8ZHkEBE3w=wu;AIV?@vzcn*rc0|YvZ|*A?1(Vhch$GH
    zpn-WHF41}CP5PCu#wJ>T`VyN0@9qrOaFjLgY2MJJVwg@LC0@2a6^M8)&Y8z
    z-Jl9){y5`2ugJhs6Hq{oJ7b!66;_RHD%D1Os1IUlJtta12Y`BQ!i%C6Uv{Nwm)YfF
    zZW@W{@E^cr%8!k-UcE}$m61lHOv(|y*#nvLP`T2?Cp&Eag&wz788N8Z?b)ae&e5Ii
    zH^#{c9iN)@twP!8?{Y*ME#%r3HmW*mQo+adE|RkwUq+39y>4eFHyB1#9llBZSpO$=
    zM7ZVZ@8X~gz^>YCOygeP3Xf;t6#v`jH~^}}yqrwamB9a)d6Hn_q|`Xha+CU`_+ILE
    zV^`IK-kf)MC7&eWpDLk#fdc%lm5IN_d#5V36vPV(YgG4CbGBz&X5UIqmDxXWVOt|w
    z`h?CC=wQ#OD*>6~D2+2%mO6q#UvTCjTPy;*MmQFMpb!)_(0wbd`I#ZF78$t=v+2h^PZ22g1
    zRKH;y<(AQgn_k3PLPfSukGSGDD6)6pcEtOh)1{W#wzx_O_k7S!xo6}|oa>KGu%~@#
    z5Sf|cDDPDchs3Br5w_FUoai?OmI7yqK-n4FmC8pde$gkgG==f!EIr$s^VI)Ri#dY`
    z3;e=Y$t?W#jIL$+m6gK-<1Hzv!dpr>EH6j3s;lsPqS5IZc%A
    zh~X&9_Kan~S{`q$Ii7zq(4baO*Jf$Ap6|%H08d_S-DZe-$FKJl
    z?Q|$XHDp0kcD5^4cp_6SN`P4E%r6Vq5Q%amL$Y%#>bB14QrTm}mXP~L`?|NK?bI%H
    zoDUE-HL%a`HU=mE=czU0mSplQI(K
    z^Ys9X{G>B#PEg3bfPfd{#`=Re?Zd
    zb7Z^6bF5=uZsA&Y!1ek(b&nyLefxI_64mp+dg(Gus9F>Xk~`j3*kPfkg8m?wDdRle
    z#wMJOKkvT1Onfx~ma21Pde-Nga+~dbDx0~#Q%4w`RA1yvThPHNWHGO;6N!xHayE4`
    zb)vA>(fkETc_Q$Y4Jd6knIWj}b9NkDR8DC4bRxE4H`%{tr_@{Wd
    zQy;(&P#YN3*Z#|X0U{#fVqTeJ5Rg~3P~mnbQkDl;aU6_w00J=^_ex9W%x*LEevXh|?fLSD++)N#psIX^u~UrP&iv9j9^!KH_9kf+*)@fN)Mzk=9v?X)+Plmsq2lcfZzW
    zo{R8sv+YEInQ2ZU&ic$dJJ#TNckpjK0k=*+xHn(4=V*~&qIXT=)?tyJc0%^gh0&S5
    zG+Q^d5~@1KT&o2tC4y$1lHDiq+N|yI=?lsY-wQ@9K|ZaAV?+
    z&1}5U15zPZGR4hL$kX-nNZg_>W8BBqiZ?U%K9Q*san8r9sURKI_a?j1eJUV24$*bC
    z7Lxu;bru(vyVZMNEVD`2iVY#o7KT-eJq2|%F!;J5w+2y6mFVAV1?Qu3u4;LzH&kB;
    z9zm1NO^cFU-X&97rt)Ld
    ze-9dddN+9C~4d)r-ujvDEm3Yf`V
    z^cq^iV`nN9&T@5gtlZd_-!ea)fv!$YFv^UdM5h*8MYrs<=&2
    zOtR)X^vua>p}Kh&%7>_GRAVHD>9D&14Zq(h$mus69}g69QVC4T(?<+VdJWcl%}uC_
    zcPeZv7qCqk=axKXjhfo0)}FXFKdE)+?C7@c=-pO9R>pQpJkR^MSfPw@I?}L4rp9>Y
    zUp=?=mda(XXCApvun~Im4PN*GG2FvAVAz!5M3r#hf=}hL8HFuDlE-$9lgkZA-KD6o
    zEWhzf>E7hvUdyNnNXslvn3LoaL~VPu)ESrS>Cs7r@Sjha@XVefUvij8GR0{{rVVL4
    zuku%;=uc|Bui~kRr7lI=#OwmIV?&F2n~jCZ+CRPR4`z0jbFu7c?a{AJSAWLE!-zRI
    z<=H^xcr=-KYV1i-rr|jKoSy?IbZzdoKdU>Wr=h^#>Eigs
    zq;d|9Ur~AjO>`W+!>H>1SZxyf{idtAvA(pdD|a!M>X!b~HtW;?;oC-l`FfjHDx8Cs
    zfn>IugKyHd3Z$baPqO{6S+_w?R~*e>p2yR%c&D!#%Q5(f070)KYDV1g3cK>kf>=zIg)j?4#Ik$5r*
    zcFO(kc2sDYe=X(w@F25fgQ$6v+oq=z?LSEnMr!}5A@*b&Z!iyJ-*
    zXLkuSN|+4(VnP5Oo?c&meS7<1!dTU?=qTIiVxJ7QL{*KbD{nb7Jj<_x!3ov=gvrK4
    zzSLun{O+xQbCTXN*ywpLF??ZeN48TIiz6t=MbmNrm;~fJJ^}g=@!o%En>7okedcQFT=hiQ@
    zGspeZVXu3qT-u+fFySn9Xf~d`M?~e0!}jOln8X?sEiY`sqult4kX0Y>*bZ&Cf0*=v|pJtAEhH6GYFVDKzrfbRe8<`1*XdDwKw&41O$pg>FG|PhW1-4Oibxixu=3hb@$SS;6GFn_O
    zN<7L`+6T)LBCimQ&4QZh;Tseq3}Hl9Bot>J3*hO2Pv(psOvh}NPCb?}ZdY{ml7}9E
    zxNOfvrnd-F>rJfk8YNK+t5{t-gQoVAxJZ{SGe?$dT0L03RqiXzY8d|<;oGXSAP}C#CSrp7jsyLJJA`o`%uQuEla9|s0
    zI-2qA>h}T^JH)cbtZZj|20?TDJ3*9qmjh%}s$D+_`GOBTT_oL`d294#q9_d75VAQ7sX}E))`^^IaMGGf>
    z1jQ7Y&L4;a3??4u9XX1rxx&hJ)qU9u_gkX=3vSc(8^Vq2%z_f!NRy1wxuV>rh>sR{i`L
    zUEa0hZT!>&k3fqYo0Aeh=f81$T-*rd@fYa*AH^OrwGBw&}KJnEbxv!r3Q5CSo`4w
    zpuTE%Pd&fN^YTebRXCkhH>vaT?3~v1@7^TOr-VDHT)kc-=;4wgW#PG2q&|KntP>fmWn`xRs$kU+Nz5+8~&Cv_Ya+9dmBBm%W&s_tOgV$SIpL-RuUF
    zH-vjlp&8|YKgyv}qWM#^$Bx-+VZ07$shm>$m}w=;__9WFP~(+BCxx*--BGvb>tIDA
    zbgsU*F3sVo?rIaH3Y(OIpLlsMTUBH$w1IqE;k&*!x#vEh%RtLZI5_=WPE3GY;wQ;q
    zEq#GIR<>mnR!rZ%f$-$zcT;+N%t9&S8bQlI+>mU(_FU3KUmFy^o=<`rmrxvo%^o<%
    zcWD=F4r=xvw&xJ=F*i^`>U&NUZSFHoh?{38MT@$yvo0jx`?Q=4BBE7WkX0jPi0E}D
    z1L}>-3JJg*g=Pwc1(I&J^j*20>=$1D?%PVt6KFdhw(a4Y-sg++zm}EYrIMqVmS@COByFy>uG`Y@@i#I27{DV;qNl4lw
    zm4ivgC-A#c$_%+^2(|3SFqUNRG3fY{#8i7KM*_?gN^NS-X9le*UYiPzWK|2g&Tr>m
    zdpF7Boea%*bT#UgD$^X8^JvZs_WcqlUaBRSEA&f%KeBA*blmd2k{B8DB=ELt=_427
    zz53YArFiLO6AOEpnf)f~|L@xTiPFkFQr1Ilf2wi;{mv0Ym5GyW;&%8aFtS3aBU5jolHZXTDOdEIb^Y
    zq7W*#g_zKM!px7k7S)K+*Hv+;FQqo$rI)Suc+h^B&i?6>J0%ymH<13OFD9FAlie^r
    z(jt&TuQX4S3tZzLUTB0rqmB+|f@^u%i(aM`Z}C9nP16AN`R0Y<4=
    zGC{%dtKM-p2h>=%TUj#-qG-IUWKpck(I3Gnd`A^cOVOLCb`
    z#fFCXhoWhEK|OcbD{^#HewH#N3@=a*yDhSdnHiD@o3YD@E}MLrRTU{-RF}H0=whiD
    zXAza8teE{ub#Nu)Z8R~2-}f^okXSVAdZ@0EQx!?;x5)5nEtls&nbTzCu@SzvZ6-0R
    z5hLvCOzSVuul`CD;i-Nya$l@Z?}0+>2KG5fB
    zXkOsTvN`d`b)H}Eh4v`<6TupIG%FP$kG255C%&O@bM>eXtkFA&!>$>@(-iMf86|p@HIt*S03sb+PeEL5`Jh7GLaLlO
    z_rFAh>z63xFwa<|JOfU$3K07FuU6njCT?I~d0MM;{BK?Rw}2l(RMwt-gD%t8;HFr$
    zp=_7uuZ@oq%Q$`5C6L)v8q>I&Al%>me*63CYW(m)J!Vs~RZ&OK@38D5t2`a^tPxIB
    z;3jB@)duQJ%6C@8?^J%QWy`}X)y1aBxc}X-bT!4H2e39h%}p%g-tnLZF5@l5@Gdo-
    z;ky%{x!CIi;53EX-!;ZBo-C>JS1l8^0SPo+2Ik2Zo9f`Y^t<6n?C56;nM{hdbNC&U
    zZ<5z#v1U{&`WB(hMy{E^>N6wmblIWYu(~q&jxkJk)WusI37vslIYAb_boLUCP5$*Z
    z1d$!Oa4zFa5)>D5zV{~-x*_baI{d5})3jYO+H~&@R1_LGqx$ZgPzs_4ZzE7+R(`BC
    z4)Q7e#G_B^ILp&Cyqfk8E*H0~x*C&WMrOVmIH#hDCHK#TotpwI51lr!1=e-)o!A>l
    z+$WoFz1Qlx8>193Inr@0_9}jtsCD%}>+E*S$%VhCX}fWw_2y;G`PIYgV}mQ`@{6Dc
    zJI?Su5S^A3_Cm|%{Zr@^Cttlu&a0K_UWvq%nJ@nZq8%CBCpeJ`woL-h(V|=^sWc!Q
    zt5D;}A(1Fe+$SfB5}cxcme{fyRT7Uz?5U$pb0K_?-j&Poq|tqQ3GRvH_in7LG^F^R
    zxvoN9%-r@XvDz9Y4#Mk(akJ-wf}#brUVv_$Rsl-t>>H-gNM&=LWodM?Nqh2pS<(C#
    zTRkP2Ef@ALj2{a^^Vj&XWHnsp*mCnfsJ#{l`*bubk+Rau@z%Fz?yF?x(imXkbQO-5
    z1q5($@_-L&yIE8@t-d@wns#nY+Y8>5`n7*j+^vOQ-$S*Hyt9<63QDw|8@}3XWUnGZ
    ze@+lVQYNM9`U6>57FPc!hEAyH&Fo|z*X~I;-pyUP@j#!{z4zfmSWO>~1R9zN>?xlf
    znq7@CUmzN=K-2L+Q$FcAFBC&%BR;FDvGB8ZA96%VE|m5Ha%A*=VHxZlXY6cClLLFP
    z=FBN-nQGM`K#NfBqq;v%}rrlftQKuN+
    z0aTmrgqM$o1R^70DJ|(xLs(5HJ9I&bW2O;xWis@A6AdX%WtgS~?u%MApWrJH?JBO+
    z<6r_N)1n>k0uZqa`?yHDh0k7Dcc6=;Nll)NKlj%aqcnSL#9^<#-J$Id~J&gxv>W~Gr03rdA5-aO;smeQp=Z$A0U+qi8-`9hPDJda*TwLw;MM9yPB
    zID%0OFDpHLI0WR}w>g=#sc~?s{*Amw$
    zVUwk2C}vCrCjJR-1MAxnM_}T}zjN&NIaSta9
    zLK;yKxwQjnf`%xOJYDS>b`pvxSYB{X;ih8_$Y-_3fsz0P;YsV{E`NrsZ77s0Lu@5O
    zO00)V4z{mD|x<}b?_*2URg%ndi8UP8lil=USxRdbX{^SSoN@u
    z@>@h?tUWjDu{e4fTY8Lrh;*Q;lgOyOW}i8^goGx9oR+_Cv@$NB)^uO*$t|Hz4c4o`
    z&deS2xWGAA!;+;efnj0&30>f*#N@Dmf$N7bB<+@i3QjE~GdHdq#l
    zUxv{TXS!N{6Ku;eAUL}7PF~0bFL`UQ)F`^bd)!M>DNk#SCpVF!RC5{*4=H==I=wh@
    zm=-)KI`TR(Pvdm~^#yTMsv&o#qqRgw`WF9zLY(fp*SG-jcUZgS1++&FFIb;PM7pRM
    z9`W>R-thb?{ub8v$V(Q^`n$*wi}s%5e*D59;coPvS_eidgsRkbp-SM4eKP1dso@Zx
    zWrqa=a~E6RadYCDYaZ`@C&bcKOl9&J|0?tc!LmickfR5n)@%9QFt}UBpNuNvTd7)_
    zfi5pMVOcD7#AA^@(}P!XE+kKR
    z$Ld%v%|k2Cy~YXs#ok2rh#!wdbh;e0KyQ%p4wt=VZt%?eXF#ehpfr%0UPR5b?fro<
    zAfy-rtc4B$OWZj~25Yn8;9YLjT%_IwD`O{)1caXUtu_boy;m<)~EOnm?gG&h(a>+
    ze9w%Wll|kprrdO5^xZL;`FhW3BfJ}BSBzDLX1XDEr?K!2Bl}5@M+jiym6+7uMDN0X
    zB$7c#u`g&xDpqnV00|GPvrrE75F;yPC|nBoY0BieZ;coQ+jKqX=jn2&pRC>rB<1IU
    z_kiiQT08PxY65wb!eV-y|zd2-fo5Gsb>5`L*#XR+UBUK6RU$EovAcvNWJ>?haFeKojK0lb>h
    zC7dW9AS7{L=N3mjvm}mkGKgIHlp*NPB#I{N3ZdR*|6TYPJ=hdRw7!
    z(`={{HM38!*3z-RPx5zVU2UK0?%B3gFw83^@a^d6p4LWkx=6rR^_)ElPbUxpo=*;+
    z#c`=eTG_H>V)?AjbWscMA@UdEQ7n;l!VHJJhG)DZcGJBABt5r+Df+5FoH_zLCSWeHH8g%9Hhsc?L~f4
    z7SpehNsB0D*FRQggM``XU17g
    zg9u)l@GN-Yg%g4TgaS7F+{j;k@}9JdDbgHZz)W1%&SqjFv1>#;E4=qQpX_Hr*l{g6
    z=xy(5Tb?f7cXyt|y+HX5bT8HAC^@6nUY8h(3eG8cM{7D~rv1b?UQx2bXh@T*);VpV
    zXIhtD)hRfV<16|yp^g4qf*n!VwMuY|vd_K+
    zHc#{2vW(f5tYK~7E9#Uv(nQj0VB2#FlGP7XY|8+Vu1Y|
    zhqj4%C(zQ3ICXbuXr4`XC_PEFm;wi7S)8U%t8w#dcZ~v-!|fU?rdE*-04VSWNoY1d(aC&Cx7!ycDbp6D_Nne7f*5bJ$D&TH
    zALO;Wg`&}6m%ZO1{M%6ZwM0^Y-1-qNuezLG+;|OkNrpRYKtxHoOIWhDX_mb6xPWzy
    zD?zc^OASZwQ}nqUhs=~Tv3#c<4hAV}D}|bgW`wCpw7qJY*n-O&R{MM)Zm3rk(xz!P
    zXQ*tNShpf1lySW3m$+-e9e5*xS)zZWYimQ(#
    z1QFkl1B+TFDjo6f&xGxD7Jg}G*(r)w7^X+$W9YnhkclN7Am*k0R%F_2)p@wZo5
    ze&yf^o4&5|(~;$0oCh-`)47LbhZN@cm
    zovIlTwxuAQ(!s*^59;(H_=Xy0q!w%-4AiJt`{%5{j{}bd24PKCAjuK%6yYrkWf;S{bnvJg6Ho=J?8{X5JkZR5pnzs?*h|x+dr+E(s?+P2KKYrqo8Goai&-3g+
    zA&L(AJw0|HC_p9=X@$6KIBYdbE}6?O|mS>entV&qVkuYe6ze9z1a{QA*t%!@TRL1OsrntGTB_}8e(
    zKVX~*08T_qqRK3}^81m8C?0ETb;@YEZcIgl2R3bMJfP6zaWX{q8PdI~OKq-(3E{Vm
    z5>O-q#5DopU;LXoNr!0rLxMv8RAlJ>=>TQ}A|R7Kinlo-R7K;`pte@Eo?`gW_cryY
    zSEHmPESf=R`-z7yZogYAlzr5PozVR@$0rU*J>8n@J|_BC=KUy^`gAD|A&=Pk=H)V>-}QWp9tQVLhIEJOQZsWYxst=c@=2)X
    zkjo7X#NL1f9Pv1*safzuC5$z&O>ue7a>1lemMgbjs8WP8OWb_oE(%TDfd4EMFd$yf
    zH|TXm^AtFhY^*caX;+Ld+32_FH32ft&nFh)1nDcbIt#}vZAh!gjLGo}sl8wycj{hv
    zS&bw0>BiN)5zM2Y^^M!VqWGb3X`^fed=taRtA-qI^z)l#frLKl31^Kk{V+qZ!d-qG
    zv`$H@%1g*HDZymeq=Ym)^CjCs=0+<@LrpHk$Gl_Sh3}ojG-j7u*a_u45Xl%eygK-J
    zEXK-jKc8|lHWC{qDwnFtEw*iN*DOZ_zwZOmryD+}p4frz)_8sUIM#=iYZ1W$mPSS;@;@`XRf>>>t{LE{3Ta
    zPwnLY_AHq93=gvWA+n-721d!tZ}&1wMq|*xf;B$qfMB%ptQGK`;hchjaTz
    z{{2o(Qv4I%?8+2myiS__;JzQ`QfWT4XIxTI|ZTn8Gmm|CTMcsyEfU!zLlt4L@~UvsJW?0mg*F0$<%**
    zb_FB<0Z)8=!Lk_K2`8vr-2Ct4`eRL+F!i0^jUwc(zz-W#L-|*%Z_?wR`FxdYyOzF1
    zS8NV_0P;a;OK5JJc%Z8KNpx6;%XGRz1g#sa^J7ZM4ru#nw-pz?w|^m{YLzj9`GABbWUK3a)
    z{<9YOuSoWXUX1x_(d<4qaRv*SpNB4n_vwR9mS%PZG(*sPy!r!vM
    z^iLAm(q6@kNIHJbe9~H87xZho3Ll~10IW!LS_jbiUiTKr4F9oNNaLa1>@PK8@bdAKP$s}WvgdxsV-MLqM%oMxMEurHSxQqV^I|1B<4_Tw
    zWDn@0^6nlk7$^CsW
    z-frWJQsJ{DbzHa*h&3#F8R8P>NocQ6eXe7o_>2*6bM#>$*<0KU_yd*M0w?mMK%%sYiK5bTy@CbGzb@<6C(;EL(R-##>G=
    zz@gNJ&Ot!lUP)Tq6i8C(m;~DQsypsZ&-&KgU_YAbre48M!xF36lER9
    zdR80+MB0AB`9wy(F77?61L(QlP4}bRHEW}uy6@X56#x6!Dc<3{(=?!?*I_T;`s)SO
    zDSoAG%E;Xx_0W83BV7ex>}S2do{{JHFZI~F15E<-T~%pAj`>)mdQQlR=MLFL=^MS#
    zPs0++qiKG5oF&l@IMi6R`Em~i>w}CAAZi<^asX)x`Y5*^Tk8-Dwm_~Kg`RVDxD<1k
    z;`Ujif)V+LzP4#}!y!O#LezBToovT+;sQ~6cpX2NJcLIINtGC_zT}O1;-Fx1g={mO
    zh{wT-n1t`Oq&iSL%La<)aozI?-ZW&)+{+PQS4_a$U=R%
    zy_nPF`BwLDgYl-ZQJ(p-%31bsv
    zX4ItYxA+Mowc*6Qx}xmzQOiE)wOz+F1}7=y?%DLM%~ySl0Q&CUPQ^)0PkdR%xf08f
    zc%Prm$*M<8EYa`rd6R%-tu_5p@WbOoKTrm}FMizr_81VHe=#PnGZnuKwo%0Mn;(xC
    zC#)U-!z6W?`Y$5g>*;nJr<*UW`(%68hDoIZxH|a~^bN|Cqgl0Ab0eH#Ups$ciKBFO
    z?{12|1ZTg&DiuS!n|;5pam=~ge1Y@urt$-Zhi*0D)?S}JRhZD9*CqSq$_r5^=wK}(
    zfCNZ8K)_cISxFv(eu^_S+9XO=2z@FB%iD8Pypax>KPi=H)(O@7w>_zz1J<5+#vYG%+-pLpXYvHMA;7kPJL78aNE}8
    zw88J~f@j&n(uZw-a&fpC{{$Q^mX!#8>w&Uoh?o_Vvj@*5lg;rdl#dtQ$lJ4QHoQ>S
    z)O=sO(NG5RywHnoMX{%sC1POU*x$7tT+`gZ7D|c)dfOf8&QayW
    z2K(yC7s}+h9vpMUQwAt&__iS9SqdK`E5hNTWq|fwkF-yn8bLm5`1>?okk;uP82^)}
    z%mlo0v94p%W5Q0DisWhi{J%c98vL<9o#j778V>aVWmJ}o8bt#foaJW50otZ0;e9^}
    zQ=G^Bo6EZ*^A1JG2cvDR8TQx4^vzZ^I__^%Dxa$Z8!V>N--gQ~ij=wpK31`9Hi!{J
    z!X5rI6QcO2M{mbo$yq~ye`@^U1758tTHiih~0Vpa^D#i2uuVh_5ezZU;KSj}>*w7ATwZ(0>I8YCO(wA1bX3rQepZ
    zUa_D1`aE{Fg)?C7;eSE?Pv7uD-D7jhZE^uFo_a5yv9lB4&ToI!K$BOE3bF_F&unk(
    zH!Nr19(_6V9}If0S=K$`)UcSy@7>p6Qu4k?!bj&M%^!;hTl~mJk?2HEaDw^waD%@m
    z^+=4JyPQ_kN^)L;!H%HOD#?G{t!CjEV*p&#Gs}la76P_duW+0Q9EjH7>aqQ95!gan
    zm1uKaonhz3Y&kuN)0CUG?z`@aC%Ptp$;j;6W|WI$IQug-D)F1r9i_|>7bGLpF2wlG
    zf}5C)JYW1@NM_Y0D!-9(J7hMT$J^tKqjoBjM8n4U*F)7((e`VDT!W=!<)H_7IDMB8
    zUq8Wxto&iCy1XM=*xYoAb2z(aNJhdw{yaT@=U2`}&9q`Q|dx
    z%(^$F21N1MRzxST=Keu_2r&@K1HC*KO*M%&)IpxDKA!K-~`qy}$Zx@ZjP$G?WQB
    zejlr92h#A{Ru9NZX&+3-Y@3_NeN)!h`9WKrL9RR5v0=QCB8tTrrU;Oec(C)(F%}rN
    zfAXe#4zUKWmFF_5@8qe~X~g;@*tKyyj?6l%wN4Y}oP_K^?khk!us51(aUL{Y(2R=C!_jas3B?<>#b
    zRX!ys$*7A^EnEh61vs|%B=p$^GiE%jhb>%%&_9w-Q3ovV1=kx+zxI06!S1f8O8c-w@%SSZwLw8z8cH|FKc=hoLJPKCsZu^=Su!2
    zk=;0-cWLqSKa5Unk==+f`TO}b8#GZ|J8%WfzOeNQ
    zyx`n~%#Gn+y2HGb^!)i0wVV1tb2k)1N=UssHITY=wpzp=mh0`am@ADM?2dlPn@-%8
    zoLkxbJB%w3NL}7nUMC?4xf;BkgbDHAtjYScOl+>trK{UckjFkxx`?L4DisL!Cn+`a
    zUL}tk-FPat*Zr(?yrz*H!1+F7zo4S>I7?BW&A4O;7&0{e8ORWX?B@4Cf3*1d010EW
    z3*2qBE}?;)Z^XQ)n>(mUV;9zAN*C@c4kMG&FFf^t>ALAXTjqefBC&lGZ1Da*-I2Ow
    zmo@;YEZA|naZ={XUsyXI|E>rVb8kVH3E+LvmGl
    zKy2-#0M
    zbQSv-sR7q%Vaa2;JW*nKjW<)@3=46wq;!m4aSXc2(xk(>t-uordUfrtb_Ud34U6Uu
    zva2;OC3!3o)Vm9F{g12Z6e-~pzOyW8%L64BCSwX^lJ)cOIK0uPE40yNjXpb2pW|8FP+|8y|JTVvDc+Z&Tp+ihr)WToXn
    z-J{@_OilXji+56Z4r
    zCZMe_52ic2IPkoiP((2ZDcj8jND!j>ueY@8tqYvqD#+g~NFEk7bD(d@K-5~?1
    z>xvm{!IT=`^o;^BFlf-kXKV`ltTs~d%6xhB)E~F)C|yBx2#T1VfPI}*_v5iz_J4{~
    zid9?Ps=!tOFNMeTqQ@I0_Q~S#LF_a`58YS0nfd8~K&DpY_2|n5+Ubv-RtYikpIePK
    zW3eP!G#tBgne2G4BK7sphj^>mwmoaQrO94%N0@TtWRruFK+44RcNJe)8HpL{k6XG;
    za1Qd{$sB$!o88zB=vnJ3y5``En;7YSXvM5zS<@6bZ#24OXH~emlV0Wpe6sFs?slQGmG}`fDM{2^S^)R*VL1r2rpbpT#?ZcmFE4z-iRgHa`TPs
    zreBE4UX)QukZ}QzmKa^yP%^ifGqF=O+u@!(@buxFL2Bp5!a{`*K`>_Bc0OsSG%c67
    z%9Txb-ik%^vYTiGNoW=w3*W-8fF<89y%r62n$bt=^cI494+ZgbXwe-;hn&ZFMl|(n
    z%0A}Q#;ER(k8!yeS9rNvFX^x)*lHv^gg%G@Y{hva>SV`q+NbOcYYt$>+l8r-Ybw1@
    zfD@*J*Q>DZ?$%vTw39YLI*}I@`PE&F6iKsGZeguL`1>ssdg>Z}#8F^Q^#}4Qw%_OW
    z+h?`tK#(B}>cG4M7)}P`(pt&rhhKFhU`V@mpQhh!S+I+F6X{>SddjAF8
    zwENCc-LGr+NJX-5QK}Ii?Ow}<<#
    z^@jX*JVc~0Y`fY}|E62n$b5Ld$p1(|l^b+s)8g1vxv9{YLXgz6ofUA%-q`gN@QQ|r
    z_uWSG-jH(+n5q}SM5hKX*+lpI@46GVENgc-4U=QIgBlj?tWzq
    zO?o#3IY`=wd39Er=$D(kQ0oOKbws6ClR+q@&DyRXPiIGxQ^MBAtPwmIT2T@X^%MEU
    zh+WtEk~Y(}PtS4aZ1{yWX~4GZCL=6=Qb|wAsB6*72HHi(5voaU65%@!RhsMuv5(q8
    z8Y(nYNrXmh_&(n=`Mc@|3hZ+kLo0oGDpl;4qV^y+-4d{{JeyRh2UOpN4885K=O=+7
    zYX;I&E*-)7gj!)87}ya^E3CO)tts?cCb64pJL{23--=N-l`(z}Z1H~|E!rGHM3vT`
    zhv^pyQpKU`)tO1Yh6!S?5!6LAw>Js2n}*#nyTw8PGd_{f>O2z&eTOBU{6Y
    zO*h7ln$QxF1UCpc!oV@8KXZaXOM*OOYSmddMr~~RG-R(iWYE#`R3um=xcSZ8JwL2>
    z>#gF>FBQ@Z8B-zXRqKP0Wg$~5lXK(9--mjQH6Vvi@&2&4LB%H**;V#*N@QI
    z4PMQ*8^YtL@bk4F0c+Ms*45KN`0sZi^xns2_J4^W@LwkQPBJQa6`C>F?-20Z_FA!AlWIuMeR2>>YG7e2v0{C9bQzBz?5>rozUD#KF(Ui?I6@4nZ(Py;52{_w+Noh
    zk!X{Dk0Y0KSZwoZ3)#(eq$Qr#!u(_8kXgZ5%fU{8ZZ>!8m~pGT3_A;+&y&BXV5jhR5sl%YKf28C<6Lbt!#eq$g`
    zyN4l!-8vjX@kEG)FNFRNS;7ecv?Q;B_=THh{oq?4mK5$DcacCQ+k{&uy({2TXjiZ-
    zX=xInI#!*uBF|KBau2RUu(xLdPeg-r-=`BVgTrP=Rw~`{$he-JsQZ3Ij0>fe0<%jW
    z6wt+VooW+1rN$H+cv+u~zb_@uLatewj(KafxwDL^CAfFz(cwMUd_IE@JJj7+$#eQTIMB^dp^eKh#$$uG+iR>g#@{c$iQEvOAT9HNJUG>jwlh3|bD|=l@Ee=%S@x`Z
    zjaR=R1rvU$&(n|^Z;s(gVcYB)sESmO(;w%+5X=YZQR?wiy=3jBK#btnuC@p`Q$hKs
    zw!2(vH$Jh~^CeYep0(?~>R=)Rnx7{1LX<}E3>EIJ$O3QNja`x{mv~_eKNr^@ebwei
    ze;6Dq>b!%bpw}B_fC4O@%9*Er`A_iRJUW*HNs3Bbi?}~}1b)_&)4M9wmuf{?We>pL+i$Gk
    zGW^&MAoK~jHa(~J>r#A3hY?AgWat@jFZ3-#p?t0$Mf6J79z
    z9Mw2fh2ki)&9f-Se0`-Mwvl1D`&&eb!K`I{imku@=!
    zx}(+8FM5#Fs@I?xZD5SX>BeR14w2b5l}#-6>YOi-Uz&
    z{)qJz*GD%KIR`zx)}Lso_OjzTPb-cW1~ZTl*Jrur7a&ocZT8#>pFEbYLHkm_Ieco}~TK
    zb0}9CE1P1o=Q)!Vbk*K_=5Ls~bou|e^GoHVRwwVOGT=_-@JBz;{t&w97(HSD8{!f{
    zIihFXWpKzAcQpW3aTOU~;GxE=ww~vScDI{i;nUAx5p$I2Smfnz_Kd4peQK9uZNxpB
    zTh0m`tVW-T+lOLcIHD&{DH`>hZB{|MJ4cDgY#u&ut6?@SHSTdM6p0}07Us4kuqzrP
    z;lLvh{N~QD@~0Gtr1*Tu-A3;6Hf{{7=FruGjWTFf4k@f$5<7s_`|XEs<0bybm#L&f
    zly|{HskfI`j@(u6FIw=b?(azP?9w|SU=sYp~
    z@)W%*+`$O=KySJBKKOAvy283qj+R>Hy2V`VNvb8=xYrbtj_J_JxW=P)D>N{QLoe^7
    z1ICLl?LUYG#t3ipM`k#OrXrr#>u$@0p)h4O;9kfrDu=#rr=~wxC>_0AKLz17Y)?P-
    ze5O{xt~Z%H>1(L@p|Wa?W;fOLeIowAdwNkU;-P@bPhQ&|5j9yle)?I5RVm4WTLbv)
    zH$a?yjnO44t~hq>1DMIE`a_Lc3S$I$2e$0jSNA9Q&HlthK!lA`jmx)p4i~vxB_(Ufc
    zz>F6eB11iSDwK-seeeucxMm^#*+mKpn?mNgXDOj-QbyU%Snw3)e3uY6SOrPxdwzPO
    zwKJ3D#Sujpo6Xxvuy=A+?-o@24d-hW+^tKd6}bGlf*m7&*{%36p90Mo2szk(S6I^-
    z^32LD|HZgOZyhJ6}J!^gRQ*)&jGT|d5~eoM1oDt`3pYOkU&I-80AVs6w;i`Zu!KmaL0
    z#v_8Fb8`8-Xh=@J)=M&Rgs?)^wSYWIZiip}*Tk#Y&X#g@sUJ
    zs8xYA^rCoA{xVgimX}yd2=b#=X#kbJrY;7VJSve@?YYtv3GMvmUUZ`DGPHFN%Z;b=
    z2yI*`q**Q+LU<}B9En=E*!&DM80TGw5wtSG@dcA6-a600+B8KS1avEF70QNnrCl_+
    ztJlXO^({I1p21u)m%q|=o9$>FGk*V?ixY7joRDny_QT&w6PuCgA@TTeRpzJbTenx1
    zQD@D(QRgdaUVM->Poyc$ScnSy=wYag7T@rk|M3I!anC;S^=;faZ*O2jG^OgQ+Uf3Q
    znyO(jP=BX-tKjU4jb6-WbuRpy9Be4=REHmGU43`6dln^r5hZ@2E`HK*fQwG%hqy%{
    zZYL2?5VFX(9-I*;r^t>XL_s7km?It;&z6%r#N$d+zWjg`CE8w$)J$w$#0X
    zN65BrgLqER%lBx-5}aN(_`wAuFuXZuyk)ZCPmzz(I_8Gt$9+n;B`=R5?V;$a8QU+C
    ztC^<)DLy8)-1{OMwGoLBE`(AZ6Dr%kIOO+d{~Gdj%U)%J58i7GyF|teMnv6o3Gy);
    zDKXAiQ}*Oq0>xu@YD_a+zrcyDilwja;E>kN4-iSMp#|3ft61@Oy(rOlsq=T6Tfs|+
    z`_n0qSH)S0bUgBdL%hY^{y68Sw4cP`rx|4oM~ef?l)3%As9*+c=;hf@Z?|osl?7z=
    zQ{fepf?$l>aN~2#sKndu7RubLL{@jNtmy50os9=7`{mi$XWW1t)EHB|p#Q?5QRUIx
    zkG8}^O#u#|keq{zfLVM;h^(9OH6h-4t5s
    zm_a0>BIPRSOt~Ci_`pJB8eXw;Sj=woFrON2ew(PAK`^O5LO?~6MYrU52#1b)L}S+N
    zk2#x7^N!SfZCHhoqPu*BIt%LJ6Vd9qp<-qEwU!#-7#o9RV9Gh^(+_@i%Dwl~EvWSY
    zfdoviwsnQAAbE^ueE!a^opqY@7etJIRGcR_L-x0`%{x?1#u^{yGFV1R;-MRBAcxxy5NY-|s9s7)k!|`H#`F);ls4i3>ErGm$;B`(G~;!)L>k
    zPdU>cW30(apyli4XFacN@D^#K({qY-}XTA85+bJ$5qm$~2kQ3-x!jG#cC6ipmm3$f<#-
    zhphw|>EUf#Y|}GKoxq`x@Y^$Stdg0DQqaXb6$|QiSN8xKRNA@Q5>Hpk
    zysKC)JN%bX9$3oZ+b)pJ#Q)oXNgjt9&s%{CA
    zdTrf^oiUQo9^&LX2AJ=3eOT_&{~%!h!0B1LmtE304VubyG1FjvCtSmYFnSjIp>zg#hoRFb-P1AYp7zBBW%p<^w{-YjP7mW^f0dgvh-7
    z<%H#`)q%IMFjBslvC3xV;ml*$3kDvWw{(XSE<3ze2?Nfzn1Jle0dkzU5Zodu!{W4+
    z;{AQrK!
    z9bS2+={DqO)tlSGPCaz5R9IP#GQnYrc5nUC=+#boD^`zmIk<*U??b`Qu-jo$@;`(Xvr(zE;|Ia~7KY
    zwrfDLtu?gji@@NwT4_*T=U0WlAApXuDM;d~N5dF^8(ipmfj{229C&~OeNHj_>C!pV
    zQz0j$EG-xe8YAhZY6T$UeH;_kfW9ior$=)T0`DBwh_hE-=1U{UKisOHtY^pc-^otAI21V
    zt!_7XkAJ7Q`f%#zuR@>uwoS_waPH&wHzh0o`lG?C+c~vCko9Q&Ke?(l*V!WHyAKoTRzZXBF0GYXu?9
    zpJol*JCi@GtUO@L%B-c;A&-U0PUOw*!a7wl9^J=t(5F&6YLJS7q}QpCXz3
    zExi`FKZ2M3e`YYOOIra>GDY5bbTw-*+}U6Oivl#6yt9A%VX~-T8yl!vHvS|tyxe&N
    z?kr-T2s%d)`61A7V{3o8LPRzB0BNHq7;yLm-2#bvWCOJlS>uv@JO<}6CcHbSVhFAl
    z>pmtCIjWNAi^U_Q=7);3tsE+kz%lqp-h|-Jg>hq4y{fwNnd+k0>bG%E47Hao1%__k
    zlSda~5rvdbC177{m@8-6cJ1W~4?g{`WW?i{1k4cx(%`7OmGaYazRMgxox+YuOZ#y{
    z>!FFA`om+V{tI(QL(f@=gN`ArdNViAZtLnSfWynDNpF~z@?f8B5dORbyW#;}wl$PW
    z@Tlh%<<-PuKQ9XB4?Yq=*%_XtR9Palzmf>POJkx2!EUI&iOFmLy#i(o-s^}lO<|H==>0vf|%&_cu7xsqNs
    zPNi96kGvRc;lpI_A#H+9N%V%NdgFTW;9qWbIewtL3s8YC
    z?3nC=k9vHNDBm>K4F@rA{_}BrF}1^y*8Tx`JB3^Coi*U1OS|6dWK`SxAk?@L)&8RX
    zw7f94v3%UfbLrLXRsa0@T;j1e)VB9aP0PdhF`x{#-WQc}Fwa&7Vho^4?S0X0Tzxv#
    zKj*&uM7|bfA*`@dP^NAOt0-CAS9>Uj&!s6<5{Td8#Yvm1KO8Yr!WhWQW5?$5X)155
    zDbQ@QL@K4p{agGLTlJK6g=?N=*G}G&uiY4&mg-+gN|6B=s;r^i-x_(}dz*p!N3L+h
    z)&W*hB<}gS6ZA%Jc)g&m)-=xhlt%UFqQ?C^NAGoNt=#WelQ7F63CcIGfwT^6BilgYXpBPjq&E
    z6k3*QL6*qjv-@QX@=EKV()8SAD$l5vMsHZE=?l6)wiMDPdcuho>{E}U*HGNefV#=Q
    za`At5s5kzl^pdctg?-9A7_Q(YrKXguTt@G93jhG~srN?hc*cgdS^jAlzM32LS8|oN
    z_SjT(V;Fm24K=>J=Jk-03TGBw_)*t7GTCZ*$c7{9-6lsRJBiU(+Qi*XG+jT}6YgF&cH}V+Npn5@R1?;dRj7za;E0`1>Cvut
    ziFBq0mXoj>T2(d+nib13L7gPWb=3If!I#obW~CrFt{Ak|mxZpnJV!E0h-Fc(A9AyJ
    z6n<4Rp5!%_!(nawR|9(_cHE_ZwtdsS=Y#BY5kcUT(zOr4V{f^toA4hI31jzY!VUvI
    zV}M8R=fb$3Gn<7tN>SMq+N;GitiNh?0rvX7-fmfMn-^5=#y?{#tjUp+!C$R*vy!??
    z4x=LYB*2z0_M5mZwrAH2PfxE+8$Br*#~Pp?A^=
    z->RhKE*L0NlQ`Z|M6E|A8l9GSy1j?)d7gz+zQ<1u?&tl+!jsd6rZu!PY8yOiFkx@XYU$~
    zF9Rr%s1|$iodewYKbn=nU`+;SD6J@h4>-O3vn#Zr8YJd^3Tt%pzpJ>rG#71KVUEIA
    zyt_ARa`PqR=3nk~LE!CSmdsRE(9H7(>#qgbqF*ATQWOUXph6D{mJ3Iw>k?Pl&EFp%
    z?`V3kx0%W~8XzZ#*Ft;fwdYl7k@^Lf3-Z0SMlU)H$%6fjhSz}z51MmU3
    zsazC0e!Mg+7h9qHI`w{NzjLRY-a!9s#a0!A4Yc8W;L1}P$!1#t1{!Qb6%|zBc(1!R
    z3P5^li
    z=j_&iKkzETLF>+n`nD^7_zBT_>_cvhzVl2*BoT(GoV&yIcpa0Z)KyWenbS1>WxCv!b4-@xA9q!t<9?HS;(8!fv-~
    z({rcoDn0v31ch$TtGp$WDw&2J~3(Ny?=zbNiwkKEMe=mRx-%W
    z21x@%j)S_YFTCRJ{x*jiY$%O-ht}&2Oz3<;wie`KQ;(50F9=gcQD(ZZYDv9eGHd94
    zt;f0lVEun!pBf*ufTDWBM9)RUb%N+wD&qN90d^o=JH!`9YApsB{}C!DZGU9i7Cu6>
    z#V+b(Se7||{>@kxrbmHh^-Uz_e^g2K&|r8dj+*@Mh}M4siVh52yny#cS3^>+KM|b#
    zsP0LA2OcGT#22Z!bOV^)4aXD8RNgwpmK8f20H9$x7T0TGHi*h+
    zqh{_zTYIkWZl9Hw}32$I*sDRIF#}*42FdO?QpB3(9lOX-)n6-OVhb
    zdZkae0r4ft`=!nmnaHg7iPIj~Gpx?HKmWgE-GIg9tdGS>z6>FE+K`PDThBy3Ks}D>6
    z?@fU+JY7(L*;T~OE0Ycm)xfXVdVSpD~u{h%Oc
    z|3|e;g|sou9F=(wy_7&?0y`e9QBQ?Ik4M}zIcY42-)`0kmuDJZo?KDgVg>EhpN6DF
    zl&cWlUiM+h+YMpg9Mn9Gzwzjzr2_kKT#f?v?LS^KAk?b|+Sq`zVuzqW^(*Lm8tXVmYDIy!j$U4RcpiCXY**i`qxZ3u4e
    z;T8bM_{ME70~iLuy6Or^{XXrU#}=qSR=lddr0TEVNX0=a_}89RJ4_B5Zx8V^ho7wW
    zY@N(5Y%vXf22)MkXJ`4lyQGBfg|1+SgySzp$^(+naY8_h~Q7WE8V+09y6DAigvv
    ze^r-RvcEL8w)m+gE048NJMZHz*89c04C`NbnMoz`?#PY6g<1-qc~)nfM^m&N#c1!H
    zfkHhQ_pnbx;0cA`4J0Pl9eA6b1@p!&C9YY@DHW4+K+7E%|K<7Ze{yXMbw#ZI>
    zRr#89>u-m9OX1#xNE;}quB@Rb&r~&4kpWe@m!9+DMlW}s*#*mr9xc!X{jH3ZJUsVA
    z%$*;5#?Cwe{zQwV&4+zx&#=2J>>S}($@H4(1paY|fAC5Az^V!4a)ZmClplDn+njp0
    ztl_c}O^^CNIZc$}SZiQ5+<%38ivvA7ik<%M@T+q*lVhf`8;9wg-tf-dWgnP>IgJ}?
    zj==lG?Bhh=WJrBj3UfLgZK_>Q2dqx_rrl-^arcC;+<-G|tK^GQ7B}O=UVSl%LK@HA
    zTepsvK{H!MXF|sd^U=Fy@bS+sMDXta1mKwxt8mQmhWXo774OZ+2JtKV?_!tP|ApQt
    z1>oiV9UuQFJ3s>ngKlgfRVY#Jb7IYk5?xgJmwgqt!0DVwSMFxd-R+-pp_|jf@yoh~
    z-7`b^OQ=@$a4^@S&kKa#u-|a8q%UJwo3^$(Ykd4_EiCnzfq_YWM1YZ4;2w>?fFHWr
    z<5UeIe@xBj4kk0A=vZ#K*Yb7q`nX=7Ce~-1`s>bSoPs<69Z$p4*`wRt#qFCM@6%ac
    zr?vEw5=sIF5hKI$qEG!ofm~xN(kyFBOw{={+Y`lqs(T~+5w^Jn`SILUUi;GbbD3GD
    zroNLO30;a0sDG3V;F!p7f!~7*w0hyHZajPEs@_Q0QI3AC9(ra#fH^-U
    ztKys!$
    zR=n((cpq!;VU%M$=N@~qQ52)U_Eid>MSn59?&_P?&;}SUlou3j&OnY!7Dyu8~1@$
    z`!9-eXbPnK2aDg~vCP(y>{gYKo`F(M@S^|T^B}WHKE$#p{-S);d_Jc3dA!H
    z32|ViegwdD&|Fpk$s2WoK0te2A+fIui8j(vhwK_TkA-&w)Mgr*!
    z_hgZ>m&@e>sp%#-&Eti;OWTzvyTaqA1vha10;vFK2{U|YiuRm&zNH0Ga-
    zn|A0s*;!=+I!AK%Nr=^U55I>H^KCfBrv>TBDA7kC(g^NeaNb
    zD9vb)MR0ATCQ@g&Qu%C^L!2_W>iGBVLA2nNK_yd6dL=ps&DfX7w6eYuEf#-;i|4gu
    z7&EQI&|ZY{>2tKVj;Yu`b3FHklf#k<<6W6@mSa}OKU+ZCBu#{r1)aL!#~s`?5Ii+B
    zFDRg+_K?Nc*C(7O6uss@cJ^Anek3$PjA{_TK|qZR;uL5Md`L)O
    zl8ZGtp@|b`YI+zn0T&qYLqDCEF7z+BCiywbjj61#_xX@S05Z5FQ{bC|
    zd*X4Aj_w+RR8x-7SEmy~I!mif{Tt&#BFF&snL*_EnKZjO%f3o9!A=MJyGqFKrHhlTeKTG^vkbgzn%BDn~O4U5OHWc!Mgf)`g{gPtO9*>*)|s5nsf{t`;34
    z@j2-~@bqgYzH!7mR(t?QI{=GR&{@{vrw(ay%Swt?e6u-JSM6D73J#`Y7hLl6j?=8Q
    z*%<>!1L584?Crhhj>N#Hr!GO)z|
    zWBeJpAe4w47I5Zc=P{HpOdL()2JrfEuU3#AtoM!Pm;=4uuOmtrM$k2VU)R0GG;U!w
    zdrfKdk=cI(V)pFQ>}^!(!CJCcbL2NjPfe4Pp8{(y>woS4<6s^6&hkZF^!+Au=Z}~I
    zwhw$6da@rr;ERO$8&tBg3eA+QrX`x!eQ{dI+Y0i$it#CM!5E->L~3_(2WWA-)E)YzgScl#WzdYXcUOs7v>6v+u5Gfn|2}83Sgfr(YTEEoW9Aui-Dn7@UDt
    zEFs=!x_$LDC&fmFzumV3fyAZ3Nyq`6rUx~}46{TylYJJsgpn^W
    zBZOcQ>$}Rt86G*jyFfU
    zb<|IJGRxHQ#&}$}C(LHoI-2_VG_|#qQm%V`zP|Z4gadzLH~@pey6QvjtuBYx%V=8*
    zANU?v8y&DTu7ADvh}akRY2_cU)L;u=%(msrBAt)Jz02(OV4}&eUe6%b^fKr6O}Oj0
    ziqnPqL-ZDFH)QxZ!&Q%23rbSRwFUZ}`gHU!oJo2f*_V2(qrU4C
    zOWy$0@D<2eh&YD-_&~(mRB2(tsbg-F8&^|BxlEST-}ySrcdKbNL-|^SW^SuB(#Q@o
    zj`L;AYm<^pw>4M$5Bb=0%|Rwm9GoA#F?@ND)2WNn%-j%2R5wR;Hqf4*b1~d$4wdHW
    zIoaUz{P5^wZYu%WE4HIm_4~VvpDu)m&$fB?y+gjts?G_^HzVm~hPy`ggC5>-*m#u)M|9&?s2Zb8I%Q#HaL81pph5Xjc)(rEi0(NSVu
    z{%V(fF!Ltzky3h6_C;d=4waBDF{QM{Wzj+&-G+wWuC67?Cugymm*0K1-@74WjC!oy
    z3^_Nqr)BgK!YrC_@s?EtyS1-*Nq~ljW|i_4nUm1JXuyf-n0rtAnY+hxSco47id7+y
    z_t(*aPvnuOTW|Smnfkn%r0>t@`do6%TieV_%Cj+++x?nMA}n%^j@PR9|6Z6Mr0kA)
    z$zg!56}!@AG9=vkY7PTBipLA<)ES!(-PU#n9EWR{r%9shc-)j
    zbW0jvcFD{m(wMwHz9GBm8Qr~9C3?W~Y{V;X+kN`i_47xn2|e(8(ZN8kA7(4W(OUAvvr_-^$+kpHY1<|e}v`tN=ELKgDwr93x4kpAO?o;F1cx?g;`MFlQduOF!IDp3Nn
    z!HVi+QU-1)i(EG^hrLFVqK=QlTSoS+=nf9=zo`krObUWdxb>Mkm>KgzzxwM(JH$DX
    zj+Koc6<#d2=jLWC{}Hb=mv5`a9ox2>`AiMy|NfA0J2cXlEWCPCpXodp6cDb9_72(-
    z^i(=1qVJ?L#g@veXsynTBrfi5#~)W~Z}uu-7zIyER6o@;a1KXkg{|0qR3<5DXmM}t
    ziTWUs;Hk>gXcbfj>Fx=_oEaQ&RH@=K%|8Z^}ov8eQMz};m;}b`#Fy1y15(h_<`uc7Y
    zJVs8YzzE*oMlHkL#d00uGgCm_iQL_3iz4qtmX+DZ^p)9+;e!^ZPj?j6@x{Rwaeg2S
    z?*~^#IE&MLo(rwneLb6DpHyf=YmY5QI@8_F9RkBr|i#1ETzU`RiNzqMrMSanMY4kb}Bt)m0d+Xapv6`kL{
    zmKA?@e<^b-qd1a1%XQqa!)D95v~>SJ9G2oO8aw5_4$oNn>$i_V+Gyhk0b`QNTZ4jE
    z##V-G>u|66UvCWB6)c-p{FkY$wUX>YHs8){XvCA|ozpS`B;z&PVcUCGo0E4lwWXO)J8VOWyWqUiqc_!OVupc@
    zoi~zC(5##{L`(LlKhsi81}2i9Ji5qxW2Q3#BB(I7@Vx;%&Sy+PMc*eCYveA6h{(bc
    zE&;tc-BA*8HfG4exO6B9zbci6xZ^;pE8XkE)R!VO<+qPfEH&eUiPX;nuDf1{d6I~2
    z{EA=9+&(EI{D;4AVwRyBR4zfx^5y-1l5V%d!+23mI@YcQd0c|vN+9uJ%q^p9E)otL
    z6U{I~Z1()09Fifw6pN&-nkpxCs!xT1KRW7Mk%;r|d|h=u!#;kyj}>PpZ7I?6$$aNL
    z^PEc^pe=-kYqNhc1oTKA_RAVb89;Sr}
    z?iWmBdd}`ZyZqVvks&jR^Hqd`){#S9)by{L5qe(^gU|JZ7|lDn#P-j+DQ18#nN?SX
    zb#G+)S;5!paW2*QkHtZV7U2KAq<`-SB5Un)zhnNZMXa1IzOLSK)Yg8qDxQRWae`!f
    zEO;U33BjxJ3FuX3(24y()P;~zNeih^*zcpJ-sJjIXt$W-%-7_aio*OtW*&bvLl6DC
    zvQ0H#z>fmG1>f=>94}7E7xmS`ZJ6j)CI^9f#VHeHiFFg>^ybmeCc+j2nKf{yEGEa$@x~~KOOoxJ)!Bc2-%M>H~=!0?KW4W<|%Q+Pl
    zS*J$orlb_>p^FmOujvUC12XKt;id#o^$oWaF%ILk!6Wpqqb+4%O*Q8wqU4|ShE9eZ
    zhk^SOF$n^U01x#m%feyOp{*ASsr=}Xl6;5@3uwY?+|U>jOvgX)0l)h~wd0C=hdf!4
    zh{|RSNNL9R4zARet$T|*5<<2Cm$>AtUeb>^=>{>TaMYO;I
    zNaH9vC2>34bU{%l^l2OX6ab7KSH61CoMyDkmy{T=q82x
    z-fKJbXJSGZ8!C#??*WV9T#`%d?=d0VtEG>Y&NPRafe(HsWlp{EM)entIlhdc#SBkA
    zn-;k-jeVJXtOH5N^;ISvY^va-t;WbLbqiLC25e*9FL3Rdm@
    z3nN{)$n8@@%hndtRYkO3cv4dzHe$D_%6yL{p=8Wl!Gm+?nP0hQ{ETj4^s~tU$eX}U
    z?V!%`c+$uZ!V?KVIT&l;aOzlBtJV%S9hNRH0joappZ*=g9CH{KBfi}C9NP3FIuz}?
    z=%NT8eg}q12Gcc75q@HsVOs6Q>e;?FL0cFi3}L+Vv@Zo6_XP5>KMI5e#vh}9j4EcZ
    z^8IrW!(vq+NfA0{{RKiw(0Xd{+
    zMw0Nt6n&%C8js~yNhGZy-Vn<1T}Z1!MwsF!`t-C0FX`|11i00jSJ>atd4W{}m4O#(
    zW*O+M(D(;}ET)4SY(S99;7num;H(4-!8C<+^*u}&BU5Ai=Q@*aGN5TdMW8>P8|`wd
    zDdxje#GhD^pWk=`7GFLKL_N&M=IDL*<1nKtdh=Hrz|aD1hoe966fx3qH6<%NqbO=w
    zok64*PsgNo!KrE-dEAEo9Jys)j;~x)Sh>CN_MS}=EQP_e?dNKLy&LDl$T2vb^FI5I
    znyDK8e{)C?hF3H1iVw4Wi!#OPJnwerxQ41+`R!z7bMy4oRf|oI*1n}s#(k6Yi81Vz(`5{2Cu1v3Mhm$HMlO
    z`pQAoSN@ZeAC9*)hIwlw2?vbkyF`Zbw}(^<%B0PgA<_&wt)E|$W?7ufglY$UY@Q$A
    zFk$N1rZ4p>T*mLc2-d0338wWAmLA6e=w;bI{vxSYa3P0m?2ZUE7pgrNkshMc{VZ*u
    z)7PW(1V%R)1qmD*?0r)5CG@Ng>8mWZy_T2JqgLx{x!P@-{}%~sRFY&s_Dk>f
    z=s`27=x6y)yz~359m;0O45k#PFD9DrH|wY?;N#o+es6>q9W`4&DSVMlI1$ZZ$32!V
    zYgasN(HT$LV>77bVikGOoN1Ex*8S7^
    z>vy_ZJB5P3!zz>PSdCrS0^zmRt_aCfI%)w1Va
    z9lpTkr8dUnq&8n)ci0CHK}YJmq`3hV*&$Ie3xq2w9`gj9#JRNABWir^Mx3(^YWMz&qnwV=X;Y@d-I2b
    z_Lib&(|;&Qowlgx!S}`a
    zA}2hDs3cN|%vkh?!eo3N><8xl4|E#6?s55YOG!BM*|t8b_k6Arlv2yzbA?WF5H$8_HKsv75^$gJp&6{`0JIVWU)mn6FZ@EVd7tfl-KAr)*_|ps9InHrHazc-<~CJS
    z{&;Vj(_F$J@R#)O&XNol34+t#_DY_$ws%SY4_R*=73JE-3)9k_3eu$r2n;nUAt?+<
    zNq31ucRzGXOAL*K=txUTfOJX2(2eJD@BN;$zV-ddV%DrRcU#k$JbKDpU
    zN-XbvO>6yRJoaUOwsGb9sCnhcTh6Kq82%`qVkI@K-U$m4s^pTXij9Xz$b}D;;=p{N
    z38L0=dTI>r*H5{;WtfAwN-xkR>m{&z=pk-mK0%%%hBKlS77
    zoK0!fHP#iSY$hg@%^=pJLOjQdE>U9np8og2(o
    zVi!&(KKZkGl8jsJ+Y!{=d>|s6R|Gd1s4NNBDoOqGdLTbepXxO9$z-al`XfJuR;_Vt
    z=F%)QO$sY9U$=s(tf^zLmJO>0M@uEwKKWNDTsFzMHi8k`nx7v$v;cT$3rg%?h?duF
    zFa`J>F+Kg!qtH`TwZc;|S7$b~Q1Z;#wh8P_OLN>AQLD8Y)$w<{${(1U3n~I^P1{2@
    zPIQljEn!VnQ#|pwFpSX0YB#-OV`GIAGk-R@H@5_q#L7*|#}C?SW0428;taegyT0DGqQUBJ(c;`4?1)(@0axHiVUILzE-^Hx8%xG==
    z(8$G06VvZk9uo!OoY9ASCJ_^%7fF1v3`qoXSF8u&ea9h0l>OS#8@9wxm?|87vdCJs
    z#|frn-kLCaDK>Et!wniXB6+Z1gdFCZ@l{#|)6u0>3UcttiT#?3&i$^docBg+K6QU|
    zvv2u>oMe2G;b)-b^I~O5z<1`-PwipqgNQ_kO~xI?yzmgaos_q)&6nRGi;gDteDAyc
    zl2oOo?fY+$IcOG>F%onwVVS{6ah5bp@GcT;y`g0~onyVkwb_f-<~9&}CuI>raeR7p
    zyZUx^1Va&N|0RNHbodL8Xhwr1eZ!!ivV0T2QW4;|>vO&&VF_dPQBfr2LK5VWvx4Lr
    z0lC-Q*D`G4qtq3H-*;LSRT$)*VzltmFoaM+F3yWX&9I2C_CArM6+f7SukM+|$3Q-p
    zpFd5Zi9KVI~oVV>9;V4W%s|dpNntGjC$6x+slpB3I-hX)9aSs)imsz_(Udo
    zs{jPnM6qH8^L|Te-7%A+*6Ar`ykzuML7A(G*
    zY%LJFRC6^pE!2BUc>L5Gbk+!Q|n@JrC;@{-vkY_mih4s&@dO>qKJh>5+Wd@+@f9-sa3a0BBL`VvO5ONb76}ER)3LW{@b`>nt
    zi7&`%PDOM1{r+XefQNW0y6RF_BlN&jL10#jCreJk9tLA
    zukdP!&R;MmHn51gK24t;?Zq*tK&?8owbXD0aq-sNCdpCLFFX4X5LG3!19#^bgMV*H>D$?5jwP~eiudJ{
    zwaLHTV0ZQ(
    zaJwngU78YH#OUMWVJ1@<(I6se`z_S1=jH6PrgdsQ__99Vop|M?AD%{lRaZ!b`y|$w
    zv91Jmb5SNg+(Mb7|0eJ3pqCH*h!`c(Au)+FD!07e;+q*9K^cxD&@_8mGX5jymAd;c
    zV=_V!ej3!CB&;UuUotZFBbE`~qQ2QWqS{JvQ=xsuYei*|g3-U+?kKIc;?7w>@bEC?
    z-757@JM@8Jyaa7EP(=?PqR8ZJwF0SHD-}-YYx_>K%0Cl$m}nYfrqTj59p?ou*j{S2%^|uG*_BNsP%UiFM=tRt4tczxEir=vl
    z-=*V&KggT#I7-7|bi=?`iI*(&9HXrq$RG8HOa~iIV!mWRB@J2TGD0(6+RBZmGv3Ri
    ziVD-ACE8KBcBhg31>cGkhE3(@-F?`pX1Y7fPhxuNW(SWD`wuOb9(>pV!MKkp&Ad5A
    zNtE{%3rv2efJwxShC#jP_e|kZmxK`__ddi6C;nw3(rTHgRbf$-w(m~y`w5;>WORmRn@nCHDPGWOQe?%=22)B*CX#R&(^GA3=!oN0#~`R
    z#Jdu4^$?;oh)?X6M>L6;@`}wMBIuRPNG{1($jF&mOF^rk{7%X4!TyEj0B)=*-H>$SzK1)Pa%UuBR?{8s}!EB`zMWvF653x87)^OS9kM+Xz
    zW|XLVJmTgiwS$Dm^0)nLj2^UZ#%^_G=iZFGU2iLiSFm|e(e@7n>TQ~`H`D1^S(2Eq
    zyg}tm1#GD~tzku&S5nn^X)YARxGd-`uKKeicA>?7zUSiWyIj&!X*Zl}-*FO|h
    zlG1WMbJ2Fg?8T^xRX
    zYAm6k;70ug7Q*{&Xp41_@aZ(4`;P{&IkU8Vi+%50e&3#$Qoq_esj7q&KVIlVwGB+e
    zL+aQ(6F|z6>g3aek#)RiGiewl(0wv^%de`yI|6ByI2x?GajTtPKp)n(-@LW{I^SEY
    z%JUR`t8{O#!M?2gE2$e?<=_+Gp_
    ziG{?b2m~TA{n;0MOPr@hieKq%_M7F0bH!3CDL}jlg=Uiyn|)Lwa*$U?njg0dF-nLT
    zUX;#5fRjq}{*2Tf44c#oeE-41g+YNP^zg%8mPWT75bU|)ZDWYkdVFa{ywe$_eCl{E
    zf=WHpCwj|Cr~i5uCosdMv&bcf>FxexYc7ztGp(WX#TYaob?m?K(w%YO?tr80*_6>2HjNjanAU+a&z=&Qp=ar{j5#-VP_f6j7@txfsX5qrKH@>s_1EFBBwg<&MXLf|z
    zFSWR;LZ2<^8Q54q%=3`E4E2)OZ4eO^-J)rjl3J*T|Bh1*xH?9F!n3W9;oo7~^d-6#
    zCVdD(@_lOUq_F=#%pmNI4*ED0Q&-2{-x7bj-+Xd(Jkj%mD-r^j2cgOH@AGyc5YKWG
    z?%zLLD9?ei*}~jR10SR#Hv_p3bYi(A3YQ+r@h}6YU}ZNHQlu9csrKSt%l71>`}TJZ
    zq&1C_CDKkmwHl2sS;M~CJpF^xTABBiH2?kVrb9z`Nuc8CMZc%;-WTrvR{q~*E)~oW
    zGVS5q-^ZT&ja)AQQXd~G+~*ph+mws34Msj)Y^*-Ad;&-U>(OG@)OB5L=02jxR%A2`
    zO`C<0rv16H(X-eQcYz@Cb0Tt5Lzxz86T4IrH0)&Z@1ly}p7->359p-`Pz>dObYN{m
    z3V1I^)WowM2mER_S@E-BSG5a=_@wU*zoMRTZp8xV{6Zk#vwv1pRF$X@#w<~*n1kxQ
    zzmULUtdK3to8YH8Y&Yz5#-jE;UdgHv
    zS4*`)%^`%jUkP2UD)+cSSKj0cCy^)^arD7^bYU#CQywb74zdb38U;e<{zvNc#}3k?;JqL{V1AvJI}ha3WJ@J*|^NMWJ%}Q)HQXUam_n`~hvE#hPl_-~L|6
    z+pKRL(SlT_GKd^XhBz#=O{DYrFvTW79$i>Ats^S=lH6ctPkeQWXI8&eV*u$vgWg+2
    zQBeU+0^1!00-dmqXR+x<=-aD`y}7eWOXI}|n(%={+DgaRIE@(rsV<&$JDIyp>UfifzOK=QH&X(1DZfeTyylToy
    zF++_jI7{8wm6rbxN#FY+C{P59ft<39ZX$nIrGblNme5d3%KmgmEGo;)w2}X48&Vw*
    z>G-dxmHS!MlX9;OO-f>-mwbdA<}f0c@=e8mr3Q;YCs^O*hrNdd)r$W--a!`l4x~Un
    zoBk=Fdw9`A%?iJK-h?DD{LOaBBsrH~`5qmlJLMHA$FJtzLz%I1#oIuDgZ+{%6EmLW
    zwJh8I=Z}ZGO5^L_ENFwcqu%k!G{EiIs#sN~`fi6T?)CRsh=F*zq>Y!bIMCP*JAG6Z1|u?q4a?
    zO|##AQu&u=7pSBrh=N1+`KDX!LdO@-G&h3z=`rcw>{x+MDtcPh)@zZ>_uC!5c^SUw
    z&#o-dZ)~aH{q6?SOQB|mck$0$?a^#eVi>jvb7K+tD*+eLq-oy<>=<&epb2#w7?td=
    zs&O>boXm{R7cJn6`IasRyzz3Jq_RIWG=CLmb36(PT*iv+@eqP#=8IuylFw?3E+1RP
    zNQ4*(A}a(AuwOEH9!(g`$V_rNyh4R9c}y1iqODIfyc^zkJf^qeFeuQbW(fcBxx$X4
    z>!snNbWgw*<9|R7bp_UCff;fz9e-`O3Y0MLxuVZdy17!qk33Ty&6+F9yw@{>l8GF#
    zT)G~K=-<7j^`HB7gzk0&@z0Jl()$W}RL=^YYtJRP8AwM?n)K_BH&r6-JYbMZ3DUxW
    zG_BIKZ~R&!@p`EVQeV^GeSZYDYas`YfHQSN!!X200wXx6W=;*IusnQ{(TBTSe7311
    z%))fX=P}HdZeI}7DPEGun8`_LcN>1ztH_sO#nDRrBLI853ulVcD=l%CG8cl$>@e@j
    z{w?BjKsm$s*DShDC20wUh&LEw(Z)4&9D5=Uzixg{_egSgS9)#$Z14#sKkirdNls#=na~*@1BGfR-xgrI@_v
    z6-6bMAxAkB%VV)#W#JFLyC2%gw&|=xYe+*V$c{`izn}9H*Xd5!W52sl^H?T(P{qrl
    zh%5_D$sGICW&`WYdC&q>s0R7FC^&5k+9^d&=7p9+nVvGQBW`bhrhH2Orfw(72iz$=
    zm#$5upd@SLO^L=Y_H}k=flI#p;rXrBzX?6FaNAdHy}y+rxcSR#Z`S4ZVs4T@AY+h=
    z?`r-0mXt}4%4DU>4dH=K
    z=l+n0Nj!RO3SMHMgPO+y_jsk_-;`+y3XX`$%SGK#_XTe&k%0WPylyx*@6rIr-8F^t0@E*1p$K_Ufs`J@M{^zgoeq;L7=046M@&;rJy
    z)}JCzJE)(cO^8+4A>V_TS}rAaPq_Dki0Jd8Xox@_DW&VGxY3aZZlKT7Ztq#z$ku~+
    zRYB`Fk{HLS?{c-`WG2skb_!Lx-Q`|$9>&TMLW}SUV++#pKkMziYOoqW({fkX>rq8f
    zsfqajHNy?r_D~X&md(;N*_)(>R~g}9&Ep$(#mrol{Md)GD9YGYwFl*JhX&rb%6|c{
    z;IWrM34TvV;~;CK4=+lLgpdT5nA=vz#YXq|EiLW`FeO4ZaXJ3*WNUhsv;Lsc#6#&1
    zxQbkG4;I*HsCDP
    z|67^BJ5^q7>FFXw5kEsEm(mUB{*m_d*YwP>9B?Ik5JoK+$KN|nrpOc*^Vq*KoKvP6
    zDOC8{D@WJ)&Gflzgp6_`J0VM1XU=D0fo|Azl)cNp*+CQcIP`z#inpqSZTAmr>>Q?k
    z3NmI*OONVRZzrBbG5{Gw2p6~b>LA^|O*tZY+c8R`Tt|4}V}??3SjTSkaqJF7DMXH!
    zw_a_u$q-{eIX(8uolK%l93z|{2tKI(3EE)iv9|pv?~$Fv)NJ9cvF|3}Fmt<=Yy5C)
    z0kluOHGhRF6`g=nvQpsMte1nLW%(|mB6pw#tU_=uU1#s?3=-yw$a6TjH
    zL!0xF!eu4&Z5FwdgRQxKQ+k!Q`P24vAQC;Qe=UKJj>hiG%O}rG_PFA4g!F`FC1{@G
    zsk{>19k!4LOrFkw(n#4={E7jR*s$a2(E&P!Xu8>hcP0^8A2Rg+5gCCk=te21mirh&9zNm#=+MtGr8SOe6Uv+l0x0NEe{XbYSlR5IFU>$c+1N^|~vDz>TCtNtkz
    z%_#0&I@w_y=*`4xzIs_!6TxIljVV#B(6AcA;8R1M4wg(A6w)bH9MN<{@MXdmF`vy<
    znu3(1tXD^qDp?pSeVi_ol=F_Pq^UY$$q8H-G?!g#$Y^`a5OI(F>0|kYySBH4VQCCN
    z&dbtGrS$-#tq#`RWV-3Uh8?1~*_^|$Z_6#8;!R~~$Z-!Tn{khE7R)dQW9Yuf2I%X1
    z+j%{ef9)lz$U&P!G%2sdus5Lng2>C?+H)ru4Lj}U<>poHfA-HI6vU0uz`Vg1M6IfX
    zxvswk%{~#tbRR&oPZ)kuPry+cpaDitbfm_`5bpiZB!~;cs|>>Y5RnE(h4W-a`PaAZ
    z)ss2{6XlD7Y+(AQ
    zk_zje7r}+@@AYVB4(|Blb1Bwc!Mta}B2a8syj!MI2!PJ>z?y*K^XXOwabNVi&pe9q{;iHC)0vBeYMm26(=y|0pH~Wm_#NUG*Gye9ZO7
    z_0w}wcIzKurBJ0XHaKBgro=UP0PJNT$je9a9~M%Zs1iv6D&Ii4K2wAFI>!7Q(~~B}
    z_L>_KgpgIZ1=q
    zP4lT2qjb%utV%
    zacY0l{SKCIn>VId!Jq9%AlFB&AnNYBA04V0-)N?1no<4fN@A}n;1MmV#}+e9
    z(|4cm?XV(-$Ru>b$~dG<`QE{J*4&okd$yqBoW(OW0?p9s?e^k7}}A^f9X?lHcF^rA*;zC{tK
    zC`WGd@M{HIi`qZxcKDDl=I;T7#6AU08?W?@e695>+T=D)-eO=wr$=5^$dnuEqUcWVz3D0hJ9Ri0qT_bKv+-0NhuUw3<7i;?c~E+_
    zRnsGh-W9w$PPSV6BnEZy`iP+z(}$$cy%Pa_$g?*Mi0(1O_ddTszGtw5xSPa7b;6+`
    zRUHO|`ZV63HaXq}(D32@C;FYD9(8N00{)l7{@o%KIpxTQ%(5H}(0n|8qdP+M>1#$_
    z|7?t9qxf!d@voMHzU>Z}q>MSNfxyVASnF3OLi$6?n~hZSbe-Z#E=F7eHBnwTLZS@#
    zBV-9=4mEe2p!E0zAE1A9F1uWC^Bc)fustrSbLJfY9ROQNvHVQW)S$X?cf}@9
    zZ+}?x5TbroI8`uKmBg_|$nz26V(E=1c47+y&nC6wkje?ZRcNVlIE*lEczd>>Vhjm?ZoG_%oouE8$`6&m2Asebo5&fP_L3vVSXXXBn>UV
    zKz`9>I9Pt3UBy;kNuSLA?2C{)waUPJxNLIAhN$&Y@tntQc3kzvuRz+%jl2)&e%>4)
    zbvTJr4x>NkA#=m_+#M)c;cH&3@+wN_HhhLNQXym7XAZzrlSm*Ac@~e`cep^7L{;y*6U7GW1x5U$GFt4Whg@
    z0*~LzFw}5mk9N;30(NtgVHZVy8*Z?)AC)}%Z
    ze>D8;?UvVu5Engdl^!)YDomYP-2aXW10|@K<$wD$ecr*Pd_0YLVa#b}co#&vWEWGK
    zBLX7#w%2pw44WxjF0=I|zo0#*$s%lFyo1S37^#utTweKue4|5GM);=h>1AEp<8pv!
    z@#P0}!d~{RcmuLq;hs(s{%^et@^)Km%L*dSAEzFTAKN?cRtX|C7N><%BZ49i%A4Z%5xl97N6BJ)r6)G58JU=
    zmEKc2yEEr0ZL&kg!!d?)o_q+s!88GaYz8l%5A3*~rR+@I6y9YK4?f*keWu5~Xfm|v
    zrtZCBQoSfBV|0{J7=cUO*6+Pz&?v$V_92H#wEtUo|P5
    zpw*^hSw?`{>ZP+h>o!&Sb3$9t6T~6E+!cK%%|A9KMrnecnAT;`kEsp1HhyPkBBabUrQjRD)1tV`v
    z6=V#M%7u%<*0jSd98~G{DOD7Gw*jYP{3h?uPV`u>9WGbIpVQ1^Jl%Z6ffL-hL|Z{2
    zpp7Fhs}QwD-QWzg4OzvzF7uH@;rzkmGV-(*vr94zoMXZWGpSsmPV`<s4CzQlUBu_G<9flE~L++D`-Sl)ANuXCCemm8Y96pY&_ETiX)
    zR{ps7ofKl>Ib0#N@k?U5K=K>ugnKpn+fH*17A9lLQF;lWAWRjz`f5CsTZFv&d5SVk
    zV$QgWZN5uSribSYOQ)sc%nU?G=>D(ewyyGVd
    zmHqaXm9H*IPgz7)#JhqEv3NSo;5!g!z-e~k0tocv+DdlhPcGAjnULIjeBPX_0Xu>G
    zVlhRNdqymU~EAIWa;6$
    z70&oHD}`@op?=D9OLENtf*8W{6)VMa?AVkX1;ZrU$TyPHGK3UV%i_}%4y-|d7Ia|v
    zmkhHAp|y)%iX#h#SdQ`T5D^0rt-m$)lKJ|m#CJsYjtJbfdK}4nxMOkumC%He<)*}t
    zi`Xpn%(3;qrt3sST+r1O`p(~t*Blilk9$F!##l`>?mq|zmNNHW2=Z$jn>HMZDJ%Dr`hA0OIXF1=$|GRZfjJWlLvXmBNy=(cVlCCxG$x7Hsn({`8
    z_@?Cz)WX}{KuDVcJ!q)`fe%%$D7$YSS*S~RB^k3k>H9iB0rog+bndLfvPQPjxcC`Xpq%3j`n_+H_tI$_l;pDGoHF#bF=P6fJKNJ*r?h_8W6ApEq
    zf)Xi*I~>+X0_;)HP$0f`mw=MN@$lUM<3HUi*!Y7)L$UOM`24d|%qLO38~rX-XHWTr
    zrz*N$az#Q1^BU#TQVr|8Yai&KQZ=92-EDoWYNtqDn=fMe0u_e!(l9>Uo^QIQ
    zS4lNoHoz9vv{1sRZ$)=Vx|zq^AhOz6()6VrC2TbmM=u={*cpu({`*tk
    z6k#zeLh14GUF=5ZMMh?)^TC#;BCzJ|m`;fOe8WM4A9#oRXQ!
    zpp20j>+Fm_En!J(%B||1;?Qpd^b&W1H^sb+MGsHlrO{k}YdSB3{-MXh_*8U7%m$~T
    zNrdtpE7oC_=o#b(5iRIc-d&rWT(v6Q2amSfe8IS#O&*i>#B_mnB31xB-g5poN$7tc
    zs`XmXzLY$Jd;u75Nm@sHB%zE}g>-zwja;Qt8&}}%$i6~kaA`X4!3%Xcrq^6lS>bjN
    ze>_39ZrEd0i~o$lJ{tc`c+dqCuIlgK1#BbG=HYzOqoTpwW4D%V*D)tq?{8%u+}b3s}haEHbVMyqgkdVQVNnv;8RKJb37c
    z7T1ql0}W{+@R*NR%IbX=jH>#bS5Vd0_`>Hgv_l4evX03-O_k8=Ufkp~F#V1&Fs$dL_s+9{eKu|R*fKwgE{Ga0VE*dtdhKIhDxHjouNr!)E>x
    zh?(JY%nU{l0@H+xTGk93m?ShzhE@{#Go9kOO(a-8v4liOsdrf
    zvU_6_2hl&i2X8jGy}jdwV@Z8essNpnJNdWmm#*T;FLj4b{xxl$Qd+`{@XWf`7V$xq
    z(Ti5QBOdySbkFI^J$HNT9L^Wlp$}a_Et}4@2SFygu5YbbFF@+H2{GOWN@7J@@i=E2
    zB`myKKOTRlX2lgzj-0M6r;3-iw#4AxM&;fKIhd&MzbHykd$2uid2XFvWPPY2#djtS
    zj%pxqQxj!UUoO1rG#iQHCAznpdcz!wwSuo-;R|$Kl1*;TSqBApz&z|~xYSrIg5v~z
    z+c%+^tG|Be0aW=Z5mJ4KBEX{M9{h#GB_py29S@z9?~TgBGg$~d5&BPtg+bHtAYKLf
    zkDoI~KDvr)Q)y6oybarWN(ZvXKst2%3tkaTTB-f&Dc`IzJC}oENU|%MmCbY0NKcXl
    z-t}?6RYwP8Etjtoku*G{&)Jp}B5p9g_5wk5iSk@^tFITpf(xDdW#F6j;IceJO^LV+
    zc=o)&vj=X3kLA+jh?hN6C+|aVd^2~e9z+`G)audaByJ|kaIQWU0twn^oT*`mwIE_O
    zTA*7$!MeY7!+E^6(OR$5f~h$WK3!bzF({p>`8K39i+5$F;UykmtC&}&4+V&&&UWsV
    zSW3#0*i#mKyOy`Sm2C9tr|zsr8Dt*n%lJK9*zoZJExF(%ms3p(7%v&b{LSYN-p?|-
    zuyRB!#=w_DH&2wmVMmI~AFgfj&;#ZZt7rxj^&VWEZ#2peq51}7_a~T(iw_+0mSj!!
    z0{vB_qUp2gWMvt%|0q89I|Fu1=x(5|ETk&E&bBLHpzwICOyF7bo3%0ZQ)J}TUZ28W
    zZ;7>YIq&{)Hz9c`NRukOWsD|efBFVE`u*%wGsS14C++{LvK6kSLRCPIM
    zN&H@C;v3FqfnPcsgHnRxK?E8_GpuS6@l&BfUC?;-fC8-G^-=)Pz;*fJ>>-`nu%72^
    zm1tt>Qn@WgKtmGI@M6)}BXhi^s_Fo{EzOKcQ-EoMC6xLFB<2+!OS$%^Oqtf8#VOl)
    z5W-z(P(k!K%gvgs&{ta_I7G7tISb~#?MzO~VDEpM7}Z&2^%);k`tp6J$B{}i$7^#o{jFDOl)_F(c;Gh`q
    z!*s(uI=GoRU(w)9KbcMdUoPRD)&p4JA3uG9lJ6~m<2qb{ay!E?kj5_Rx+Z0E%n!NS
    zCTsq6@UwNBvlhs0oHnl|c!^YFvlzy5@Wz%2{WfxitkD#h9?U@XhRZI44cQHK_}S@ypaBG;
    zOJbsjB$)WoK;Y?w>$VGMx+4j2qQwc~sCl0AZ?~D9ZN
    zw^?_LP+_4;5y^(tkT!te;Gpc8ija@=n&gq$p09`Q*$mpIY|8
    z+i~zkUa2O94tG}}LTIojOlX69%oc4I5Fr~aM6Z}(o3HvfH(VG%r6vci@=Fg^a``~`
    zrVF@;6VZ1=UIg20KZjYY|0rp(2Y;{2n2McpI>g$e-0pW#;Ek_(cE)aFiG>{JtKWPy
    z_-3%@5v$imREuOKuoeg&YAal-lfMlKhW7qXL-R5Q?#SqRDl>gfF$3p^i1hK#y~Btg
    zq4REM@OLiL0+z5JdGIdY607#->((%)RihMD7~|LC;4BQCo!R)a0&H?I;Kx%>Nlxj^
    z`ZVH`OHV7hG;t0`gT<#gCxo*zjqv3DfJXpv+8rt|FHrdjSEMChW
    z-$bVw3gS|l&QDScz6mp4%%?DEAWA7A9rv}ua1K^uy3m~6h{~kSfVZj+0bO`f|WuTwU6W
    zSoPXC1v!QJ7q*roiy(ZHl%kheqYFrbiiRX@&=ns&<9fa&7%4c&PcFU&EmEVMN_!@L
    zX|MXi_Zdfaa4*y#HXVW~R;AE~FlhI_n<4Aour@h&aB?2&YO1{w!g7Gst=fk`lN#T=
    zxn}D=06a~pz(CZf1xfIh%|Z8Yf7HcOXjYE*zr0QG5S*I;U{g}+q$Zoggrr;Y
    z2>=H&Kr7|dL^_1^#{X?k{5OQ08y``XaW9@kX0SjPi=Y6F`m~;&0TDcz$_124TiKn8
    zcz!hWyFc2g+kUu)1{K+*v}v-BALQ#({Z8Y%x?lMIij|4+O;h!V{>Dsag|8hd%Omf1!A!2_prKGq`Dj7!{Rd&mBgvs?5(^!&T7s4GtkL>B4jZaI=B}@;#
    zm#FKce8%1lTl!L+_>bU(y6o>zz~!iElS3qLC_uMSM36j3o?D^uDjCE~1N7G}1KBcW
    z``5O&#o3%eUt>Y0a}%$%<)D)ag&4_$?X*^g$7n1|Sg%sxvOtXuOj>OE>}gSuJJ_Tf
    z;hX`%QXAKFXPbdn>cgl80+GST92yuxcSVZ7ggKcq
    zUsgBB=mTobetb;{WxfB2@w0qQNenKPttw!CS-W1+dc4yIlbS%6mhX`fRBVoPZ?~S^
    zDt6}?le8lwR>B!}O$6GX;3~;+shh(DMzT3f1YkK0=5sF#iOCasW0}b-%Cxux8*X3a
    z%>91kRp+-fG#agv7B@NVFz?LyLTI-bSSJT{rh}x{nc#G%uI=9F^9gw?#k<)4+Bzrr
    zt*FmeUu<9lj=yT&W7Gb4rI&Y2;K`qQTTljf
    z8~WA5^PNsYKJkdu*-v2ru!rsPfCahZv@7?aY{-b3|KWu0{uuhsbU~tk8jvZtiCc70
    z)QteYhAM<*n$F~W=5)8+%yZPXH^%Q5T+DoE)i$ky{=NP<%3)Hen{`^EChzBT@adwM
    zIu(t*Ix1L-hKQ?UyEr{p{={qNx;R2=AfBYwb;5}NAji9r@ugrJ4%l`A+kl(S6sD$y
    zqiDubuBN$KBv{i$u(8BpV9(2wNE(~(wiX8*N>$kT~m_S
    z`p~~J^(L?Tr85-^jp{C9KZJ`@SXz@(HQ@``3kMZi!qi%UqU*x;6`B#RcB3lS$_Oc88kx9Bkrn_M~yZBm(fACxe}ef@yqpkzDoFoxz2>>T^+3{k|q>(L3icRh}w
    z9<(j(1O|L1sh@T2{@i|_V8zO7ztwBbcY9#QvH1XSZ1`>`*VBtSQ0vVzeo0AR4(cD#ZjK4TEqKb
    zg`2ZW67G&X+q_o#vgpa{3o**uMP*;4)HPvMZs4V3B&2}jwYYadg2Xgu)56=`Ij2M0egT)3*%EV4muU6O53d*m{l~#rLN)K|CyZ<*qEeOlE
    z4|H)b&<~2Eb}eteeUA;~qqQ43ZhKx|;;Cx0%u*-@X;@XsOqQ5j^^+wC5a=-S;~X~5
    zjt<~I?k8mfGl^&yexLPy+AuxFl#f$vIOiXQ(J7--G=O=RaDTozpOklJc0^$n@HvSfv_(J?RP|)j#|zYitq7E{U4)l&}qu3UNHgR8%Nu!kCPmK
    z)Dyf%RrXV581e%?KdbBfI?{n;S)=v30-Ym9_xoK!-F$J)Ow+EI8`T~`#Th(zY}#S^
    zoXRC~66lJNAibujxHvM&l=`FdZycz(w3Ew}+MlRjZ?E&1nG2JuWNChH;?!Q}`+Xb%
    z`C^-{zhQ1@xU
    zQo=B48!7yS)uc%vwarMsm>_gR%kat%MGCwv)*p}0nXL2U+%jq0;ml!rJ>t^)yLGE0
    zPY!tPgtgJwyy;5iu)hTD8@NZ~lq@J^2_m9{j68&xF{qX&(yXf)H-76rUd22yYo!l%`(x
    zg%PuNi4n0;9IRb(d#|uxL0hHRww96y#hMuY~WSTr6DpGTdHLD!tIj!I<`k#X@MFkyTOtRtui3;&L}@HGUyFdYrddJYp%w
    zK}76jYm_}Ju(K)C^T;0+)+!2Q25D&plH@vJ7k!;qsVRATZ+&8tKlCEM{BV3k!S1{U
    zK1p@_>&ww&65!d)8Qk~tAG6|a1n59`5yFhpT~c8GjsORJx&MSaOmOGTR@A`XTt2nj
    zodwmM^$uTkj(^n#zQYD6P2dlukQMXCORk{1=(AyI7yM}pO5o3N&lP}3WcnDVcTdWw
    zs(C5w3Xz!O?O@Puv91VF^JGRj`4a1C8x
    zzNuecDFGcwZ*JYS1B`(Q$)7i&Tf2sIAPe9Z&zr`RfDMQ`WXFmmv9p0_87s7|Yp<^q
    zAHawzlB!iiUcWx_kA!UAE?RljUKMGyikZes;B|h<|4DHEzF%_IGQ&AlKli()HUGoD2*M(5>IL7P~R&FsviRFNn}63jiLXLN}&
    z7sBi(r+OmDKuoJZW|!26?{^&nz6h5L*=)5MFi@l?Mts%A!#TKE-)DYfC;qdV=yz-#
    z&>A?dD|1Q!B)bqE3kz^1yC<#DX_f5r{NzI$-
    z*){$W8Q%b@i7BI++!OcLZO8=tLR&C&cE||>kOCAe$b+?k_IdB`H0-iLZ`UDK_F@f1(@mwBWDEQg*I^s*{vq(d3
    z=8CQ*x|2pO5zf`*n0m&K9(q*0VLwT)CA`rAa@1vHfJ=RZR3w?Y4K|ac2oR3GWB%)^
    z`-hE#U73eo${v}TXMdAvp%jRmHlOaLZ=?x+;H~NApjy%!FxE8EH%D&v=B^C;Q11B>
    zy)VUYT_jL?;N?-3`kyFF4)dwTJ@^nFqcwTGm0n6dJvrVTktH}DvaEW`+)1*B&d8=m
    zB1|O+l5|nTnJ*$I1XQnX$MdYMj9`Ty-Q^9;TY
    zN39CR`O+ozZMupF6=EXSc28XeS`7>hnNV^9dT4g|jw}ms-@)PG=FDa9IJeDN?cqn%
    zG;7j|$?mk>-;a
    zrk65IT3zEgTKajHBn<})s#=CK0sXB8^_$?e+Q5y)249+y_Pl!w(lxg%-=c5Kv$_$o
    zHjzTWzp=B!DmVAnBUPt`M!zd?oQo!D-Yl+jC8ecZY0Fdd%t>iMsvs?bH&h2-Wo7u>x-@?NIfAHAVVnSILv>gj=+t2HH
    zM^fJ~15-r{UrM*>B;E7KEc-{{v%-w*?l-7stdW{SR#gGrb_^}iJC8iSd4*n8b5X03
    z9xd7>SMyKbIlmG1`dV_&HZ6oC-p6eFQ6=f!<%Za2{UM>8-1kLdZjDlg%hyJ~a@%-y
    z$*>2M+x4Rs5+5vPld0bhK;1!RL*n(%vFh-)0f2wCkt>I(5IVgXs=!)9^lUPf4=O?)
    zuf4g0H4OQ}Xh3^tqy>_QKXGXN*E)rXJ?Eq^jZ)m=2&WJ7HPDSE=;=*2*Z}{c5tXFG
    zrN6UoWQDeHJCfjimdgKO>Z_xo>e_he?vO@u=n{qo0cjXQTDrwSy2C?BhZ2HAw{$Aq
    zAuS=LG*Z$?=RLmf``vrj`~_>Vn6uB`&wk<;szRSYJ-uQHwSTp#B{@4`>_uSHJegHO
    zRm2Z${&-mA3YY;iXlY1ZXh|d@ZRbDW?XyCR=*Y0`ye6NIOe5P|UNdMrz16j#z#^;{
    z28BlmAaQpiCedZv%XcdJo=qVCxEQk)k2x_a&JS!$H~(5#Q=`py)^~r^ZYpT6{`+Uc
    zY%jK^t^tpu(~GbCAaYLCx01tD$Z}Op9aO@!{u(be^BRb!y>-;_)ay$g{AS`Y@&A$O
    z5~Rwf1l!EPF>RrMm&a4hS%UFiZR~|)Cl60gBI4^A4AxA-3=6gd)gPYu3S^8IfKU*M;s4)*63yd+Q`&D#6xL!gn8L)g8h;
    zCsUn0_gKz0f@$YIh|B{}W@~x6`vU+==Fc?zLZ7VNu{xQiM?e7(6;WHu9V<}0_5HtU
    zdhER5p(wD3P?%gX0<9`IL($5LZqhWxeogZc9B
    z>xz8Nb@r6^>^w@!{a*f#QKJpjw?HyvvVn~d(8<^%&xCm&w5FTe^8vJ-i0g~p*X1R>
    z>{^o3Dr1PD2t4{U0XRzfD43-UxjBYN>@X(Pm_h_-AHSLt8=!I}C&xuhcbK+#X{7P5
    zHf_;g_BgkgdfZbji#JR;NQq~9YHz*62qs1+2F}-=l+jdP|EQN`UA|q7*v&u&N#M};
    znkUTtr#R!PW6<|d09hz^_HOnq>ceigEXQbu$kJTE-g5Ti0GWudv)0n-#OnTwu0LP;
    z=^5xb@XhU@5A#*te>}$@&?w%qiI7jTdoeF1;>yG<4kD-M@^(*p;!*fP4WySEf&_OZ0bbtFi)m%D^xzGh1#zfmRM(tbuDn~#dEdgj$7(-Z9
    zhEx~*sgk~D-m#Eg7?})tG=UxivK98mk<(%_;BH>+W71^iNwq~ElSs-P@-pGgEcDVk
    zs!e3*0Nn5H%pkQq5~rHBrITZ=`m30KRiJgN-EQNU+a@V&O(qY%h=mSlX@
    zfRWA%|AsxT1F6}9RYIerBAEdpxtXwJRtmNl$K~8~v69D2xoX+a6cWLjW}70Ar+7W_
    zP8J-0qKKlKcFhgi&8@{lV#z350}wEAao$b)G3&HEfzNAyx+bI*1F#W(_do&Po6q?LcY5{57*`o*L)9o
    zqaAY{lAK4t{kIMq`}@yY4|h)=uB&`S9xf9eE;S!cHK|3&so~u(H@Zpc!m^lXy(>#r
    zDleea=EGHUKK3+h9ETp3!2c*wASP$aCMRLRm0WGUOa>gbdC2)D4?kk|UkHN@^#wLd
    z;Ks(b_z4p?DJ97_KEZA;Gr4b1I1!`-2;+D2997AsE4eF=2HAHTJ`f8;p|i2C3?Nl6
    zJgBdEZ!ZK2BiA=&9mh!KtBcEaK|^-{v3YzdoLxt~4ot{rs8hFTmKN
    zxy}CqvYY3T5C#?NVJWRjYZOJd6z+shw1-cjbEjP&>Fm^^;y*OK-tuaz_%o_N`;p>F
    zbSXkvsSbc_H5UDno6+@}7TcaSziFs~$V@$b_;a-^;XROpY^NR^pChw1r9r;%y#h`V
    zi-H%20%{h3)2}pMJdr^>yX=1(d@*2yuN8}3ICat*wtXIATl*D;1~WA6Rkv#mZTNdv
    zi-+uRK8EEPHST&21@s=z7ov84R5jD%n!Q_siAaKTI
    z4r)rMny^!N5`&;M7J9u4(s5nsd&gMOv{p@%rBw`y+u=hIhgKTSEpS_G
    z@a95dxr-~bIOFzpGSRbqexoFHNJc)t^#J>Mv-?oruTYCvtK$Dl8i_PQmZAY+Vsq?C
    zHo9Q{@?pnhsc&FqUn~g1OVa2Q>HBhf5A0?r-KWH1e(AJEI2R5&sK-Lp$^2xu*xJTw$*>dnWq`{G^Zd^Cf_
    zYc_LQ$QM|$MaawkiT4!<8F8zSNgd0K34vOUSWT|fj=Lls0`+$P^5)5Mz*lIssV3f%
    zXan2+Wk_;_j-$n#rukIuHp7IHdDT`Sc#KLgNkv#LCKH;gAUgR_w^wg7ecG&+^j30$
    zrHe=*Uyhd`$>@j^ztt}_lQ@cM{K4Na1GBEkq-u%hE>8<=&naKilXUrNEPiW49Wud@
    z2j%}200HHScTM^^k%pv21U)eHC%_AVf3W9RRY=}BhKxU({!s}{<%B05%09O)@wuHx;xUy)aQ;@K{-)(~$UG;f)8{
    zdyq6#fr>0=1chjmphC_`mXX$!!9dqiQtxkK0Aq^Vo~NxlSmHq)+dE(b&zWyZTi{>%
    zC{Y56AE+NfhH5@(lq?LF!|d0|!p!((L`cNQr|z(grc{Y$A)EbuH`oV5&V`p1#I?xY
    zK{Bx_;c=fo&c^zdtKI$PbsLp%(nIk$fy$^)=AeL6naPQGGgQ`zMt1Cz$vFBHU=dXG
    zZ%H6XlshmaZEmACvg4BhuYAvUmQK@n`Z?Q%q!3a}E>}O-1$+^0s(-&12-T|Q+~oac
    zn1mrKFsGIURr&g8tv73PLwPS4YyE6=ecNKDunVC@SC76cH?Q;J*HQ%tLMg<~wf26)
    zmT91?{mdNKUt#D;7@kErz~N(W*{;jrU5*_%-O!M3&4M#x_5}B7Tx#Ka+;7Jm9nT_K
    zy7t>41o(9xwCK_Ap_bIH)g)|>z#b22iom~Mg{$W>hi4#otTWZ)5nULx#@U*xQ|T$4
    zWv~Q6^UGAZx}%Gg=SqB#H@;rCJ-)IC1f1q;y;^z*tFo~ja;tboYe)5kP
    z9jIOJ9hp`J5-|QQZ<7B$D_sODi01BIm?hIK0iAs6ZWeu4aylG9p_a_1#o(m2eQJEM
    zXJd*D>Q@AdcNg&(Nx$|*fypB_JAa>7kzqkcUa
    z=AD2ndN#i0vjpYb&UO}Q=oH0g5Bm4hMVvpC8P~a30_c9p#a~%zpL^-9Ku%xzX7kPm
    zSRH)ikdfgLd|s=0DAAhH*{1!g;-|$-7_&O!$ppcIZZ~Rg=?pO_V>>7zUhC0y&xEn|
    z%Yt=`C%$DB!fE|)(zd4iA0~?;5j0+H+v?*`GxOh{J~JN16X_EZPorqyT>6+y!W?xn`P<9<#d3Vq=hGb|!3E3cVv2TX_V{H?9?FPuL7fsi52a|Y3`+~V
    zudX=ybk$2`^RAL8cf=8v5gD4k!0I#1mKiuy!*nc3EHl|G_b(FHc
    z(boWsmNwQbsNQ@9-7BMuw>^3|C)41cnRs`ZYC;ei!$a~zkKgGY{I=rwN1;l~3TOLj
    z2E@OC1|$BIGvBNI=VEjo#UOid)*KjcPYcY16;c33Q@yEtN(KQp`}V1N_ZwA7{%Xu_
    z5bXIPP^N9`Q%w!T2N$%Y0ILBh*AP&(LW*!^`Sg221uA-`OzEiB18
    z^|eQ``lo2T2ue+TbA`{K&wBS9XcuO@-V1-`!YB`@DqkBtm{#*|H=m|3r)sP`IH9Qm
    z9`yL(-TUeUR%-^W#s(fz%Q%iWT3{u({PaN*%q(c*V}7KdzAC-uHU9yhXmx*8dNa8Q
    zpRm^26a9I$=DsNVskN8GTPH6xMKoLV0Az8-{)^lOoo)_@jalg;PyV6t}I$M?o{EmE}A-T3u%Ejl=pJAKC(st<6R-}bErvSEnc?{@~H
    zj#>0RPT*JOYc0a%^IOBg*$rD5jV91vTUcwXLvq<_M(3gh5pR9}b$-Hdwm;7N8|-_k
    zA-BDfU(Q9Jg(R^|Epf(F@9>ly;kXpCXg7MS4!gFiSgJ4sv)0vC0TBdxNiSA(N=uAL
    z#}l?|GPGrID-0M{!#9JK19$9FHaK#`H^Z?&MZB`C$4
    z-Bv%m6iDcAA0;#Mo&Tc3Xt6mMDs{Xx>AO=~WOD&s^_cqn^hO0QaJm+B7%7l>GE)y%
    z;@Om`E(%7y;jJ=DegyBH>#bq~^I(ejKrZf&jgiqrB9^|fZv`p^r>R^yLShq}Xq@t%
    z*!|o6nFUd~(ZL+7!%RtiC(^i7!>I;NhUUw2N5n}4e;%P7DfNh@}#p;gtI~>FEY%zUm_8oecO%Eo4OZ
    z{W^qOf!d4kYYnhq+gyIas1a_GWeLlpVEHGe*!>$*-2U1-*?@=1+Zrae|C1Q9)CJP!
    zAG`N`d`9XGfK>A{v+=M(I!%{CvTWR^jw8kirTZ2#E~Jg5)~=qxgF4?b;w5mA
    zyrqs&4h1=`^3%LYz#iTIl|L25*~SI~O3Yuom{_P-Ta87BTQCdjuP4luK!pV>vlnK2+vCIaAY
    zOV11-*Q+b~wRq^*N<^`g!`6exxf@R0rTv&6r=Eh1iVD`A?MGU$t_a6`nK*^==MnwW
    zM#|TZkV|$(>Et^zp0Nr5#9jYpR)VeGp}P{%t1%Lpy=W08tqcOzXv_Fxyf|xU)*Vtx
    zvLny;z~d;s@yj`%sf$@5p~^x+hIEoufp>M#`qHYmN+B=L8j_=cx*-i>DEt
    zh5+)j)CchfF1x2~c`=k|G(LK>L7h*m!)##hz2(%#$w0yc0*a28RNpF!?}lZ4Ls`RD9_a3DwU}6fbeyGX)8CcklGy
    zGzh-^*kT8p_YhldbcjQjetQ-^pprY>m-&wwrh3`h3yO!YPe-mEq`!$>G+o?hv9bL=
    z?nNfk>SBC?OSwZ!3XO^)4!w9u(gt}Iiz;9vjmYn(Le0a|h$R@w2L`^6;^DIk3P~YN
    zVtuJ!6z*v7@A_E=CtSV<6aB!OUEVA|1c)uHdhz*HgV#eavB#r0ADfL
    zkW&qEeJ?a5!x}I#3wB&jO&^+z^%}myT!SFQ5F6#^gM#2t*8laS!*+25biS(~l==yK9US8o{uOZ9OgE#M=4z-@T=R1FJtc`U
    zHvGitbx0=lCxRYMQ>Vtazfu`AC~^b2>8er%?jl3e6A6R!S6Eh9#`{=vGG8I*kk5uO
    zA}^~{$L!i*w0z4^_OVW$oUVrKNb?J!S!_$(pQH}?RCl?uK1_R`|#fgjn1&%-B+Tc=lNMp#3@ZY
    z@Q2RRyB*JTk&YX^KLnl)p($MlFGB|+E!WbHV=zAdt_t;-UYX+fOqMIkifp?H@Q7Dckn5M@B<
    zO%TqO3qyXn=Bg5wUfmT%9wzD-!J5g?|GOKC%c=%Ck{Mq3IJi
    zQk@$*qsx6)x+DJ;XWsxHA3vORjpWgFam{{&C$7G_V8qSI+jK+5{n+ztyshz1U6j0o
    zQK9MLUGnSAfA_Bz8TL!_SHOR#OulHVJ?~`*Tu2@TFG%F)p9G4|^&}n`!}$
    zZrx#z^|%_&Wt9)BiySNAPx?~&5soE~rO)Fol+c`_*CvCN2FYZY#V7cK_;CegvJHbl
    zDP(1C?lU|IR=Tbt$hqmdqZ@_c)G_HdpVS6RL!H3=)+Rw-prh3uL?Z><2TKvaubqlw
    zCj@l3vC%AHpE0@6K_&K2kE@G5*cg&>i_kiSu*oOu1<4!a-_?bts|I4Y-b!GLV%r#j
    z--wAcZaH#b)m;~CS%FiZ^6r-6_Q5YiJEnX$M!}bSs~iIFyx>9z}$F#9JW%HGXM&Wq#j@b56M_9Nfxi
    z;&EuSGh`@e%{XNni0FOOti%WQ$L`ZO-gGq^GCjkDi)Yxi2=dfh0qdYP652bSPZ)
    zZPH&(;|A#_OQ$t!P#zDeWF*);WhQtu0YrL~?i>4fKO+-mHccz$>x+$gWjte=z?^=R
    z6|XVMlYm*6WG)@>YrCy%I6_Y^?)G%MEnDO^8cImlyhWIh;AS66F+pNFrH~8;3Io%5
    zOnsUBmrhh?eS??@^eqj)S2E!cotCf{PP@|WFIT&d4GFAgXhfJdVkW4UE;<}POL=5bMIa6F3rdZjG?ygd6AhP@3ky{ttz2Wn(s>1$6wf9>)~UsU)#
    z$(q%P!eqx8S=nqixv1<)CS4^CAAVZFIsK_1`*#d8pZ;dI81034`p-nly^E?UC_kPz
    z*H+rK9)i$B)G&+i8(yo217>3CwdZ?q)etB?^>#JnBGG~t8+?4*o`}As*<@OHcdx1c
    z_Dv)w@xfY3^Ka(;U1}iBvbY!F(ZK@A?O7%J_`K+5Ww>Std{&ayZYkqtl5x=91Ol@DT%3Ll3gJOFSIL>~ReS69|7KWsb^Ib597IPUUs$iwb0|(VpPcRWug?N>xXH0`TtJU0B
    z`zRdqq>-2fV2~M#DKE<~LHV&SV-ScfUe3Y9p8On&i0VNEoCz|&J$36Q6nPQR0&TzU
    zdX;IHiM1R8qeu&=sS{bE9ONStRcQL+r#x2I6%3_2zLn55xH&$rcpO+??lxQ`uBq-KboB9y(CD8eB}+!AJOVWO)9
    z_^2;|Zd=f^%QmS@j-ZSH&+o1i6V`lMG?jh&w!yU}9Y;(^G#}fTeaGuV1^nreY~5;g
    zQL;=yq>UJ^M7c_t?aT=QyjGg2cb6y?#p#t()4^p_F-cK)Qb)te|_4no$5$B9qnu_A9KE
    ze0z_3cB93iZ^YWJ%De-owz4~FJb#*J`M()JeC}9mx%il$eIGh~z7M+F?l;iR=uUpw
    zOH1GZL*&rVkOewEe#_WgU+ndD&CU5;;9~PvHjQT6hu|#)qByh37Gc;!q4PHm0Y4>8
    zW>>W!7|jDO8%r#*ia{w6!wYg(OpPuKp~CQAGAw-Kx+a&r;EonTYq!~viM;kJV|0A?
    z$_ctpu2IS=)6};=a&@$s1MX`wVlvedYMucjrFYSGxeJyshgg(?XdWEVT5K26$woGR
    z70BQ+@iSv@4$*Rs^U3^O$xooziSQX*uR9Ezoivd)4mX3Pc)AxU{-1aLyo6zDqZ&E&
    zVD5@uBvD{$Zti7($h*|9@iQZtf)z>$2kYj6t{c(ldj29uA8f|NwleCzNw*wAE0%g#
    z*@7#X|6UgHwDS{8h*zawlB>*wR?h@P7Wi%U5riGD#3yRxZuFi#15jVTqY8kWT=02g
    zc88)}gpe~?o7zN^9C#5omgr{q3SWJ9Mx}}*Mas51&VW_ofD;U57rIIIPW%D+L}`p{
    zjiRHiuuxV89t&*cD!USk=R-cvz^n;dK3e%hk#_Q+$okMny7Ol48I~HRhwxbCWbyuW
    zAGB*eXPVWj6qe#D0F36l2L0p)E7;{ET;VD)0QAo^
    zPimyb6cO+USOkwsuo
    z#S%EHywmbo$FYoR_1wV2Bu2F%mUAs&QkB{39+jYKkmbdGtBHY!_CDZ>&!Jq!A7f%t
    zx~F-S7X23<0#=T3sS$
    z4_sQKkYIC!M9Br+jcj}^o)&x{SSC7^+p+YBW+0HxqE+rarC)I6Djy@%&ol$a%vg$h
    z`47Tt@Al`gb$i?&#QC1@^%Qf}(b30JRC3Xh{P+9
    zgayjCvYwa4r8Ybt^)cdHQ*6}rr%n(B7m$pY-gTN=wbR=yMkM(FC^=;bGE`PnMOdul
    zhO#^FG8!f|xXq}3`ZzGaAtb7~^S$Ix=p-rOsT{OC#Pyye8~-ple)m$j8@%vZ%didB
    zxPoHH<(s91dcp1)p<$+G
    z0rvPb(WjMy$71MaTI;Qlw&M{Cj@J&UXo0a<#i5Z$k!5z;C}eMfVfie{f)eUK`Vjov
    zw}Ctofu|etUILbCtu-t7%`6Kn(R3kDSrja3Odz)L(pmGgGoFLth5jU$W&;p0W(hNO
    zKO?J|1;0?9KSKz`!n^xRbE%x4;#brZILC^w%w&@0GF#Ot
    zrd%psa?OD-(|K}_jdpnXAeeDudHGCg#H3Bgem-YpFHVvb;~gyU3i|Q(QMj(^()4>z
    z5_Sh{%H67?_DCLhD1MdmF>l9P`}cOmjD?Gic%OQu617k=)}yVcGZo{e4kA~9UCM?P
    z@8)+!#NP%kYpH=2`%k1NK0g|I1=jlPS(d*X{X`C8Fqh#dAWC4M%D$l$D9vtla1vrk
    zdG_ge#`RlAegxr%RKACsoXrGzN{~R?+0n1OX~T8d<77Bu_xFKWyOqmymjqauUHl2hG<-c(1U!9MJzfU0
    z-r}}KoXqDaA<$Jv7enMYl#k(j^kIdxl0IA4_z&6-pw}%}z#aaU+#9QwpMxwF*}E9`
    z#>SU@CX^Mk=EoG7cUv!%!*r)5fzYWO&-E`!K9_;e6W{3yH!($YXMIRNcrzwRU(om7
    zZVYe0?HFAou}+$E{AXuBaO@MS7-%e@7;*QO`f|pekqJ(s-sxvyO|zmOK>u6y1ON8HEx0zCg~v);FtBx&TJLPjuPJ(8n7w`8xWw(3Dsu@v8#EffX$n@LD2G-kjuR7@_We#
    z!3K?oWzb6l_kLT>aiph+IY{~YA6q!)m%#i2y@z0QhenlZh$ISr!ev#_bq?RmcP}AZ
    zIBBo_fXo;kEwvoL;R;KOdiU)rauFx?Sy(xv0LL$X5go`yua*9=$w^#XT))rp$=n1&
    ztgiTMdjh}RYI+FF_*^&jB7g%4k4HSi?>QO42rlIIYk=U~rL?5zmD<&B1yZxeg16zh
    z@Y#S%y{V{p@*h>y)YPs0Sh#mrpVVH~y&Yj_%zGNFIh6}QnMFA4DK}RjE%%bc-|L+y
    zf4`^riMJ`{{5Dm6Vso4m@fbBy9;)Z^jk#L35TDrJXObi)OtO(
    zKyWCHJ3|H>;~K>Zw;7TuKJG1KM0FwomF#6N8=WzRkIu)>)xlWETAw$_P{v^itERAk
    z`I#r>$mBir)5~=sW}JP_ET-=T{W8}d;%K;9K`Xr+F=o=9Pdvc#wQJf9pb;hQ9
    z2UGK?9|sf3ACvr6$Lg7H-KZ`Kv(WDTs<3<{QjBb;12kh9%+wS`&b{$ln=TXUhV|FR
    z8%}MGrtjw8{rplfNdbS+^t+>w+{UAr+X9BV*bZrMi)9W@u7=Fdl7&I3Ad2NBoK!Pt
    z>4_CO)wOevfATOb^!jRLgq~|G0h$`9@NQLMpxi<6kjwA0CF~F3-n<2apOd+cD>31$
    zUk%IO>{`#c52CpTy4qMe(l`yeo9j$~6Lyj|zVU@3(QXWYV0NH_Qj3uiS{#5Ox2dA^dCMqP)Wb`jgoT!8{I}$eKG$NwY<;#j}Ly(q~O}VW!UU=9J5fbn`
    zU$nBiOo62vn)!;dR+g^hTVa0lg+>oyq!HFc_8z5vqPu(#gl%hALn2=k_xHDrj$#H(
    z*?$#IN$m*yCMDATaF0tPGWJQVF>Jb;rqpfNE&|Fb!mgA{#>!x*V`M~Cj`YQ)pZAp
    zt4DVtK`^da<@*J7ryng%$Avs(#DyO*H#`v)7xNv{MQ=2xLcfhePH9vkQ4Q*z{bNxi
    zR1hQhtQCt{K`1L2M=hj)WnHZtn{aaNU6<{6s6(nXU{tCN8Kg<)jfC$1Y~Xvj0Z+cM
    zf^El0=PP>S*QtR0@1WwmZ-=YUMel7@*ESupEOfA$P)}c}>$@oBMyB*`smf|JsmsH96AuTivX1EDN)z4zQNF@zWyRl8?gs
    zl9K>jRjD<+ZnfDA%Nm?YyfTnQUYK7|sF9t0IRUQn)YVodu12D9l9s56_wn}4s7P!*
    zc5&vc8LqEzagU>@xaq+PWZzjL&}^O3cl1!vwi~9;@`0nWSBC%R+8rOiorh#UYb25r
    z3Un^hc@LRtC}h|v|E0E>@w0?PJe?v%HWffA`h?q{o$@ZMI?7)%9PQM`fDGLwE?l{j
    z;$`CX6Bo4iA(6^Ze6Fs=iER&GqPNLI8`VzuBCBbs_$dwBn^qREY)9k6#n}RfCxl|k
    z-Fv8w*w`KEEb0u;n?4g-Z9ZJ_J?xEP@Lh94*707JW3$b#MO=nq%LhHN%zP_oy90t_lSYIX!s`)7L4V|u=1c-~z|rXS9e*u7myS*Mx=zsR6=8Hc@a
    zivl;)+%VWLU0)Oln-S<_J!Ia_Zv`fbMs;E|)JfvjOa%|uk5y%G&?ZukEY#~(N9|oa
    zTGj2E&@g-9FBo=L4J~7d<)fo}QZHeem4xWSnIpz&
    zRLN#@M1s9ktA;dQfMQr6Px?jd+IYyW>k%X~3Ml_)jIzye
    zwta|t);URRPUUcvNny>_iGUt{%RK8UC0rW&D9r137)rQ=p7*vG@44F`Hme^7p&uP?
    z0NK#nNSA{O>jpF)#jSZOSOnA)lJ6BbXn^vz7eR?K?@5QCX69!{3#3YH#P3L>FIegM3&_=;KlMPNAgxDq!QPtL>qR
    zW9lBfsFQD3CW{P8wt&f|m&C^3nEjxm%ia8`WzX|HOYDEaZp80;w|q!n?ru^!@{P7e
    zsy_f+>@&ddsQOezaz;Z
    zXBn}`FE6L1n@K*5&c~gZHPn&oOZsY??bTio1hdSZJfv^mAMLn@*uUUEaK3y=cMe-E
    z$-wV&?J{Rpg&p0Bb!lvGPbY23v_vzj`aD0oRjiixpT2g
    z>a{c1dNrgW2prVx8YboTSfTLyN)1wbB57LkErV^1o2sX`Y8pA%fl(frXO_<%OT<(sy<=mc^M0K(PT}YRL@X4
    zH9u6)@LTuCs*tAgue$kOEnaqg{}ihR(Bj1(hHT)nqfe(Yr?*z*pvA7Hn($fsM0MW4
    z9g!PJWRb1%lHVcwyHh;9P8C=dY)0Y=(gropdQrmoUuR-Jw~mdkqNZ6-Iab?GBJGA8
    z98;2vlbZDF6~0?yHS#J!Y+iXgV{-Z&LMTW`*X<`IGMBO5PDZvC#}|oSrP=VHpHFgGoGZCwDbU4W~K?;pPJ}SGikDXuCnBxCu&(~y7`MXx7Dsd8eg?1U`D+|g~-pa{V$`Ae>9=1^SLnK
    zEDxKsKRE^8xMj1a3ZdaqKK^EUeB6SP+3Z8`TQfjOWbaNfgmEf*^>gCLA>%i@N6c0i
    zt5c^TYB$fX4LyiF*?B)Q7tA(ikegtTAdTCsu!R&NDF{dhaIXO*y7ni(R7Rs7cwUHd
    zp}|j3V2rWY2F~qQidIDk3LeD%F!}ii8upNXIL7It=&fqV1XUNpZY(V;z+>~9Ki~4i
    zvEKS*ZQ%a3)xTSK<@?U&dv3~j9|I~fe0KB{r7fcfDoVJ?P@U|?1V^zh>ER+?%gx#r
    z#Ixs7i2ng_Z%$lObWxO9C1P5gF020h;k7L|q1o_+75S)ePf3C%S+n54l*31
    z{J&Vi%JjLxY`cgX94^cMGhCid`8!0<;I{*|$7RXM)&6uNEMotT4w=yHfF8#CM4me|~uWvr$!RbMqSgAMqt-jq_JDI#+
    zSVJnuo*eI<@U_qi&#{?iHcYDEk@EL8r@U*09FjfGM{8_I`GY`%`%Uz3gF|k{d|wc5t2=HT``T092G^-85^}btorWkp30sx^Mm#$
    z8|d6!?XJfiieC|E`&WH{xsrVD<3fU5mbr4iQJ$sj9}j
    z*-Ha+lO`+KX|sl^ot8q|iuj+&|D@&9juq_AeFzM~-v-+41+3YDFfjX}co|Ywli15M
    zV2&xG;Ch)^PC!66SsgXz&q#K<$TUM1_B%4{&)-Eme!KM(5Xf(A>WjI%fWEabpF`c8
    z#+f5qa}Q|2e2@U}~8kxu_Agm4)V~K`S$Ob*v@|AQ7wP4Mcq<3x6)D}b*xk~`cD@adC-1>Y_`z
    z!+q;VgYza@!>QXyN|_fyo(ScbBXVhq>8ah
    z7Wz}J+0>XfV3oSsb$EO9!fP}Ye}Q~0Es*=ovgLe)8nK;3Imh*j{Nb7JhDjo-Q3fG%
    z7+brF*q7wieqO7uW_
    zpXM+ceYENuB0=(7a*u6zO-rBrrJSy|#_Fi{Z5!8zi$yKzm%~4H4c&J)X%6q^O%EK8
    zcy}?IeZHc@n|}{USBLa?ie%Kp>~hWGza1<$S~~1OPwlZ8OTI}`dvjaC)E<4ikn&fe#<#>Xj>YT$
    z`g%@6l-N&MnFqcey5hyfw(qJ5M-O4sD6}wyEZ?uh
    ztdM$eU2Wn9K{q7Cue@@B#xq7>4CTK84*P9bxRwbI=gv&%-zEQ*sh}m_@(d$YPVkeY
    zZ_F|KfE?xf)d%n64*7*y57~!5;fssSJ17s`G~~x?=u+yK+B0W!^%l1hX6AJk_n6)b
    zt~Vne4;_CrUQa!f(9SJtZgv~qd~?K
    zUW%hJ_;zh^&3D*>`LVx0DST-4NpU5L(^}TP3+Vv$44M;kY#o=iOR47X9hZ4z7O^l*
    z@J=w_83V@bSD)xd*iNguR$WA-=Gq`$^B-1WLWv6loyjo!8o`4D9Y;G1_Ov~bPesA(
    z_5xO!Xcy!MZ6rg3pZ&gDKNtM<$LezA{cH>yZ10`jNLstKIl#wJ21X(xP%4$E=M7^h
    zm&x@LFAR9Ci&+xiOxU*!S+dtvXP)m8s~g}Ki)OM>ve*k~;~2sC?E-YntFn^uXj@3Q
    z_=Gfj!kjXs)aXXenE9!Oy`UP;5zs?C0$jer#TYP%%NNXki*On67<ro@?t#vXtt
    z|LBwvhmb}@in{QH%6Dv(9Na
    zGLwxEV&l@cfL+!wcVD+v;I?Jm#}%06V@ML?Ua6ZhwTDvqJ$uHBTrAY&lrA1@8Mbgxp{I
    znK3G3djy?XH?T|MW>Tu!9)&OW*5nQk{d4cVq7@RyNHS{w4p>wFJC6#&NLhG}%{l(%753j^fRVE2g^FM}G{o6<^>>^IgmvSU
    z1Es+VW(EAsQJ(p`oG?vFvhZgK8m*E*3Q8muC@=#j|}@o;cF?Fp>;TacP>P-u*>=
    z{9lakuNf9Hi>&;^!@^Za+svQE(Qai?FU5#)0w)~TFA5zS620b!{=wSu$bF(kT|6$R
    zEaexEX?@|z`@OQBSwQoH29Z&<3(+Umttnmu&{mbESFd*?$~l3bNg$E0BuDObYq%;)
    z6W%LcD>0?5%Exc`lpp6MKlNSKM1QGj?ZI?j$Ubc`M%(_s*;jiOdrTg-7!5hM!eLvl
    zGp1PfkR3YjYX+dL8JqLlJT4FIGNCw{c93}?{_iH}CqObX{_I55aH`C=^%+}UypI{_
    zIdt`mb$xm3E8*z1#g9>uz>A6oGvf}YtBD?og6`aA@e2aeA6&^AGTB>DS<4?n#XEnl
    zrFLiD2nGJ2VOG4Q{J6OZdA5<4F&id>qQ)bZ%CNwBML-wZcB7|#0W}Ti=~%q`I`gb=
    z1J!F4#sV}MD>p_-Yf)l&n1j%$%eT}WSWhJ!kZ}4Q=TVao052VzQPUaYzK>UzHC+Wc
    zi|cnd%qx*9ElB&!lv9W}`&LzMKGRPkU0U$o77tcv;qCnoFe~~cUU6cfwW`u<{sYh9
    zXx81yvyOJp-5DcTT$18zswlYrd9oEX_|LJ%3-YUc##CE4>J^xdD8-u<
    zTNxWXY~JEfv+y}Q-}C7gl&3zkaL6*otbA}-;P@0@nQcIC$@803q&ftO{ke6HpVK~x
    zD|9f#bkdR4dV@~G#4na
    zs4#Y9$I`;#Oqi^fb|JiCPpFP3c$0(Cm~1S&5x_sKZdnZx<0ndjqUdrxfRAa#Ez-Qn
    z49Oo)cwWlL|F-rdP!mX_i0+iv&$
    zAGY4YtE#n&8>PFuJ4L!fx@8m6($XQ_wdn5DO*cv_B_$;(Eg_xK-6eN%-gCb1-ZAc9
    zu*Y8OdFGn)S2NQ%t^X+og+Gfu(Ej*r0
    zcFm7r^UDS|E4BUU{mI$B@AYv(wZ_1@UcW40b~cAw*!-~UhLg01t;
    zX}cHIyqa2|x$xbtE`(V`$L718jm-%+h=Km)n-PPJ5DK01bIn&;L^Q$~u&Y5i24wp~
    zKqNHWd$oJ9J8C#;$LvfXKG+;$pd6w@GBx^EposrZWjl-TOmAqH8TM)5BX{$B`}NtT
    zA?2+*tVk3`|DPdI7DTqLDEiCC(;wVewAbPxm>D#Tde;gQ*#5o0T9MJETiYiqq>zk
    zrQg2Md!JMk3d6UiRgnbkXj+B0jUE_hL`uKMt20ofj#c^fwQJ#u|FkRnLGrfdV1=@k
    zp!Sq%MF2z&)*pRcjTu37y%N-8RYd%Q26o8ITI#CdidNT^{>h*PZfM0hEzfnt4ZZ68
    z4-CWuQg{_h&lQ+BE2FXhIM9`0{;ABLb?H#Y^C#Hv^tzoH{EgP0p|$j`
    z(0lr+6y_NOzPU~SDjmdhgM(nojYo-Ygd-N6P$KoQ198hnnrb4M@P$o{_GB?jl7p4S
    z%L^D%e>{Xvm;m$6-5;McmHr)rEh*XoYF*MRYd4c6`OnZ}oHD!ovIe>23wVn#nlU&NC&I3A>q7=!u)GpHMO=jAecCX2y3Jvx
    z9@$kS20HG33G3#H-i&r_lzu-MpYrm~;#FoS$8QFCFh`0xdLJ_GdJpRmkc<2s!V-V<
    zjQrdMt6n%AOy1J-miONZAEFZy#oaGp>YBs}s%`!5{z>+(Y?AIQf^g%_B8vW5)kc7F
    zCJbL{zedy+lC;!A25d7b;G$Lft2aw1CD#vVL>5Tgisc{46a1={H{AdIZhh#VIf=%M
    z>ItNUG4b8_zH$cBRPH(-3Uon{Fb`reRd3%xB#!~{Jv?r`UR_$r)P#;+RN1Erqj*ov
    zdG2n}WSXIg>>K>Fjg%%4Ox8nP-t<`!$-dQP%V|z@AYhIaSv8S~Ixcx_ET1q77_OV$
    zTD$jKcK3KwiMn9LZ`=K3yx8L&djXr+s
    z!?1l-V{oR;3Ig6hyrw%A|4dqD0;2Ye_1d3$NM(1}D5%hTXTRd6mPbq=vgc|F!S6Rj
    z5PH2@x)YI+nsDShGt$r6JxT0WzO`aq#
    zCiG6r?~O6ZFxP9xq<S$Vn_!EM(%b0u`%u)@z*XNPmE!N=YrYakoNC+Q+4KaOtm}4yjP1%eAkxO>
    zfpc6yHZH(sJK)h?&2qFB~TJiq=s(eAvytS50fFl>mzLwTN{=u;q{pkQGlY)*>^89ILlgx)cItdP%M
    zR^#wnY6qBAJ1&ZRUIkvaDjtusdtZJ6k-@}Yw3lNd~>D&``9_&GnI&<+SY=9BsGur6#?x2AVSEk?J%ZE*9U*V+tr
    zQ%UlLh$`pM91-|M33>(m^MG$65UIjod}e2VvJ=JhKNIuZ{m3)R^uTN|QH|u25&H5KYFjEt+4(Q<8{tj{wny?j1md^2YP5Wo
    zW(VMj&2EPeA=%llhSb;Wb}cx<;1(0F)YsMwQt9@jx&ed+1Gv-{y>vy1QcvY=kD8ib
    zXR~RrQykwv!s`5b9A=e7>KOb&>M=&!r7WbqFB1)(Y+R~VgR?xy=i3ZqwU{P
    zYacs2xJ8kE1MCVX+%LP96ZR}!sf@u9>D
    zj=E|Zm^$&WiC1v{2Aa|aek)Td8&|+yr8>_8@8t9HdR*!g_?614smIw(DkW#1Mkss2
    zu~bSpsle
    zkuBazN_F%3eLJB*rGGXvCzskERu=D8`qH=!B{9zL3$Z7wcdCtOi%f$8QccE&tffID
    zZ|m1C(Z}@x9pr!T)lCOys>QUaj`??=bt*r7e(?g#g)k4#jw+0{ul!Vcbbps|DYgNS
    z)EKYS(cW;(1lQezq-M?pwjJ>rFtXxfpZKh(?=ea(>!-GRga$3lS`1fGan^+BC&
    z-n)jvAwJAqfY`Rp*J+K&gbsCNj*t(DS4bLXA}VmKhju$5#i(z3=q*$Pm-LnDHsr>l
    z<{Fs1SFGR;^0|?*z;s!@KEhm1)XKs8yJB%ZR_#jE2%JY5RZu#=B?w%!jM0UyD}w%+
    z+)Ib}Pet^2d*O&na}S
    z0Hx9R-7ZtKsCn8c0D&>GyEDttUHp<$bD8#_t+EuzFM!e-kA7zANGI!cBT#qdF5u{k
    zAu+wic_uaT`^7dMjImtJu_Cp=sK|*?hlk$pfq}0lb15e;mDQ1fAJMQj-Fl`@ZOLTc
    zvzH&HTRV2o$5zT0@3yy1NLoCXHb6Ok``;;`cD|$CudEGt1iex*??S653SWaJyDwjO
    z^rU2Zs(C%Ri#h^dzO?-R74Boa?%mGAnr+AnB_Igs%EqjZ)XC$OIYw*B*X4dLxk@q@
    zycZ0{NFt1^o^4U2LxybdH&Q5{ubWoGnF6ulyo5u*>(ZZjv6KS#pK%5KMD%Ui3Xdw}
    z_U~kbc|oO(3CK}Ca>St|Hro*~(sX_0Cj9dY(4{Ryz==_B^z}r4F{V_@Lg-Er&VrKJ
    zEwAo_lPZQDP6#&W3XC0%adCg=E4Aon>YY}^IXrrJ|LKumP}tL959F6J9Q)0jDrW>V
    z6HK&%|GOg$7!-#mvyUlrST3s_0R0i9G^*eV3Pjf*Xi@wDDc}dHueC;LLCfsS5V3P)
    z?~>9W@?Th`l7gF_gVN-vXMTq5p|7ux=Ggtf<}mxWrr%ZD=H;xhal4(_Wd}rl#BEf#
    zA6z|sFR(9E&+-46mNIJkW`V9g3~F(A$d5=9{+u1Xg2&GV1zq-WlA*wptCtWg5__!+
    zWq{In1uZ?2g9eW?>)mhFXk7gRQ(5FJWB&4BjEaQ55@CeGn{)(J317Q>R4?IBu!I#U
    zt=+!qg68J%!O$;X!GFA^%QA%+*WlG4ypEfb=mh^PSt&I^@#qHtl
    zu2@von1A;2HHI5sJOQb^qtsSM04?Hjcxs-IS+eR>nVNx#4=)=Hy&iss$3$JJTBFm+
    z59sp81(PfF0Ik4G$9IcyvD~<_AEltLp2!lYeV^J{n-{4S%XoMw
    zKHgo5?pJL%zq$`D2Y#*8UDDpQREft
    z!~8%3F{6y_evlY5GexVzI|%kuy-G{xSFaTR$kBNph(Pm@FyAK~$pMRfL7M{ehbw?M
    z#|NC!GPS)Shk5kf^wm%~)SW>N|Ki1^sDCQa>~qh+{;q*W4@9+wUrfg}=~O;sAwd~9
    zIj8DWDJpl(fy(HSku1T4i)1j0QE;PH?7Eu5L&?a<=zgr++V%gM8fyb(xeS5gfCf-|
    zQTc~_Ve|&Q>QjhjgCv%;Q^3I^lHRavx~cgfM?7RfgCJJ!qy-VR9gzK4P2o~P}oBS
    zz2x)6GrM#v4eRplI8QpUp1=kG^p8M*!B=`M&xVPFU++ycJ1o|Fb-8-FNkLkLUtp;K
    zT*I@2uH#@T8mG2Nbo58%EJ_MFlcD7Kp^um4(ZbMek=^h47gu)j6Bu=n#=Py{6pnUY
    zt>jW$>?HeazCqx4ZUahQ=Of%1Z!$@v9O;65foK5wG^KeYX-s(`pGZicn|f
    z3TlUpk6+1(pmWxq&RU6@5W)s`L%P|3oh%%w{gT#=qW<5Wqkn{CIpxsJ6#zr=C3$2GX{5Nh^tT>P1L3C;W749Hs3k6fNh8z~=jeLHn`dJ3t2FI~|6f8GE5rH>a5S
    z@Lfn|5L3}G>Ti}g706EZTWGtxr(@`io=+yAu@C7*K0S_AQZ~8{N99nzf2x1s+uUi&
    z#0kWB{%9y;KQxRn0T{hLZZ+cF9WgiL;o;*(7*H5T^c*1zT1Sz~fC*0wUd?Y-aX^g{
    zyL9gp#%gl+Ibe#pp<#j}tMn9?+OkMjQ$n5jE(BGY`Hldsy&MqwQ1_nJUp(;3h0zHT
    z;oUn(;zK+2Dii!L953#eeYdE)w^ZNFwSK@zHzKvzO(*9{4sbhK8ebvts$TPjmg8^#
    z2|41HbEvZWp(aHYRr2z*u-(M_H*57ae-?`*(zB?(4zpE
    zeMrhiB}^y8JJk_15euc}=|roLXWv6YrAJ?nD@?k_3fgT`*|X3J;d_{&2$7~*8|*@uo1rP5ti`74R-K_}
    z&&^E%g@=eB>yTz~@%?ZDF;h__eGN_a1|pi`2O5T+?_VCu$il4K%10r(CU_m_j1NcV
    zO_-TP(c~v>r8{mPJ*wrGbgY~SaqUyeXcoF{$MS%8S?4ARSBx|K;)J~#ebx2yF2SJ_q(?sp?%7ZyqWQ;QiIsqM
    zDIWWRggUr?{J|=@JIFHpWc*HDz{whk{?W*ey8aaR&PK|Z9f*g7wdSM{_(77DqmN#;
    z+s~7-zn4VTy*gfY((`(VBISPE?pZojO#I9Aa!{O%bSbC8(J6q~fcZ$HOVs^4@a>YY
    zsy{H7z`k2H?zj+75Zs4L)Nq6v39S=v$_Xra?frF>5X`GSY-e0*Ua-3WhN}!omA+nF
    zb`aYrp*@j^(n}S^r9@@g#Lky5U=Bt4!Hn3=`@93I0%+!&WAoS_F9x;p$WAD(?ni$&
    zqLK=B&r`8Rab!Y=q+EwxzX_n(!^F@N=)=_$V90_}HU{rdL3|%P`}MmLHy8*HnlnG2
    z!J$vc&?$jqw1sS_fJN(vso9!5#xFB(zT-}4*F2%Y;4D8c{@<*lfZMH0rTQt>t
    z`ZeCgt}Q?XQeih7X(z2?VtS3%+fyI9q7_#aS$MAEVGrMu(QWfJi=2lZdz^t=6Hv?~
    zSLn`JEt~nK;(gBxg;`INi1ia*F1LnJIqG{o8c94D|8rUPg4g09O)`%{N6Sa|88(f!
    z^Bw$O^~>8`EP{Hq>zQEp)Yh0o99~%9Rqy13`ll#EwlQ9(8
    z*|Fc8s7TGD@hM&Oi|)aV?uCu}7%Ri_G|6J|B=f#^F+I@rD$$l-nq>CU1j&ZilCbXO
    z3*!$s_wOhY$`Bmkq8P5iQ$5<19C2;d)!Jzx1Y1Op7@I+DnaDRRGq@&UcxY7%@rQ}P
    zYE@67&I&t$JEa!Ve8fhVi(|TKJS367N$e;~HSpH?u%H#(8D!{jGFsGs^!{cYR%fAp
    zcMR};XaXBm&#T`7HMW;j9KxvXl;F1}>}!`9A|ILsS%)`HhU>{{&c5J-!lU&yf52sV
    zN5y%q==+F)9syX^@p7|2h7_a`aUwt);_F#S{I)PbTj&Klv~+@q<2K4u!Ck63RwO5g
    zAk^41K7<)9-N$((M@)99AX50m6rHTd976@zeQlUz
    zG00SLw=JKrMN}-{MXf7kK5B&*!FO{1+win|Xq|+eZQRk$;u!F%bOwe%f#nN=4V(r>
    zZS&`p#~I68q-585#+hDQ!Ta{80a`=McwN8TeAlGQD(YnlL%LG$2W310c#^I1#Js|b
    zm@G2m4{>d{8~-)H@%%tZLH0v|@IY^|hU^C1n=ZR~ft!ZhADda~Qh32k>L_%^y{?p%
    zbjMo=;>xoV-I+tfGZ>wxF8IbKeDCM^C|nuClgf%&Q~lm?+j~cDCDLi6w|wiV3-(*g-b3WJxO~guI=vHWm^eSKYFrgm(
    zh3y-`**t==MHy`hBtMxl+`~b^fyE
    zH9EUkL3k^tJ60%j`?Q){j`f0HI4svHPy6(HDh+UTiZV
    zM-A5NjA`++-ohPKHVniNoqS{s4>dG|H7-;RzcvMwFziJ-uYW2f(vr^tT_OMj=qxq`aE
    ziprrXu6Q6{HW#O5&XGF@hb2VRBzU2|Q3Pl)oaSy=I;7}Xi^-x^nSWKKr;k1Sod7|&
    zUR6!wK)Z#;k)7CJexIy_?m64)#!L47*^E?eC{q9`Ay9M5#nlwd~@vR|LQCnmJ%9vHtR
    z*}Bso3Tw&a4K?9jB`IQn(fmU92WO|W-G?VHH8P42WD$}XRjz(3V%vvQ`)V&4NCR?9
    zSWRR)sd0^7oTr7E&V%rdm%v>@T$jSG$Rz7GaJMG3<@g+T5C$|v>Covt3jQ-V`W`Q5
    zwhR0%NoOOXSd4@mQ2rIJWW7h)7gCV{gMy~YJQiltI6v~8Qimd4_8^sI{CT+I_o-v*
    z3D`|~DKgGL1yddRYZYmiI?h#~pog}H(w123ZSgp(d$!S^#V#tK?w*cqNmTh|dt4kV
    zPUwu+%E2SLNx?ZWnnTo&kjI>Fj{%}6bT?EZ=wlKKW>`@kbvA1+-LbN)%aaCnj9lBV{y}L4)0=C@hQb
    z(c6cVl1+pcSoQ-&GlyrCl&j~G%AeQ=Za&vVu?z}Sc}pvv5j8z2-5J`=JDR8*Mh~``BiR&JlgGH5=RkuQTcAg7AZo
    zCA+`9r^l=m^q{^tyt?tY*vV(@S&?Q_^uy2I#Ks`V#%bh*Doho
    z3TWwj&{`XAqt8$jka_vv;oZinq->5NVe9KO
    z8@zq)=}H3gL&vrTX2jdGxqlY#8&1*!odLIK2!ZmmQv4=sHi9hMBUII`bJxG3{Lv*0
    zfB8~*@Bd!KvUlAs^m_I0EZdEC){v8bS35Fso#z=k@5)#c%1ybq;|j({j7Kf8ei2hB
    zjqQQB=f*)-h1Xu3>J|^>XC9@UrJ*4jzZ)1>7OL(4`%2tTeWMFSip|{|U_+s$w5avI
    zR%IcoW0LX!cV9qT4ET6OuyZl{!YYV0`=Dp(>86m0L=9(KavL?7V?Q&T
    zqtP1a;Tft6`BBxa(Zp~83mw{2u842in}fEQ=R9Rg3>W9V8Obm_xcECoOhugYe`fCk
    z@E_MpYCf+@8Np_DzgPE3>qs&sSXD&f0iSdf22rVPTP9`_^>;D`wRD4f8Y@rhP%q{cj@;xfY*>f{wFpnprj@{#%tM`(%Jnd`
    zNK0+Tiag2pRG3G!Od`;?REh17#5!OFuRvHk5$>+4M$oP$My2iEuO>#w$FmG4D4F``
    zkf^6W9=tu==T+daNUqX$a9w!y1&aOIQYqb?Lq4+U^P{sE^f&nOC5k_|1T?kw<<|=n
    zJ$bP={Lupe-)qVo9FlQ$bCFjgW_{;|sv$oAPCdUq!l@yU>JED!m^l*qxXy32{j?RN
    z+VaoqcCTTNEuwf8+K-v*@u3&XuFoA&jH3YM1%QygZyj)s@wN~~L7MiC{_Gy{Dj3El
    z=ImpN$k_LJXlSd~@%$Id^J*he?1L3uP0jEZY#D(2@z{|o7+GmT$9S9%T5j*JBSBZc
    zR8hX-d*uepr@sMI@%HQkx0)cOZ__|=eQ2*E74EHnAln0TP^~~FSmdYP@aAQiK@hww
    zu)KhH!(znTlBZ%kWd<393~I0#p%#bn-;$ML**&}7gtj$LO)}n3_~6hS9fAe
    z1Y?gz3bmaowaeH!?M2-&_&Cz@lyo=%6J22gc@DZ@4*3ZVGJRZ4F=GGLimjsF6
    z|M^f*2$$H!k&{wUirEK(V)n|QKkAVQEahTt3K(ytYnfbGiVMYnLi=|T?Bc4)ZjTB4
    zfswUEhwJ~7>vj*82ptobeVC!X{orwTx$iZjZ;g52_kNcHmSWrXnJbzoQpnTD%HT{m
    z>?_M${vd{xciZRET&CKP{mtVJ$hJ<=)YULsOwAk|yW{J0mPu`WFIuivQ`JU(P^3QK
    zO6iN#%(U4KR7&f#sH#Sy@{K9)y}EI=0X3Rwjo*atey4%#UnNNz&gpzjLRWV%lTc)1
    zJ2GVuQ)O&`+BYf7W-Ng+8Tz7ZjC_je8*pNoM7Sz1SHBZ}BnsYu;73BV
    z7Ex)j>F=N)P?~7hSP{9sF`AzpRkVhc^B(~_kXe7!c=ifcF_IM2ys)vw>fr}!j`nph
    z;oHaHIqE;_q#dS7k9TCL$==or1#4mcCUQA+t%7AqP`5yJ?qEBSHGK{6$VGys!)SCk
    z+jGiF4_RZNUv(9qYxE2M-1Z4WpyOO!jf5(fBrPFRxdQm1eltOgm>!7|CKcNUod;A8
    z{1M#B8M3RG<4`GA6#TQ2pq)^z`vKcR+6r$_^x~HDX3{Vm%#cH^Ws=41g@(lanx(rq
    zd!c-?@tR>c+#SpL-GHBdc;ckT&i<;L25EPi`}T3mhUAC&Ju@&!kU9lw5RtDsYIipC
    zo^8hc>Nn^QNNKd`_s0NDY^-Hl`3|HgSpv+2n
    z6`0!=WsmGS4>&(R;aq}n`bn6cNlpso6|2fAGw7#!P@dq#U`;RlIRwK
    z?XfG710fdf-KDBR-ndt72fIROzKqOa5O>AD57Pi6Ws1ef@A@
    z)eIMaI=e=$O1@LIN`pH3-TpwNcXw-aih+RO$~TC!VG78a^*Qd=4ma~6gc+V6F^xCXS=
    z?B+@Y%zD{e?5Q#65X5Gbnm>g<;WnPm{s$pHcdm`y7PnH*w?mlIZ61;cAfb|I8i0oi
    z-B|Ghan7mHr#i2bO}_UQJ9D8!xA~)7Hs8Pqyeyp6kNmHBJnYm46j0P`Lqs_F5$z{6
    zL!Fin?O*I}sR(?;?gF44nw|j%UOPAo&L;SWs`i2ERQK?CAnj?@(ecF%4l?P|f^x&X
    z8Cs0{M%>;L(jdBh$oq9s^f_EJJ(mj-)KTZF$*j6*0KZcdk4G<>{8wA%NmB=IgU0BI
    z%D?Xjd7Cx4khwUj*elLfKbV_cNQ&~TCqFa$YZe{;61ZHhG84xhjO@d@b6)K{3bTFs
    z`zjwlEKlrAMZ*6_?u2dD=*_C|Bm@T+;iqVHFWUsDwVr?bVZ+KWZV3YAUa8XY?iJAm
    zXhFXI>_^8O`gj)&U2QZoh4}QGdn*hCC)tKG%QONl5jseYIA+7Ah~>biT*_4h&wqtA
    zBI+heVZ`w#?mJfa*uR6ubZIBPl>DzT4sv(R|Gln;1-eh%(w#wH*qb$f
    z-k;*J&@YB8BPHn^zc7b}
    zqauonZNEgS+-=@Ac`WnT+8QGAkXN`NLl?A7*X58p|=apj<(JX&3Vhztybb~?@g#fgM|H-DaGR*I~L0URL(
    z(*;;tq?DC_J4UkraPc#H!-+_NVk%c@7CJjpGMUf9q^Zk4Y+mi)fJiQtB?CB8zkZ^=Dw*)lO1)dv2`bHY9j`(Lw&YNx3KKM_Mzy0Fqx??;?auCG0f8%a=9(A&Kq(T)w%m;|&aKE~o>_R6NJFq{2@{nV_uNF<7M_CW12;6V*8B
    z6G?c52wu?Ob1D={lo5(Ats)ZY`bp->^&RL?dy(k(CG#N=wik8(f&rBPcqR%^76T$j
    zhj@zJz=DSgOhCfWbbeva#}A}hvWLJbg5mWsydEY<)o
    z-<&+9XN(l5j}>xw$RCM7=`*RB&)TrZcIz0kmHTi(v}jA0^Hr!VQ12
    zv=n2BKU)=QM>%^hQp`nPB=dyB(UX)wuul}mITQRn85U1>0*b)$0Jh0LCY!VtwhrWZ
    zW#t)u+Rlo=*9Y+R>Tpf$xF)z>#MOu=F^PWoD!Ljbkbq&`nePh0@fhG5{zm#iFEx;L
    z*&q*_jMNMUSdaii6-4NFP{UK@;(o$6nS_#n&MI7DGNW(MK4=iu4|RcK`ltj+G~~i=
    zoI>(XW@JWdDY^P$NSSZf0wSl95F`^7fMM9x8^7iwq#V_pJAst6UlbIE9;T~}L&Mhx
    zcQe6{@XmwKNURm_=bqa-)XP$LJpG_0XT-@*M
    z2;S2{W|I-dOd^GWe3<&yYpxZ9VP5R~TFqNGl0zhoXJ`;(h?FT<=`bOQ`3Y6Y*Q{)UTTyUoR~@)sMN<^K8$c8T6yeu%&*iG(@tIyY?sj6GziHn7pT0YtD7*ypf`kjiq28Qyl{(=BhPWft=amc(jrdI&(j?=Q<&|uWBmRS
    z2g9p_Y`qGb?sWNJN+wk^Lcb!PvXUR>2X4$uSSXBjUZt%f>S^eJ)aIZzl`bE~ivv%E
    z1@Ww)*>}Pbgj-Q8g~iM7-O6=PH^bM^hvxx!DuO@!u?0LM6r|$hTGev0R>e5?mEyl=
    zpDt&Kcpy0<+SJ7~m-H{b#$~p>4?~5s7TmR^GwwL{&^29K1ySfW-FkVsB)*J9aXV?-2ASgcfvIanKK=O+|6$T*`+>nP>Lh_gUk
    zYh!Z^vSkpdGJiaVymD6hgx5w2P+B0&y?0~0cb5|Bgv)rkJXC@3ok5ix2mm2xf$?Jf
    z%R}!z^h=!oh|0gFG(6QWep_Q#8*dJxAds?}$*vv#Sa7@FSepCh*3SWgGrekfD7fBS
    zSk$}b)u-&&jpSN|)@+lrq>Y5KT?W+~EH-2uC93Metm;88VHW`esl&P7cZkTvzh678
    zv%9L^p6jSbB<^4_4SG2l2#Y)?7(`9(B=kX3%CnEZN};Vp4~IICZY>*wAvYZl!AxxD|NspQDz^8Z&smOvn3D%BiF3a){(|zpzg%{>?Q+s$P(7(UopYY!48I5@g}r
    z6?Y=?FTA?|SOU62>8N!~6`2Cl1I)(dbbgnsn-)c+y=gIQs%pDapPt&t%bZCyhpaSz
    zAw+GvyAM6NI}hG_VG;J_Z^b>{-}9rQG504My&Y*#8Uz_3kr7Kl}40Q#!@ak|cYA^oRo0>eU0JSgTBR3w^pwdiJ$j)a+ZeJ>d
    zLF2SCy^nXCYQe}*r2WnGE~nU_tfMEX)=^msvf~}o`~XD8aNT$tYD&&Xjj*IHk@dS`
    z(f^=d#s8q+5GP!p$wN0|fyD$z^xEiy$u1&Fhxv&n=`&veS{HBLl`|ZXjwS#@L?;b_
    z&-P$o^$is3#kP^{tFpiltlNu2Ep^)ds6=KkM1u9cA}h}M1Jz7eP?zq4MuH9UQOL};
    zL6t1}u5oYRseMiHNcz&}L_-^fHf>t3Q?&PZerkU!LAv-|VnTeOA`N3@v_TdLdtCj8Nk{A9c`>j+Z47nJL=`?whjTlffv)0f7y`NVO`)NO$X6t!o-)a$o+LHFIR#VkT+7+
    zV+3(wL?q$t2loP|X_waX3%zGJIc4NZv$
    zc#1F>IwZTv-cSy(vjUjc!owX9+|9A;1*
    z0q7XC3u1-onxzyeulTn|re6$ARWY}H3xJf^bSG?YK5HKcL*Z~gJnh{3p)P{|dG;%}
    zbm(nU)ty0IX|^i7N8c---_P_9DEnLv!%|iM=m3yxUG%Y(vBB$#aZ0b#It-Pmfb(u2383HI4{(4AP$x-A-k;c(q?QLguqLTmER4w7*
    zsl-nv)p}!&hxU;=(!2|T+QoC
    zYyLrd(1<4kCm%I$Gu7yE4XAVIjR4w#R#xl&Q#M4vZ&9Xs+Hna(jjG(yfnsQ(WeA7_DPT~AN5FMQc*
    z7yfDzxKlvgWdSAgDsQE%#PGD%qvLQ`SI
    z{V4AX#ZLsz4vX&AbND+&ZzyT0Kq?f>!^-c2kCJ9NC$eV2$WBo4AHLNp*9cMo_qj&D-*`U7Zfyb$T$l6lUNwV}aD*+g}()ll%<
    zm2AhX5H33`9(Sv`FBPDW^~F78+?d9hp@S9b1{%Yn?E82XRO$m8lr6gD^cAhG*^g(O
    z&&)W(rsw8J2!2yl)PNyUqPm6?_UmD~L%N*e?zNNUyZt%JDjzWU-@}
    z^TxBMN@+*#W9O0*;wW4;PZ*r}TOFES+GO&-Xo&JA4r#ETwM>g>tEXqG;EwHMx&*d}
    z3|>;83<)EV_tF2}95Uq@VkCe8o#d2ej7xW&hb!M}KwqiE_lth$JoEOb(Qw#nf*~W}
    z0k*5!h-;1l){+9=H2}kUn?qi9hfpKC)sGR07#!h&>cU6sMCK$fszzn?8TO2wYiq^&k)=*L
    z9!~Mq7@tvXQ=?TdMRom0a#gi$C8|U+wZy5)8G;A^S{s>MrQvV;g3#;F=z+iEQ@k|-
    zl0~Yl#zTRj`GoJ(g>zfZy_VMScVeaQuqsjMw-^aS_ycrIM6Ivtm)kkBzyVylf@p?n
    zZn8tmarjx7tS>B5!uV`>n@d)E@ghSdm(TNL_3u_iX8mR~KP+ZK2!GeI+2z~>0`_VH
    zuycav!f&jAOQ2WCE@DCHM7||bZ~h?+Ir=JJjuPGWjr58cxIGZ%@-n1w!ex=Q*IQ7^EL;W2Ue$4+tSs8iZ`;96thJ(UbndsyW-IH{9DWm
    zTW-h~Hqw&|1%-ez8`5w*MH6m>Q6k<17nv!Z7?~Q;P5#;_AT^$
    zVK!_e9?VSyxP7GJG4hhm-(dOkW+OFz8Z-9g9hK>{BLF0R3rac_C{#+Q@A8M%PGcu??vSoIK1MQ1DZHC+n8jK>5PX=U0%|O|F9z>CN7Rv5%@Mq
    zK_sq5ZZV}NF(f_NnytbjDX{j>%fWY=*c^WIi2wj!kj%f_!@n;mrZjlWvf{WfR+7Oq
    z$E{n+uyvxI6r)ee*b(|IcLCt$!07Pe@X1;Pmcc9A>w>qpw%z!}z~`>>?lM
    z_h?!bl-&gz;DC<#Xv?BwbjLNNNkTHjYVmT2%x?EpAHzia`o4zmAtxGVzt22CYi{<@8nu?kUqriwV*lJ7snx`#qFI#lKJG?^@toHlz*gthtg(EbG-)cME6T7Kt5^f}8(&={i{}CH{`l+}^!;{!6#E63$v79#7o=
    z^ddB$W53tEGFB@8$Q4cf0UV*Io$5yAVFx)v|jzt1R#998#KbV)B+o`6my
    zK^oxPfr&q3DL$)`sYFG0%WhwdXYc?whoH5=?4pf;sCiFhe?N3D5z^O!dW=p^6pWKR
    zfosf|(R%SDzvW=u*bckY!ls>qbu!?LQK^(*3|hia#Xox#K1i`CCe*0w|Fe1Ky_cS*
    zE|<@&Le0NvE-u4h4tA9IUY-_y^A_Q?dt23Ci(cE#Ar@=(
    zvL0Ho0f=%~ik{{GIE}cq$><1slm^`EtbJn9!(R&+%^KugLN>wD2E{k3
    zelCO}B?x>oX}}`1jR50m)sIzgYVn&}Xj@p5|89!hGKis7I4iq<{W-q!#Uo76Lc4!i
    zURa8O63c~jn31aj71H;*Qqo(Q$k1V;T_&^3Yv)9C;&kjDe;SuB6xySrT%J&l!!HZP
    z&yT+QiD6}NM*lIbnddF*38StjL2UM5#1T1OzDAGf6ky78w
    zbp1{?=wF=0hK!HSfPMjSmrK=@OL9t;12ctZJw}zw89X{(J4hbF=&7&Yu;74_>f+Yr
    z?#(Rhg;~DZV=$4<{dnAC$V2^e4%+iJlj_7rEK1K^(~9M3%cV7LhUo66fJvBMD!gFT
    zlSAH>@gEt{`epEcNw<{yTBC-E?d?!Ip_?8gjySt5X@2u_iiUtiomVb3sN&75d7Ut|Vb!4d&GwWX6wvs&)Y=Y);Hy`l8Tsz#>>
    zVJ+-boU%-D&mw6eT}K$OD!lZ$O?=aTuVu{GDBpkQ`=Z8}poB*Y`gi6(=-ugtNQTsZ
    zTe(PeyZPrn{zv)DH6yuPcLgF!&PS4f=9&fEjI%w&KbA%G;ormdi`XP1a+Bcdfzgy+
    zTOm18ll<5-ETi`Am1LP|a>2^S)zIsImWK5=wmk0)^PAsO@6F%mP?gJJlS{>1DqzRX#(ck{v?ibm+_2)4
    z32v=Rcs_ws(9)l~2^Y&Lj-2hDwu)QKRLv>}1VE8c(?eaUX2opf<&k|z=1GT4B%Uub
    zz03_twVuMN{I@WfmllZ>GZq7>nI*COhy@}aF402wZaCQDHh^d$M0)d|-Ri0ZogQe&
    zCB?k;;yqfwS$7*A2t&8nV@V5Y0uZD6NpdcP>gV)Zi<>*cF#hd}$0acir;9MGL%dtr
    zH?M?awP$}S{{6gQfIVV`#?7WOQ;Ed9yTnRq{00nAE64JUXF3r||JVgX$>~_fWx^V)
    z^rp{yA`;lIlcC)GQ)$WD?Q^v(#|dgl5yxTk(xQ{Q*agy@I9+EH_79jW!{gjj+eej{
    z-y!lbrvZ;e!%&0jick7p551bGnjV)>P%>Pr^6cc*XZvyV2@GUHN0Std-*|6sIP^_M
    zh=3U7MNX)NX=8-Z$swv1&a9c%z^dg!upfLa;MsG!`XRkm`hv#?bQ_RdQnqAd)cCIy
    z^dvCJ|LQwp?NryZS~q-Y9_Q?N`bpC~U}`XR%wfs*SfbCk(Pwzf&Q6{``v$$@aG}*}
    zXu$~-Yc*1SLw@}hbjqivr+1-|k$L9S#d7y2K7ISVHxW;M9Jn#kfn1@`knOt*N`QKS
    zHtWz>X1;9rHsow12hyYl7dE6Btq!YrV*8Ylq>%rIthWrSGTgdGDJkjh?(Xgm7u}sA
    zEI>fI7LC#=uxOBO>F!p#q`O2)I?voa@XxrTj*$Y!RiqJ^}rz-)YXgWgVA!e`UgJZShmhAG-=P@(#sauPQFOgXZH
    z8A56@Er#>VPw88<>^U!05lms##lF|5#^Slzu)_XpopQ*#;%`PE5meZ_v{1Yu?UPH6BAKEXe#+W`g>O
    z$Vv|6L?si<`!eCg=(
    z;FX5}Sw%qJh)RwEn$ubaKoTaIz2HUo#PS2NbmA!J@1Q|E)T6^)meUcMv#6*R)i7c}n9_)-u3=*3+CwNl61TrMB#@8_&9L
    z^#EHPD2I>WY$edBe!kQP$S-OM0EO3yS}dv*(*dKGM-pj8jpSyxpicOEstll~;qxuo
    z#s5#
    z3lWM5U#lD-X%1jmDhSY>jI4Ea*=GTg@(?;B$`Jm98hp$pkQYc(XeS%WYP~{1Nmw*5
    zCn8OeeOW!SwAVr4b@7eb$(}QD@sNmvo&uBpA%&vlf57?jJAYkdF42Iumt7t_x~2T6
    zo&96KUDm?A)yp471U+O>{-r;;V)o2$P$IOTJcbl7Lfo_9QgkU^wn>>F{-tRR*H5_O
    zuA|0XaWqQ4AqC^@T;E_Eq6y7t2Ka4v{jNT~aX?&^Aac>Z;>csLCc;i@coU*PgXwck
    zQ|i^VH)A_x$0xrr@?q?@*E@X1HIeJZNZIY5L!75EYm|ZaKRF@=qY*xCt<-x%8!1vd
    z-ZzjBd(4IcdirM)Ede{#Ah27pE+q%t!qvsiTVSXiIxCODh(W1U?`J6zNNoIrB_V<*
    zpHEsdFp=`aP#c&?35?mPsWfXmRPwNW)M{l18dw}j%63?sP!KFY<`l2)it_g}0AeQ>
    zakscXre_Bl7=YoP0<{dCX27ml>DhIK^pHOdEmRrnvqLjyt@HYB_*n&PsBvZzWR!1YIDp0
    zpQmRk-m6&b2iCsA%D2I3MD0J7e0D%$fUMHpVSySA(A;ytZ
    zN_Och6*_;`)6bl2^?Kn^RoS2p4G@VH7nYpKHYyv1G}%cVq1m?g>sz3u%|*LQP2F({
    zi;!dnMLfw&?70LMkI*_N4`Q}!j4@%0r@>`M$Pkd6vHsza*cH5E6{#IM!d$mJDV{yml2z|Tf=L^Bths>-aFU-|Yep-L%
    z=WtRO86l*FuvEt`01^q$)^uE60v>R~MmNhpuaoPUk@mVKkA1Iq5V2Na$XfE5PnX}s
    z^XcgAT2|>|Aq`b%i~`gL>s$^S1;c_%F_wX3>NA6I{L=~Ue(qYER~P@dXzVv%t_xl?YCOJOs1ES17=#|j
    zQm@1fOb1aexbF{iL1Ibueq?dytEOc&g1b93aDlu5-Rr_YgX9O3OavG%2;E(YxIAip8;3=Kypj^jHWH=Jc~gNe*c>q?XIQ64h2{Yj&>chmC|)
    z`caC;Tm@9?e9bU@MIC?Avg5eOH4+$P(y_x)f*a-?8V8h-DSm3)rK^FZX
    z5%iES2`Zk($Kr&1HO-byzrG(DMuR6+(7uX|DUQKZ6OcCkoLpz1o#mkzTAoR^FpwJV
    zz*b9@Vm;cU8|vFgvAY##V@K%k*!uvP9e}=En#a~wK&bH+bbO!m#3bM$ao0eq?L<|D
    zu=!?2*R$v7*D7nXz}An>>%T?4)Wr0-<85?x2YtrVfD9P)u^T75GT59&1lAySle%br
    zJ9W<0ZpZ_)@nhG+a;Ll~qh7AD$6nIxfLg9$6_oli=YU`Qxg__i?$vM{!xHEE4LwDC
    zy<`?5M6J#5IisDwj-g%(H_Y-}_VwK_wgo&-Uz&w5&`ast)MH831I1sZ;6E3HLV3D@
    z3<=3b{iww=?V9AR$E}ShrE&0r7U|`eF}Vjq2oN{;yo-qjvPcUol=$Ri1s)pwi0Z=C
    zK>h+)%^K^yB8V3m$KYqBUwsHFg`-698f>&q5Oj_ExamyxoniKptHYTZ9l~Gf$W1hv
    zLZ60M9OL?UMR0t*Ww1jLbV3lm#@uLf=q{DQ!*DaM&j~twWYd;L73Kcs;q$bAnJ{Ha+9JK~{Pma$^3NC!R389X%
    z3ePvX
    zO(r*O8UX^GVO&Av(!=-oO<@YI+LK@@E?B;&7ELuZdlFv>E-ecasrXFE2OF5Bbph!0%_^C|E?Sx8j)Bvkt8~)J7YmWG{5ay65}%*
    zF!Z%(OaGCjEgi)_VOK+F2_wX5AeI~V9j3ocC+^Rw?YWsFxDNxGo6i{Auh(_OqAT++
    zMn9v@QF7P|5@O-!I}V^w*5t35RfZUG;nE+7w+SAPc>h>=wcwFe&Yf!!$aZ1
    zsu2QI;O9%(@Xl>v{MlPWg$OzM?eEQ#85bH(>J|Mw+sHey9_90BzEH=uYscX{*#JDH
    z3owwLRL$kzmGw1Fy%M-K9hBtly=aTHiLq+kH0Y8kPhi1$3Bh0%%Q^-)Z^9}+hpzt^#vcx2fXy2Eo+i;ds9&dFXfKsQ_A7;qgC2@zXryYA%fvf*o3yasppeRd3aFf=JVV^pUz55hWaF*bOk1BRpm=W*MpToB?>F32UJ@6izW_x
    z=wj1*F%{~-A?A6py^~Y+@U$6a9k4*iS1q(~J9h*-&ySht_;_Py_A)7HP{~~3^b3{J
    z5I%&z5xjy31j;NZ4yn0^5`a;Ql&J~+aQFO`O}H8-
    zWHT7SCJ&U;1FriD}R!*e_5`pgc)&%aO+
    zkIMNZ3*d{*P8|x?;eKx~|1_$vt1Em;*d1tWC;?f&^891;69N^kbJ>ad82@LNest+}
    ziXW?@dCmO;U@NDVjW7XY&kv79pX(X3^L1sCQY%lfLYJxlcAu
    z$IMe{W-qD;f)r0C9Ak+XF~lf+tbrGqXnk^uyyp4Y=tV7Eg7oSB
    zAh)xx{pK}}{o2!fHc>Wo!@H!c6q@TMC|r<$B3gP3K?V0+u98=l(-VN**sx9(??`~j
    zGrqT{*u>zFjsC}$T;HlX!!uOg68p$TaDejM$X8YT=i|%MeEp%$xq@7dg}FvBdu8k`
    zYOi%+ftvAKJwXYdW2bpZB?%(%!&7~{QKJI}6}DH?_dYAo?NBq-cs|949we}cSDV{M
    zY3X%H4DpWN&0$ivgdp33`@@NgPZCE_{dWUgGEo>B*MO^@%Txfqla1?c(-8wB?w3&S
    z?D%=&ze)x^3Ej~J^M5J-_$R<4Vo&Gy#5b}@5p4q|l2u>6R8lv3n!~k&P__jd2RiHe3Lqd8Q~=D~`D717zLl$gYJ2z4!7v#B5+cn)@@@Z^
    z@c>4aAruTYM}a3DV!w*TCddh_QWD-*ymJE5)ZT)RS9?#v0D#T+A_&@{gYcHhQZ2OzaFQ)Cgm8Oq-;m|WOhW?tIN9bpM?yu+3NNfuT
    z5Y?6-HG{dqgct<0Q5&$_kQHbqaTrmczYf0`_>;^@{ZN~TqL(+i8j^Je`)Tj|VM!sF
    zzy~gsKL9GQnRw1J_L)?9icS$P;b%VJ-^GYaUUd(M+h8i~r_Mw-J*_d?>VEI#%3PgE
    z0KYyG&9R_L93DE<@ue5DQu&~xI4A&=E$WpnbP@1~W=dYI_r2i=rn2nghFRh*KdjK8
    zA-$8YfCAqKmg%^p&`c^8M%wu$$QJCCA2m<~ybh^KI=n*oa`W4NGd5n-i^)bti8#fQ
    zEzID8`=ErF!oDv7e%l6Srv;GilF1Xpjq314i-Ftx7>Eh0
    zl;V!!J?Ii`@EC7Xmwf)v1OL2GGhS6Kl5f(<@cRq@)*OR`>@Ys}D&c8=NRDVR;&m
    z+12L1A0KK-ZV8$^Zx*oebR7NkdgHX~crJI#56ThK`xCz3#gNq+mYnADGSkNyIsR7^
    zbk-ij&yDQ5N_(r1C{QW0G14*!F=aLSQVG3a)%CHzO)gn?-(YS;Fi3u^sk~Bhy$E(%
    zX*ykLzCEWFyEKP`X3NWW`(C;}QnaPNO^DDitu3A)7q#jcIb`7!4GDJN?0G3DD3FcB
    zXp77eVR*h=nxpIPoM|4OEUlNtals`N!qRPYZ!{?(#GXL>xN$?^g5eDY&TYQkCO1Pktyvgf6{f|1l)DrFiWj3vGdPyq~1{bEth6kfpObM2=PNn$sp~#7m
    z(PFlsASrFPzNDk9bT*xR2u=S55KgdcQNI)c^oAlK1Q{hTwzXn-O2N!SK?)@uhFUN?
    zc=zK+6`IVRlG&K71!X$yJG7=dj7>H(#Pxl@2)08!>W;)P(0)W}tF;w{{%aY+t^?=suCC*a%V
    z5Hz-`1BP*BJF(94C8$-FjdnINT8N$y=5vb0SIXs(Onur1bAEdVAs1Qd9XMlM>cfl%
    z%g@4o>5U(R!Zmz@D8ENK1-l{DVXLq$e5-+|W`rRQOv;&6eS(Y@?j$B9NK)r`rywH3
    zucd6d(I*LX`+;ATm?uw>J7}
    zO%{!^0)7k*4ZOI!y;sG)59qcLT)B|iQAq9otf}^=(9=PNRY42fUNb5ovKaIasw<$W
    zq?Z;)b*vP$9w-+1pW1b5!GWl}KOPpsi#BVp7XRpnd}<0Xdt6>a&}39tw{^r81?7n}$LUG|vS#*_9LVx%$S@g${%LzWi@SU?qxH
    z*;`}49w%&FSBxg-!J|#LV~{NJ!Q%%gS3%xmE`tVR_1m&3Lf+`4TiwjS$6wtoX9NH}
    zQN);Op`B4KBJpv13UiEJ{NZs(sF->07ms%iHuGMv+D%9C4?cBPU0*)n_cJ>IR!6%q
    z?Pa_>HdBAUNk)?BUJJqUQTUm8YKkhLHY0Y#*Mn5K&50?Dz36@4wLoMYxECkn%^F
    z0J~J~3~EbJ2}`by)6=`91;2|sIJ86l=iB0dPkWKjUVdK
    z{6%)*T9`)47~t(OnOVNtsUZ9wox}wD^$lxM7<~~gtohPbBi9rpLC|ZEQMvtNs%VRA
    z;$D+pC2<|uQY&~f#Oo!m-qC*t6OvuWL%;g1+<8`?)2e2FOqaGUSPx%Hitg5d{!rXK
    zRq^#@^hBc5FYw_uzRAh-c1_Ulo`Jn1aJ(zBnj*daj|?9=^1`k!rm3#{uZh0c3W*%i
    zfT=vB?&XI4)nCBi;{J9aSMTf{%czS_k6+|DO+>N?KWVXJFd=Z4-}=%8e(R!9RZuwpP3
    z6?<__cd6sVb6S6vYqId^3zO>i79iOOwAKZha?wu$_!lJo0GP{=gYtICDrsT59mZSD?}GL;gYfK`Kuu}ToTG26
    z*OyH$l1F%2=@%Bp-b>E8JY!JHfLNLXT9K&;4&$S8jmyPU{#Dvv^zGXu0o+q1%xzo)
    zRda6c4-Jw+xoCdF%F&NmhiT(3eKk7k2D5c^b;XuPz^*ZcScAqT{2J^^R_t2?iGRM~
    z{K(PIuC{j-dkjsv;^xJP-OMK)*{IXjzCzCBP6hx(bq?QuNQj7Bxjv#vk?E1{>kg6o
    zHV_fn>J2HSvsNO_eChKTxqw&fcO3%477+pOt7MSk~E@%NGaP
    z>DuBqxsXZN1t7(v#pCx^T?+QLHl3~%0Je6$K4W+-bQ$(AR0Ky9!b$=oeUHzF&{-uO
    zKDaGblP^}^U&_QPS03$pEk50_t6BF)po>tz^SO}tw2sSIf?TMs>d-#w)bwo*TY<`r
    zXbx=4W!xi$U55@^T#`}wwj|o@hDO|$qx9e(AS76n1-NTImo|5EFi1en@E^qN_7E-R
    zD<0`iPM{JGP}KL9QrQ6@<~oMS4=j777A=Fv!1{dWqo)MHq2Z&*W5rr{xsPd6xg-Ar
    zBDNvoq#aw8sWi7bgV0*XX<}1m`*WeC5li;HRihU7^mucvI&va75TZ0Pr;i#06{kNs
    zQy0bEQ*b&<)3otJ(;-udPSQn1b&_7FNAfC
    zD3en^&2~*PwoyoKC%1bap`LTlc{3C9d5Y~zWYMfmMD
    z8iQ3(?u&I5lUr@Yz-8DtGRpG>i(TRA#+-VejU`RD#}mU=yLw}}nkdAlz%
    zA{5POnA^3~CApmj;zZmyr$7sY$10^p`ZyL1eaDWU4C{e%cw2xh4g)U`e19Um!({zQ
    z8%d$7eV;fyr}GK0<1jM|-;LzMV}4v&^*XD58GNK1(AWB!Dt=$VNclSa`m*t-tN3au
    z+ljuA56Eq9Z*sDDGtA;^UKg!69{)tfP!E}$>{|A|4GzGBs0gDUqZ(EPlnF5T2*Da0
    z;@0pHgyO&UET&DFa)o9`mExd+jWW$)Fg03!lPJ1x)Zd!;YJD6XK+&Ha^LXuzpc5Hn
    zM6k}cA$pqnG-HX%Em0&=NUNcOvR39Fu85yQm)9?sg-N3Dc(vr1vcA-!<2W~cA=j-1
    zf5tKIYc30hFWNET>=HD!XB@Rmjjc#d)WtP^210JW~
    z9tVbZ1}Ub@q!;wM@2ABiXye!4k!H-$T7aBWih+k;E;rxr)KErhuY7w@9TR?D$n4D_ei#O?WiN;N1j|K|X`?1vV98!U+X!_iBB-XHZ9;f&;3v@9@I2
    zaJ6@UF@FyM0j?AQhkkE`bq0&N;uC%wRv$9-QiEjI9U56SJ~*)-ww3nEpY0A8Doqd8
    z7kAg^=vr{cjtb@I=F!LKMTpG`Xz?-M5sn>xj!QJf-4D}Nl4@p#yyd9YC52m{JGNCX
    zBU?`lLc>?eM#3WPa6ckHO=}+dnZZkHPCn^M>ZqEVnyO;1kD?hBJR8{Gv<={#3OoMJ
    z|KgjOP|mWd&-)j|K3DIT&yy)&Ctc6+>k2^zQQd4N+I(Yi
    za4mYbt{CqOlHWgs;x6q=t(j29-QyBHmjBU)&$gWX3k?ETCZ6oA=kOv`Wm)|o^u&Hh
    zlW#MgKlW^`-Or{HHj#kJ(*|(k=*;_z{KeQik`q1Q45
    zAwG#}xwX{Ry8PWAe7le7%T;n84{>AFDx`>AetO5c<_e)L3u$}2!Gx>mkHkn4=E3NI
    zGmj@Di5cFO>)YfQkBK+iRO$za8686>$a;)*c=bza3R#jFo9R?a=hO+@2z0}E<+mBR
    z^Xm>TI|gMder5Idt`zM|1&UfUUur2_5uDZNT76<$4YU*D&)a}cwHddn>Q->uq9VBMY2wZwNHO!r{!3V`cHf2-
    z$oX39UMG$*wlIN&!Qg<33-W@G`4ijR&4#NXMzCabN~Nf*^xNn_4{gl?e`xhLKTXCb
    z_JtIRccV4<69I{eAG6UigA@P-4Fk&=Zo2`zh)5+67j_PtCO{~Lx{EM*rz)>@1q(XI
    zDQ4$G=zzm)4^EXbj?+V6?xX)V5$|et;^!3ByPS7oyg2$woG^m@nas$pJtAcEizJ~6njbWm&D)e7agLlnNE
    zx2)CM9fJwCzz{+PW$~07v#58g2@-SqS`0!`{cce?N{u*xE9}~kLU!{TixY0aeYD=k
    z0+f=$ql7p?P}2r46F!VT@BZ-P82a~3&QbfB+hP^u(X0Ec?){(4$6Iw#k8SOwQIwB2
    z;_e<8z6P+za=_Dd{bz-6ctKYeAHV`0!|{;sc9XlQ6_EA2-YyN%^m><%V2m!t%RDGL9%!1`9cFv_lAuevOB9|e*)v(1Vy*pu*cSXuto
    z3@?&7kKVES2UD0jJI*;hF+XgFG0)qH`mikmlL83V)PK3Fe2rzCH>fsV_(oBQ^=J7N
    z$!}Bz1Xv7kC8+&lun#IxiNdNQa(;5cnxA5iREtuOETPr3eX6f4FL$Gz(Ykc9y)S`4
    za_5_zSYf`9qPlgLF}Y~~k31=8X^hPLAJ`z~*)@t5y8StAT8pkGGrsKgy7I
    z-_L%c3d%jq&s7wa;+%1b`JynP_q44U2x&^}^Y>hsg5f$w>$&tJQ<**@x@I1JO0Ls5
    zCB4jbBH}ovr7dhj@9Am{a2q8R_s$oxo~j8bOj?gU{6%uKKd~k>;*3e54SO;K0*waD
    zyhU2lrVh$H9;Fpwh!M5;@LqmgKNVVYaMB74D+1G8Q(!4*Jhflu&*ept_BFB}-jX0-
    zWm{+!qWuV);e~iuU2mctzKu?+OMxYa0Ll?RVOwgNXM9GGne)ap;@0i!(*flBS$=dk
    zTGp+x-UT
    zHMixty5EcJqGnC~-2DcXWr3vaf3kBCpK6Pb&oCUBMl$)qR?W`ji&e&+`3z)0ZX8hV
    zDwyDue?ck4%AoXUp^uvd#H+)!^n7@^_8hfT1ZPaiXI@MJajJDI@xAYyjc<
    zWx3Ez&KkJ;iNa9@Tk%Iop%9HBYD`8^8<;z~xeqE$8O*vp&J`kax+=Cq)L8H(>TkzU
    zt7V0}+wy8xzek(-cew)>CSbqd@#U7bkm;RD!n4rJqa)^tyE8wiK#pOUDnPS7Pm%eW
    zNA^Q=B7sUr5SvlSYfi1qs?da_^Q_f*Y5E&&lZv))!*1CkqAZZY4ABd$%9A$<;evfY
    zo97hd?q~n!>YCO$aF$^Yo^b(VCBiu;;kQ`}>W9^Y`ZXwOIMp$9r-rkaAnN?9XS9PE
    zJWBocEvL7?KS{L8TvT#|Lj_cM+7!uWBP#M8XULjR-w|~qC_ir{{g8e#h~Hm9bsfq^
    zBG^@k{6=TNNF*kev+RYvUl;r{3RBJX)7v2^0Vi~^+Nt6{h2wks`>m>SB!zV=P{Z3y
    zdkvEFekg|?Im>E`+k|3ryXUB3=W!Zp8m!@4fS~5dEX{4d6;n%dPJ`t@vOS(tuV*bv
    zD@cP?fJIL`N`qxXI`e_Emv)v+BTI*+h8zo?d!9(i%2OFRlncM+n~MZI)X>v+zh2c#
    zh
    znT0PSkD;3S9KuzV$E%O!#59-2_jwmGm$)&h@)Md#PIgoF7Z0X#*m#j`NK
    z>Y`Sr5VGR!3MMU@aJLovXNa*%nd++UwD6Qvivp?P!RUDiu2v
    z4%djULpn&fzq`?=#WQU<16G8*`Knc3N97GPi7^O}q(nE7nWfA^0s^k4Px?UmX%tXc
    zGPrWtG%+YFJ#1c@6%zmXX13*SO9GK%s!W5s;yKiCL#
    zy$YNtrV7hHU-T&v!oB~4_+fEcXRIgUx5UE`-8OEk?Gj47IZNH|my+zvLG>HQbB(vL
    z5$`GiKTQNAH^zoy74IjS?EJsq?y8A9)-XShdcZcCKs|t%f#=qk`kk{vCk0ld>!zGiYsbF2Ph<_Ov~UpO~8ofEo{p@Bw&<#LcW0=}3}0T_h!8RkQii
    zD@rg&H#&KEUc(Y19h4|bw1zI+%XVH%rP7rsRS*p>WKNK*Oru!bP~Sq4#K*43OJh)7
    z4ZaI*pzlzj40vKri~h%_I=f2_&-1
    z8lK}ylxFf?}*L*
    zs{eS#^e~@Z$KK@pY-@jX)-8I^G77;UAe_t)AGZQQ^{hvaGyYfd-Ml&q&_CJkPiA~I
    z(Yl=3|Ec~X{TD35r>*Tn*0d~!eIEg#2}@kCE!);XuYuZT_y`&&w=Bs6w^>vM%6Y|T+aIa{
    zG{^}_LfU5W;2jG5q%`J(DN<eN^F1LfcEud=y)F*C5@`@cN@TC<$5r!6tSbXu%q!@4m-8%sPCzI$KJNJ8~
    z)S~XXnz%tyk8s*4yUVgvvU#<2b@vFb0H4dtuabJ>Mh6L}@65v0%_$PbA&rHR)cFHv*!C*2wul^}Rrso#HL6Qd!_l**P$=sKA
    z(Zo82OSxO-^26Nid=mth6vbJCFrzGYs)!Hs@hI1MH{O80L>A+Cig6!g2jNVSTubIpJ5SQa?|-3%gG0;Ad-YK*XL{rpR0QH1F@;s
    zF2i~TCl-_EpLOC?!bY0GDapy7);tzHPF?i-4SAMwBIbc5RhPWR`{y@1W5L98Ho3l7
    zJtEQ>nVZvTM>GZXV5O=8J@M^FWs4*we6X|#|K98B=_D>EZ=;OqmSrnS2zc^v&gx#ScC-~!go@1}v!EUv)1HgvNrnCJ8r
    zRXJAReBelFiTSd~D3`KAV*k&bg!$PhJikll1JqG7ZAL|yUaoaylPSKq0l87=ObSi2
    zGIO-sv*YPO82PA+q#i+R{u>KBo}Fjds&|^~1-2DW;=2f?`Aa+f4Kx{)wPZgji0JC#
    zX#~K}QSLlHZ&JQ;A8ecvNuG%V9dM;0{hZT(ET{}CA){6xVhI!Yfw$?6&+i*xoYDW{
    z{PO~4sS{VE&%}gT_CPNQZUFOAr<;x{3k#5}ChIT$sr+Q8b+*lYG=tCeD&lE%Y^Plb
    z(1jlAFjN`{|8Gtz(9yT|vRwU)!obY5!+UG2X#5pcysUqQH{PxtP@^LXgCJ`#+?Kse
    zD49mC53E}Z)n5)j!HLC$wF3=KNgk|{Fklf)!!GaX4gsgrbg`pQ4{$e|Xve&(9Z(dP
    zRvGRSSNu~bj(1=BVp-q+%nBm*`~&6WoC^b5*8gM!K2Bhm8q8b5K<{~blaMl3b9#z3u+5vo~~Wc+240N&ZjYj5H^7V%CJSKgaX!aZB}
    zNXFX-6U*je@f(#HVS!Ji?B}%|ELG)C8cBA=I)@?4qNB7{l;=vb7k@v_D)+z4J-puM
    zzQ!EPX09%jf+|F5N+_Q;kzN-u1G)YdmZdiW47G+V>!;60pSThrfKjTh_y=)@CB0={
    zA5~bpi!gKK-mj^{-w0r%#&3pfKpQa<@N%5UFeJbEI}9CK3-I!a=9fPYG*K)CEW?Dx
    z=7`W1H?~zz$$M3-ig<`Hpg!25-sd5~(m#SsR0y+&!%UQat2*DHCh7FL{tH$5!zSoU@(Vl9R
    z63xeB^d(=iCF+g%Wp}yrcRCn^>(xBo@cB%lbs~|4U||({o`D@Mq>dGx#f>jmZ2$ov
    z2{{NM0WUr$iVAa!#MSnM2c>04p1=fw9Qp$f@HxDK{%)U?7vP@9wJ{NX%(?*T>Y?|`*l2`DSL(F_?Kkh61!x|~0
    z$HdWj=NTm60%fx=*r=y}`34KAwD>+(klK{sGZ%01!QUCGah4R8Ebuzjo6!U_LV5JoX+$wmL_s+#D`=d
    zw3PZmDg#lt=Am!b$j&CBE8Bjgpsq2m&VR1ONeMNx{@bKx)N+n*0n9gB#Yg%evo;~@
    z1t>|EEn^8$R{~t0{z;t7vJtij+;H!mQ1EHa9Y5$2ExD`>Tl9D{(Ky-XEQZ+~o(IM3
    zoUM#~FH)6+>}Qln-tQK&XD&hlAnr9-jvGylnuS184k)9eXB6R=w;3+tkD|m+H@l02
    zncYU+E?ZBQ{W)t-^KIqj^>Ne(KB(2SeDZYfbMs|Qr2mbMMdqW%MF(pwDjr|bsdXtY
    zigJ+v_X7%py{%F=P`WY4k^3y@KEsg9NFg%Cp0~Cx>LSVb4lyEp@@6&&jQD}F$#s_q
    zgOf``T1eX`8WR^5w4K;PwXl00oI*fi@d-
    zx)mIV=PWZklSi-oLr4G*BxevhM}6$V40JQ4DU`rc!J8UcrP-IjDljgim#^ihycF{Q
    zju)-RMk~^PHhtU}Jfe{PgwXDC&nm6*y()^Bw1pB_UdY0D%*l-FkF~^Z)aJXWv3F&s
    zey5idwa5>32FcbsT!$fR!W{FDHRa>q4Uz%H&8x?_lKG|6x@GX6S)y0@NpA$!5|bhl
    z2nY=GY5JhLlYV3{SheESAdT=M30zGlT@19WiM~JN0Fe|IDA{JyQ4~{xFoPHxx#Y-+Yi7gldt@ZQ5m0UB4J=f3vW9fQ94S#?o22y^1Q2P5uJSNBUby(aO~$JJy%r
    zf?h})rLsZq_I;f0GeCvMhVT-A9g+8rmm#2e6w*`{ozEB*zA%E8L0jUBCyjPPfCCN-
    z5lx)b%JN3#y2Q27K>JT&h#7=YJ!iMwHyRTUL?r2Z_f}T&;ltR2$2jK6V|hoz$SHW6
    zNGd{_Fh-O8BeKuDIjnt#$o1@r%8_E^kERjf1`473wnoBe{=r4b|FQvSZrhM%84Mge
    zZ0F-&M>lu&F7Y>?E7%wCYFld4I68
    z)i4Xv&$usS(V%iwMR_#+Ak?pEH)3vwn*6DhK0i29$^30zb8-V3FHQs6k;uf(rvS6C
    zQmgIw>^~18aFCj(xcI3c|3|l+Db)7r
    z;`2vY+8wUeIqTuO)!uJs%uNCR2P_e!_sGjF;6XM3YRtU#k0QcSU#2PVKU6|S1E%|a
    z{u3fhMzta%{7lU;T~~LC4=U)g09F^J(@%Mw)p~Y(VF4yJskGIYp8lP6J
    zK*z}6>_X|w_t2?pL|CKwGX@jgTSixR)3HhVdU)3rfmPRM?q?q&1)4Wpwwnvws30UV
    zKVrln*Z`P_Xmv)N2jhdIgpb84Dtfy`_J8j4JAJiDi7K{RXlTun{?Hp#{)j7ial(H+
    zG@rpp{5Cx2EdLW5noA48j+x^AfS_$|fJnRT_5lxK{Xo
    z>BZ7&rnO)%SG7!$;*YsGq^Oq@`jFhVuban$liDXRf?Ji=MjSjs1+k)}^E=BrB>b32
    z^y9exG}EqSe29NxDYTx5#7Pm7JyDLP!lOb91ZiQZd2W=15ag=zY|fVW?tpRH`6fgv
    z?d4HR4LZuBs0e*woz|&8CXv7OPY=fIc%geD)E*qwbDk`9ks}2UJ@u1?X+yq?*A;)(
    z`ZK~-c2!p#I=E7zM*R3!krFE4aRN1}ESs_dk+~&VPSJA=x;6$vbj4E#q3%=E^?cjw
    z)
    zWhB5MRxthY^(gaawyP`t_VefER_W7y=F&-`xTeaT}w4eNbx}{DVolnf^OMgGM|h)K#H;2-3-#Uh5vh)V`ShZ|$H}NU>wh7vV_mTy
    z?-X5?($V5SgUE5FIH};S<*%h8RgBGFkhlC>H~hi?gYM{W=YVmOvAM
    zMArj|e*GnPlnRPhE5KVt1-B3ubt3VrKtn!|DJZ30Go|9U(0ulBZPI$a2ez40B8{zg
    z@r|qSo|qK>S!?4ckW?9eESG%ze5)WFR0u3xW-<%fLWA`~!v!Wr2V~*L7cfK*;@Wcx
    zCOHYqByyiV;^PAv-2dO3Uefie`@W*_@4-+1&EQAWOMRVO
    z@&9;u}IcB!#L{
    zrAaguJvoT*7fm(dZ&`l|OoXCgL@Ezoi@Yp2Jm&Z1_J6#lSVMI_fAO)%biPNo0>PH5
    z@s%YFLHksS;`zxX8Ulu{P~Z_)16lP3laI7Euwpo`vkM(I@#V`p
    zW?2YJ#Qk)VFB0imAJ}QCQnK4wrM1QV
    zg2?;#Mmhb@?GpTPhYbdcNyLvr5g+~jAF94GEXuZ9o9+|=K~fr|8M?cMPU%o$lrBLS
    zy1N;=C8R{U8|iMOOQk!%o9B7oz4!NbesCOI_Z4fMwMuOP_f5K83w%%~Z&1odE@ZVG
    z)<4KKg%S2p==oI?eiHr%bl$&$?WAkebiO^s*POC)FFJB!C*tt-EWm3eY@K@F$)f>v5DwipDgLKa)qkKvgWq1?3ZPs|5-2>z#1hHGCUdTJKQOI=S>QY~X8
    z6&t0Y^ETEzJY~OE%e2-=>x4m_q7>-#G)IE$4nt>nR9(O@y
    zDfSD74qrWX*8`dXSF+ZUu+eNVJVg@11FU!o+_637`hb$-3J}!;0vFym+b+}v(T4j1)p_FzRpMXH*=JV5F4If
    z+6H41^mEe}$6l}lb3LqVLtap00RjN
    zey}px2RL;vd1T)ZxkQ~3VCG>aMNbtbbohb%uvpk<5v*LPWr?xZ2PI0YgkH*KCk@E1
    zL-&CLxAqoEKLj+`(rCU7u`9Rf^vBit_(#5w)55_7SSz|LJx$A!RX#^v54(!1g*E&F
    z|H)%1j(DpM0>kUU`_Dv>jjvL{vT{qhm9s}J2OIx%*?-9Y5<$YDN3ZM}5npV!sr!G8
    z&V(Hdn|rW-yYubgF8&E9c(EB$RG=_^Qv2Z&;kF1K0Re&3O+oht7OxaIoznt<5&8dJ
    zbkUm*z{r}wD{lb8{RiG~R90|s2r2_WrynKn=lW6&T;o&!dXItnAdsr|)=U1N8*qUd
    z!}Z)V)=iyIXcn`FlwEXbT6^e;DhpegORR0we}tx$JX@XLYcj>Td5a@PV~%5gTji!H
    zd-cUX{;SHo17n922C0+>kksKvHLWdD_ZsphONxFE4e5hSq7r*S!1<)#+Z?r{G<9nv>nXs_dqUw{_P-*q1Mt4^jruoawEn=GwMkwj?5?a4
    zT`8fbX%zul&{dR~5Qm$cE_RQB4gkQ0iGaOZMnw9g?exQ?ct)Tx!s{<6Jy_59-Fzm8
    z>S(Q|8!wk%APYYZrcghEN+h~#Xo5&36E`Q`|LWh7Je2thz5TFuK^I>(PCtV~M`F?3
    z_bLI+Wgf0_SU_An8(U&FP$F;mFp1^Ugqe-A<V8z^K7e9ndi-Yg
    zZ`X&feyXmVS?_5rdNPcURz`A-N`d*v{Gh3ZVnb6P0W=S|C;k$ue_ia
    znBv`jv;0Mx8V+_W>xxPiRml(9fL&QYnM~Tg2{(C6+_M=8j;3+{aM&z8jVEsjowHxK
    z8lT~ws#}?u1CZB>tMN=0Aq^y@G$E_SH3?jwA2uV69DwjWF#7vZ6_Il~hat(zJC5?s
    zq)cJ!n0nP{vYm7XLQ8%|Tzur~si8*X<7X@sSbc$f8cFfU=mOxNqi@?XUCD
    zgab>zG-)aG_ur*gi$JUVxnEp1{Fc~MPL9_P%<*2B&vI>e&Nwt#KJ_F2L3=gKTENmY1ps^ij{~Yf-Z0P(nzTL}
    ze>kbk_+PdYu2WqpRnZU6bwc6q084BWK&RZYU@9qKdsu*fLjVt6v3=!$9>J`>i~(%*
    zPMRRnArr|t*5P~-)0}7|OQg&J(telKh`OBtLyT0Jf&y<+ERDbvPAu;Zo%#S!fdh#?
    z+S=ODRunfE((iA&kev-`tp(qoq5>s2i%?5a3a#_Q#T-C3{5o>5`YW{mv4+%|AF!F(
    z48&5;z3XYT*p@-QX`CvG-e366!nIqbYNX_F@tVW<>Kq%hx1=lU@=*MprNUP>>S~U}
    zps*IG3hPQ0mdll;H+dKW?=dlv}*Z&@!Bck}p+4RUi;rI@40le6H
    z3DE~!n#}SQI5Jqce^`5p%;hA%1{f_XTO($DQuc55tmO*@fyNr8f~}3b!-W=gLa#m=
    zi?m{dj1(!m#K=W&rI3*!xg0*|gH2CwOJ)M*{(w)*=5KQ$17zWOCX*xyeKyn8md|h=
    z38krx1>-z&`z4Xu=i|v`O4~{T0DSu|zrGd%(xzDYkxS)%
    zTU!knQQrRp1ZtV-#TJQ@fvCX2)T&vU7g0jvLq6`I^DuH3CRn3Jj>;X5j7EEm
    zO|KmSk)t!>!isQdr9$Kxb?4rn&wu^Ob#5tR9sz=?kJ-vDi&FGT&WH8yZ2&PHFs$F&b93DF8~d+3f7^-m#3`>(w5})4F^#B(yNZ;n|Roa
    z?NX6&-_p?H6z0!4>h+eC-SYec`i<%->JuBI`JjKNriXxXV-s8z>3xs@7vbBJzJ5;ZQZj-qc{I~6LL$f(3
    z`--n-JQ8$xxW@)e6m2VFPlkS(toutokJ#uoG8w-y@?!OD3ee3~@h?fE*aF?6;LXKh
    z)az4#x0x$+4snH~1ogs9b33hOOCTCzSE2K8htr?-;puYZSjB>E1w?W^is5k4)g`3-
    zNXY#HI4oUgO%SW-@jT3>HB>~cm5g8|c{S=uNd_J&ls;WkPnht6P{yvZjkMDxlCIsh
    z-KMZ^_P0M%xI=xehKk}SbEJCRv)WN};8mz70ZL~5{%1o1+Ko7eB<4IDY
    z5hYg`2n?GhT8xf$s9cc@W{q=06pneM>k02-Eyr@)>uu65#t$(FY$}!d{tN`Z!OC4@
    zb`VM>6F70~@cIDdAmv1K>cZan(?E(1K}cvJtc-fyEU$4K<{wS82?fV|IN!GH>9P)o
    zSEx;YvCPv4$Gg4Z9;5er!8UfKdTov8
    z+X7CxR1;=E!rzIA`)TRR*s9S(#FbcJWx-n(uTx!BQM+GKDK|@m4MB!gEgPKnu6*78td&^%hP7!4`#AQESmApYY1Gj@`T0qk1o2NVKYMVvrF{gj
    zdo{K*|LMYCvNB2EjvX<_NP1K~ZlTn0ls587QIfMUSn8QS(oYM&KP>il!5)w0P11h<
    zg7Gtp&KAhe(BdFmhR7X;u_wg|*%3iVFAjg5Lr=)m5GG4XD^?@|U$zSY8p44Oip&o_
    z0{4+VGMb5{e29}=uG7T}Nt4gETypJ!uNCuK%d6i=Gsn+8a8u&xgNKaYRWU35
    zYJ}K*i7U*wDK|%%njxI>{tX%z$smeFMg+m
    zpv`b_PWl${Z>TmSB7>$F&TCAQjzhre+}YgrQ2IMX>V?XQ%~WKYN2K0!gGA|VCz#j*
    zY1!xG1~;wxJ-eIZQYf&C^TI*BWUhw?f&r8~4rqo|{Hfz80s7C_*&fAy=nJk-A@kYL
    zM{24E{qMG_qV9%Jj0^23Ju=dWOKo8Q0waxHU&<;48l)m?q5^%)`Y9e75ASJglYm9AAjO}ao|m{BEZK`Qp71v$?brD4ey%L+5zth
    zT@9bx%noEt=IdLFzL60nQ?(c)n$Gt6dX#&XN_vWt}N0nc#Ll|a}
    zauhBr%0}gc;k;deU6FRer2UGVbG8tZ5aHUv(UI1ag^__$9W66AKSNkurC3h%ZQOy~
    zI{5^9nkI1I3}4W{5$4w{@Jyzj4LpOw#vzQ~b&LBPFF_(#4)z>=r{K&vOZigKlR_@1
    z-y`N=;C~S|ZgW1rwl?Cuy_<+Qs*M!u40mjUiXGImHaAZ*
    zf`IIn5MD{$J~o`+EYT@!ivfi-H1TC{LW#)=Poie(IYhSI{h!@K1MD7HV(ABKoH&5a
    zD7}iH(Ii*KfpqO{uZ5hMX^p94hkW?S^zWu&(sn8W)0OMpQPyPigsZL?i6e|e4n!8)
    zh2VY?HMfpXr%CUJs#>fz5zzcR1o}apWK}mCfMeM(NO0&#b5+ItDB)iGVYwnk91rk!
    zAmc*>tDp?JiJfV!UT#3eXv(5TaH&1{6sm>giXdEYZTm&A6i+b^_zHnM8{_i6ds?oJ
    zmdKurfhlC$mP!i)s6Pr=OOz0r+=I3MeZj8Lq_m+F7&>BH%(Jv2;bEZGV{6KF3k(R?
    zn;8cJ4U)#qzUrdNn8U@>nV;`Xd~T;T+pm{|pbPEAu{fQ$kQZA0FK2n&hV|-R34FI4
    zEOreAt&ciY|2YTvVCFpyACPF%ynn^2Zz!+Mg~3ZTkkC}trQAvL&2C_c03>PZ#kNhAKt+gzgic5
    z%$Km(0PeGac|?L}E9G*IFEf{)!$>@ty}cYYZGp2oZ#`M`Ej&Em(@|rpuWMa?1WI%`
    zPm~n%6$dq2M_VjBK`iE(Ixik8uXs-AgSOqVxpxPvcboiaGT(mfb*X%FJO3p<=^u+!
    z8bBu)rR9FAw|kaK{%Z3!Lk6<@bpMz?oLHP){Yh(a+6IOrId`mjYnF|DYj7I0F|QJu
    z!HNs{1h7H{V)ymNKSpCA*e6l75V#3nUnI;oc!6a-FgL^9?0vwS^uS>lhe(#+m4}6g#{ywLNQJ#6=422-$B$RZ
    zl{)OkJV$fwJ{y_ttMkoXG$K--RYka>4fkCVb+4(vTRM_kfE$Wkm`bz5oGUeF3!mg7
    zo_$Qw=UcgM3I{F;rxov^r0~Ty=g1D>`ZIpi;)DX9;=i{TAl8zz6_K_7X(%hmm5w5d
    zA0J+8%Y1*2WG&mC-V(DF9C>WTQ~3NcE$3uq+Vv|9$I0SAsMGkfpGCEMc4Lszr3)pU
    z+6r@UO0daas>rk+{qu-*YlB69XjQv^!oS%BVV;inDtSv
    z-w(Y6uWsQyaC^rQ&rsMW2*gO2ZVme3{SMve#9o9We~_RuI^TptLu#pWc~~bp
    z&)J_2pFa@`SZnn9OI%3d!YUptgUpS{OiNt{6sl}A0BiH%zSdjrwe%+N7u#q{pjd#I
    zuzzr4J>nYu%W;jp9skR#=2t4BV
    zuYi%v-xUbtDh--z&vO7P)S+CN-@AXPp0
    z<&V^Nf|Q30@lQKiYy>+?)X$+poEoSEr9cNCasl&FEY|LxjfFWl4{xkdeD?jzn9gs#
    zFtU2C={XdC5Xt8n_C#~&Luix*7y$S&0Ss{0+2?M_YSr^Mpkcw-Bbf{UMfp4#0oRM>
    z?%4Q=&qQ?x=ETx*=|bc+kE6RDg6g${x*Og1q04jUvkdFu)eY%J$442t_yEnVHl%KF
    zEom@@D-b83$z8NY|J<3x8^r#6K;TDDL~lM^VUd#yJ8cSs4lP*74Ml|4u^Tp+-qo@8
    zqFyGYkoN^${GM&Q&xTr0@6Gp-bDuV1K;4;L}`&
    z;jTjGYAsLhc|W~FfV^w|V54yIK`zJo3Y5mhGQ`l#^KSzg^jCSRDC+Mdoz+9rHo&m`
    z5q7Zvn_nN~A%2!{S{C)wn>t((BAY$9BGe;T_P-NxVRrVEl
    z1=u>}BQ>rip1O?8bz&u!9TSHuBa$8T`CT^11uC^kp=11`pRz&D-nTr_AZ%!Dyc|t`QRL7K$M7CQIl=6!_yT`gJU=!`T^0`#!|i&vOQh_Z^EfDegbGQwt$R`+#w143I{&p~NM})!-$Y!9m0J1O0kMa6Caaq1vhbFXKu?F!8tX&=}CMdf7yUy>>1pCx6*5+zz1=
    z7*Mi(-tYP>EWGi_uu7N30wjpVVhmhjKKEw_sbu1qd4F15RUtz#UAQ(1raNZZJ*E
    zi0B=lTET!6Ft8trOc;=j)VSC>(t~lw1BLv9k?fodkp2cy2U^t4?8h;Y0_NTkaRCHA
    z32rQkF1HXszYAqTp^fzKIz7)%=|n8InTh|vuQ=^IGAaptTGfT}Gadwa%_c=Z9~zKpI%
    zDw~gIj+YSDM<4;p^TSG=5bQtsOmN;`ATMyB_h3A>ikXg~j)|
    z)+@@X&zuj%`6lEdx5OTSBoZkBF?TF0RD~GFu|5t_JshS$i%E*n0TX6G;G@(};r#ht
    zI4lzFEt0iLTT@W6-9upUlT`y_*LQVWdKRud%
    z2w%xluAj~J4E0|N1F2r{2p+~<>@(f1-HJh-4qjqM*z=BuZ9rJChtIc`dwGSy+<;zG
    zx4(;x?nhbOA-HBXR8YgW{9I1rAv6>b<4nf_-j~S0`0GHVZZvaRtsn{%6}SL~Fr5w^
    zVJy*V!;uQqJ*rQhc)45ea!(1G+7*E0xOMbjY+c0!DxFcLRPa|{F9D%EV;ARAX;0YB
    zZ$oY@*DkjNd`#CN-@pkiy)2*e7bd;^|G}Sa*jV1Cl>!P)o7-B)R!>);r(3W82>+Z$
    zvWv$vG!q*1-6}&lLCL-Xkj&eX^8Rw*0i2bNe+ItW{ZvD849b=nf?5plkDf%$J&LvW
    zw!OnC->Y@b3;k+9SV46L~%3GT^F$lBxE361iP9PY}YljR{sRx0#n}4Z^K1
    z%B^hMn`<&@ggTaFdGY6IsnPV;#Vy(X+uD=y$B)jH9!SWlk#m$Zj(?+qK18(N$EeD+
    z6A82m{2_i*hY#F|^k$L_0e-MR0=1}Vb0GFi(I;ac8sE@}(x017xLdxb9!L0j{l^fu_g^1UAGV+){^idZ1~wdU?Pg{Lqf)8-q3myejliNv8ve
    zK-@={e8GoBzKho?g4^w04bYg6>(5X?;=vW}RTK@O)=z5uv%@)oSz7S~d@WSv*eb2h
    zEl;YheZiC?ug4zAlmiWvFGwp@#1MtJ4pFr%pgV^i@sb*TsCL+RXK}W2^vAI@t+_K@(
    z^QpY;Iul=*NGKgQ3670xH$6sEvI4TizV;{Y$6$X~udA2ZiIhl#kKT8(F`jd6A#A^s
    zh4+c|s*2zr?kqY6)&zadkF!K%(lIbaZ!9UeD)4nnoBR
    z*)z-41e60ys?*Tx?L-o`#pyFqF=&sdl+~|+S%-g~V+ujEJgB!r`Ez2cN+~&7{<)_bcocW?*|DshJ4M
    z@AJLnd*^R8=2CU8R|&6PsnyU(v_`+UVa^|LESW<5>tJVFjN^{I=Wd@dEwEd07{aex1!A=qRl(y*)erRa&GMJTaA>%Gn#z$o@J9|B<^(S<)ZaeI1U9b55%BA5}U
    z#O2ToN)GcWXjlbGw{P@l=qnffwaB1S;9nQe8%*HF7B&!Wi4;sLKEP0+&O<2dYz&jH
    z#phRGavZMHKnv-1QWy2irN4-dO`4v$*}^i`{VCBriEJw?_c2$3utbTW`%rp~Xqn9}
    zur?@2;IgRe;mvw^IzKwuYMEPpN
    zjfRIO6%LDfUcS6c}hT+}1>
    zvJXEr+*KI0GqFEaCj4qstogfSf;w-VojW9W_XFYevqyygHQ7J$wOfO8LqDNUkh#O$
    z2;y#$=&uzdg~r-vRYD!9!=Iu7`Y!27e2M^ZgL
    zmB+eIbD$V;3W9^2z8oHrn|*KilA{4Q5sa}{k}nTSw8tbDC}QyadqsI$0VXX6LpONj
    z>4HxRX;$2zYvKDuVo(|lG-+?@NHe(bm$v)ovP1gnB4cucCjk5%=>cULlvdF>xgRgn
    zB{MH!cs)3o-c@Z%7xuT&FMPU~O(YKDrW#MAq0K}pb?gMC*}fwe*6WHPy|8hkQ-x!Q
    zz!3N&@GZ6Jd)O&{^>5(o%_4E~A5Do{llLTk#Tc(r`x58%RbGG}JT!{rM3b-<`AUo2
    zHuz`m2Y+OpO0H#dBg-vgZXjHIb8FjJd@xlgrvl*oBB9;lq|5mtZyW&5M?ZMlo*qE0
    zb%~>ZpI;nJe4qN8vN#1#0Ub4xTE<=70Q0Sj>ywjUQT-{Uiq-3zlO=AFvn0ZOP$A)UH>DoVYnR0%5hd{PHf;)An8|k$6J$e;Ax)>mwXKx%$fUc!UM52puM=s<=U0VNOM4x^Y
    z6_B4yiUlZ{UqR(JnC%%k3Hq^rc2vId!fUqkqhH2EqgvGL=TNjxJ*J@bC6)R3_O&Jg
    z*eU<%c-T2GohL3?c`CAKp3DNPe$Ti-PR&A3XNx3b-9E^vcmoRksnAXYu~i!?w9eG7
    zF1kh>>-6hhC2;f~k`%DnuzZFZTw)Z`-7-{eW(esJAzg~RZ)czTE?{KJfBLR!0Kh#&
    zmzh|W@&2|Q0`fMhc9xPjtSzxTwSClbJ9
    z)s&zukey0t{+Xs?Aa3@_!eZV2BrLFuKXL^e@FGW$zuv6>@-KIPYx_UafzumqUOPi}
    zgLL87@m&rYe>teM&E!i+e?il;E5?xpWE68az5qTp{|^9Bsf$uwgc`gYFcoFG24BkS
    z6P9yTJhV&g)-?~A+3JTGqzVrPi+a?XVVPzzWWRtQh;;LAl4F@NI>DUK4g|@SJ~me}
    zp^G#57B7Rpz?yR5Y5J$>NVr|2K@w%tS+HDfr#kIQTVR!k8k!Z(4lScUAcf{L^Vo|J
    z7ua;es9sr6T=2?_8kCo`rR>ka3+TJr8I9Z^jGh0jkEs7he%7CIx3kmQ=DgdJPe_@7
    zr3$yrYVzRemFzCNKByi#&KQY}lM
    z_EiGu$+t+ev~jC<-0Z*hLQGx-LQRLcOMX+y+L<-urDc61h>jk{AbjuoR=BziNP&c7
    zOYEUr1%r8LMq7EcbuCAc$`$Yk57fAL6h`Z4L#epa$Mxgh!#;Ecf6nM%zp|{QZKVn#
    z*j(X^2T(hmw+>tq16x(}k(S<8nE9GkX4MFZ<1xtJpFOd0pC*gQ-@4VZl)d4etpd^-
    z`I3yi*Ht2Ay?8z7Qwx%)AO1U#VhYHo@Bf7jI7d=C7k~w;&oTr5NwMJfzFa_+PD_Pf
    zu62Zn@hu~vp$R$5rggx457vHA`FvG*UZkTHh-tpONisVhJ>hD`D{N~7toKAfBBP@u
    zfKZ9q5Mn|+ea2U~Ty74#)-vCr&G%fM46coEUK8B@blZ2^;E_&2t|NjdB7iY=2AjiP
    zn)Ij*MB$QWD;G;hsc{QlmOU4)UdoHLu1%E5D8K1J
    z=IXA50_=OWfDvNU=Ua#Da|;m{HBgxs4Mjb30Zg9$iX|c{1OuT>dnnswORe0u->mcq
    z`iVJUO
    zWlQSaF7wH56Y?(s;KN%3O&M2CY)cKv
    z<>#U?7<(ZUBq5W*34Z@}RtwrbvV6&=hZtrK#-iO@=Hc#vd5TW_h1QRI;jY|4AJvB_
    z{f*uHt$C$u;Bfa*=Nzy@o4VvOUS#s3hvHWYfTXxi@txLgU`$=PLb(zfZnqv&*u)7rvQvP{5kT_rCJIHcndXkfw3XN7exRk
    zloo9pwiMGQ8K>n31^h4^TtbzO1~36@d#U?IeEI$>MZF_gPjP2Bh?F`co-4X~_tx0oSi21h79=vj5S3Hml&t^^$mK5G%U@
    z`8)xNXnYf`#l!q>)n)Sh!KrR9KYpjR$cfLe5xO-$E+ci^x97zyO3aLPGfB8W2a>Fr
    zXJ39~%B`5(l;MW*xBj}{Rr`E3^>oc=RKA~W_ei~}9u1XprwIfK4h>-r(L&AhS{J#m
    zEGsV&xbT59~VHSR&1f^0+Z-fTO9cx@rs!4}|FHaZ7zN~t!-1~>g1_rkQHn1*)e*&vLSkqm
    ziH*t^D2$`W_Np6~_`NPyv!tpRpXVsn)iGILjpQJYbdarduv@2z+m@|mTG$fDiyYqR
    z$Oiv`Z>i*7i2@$Er9WeYpY_OttnzeU{4?frFmnda4ri$$5
    zt9*`DGYPj*C8~0%pyyxRUxS(m+lyVEExN3RqVvYTpHu$-jnp20?tfNAgfKDBij~t^
    z+*RW&S_XApl|6SN+(fZc{8r&>H
    zz)d0}lm5wSko7o|5MxlT&~Nz`{P0|){avi{BP1)^12;1Y@Sr8vxX<~rrr!b<8@!fjzec7(e&aKLQLp(7|#`w=)9>vR>Ho0kpL2#j1(6FU|W0
    zVZS0%t^R?};Ks&?W2J=M&tO2n90(#wP^5$ix;UU#6?F!nsATiHMfJjry*jaZdOKkp
    zYwx3&=sUz!KuF&|OD@YUnjfRw0J1T+*2?avEbc$U)^2M1&X57UgwYsHK;2BHw84uX
    zUGZ*m*m@3%kEdVH5C(x%t;#>%qjp00e4Y*y~%?$^Bo4Es*-Q
    zOfuDf)z&OzeCGYXlN{7T3au#8fBOcW-^c*78#AT7;wZ_iMl-6L8}eT|xlu(4b<=5Z
    zh${bkWrRsyQwWAUmX$(F$*v+yo@-)<&gBmyunygFle_J>fOKG70+6QkA!^8Ji0Hr6
    z3Ts|dxuwvTwP_~G%fD03o5BBOR#7wBt
    z1LT@&Rgo(s`8k;I?F5Eq2#-W7<$e;9
    znPCGL(;n+cO2eb}Qg8F5Fdsg=?ny={kW4m4HKBNa37Wxuwz71G)QzQznsxZz?Tn_?
    ze7GP6+*ZOSF+h0oRqq!Mlapwq7
    zb$%Q0ujC)VFUxHPH*UTe`~U_MJp6zpC%;_s1{3Afk$#aR-{6H)O9-{DwWcjs%oTg_?%C!va-0Q%%n9&#?R~-yf##K7~yv5)G7a`I2o1&%75WHK~sT}Thzf#eG1{Ny_>7Q
    zVfmjMBW^ESy=I3MZhkxC65DHQ4@T=~&0Fmq{KWdy>{QAOe10ka>;qK+Fq%V`!V;3p3LZ+BmI
    zQdGC#so}#@9JhcK2Goe=Le=-=Obg8}`(3{t-S%ppvRnCL=JNQbf}b2~hEIJ4hrb*N
    zop`t3(43L>bFwiWhDHBDlLo&`P*B@M2*b2p<}&9ASK5gGN}?_$D=h>3c<5`U-dTlN
    z!;FDJ#_#Koha}+C_FG_XJ@4zmVR2S*bN1A(KyM`!EHVQHrUT8bxSD9YW*!`hwE{wv
    zTHIBW+7C06O*c5?jYWvfMJsbi73hk15Ss@2bCz#Cxflg%G9n|I{0?A0$mt*E&!ILW
    z8f3CTQU#;$lx=Ef3YkCB+aO}+nQXL#Q>PPZt1Hz>6Vy(8^q?AD9Qd+g2+|>VVHo~a
    z!<9bwg$lgr~bd&7E{{SBKbW0MAl&Tzt^xo<|uaqho9@9w;=z)h*%
    zhJOd2pMtdmPlAGqMdrC3m%_3G&x}m6Yv`Vr@@@yf0#m-@kT`AQiygEA_tr?-X*>UK
    zOH&#x?FXz(!O8Xuh&S^$+sLHSfk0Eagg$xBF=YuNHB2QfK!{4bKTNz2(ix~8B-0VL
    zH#7)C-{oAYtgc)>FbyF48n_{u|Ba1WJ#L}fM@Uionm>b3TPtYktFn`|TOnQnNd$(i
    zq{d1rG;58=mG+MY+)7}3`o{%N-Jcdqmk=UWQ5@%;4$ymm;uQ6`~l*~=+
    z!TCW-+u?9oPfvUYLGNFv5se%o(l8huA62A68;a;6{xTSEqA@stJC5ZmYz6?$3g?5_
    zwUTgOB+xhBst%{z&{EOj>#flgxC*@S|FQ;KZch6YEo=f>=|L~Pk;^-nobSsZoI@fe
    zoMPsw66`(*gTR_C>5b2jbq#Mf?NgW43-_BV1d$a<{7V69x@sS_hB?KYc`omIybW;rXXvPaz&WUu)oUhiG?XAJaEd>
    zS26q855$94dDdAICY|vkv)bVL=~YAG0vBTA1*9hQ{!pP9%Qqu8%c6xw7{Hd(fA8Wz
    zk^r&Y_bF6KJ%y`V<9N!6xe?#;%Yja5N#w(EC0$BWjQz8EbK^t>mRVKz36EB5FhPDC
    zm*bsuLrowk8)VIfkBU6c?^hgbHFl#)M$_jO|EiI`#pAOLks(1HcbN5ymB1BnDI0K5
    zr|}33%Vf-j)sj8uzbJpa)ju1(
    zM3p*oG!$NoRJ@_nd>kDb+a20UPd8}yHQaCRoS7lKkfb&GNlOi6rg28SlOS?HNmROf
    z12g^fdQv(~3+&O~*h&Qz7JMzSnovF1qZFrT3fJl`{%g{=tXkVKmkg%}OIfvPkQR1t
    zAGL$^={MPD+3#qop$^qbh=OdNnM>rAuM=iWv>KhT3^h?r#BX?hZNX{|e7c;+CZ1B^
    zc^hf5<*i5J@ienISvWf_R&z5=oncBvP%Z`3ia`cFf8|zBD*FmxR(G;*2o+;;|(a>i&nl)X{#cjRu%MxYTQP$=8^#IJE}L30&0Rl~%9J
    zQ7IW`W}BC|s$$bV(Tok^?+q7q0LRtJ`lR{yy9FK}tjm}}wRp-Q9NLD~wc3VjFUX9O
    z?IfF}=hoV+-zH}@1b$>pwAv_lJ#)1RGJ?gf#j|89MB62pQ-j|)fG?Wpf3_IW&m|TO
    z$s}LkV*3W?DVN@x^>crqqxaA%ya}pnClIC+FzT%1gnPTn8lYf*OVd)xbT)v2u@r}@
    zT!B9JRD{b2wHE(qP8}1L42K=Yugp#P36X}D5pV8%R49T~h3U`=VJ>hiq0ulw-v~j{
    z3FYfT<_Eb9)jS)2wL0Ad4fIeNjo-t8Jq01+A>6&SdkGX6If57seUNvA|Ff%0Y|PB2
    ztQ!m^?jFYeWX}&SE7E)v#!Juqg7edYvMm{k{+N`U^tML33Y%T&esv*aJ!oCDU%Q^d
    zYe3@HJ@m2vY5vHhEn0e}pSbNf=&ALnE#}ElE8PM7=Y9jmCf{1g^!%p$jL{6Adb?#~
    zf;Q$?j!i=7*CK0$-TzKmKc5vppj+^SOz}+&4__gF)nb@9O{*5(MN?^X2o$dUBC*QaUC#s7`
    zj*P)%^6vU+FD!p9$9Q<(M}>XInxhc)cG66~@-TtYn>t
    z!ExJjF0jDH(C<$&!78g1d!U
    zIAOD3wck7qZ*^|kaaK{getHYWweO|YRqu}pl~p!%oN`PxQMdfuSKfnU*BI<313o4$
    z!tDf^$?R9~B{UYHZ)S_^?9s@Ix;&*%nHZ5b6p5E0s9_hd2wwc>wW6Jw=AzZpx=19GH^8RH-WHHumaX=Tkl;fHgPK*mKT?Y
    zz8l)dpps8uF=fJ6_)haUZElF5_oL(uA2sKGZY7Vn^#p^66aA0Iz6g>~ZsY4pFNsa<
    z5{Q+^_MV;2im?EPRQ<~-W?r?r60ej`4Z8>BZ#Y{@nm@LFMvH}buKAe}>c3_Z=FEb0
    zMbn!l5@&&v#Zh*H6zw$yll`DlvSfk?uub!JhQvS1(&sG0Cmm
    zhn%GV>x~q6F^Hh}5ruL>!$AfC!kiZ9VKNs3W;kUf^u!9T)YSLstFXW7M*gFi3SBYo
    zu6U{i_kIhs8dg-E3O1Bl;^HdHcG<~+&rEmA-1_GWgc0
    zM#-2swF(%0+J+sr&%p$=PPqLbTyuBtuDLnAM#sJtF&zZSCyW2g_6Gsi&J^QI%UohV
    zLK4yYn@d+(Zh_wRpKHAWI43@QrI@$#shofxYTd^n%jVE^=eO>Zc#{;G
    zGkAK7klweeiQw+bdMXsuT{BHYxlW=7Ji&H%Q_ne8-8TK6Xg2eRrVH2k3(@tEjFoYV5M3-9pfwv+A}abg-l
    zT89};u6a$kdp+*V-r25+;DfQ)oWRRk`+Y%=H5P&->fLDp#VLk#E&5;CBoIqYEi=7r
    z-RBLJ#;mgvOpTZ6h?|{ARn6dpREI22;UG<~pf?2UK~<05rzcHwCfP0*GrB4n#~T|m
    z&U_Un@P0F8I^ay<63AS|^{j0S8^i1gDK!skA?g6=;TTm+Jj85nvE`&&26I;>OZYMP
    zb%mVyQeC^A5sny*P;nZ16S`pnMG>MQ$Fgz8G9|PYCg?q`K%9~D7*l40K;$WGp<@n%
    z@@^3?ezd2sVmy+)k#nyc9{WSKX8m<$y?5OdfsXf9n7~FQBhD7cMq9ZcHxhg07GnZi6|G
    zWUwdoR!l9o)B_H*WSqQ)-qE4vH7i;{Z>2fENjCQoHhfY(P7zkJA?^FNnTK%2X=sFd$+e&=#
    zB}xK>RM2Jb(C+*1sga}2>bU-X;qy$N){}X8=d+5Hly^`4{X-i~Ur(z!aewEZRfxSr
    zqe|?!qd2i*Ql_YqB0NH;UZI8Zhhxc(DL+4qIqdD@m$fZ|oX&l3cvKSB=8%9(<_-=E
    zMAV50RGjqD@k4Jug199l)j#fF;vwmbD>Q{Qr;A>j3V1MF!Ch{=u;k^TK=?!MV!>eD
    zK^-KDu6^)^mbE|a)AY}1=U0}cY#4iEs4vFF@od6?;_VKxjGA%a+O))%
    z09}`GxZqdj-OUGgLCF};iqfTdIxS$eJ&s@x4oaNH%~BePuCg?0OSD>C{twZOZvkS-lO))^WC1>o*v
    z^Mf#V!3tLv@VY@@pD)8`Upz}}D@wL8X;9x$Vslb<&oNLyksj@6Z+AU_XEM<1xqLs-
    zmWOc@32;1D`+wP-0y;@Nb9fC2-n@(}yFq_}VaaXcYbwjLN3ZPUu#;6da7M11Wa*G<
    zP+Bm;5a%1q^V;fNVG{W+$AGlHj)Y$a=!Tz+E3TP;`QdfeA~WIUh~(9G49|B-Q*iH;
    z%ckcKzCa1*7?lik#6*rvWoF_aq!07?9;}u>q1;x=5qCH%m;^qfVv6si39RP@z4$!t
    zJoO36zrm9yLI@)zGK_l481WX>UP)ES6aKO5a>#D%&(VyYtcs?Wa{{-bkoyxGfcPl!
    zK8w%zQpnwogV*|KK#WuUyQj)3xKq8r!tv??5oN3+MLa#JcJ7!uaZ+OiyD(1|F-`|C
    z$^RqkE2FAvyRM~6x}=c?>5!6^E@|nIZlrTl(jXm&76Iw*Mq0X&4(XB<5cu}d`@Ns%
    z{oWsE3t-0o$Yi(8`ftX^xa;xE8?EA?hn{I1{0Go|;D{Rj0TBdGkNoev2
    z6HFdqt2NWzMtP_r-xb;#q7E_e+;TYH&3O1#(Gnw_jS0?hE3JhlL&(`dw!xh=PBuSV}dJFx}X=OUF&`+{_rD#L-08
    zN0$7Ynxc#AZsIlWdDV+>7hIoF?bn}M;*(CTO~qbti8M2IaV4W?iRd2=S-tuov;kQg
    ztbUtWS+UoH+adIR>mFojoo}!vg~`LVAeEUYdQ(M(S7ly?xB^_l^6u&t5-@Dym&t7Q
    z*&Js36&%HC;^GS=j
    z%vh0{1F(}R{gPYw1xNcj`f4uPiOpF!gi_6doN~@(zo>Ad&Q;K@bcu`*G(SAMJ8t>d
    z`f!`s(RL8}ZIz_%yk^eLuv+4&lZEd@P`1qY)-0fqnothkJlMJwl<>x8#$?@eAsYpG
    zP@8JBRQ!eDsBvs~^|Ug~gdWa#jYFKdjDpuZDLT5&h3v=g@*m27QXy?b%}vMrVN$F<
    z7ZI65ynV~`Nsnz}xk|N)sTL0$l1RU_(2ZE(NY5CkFInq{a62->@d
    zIob-uh5Dau6wu8KGEFMYk+TaLXc7@ZEPGz(i3Y97?6ETCMy^p1LMg0)k7mdOUNnI?
    zqvKDTQ6M}ojHccoIJ_3uK2Qx*b}0g`vQ$8;ir$}xsZol?yAe-uu~dD@s_eu1f}mYO
    z%R)Z)H$xUTv-;w|8~3veewrnPnJfs301=LAx%_&t*LNgKu*~gUc`n28Mhn
    zT)`tkGTF==R$QFl)UhBl&}HP{O|l$Aj&?qL54I#RCC6UCi<}5&6}$byk=7C^CDg`DHXQYa#-aX@ld*!De+4fCED_n
    z;m%6qv4#q2+VqL-Ui=_}jXlJ=3iyzBmkgYed|KNH^hSLD03d+mx)PERgoA0U7k_c7b308X9(vjze>c0
    z@~pH@BqKk5?eSZ!xL5myp&v)cCs{cHUOr{S$h<_P#I-&C_VsArbPK}vtAnbYic_;Z
    zPVG*Ru_>^UCe!BHr265VrM&4^z1YE56f(_gCrf=9PqBWc#
    zR})dIXaX^FB8cYtQTH!b6X^`?dz4{zbAg}5+>_H!xvGq*<1NMMb_1Wjh*0aj8Vbcb
    zZ;hhsv02}Os-hRNr$2QGnGybvI9!B?8x7WJujU-+-kA%naZ3fP>CT^E%*DXN9
    zk@dh+7Ggi~>wuWKTviMQod6s9FiLx)s6&D};$?bvm(LWP-`#AfN$gAOFU&?Rer$`sbHB!
    zK}0E>_J_^M{KWQg{Hm%ImFO059QPmfSPl>bZ6j?
    z=jYVt`Orgt><56==>B`}FNU4Gk5Btk3_uZ9m2obyvLak1swsIK6Q%6+@Nf7qkBy}A
    zUjyA+j2&4uMq_G&C}-QWl;lQOwolo|dnI^7-8K}ysYHCI93&NE01$!l!3#G%uf6JQ
    zux@xvzA9G_x!HeTpahgmM~`gmP4(8wP3JFkGWq1k@?M&zUo{j@dNnOM(~~D{`?Ol&
    zscY!8yr_`P#2QSJO~^B=qvVKw>1qqFv<4Z9f1ZYhRpHndE#pjq_Eyt|s7}SEsxg>m
    zFSc4pPY?GX@H?M5BPT#{_C*F4?pG|LdU3yt@UQ%$n&$Nr&UwUETQe;`>@hr19W}f<
    z-qGhnDKt2{OlCswW_E9;dRdoWj}*Mp@Qje{Sm-RC^3WyhV8U-K&`Y7e%w5`o6d%7g
    z7xQ!S=Z|>f9L)0}Zq#(;2biT2j8WS%#20a4m~isox_HWLb|ZDQdfpm}INbVpIG+c_
    zf%duU){c#x$NM#v^Ofecub82>D=v{ev*o^fMfBOodTPG#u9lMdV6C}0av%$3n6E!-
    zTXy33&8F+1TeIDKgU0G%xm8s%r`K?BZk!?u14{?fkm3jfTMGZH-uY(=B`mBd`VTD^
    zB|z`@CP>ju38ut>KLW0>#$k=nJYU3h!o6Wr5*EV!VV1NT@@c)G@}tHD{^n}r>Wz9iRk?5r?4QvFc@c#6(85HdRXa~5AjQ!oUkpyV+dC8K(}*<1`y
    zC=RybPCn_vc(rDw8kkCnrqAH0XjYUvi~z4qtchckq2|o7
    zGGWW^TMxt(y_;(ORQ|*y75p;*@_G%@y+1ewcjKfO$0zkHDvDZf!v|4@ft{Iz5AQTe
    zI~+w8&pnRL6PPvd=J-XAF5GAq{><=xNUPg@_Z1v{*h~UQ84pIs5N}a_g7JTiLxZ;_
    z)6<3K_HlE9|2>{Z6sywkFw!yjCURQSVky){WZ84af+w*Fz4=g1a@d?DU;ta}Wuup6
    z{44*BX=b77G&wzwIR(K{k984*oKTG~s%a_iunDH5K(=kKMBIe=4awp>rTTC#3xP?6iP*EQ<`^F8u
    zf~SkqptoS!pJI^xB3?-?qkzTgE!##sf~lvrt*)y5Vc)e*`d76tH9gFe7*A7Gv!Lx+5l
    zYBccq>jjLLFrMSUk&2Y4(aPkKXXLgDXfWi<>aV!##lD?YKLB5i*1Gq#lP4)Zgp&|>
    zlTy-r4RNpeT7d8J;G#x45iGb-(enf1h{ldal_&hGUSe^MERN)Zezz2O?RDWX6PK5I
    zi--+AKMmcS8S-tR$a+9NL1gUzC3YW
    z@+}GdS085ADmLse;_Dcbup;@dP)SyaHc4Zk97@BSEkD&t5E6aXqvIg)?%<(sOJ7zG
    zf9O#YRx2U$z`9!F=m2czb(YpuCGE6pt}fO)fB>X74Asm&N}s}4464`06OruiKlSD(
    zz4PGpV9|PURLhzG*jU>OI}QeZRVDWzW5swCkGUP;g4>PMi097Gh=pwKqn*$^Ft1YZ
    zhs;BqvDaj&>s4@U4&*w<6Lv9ci}UEWxZdRH1=AkZ7vJ=HghYdgY+HA
    z^PeOeMA#2kI(h1*7%JlwaS|sE;;@sgUXP>0Zd{AID>h~{5
    z@yxWyKa|s00=9aKdOk~w_DB4y4xM6cs|Q^JbYIlZiAakd=E2;
    z9RZ5L5LSz1JKKzp%AaY^=ULYpyFToWn>_c-xdzjytS)4{o~q4UWUqP3%caj`)$H!)F;sd-MxwK
    zXjGM0jc*=2{C`t15K62LwojK3M)wI6zH}B1I&fmJK!JP@R_DXYr*`^=R6DH#oc)=C
    zw=#v-{+|rO5)BHA#44%PIVvD~$tT8j_AF0MObt}OAHD=l2SObA9*3#O6@ID0Fi&YX
    z-4FcUaxNz-aQ}>nwU=92R=0SKYab8^N}Hy_29>N!%%>i}vBJzqm-ysugW1$~E&6tk
    zhnt;O*Gp;+W7$6^Zhv6CT^z5pTwm00aa*>?_Vse%pUBh+%~*p0wY4eQAf&vnl{R)a
    zmOp;qa2a@dF7*-`vOks)3A))fG?V%@3r!8dEW7k;NP~8R$~N1;-Rq652bT2MmY=i^
    zPq+yb$cbM8P$m*eMDBIHQi3CU4|Y)V(#B0>B2MmC%>LI(b}O~^-M^|b1>I5g)Q}(7
    zV5Z=l^ro75BF#rrpOzOXXOq`hD3&GKe6RPF*;-949VZy#sQrlxJ2Y&qQo$o=i#V_j7vd&(|MSt_R>y|F0GR%tt31)223CYxe}qr
    z$1huimw!KiT1}S6?_9roFXPOarB<8k{MpBJ2&6P??_sIdlRJx|=`>jaMp_sN&icCL
    zLjI$xC2lnqwH}IIl5#>BBk4?bJR0E{4Clhet8J(OPnT&c)00
    zP4IBcHVDCss*FK#h_)Psn)!{B)`vUDY1o1M6x9`1L+e5w$usiSg>*~GLNqV2*ee(H+mY#pmXmlZJ*Btkn?v#Nl
    zo{Mq_f@Th8-vlF&V3c-PBYjI%V?QY2G!}pbWKqke0=?4K%_?4A01ZV5Hz%F4XhW`c
    zCMTgmL?1Yuv<#GAm>s>rZ=$nBaMFq~SAw0J2H!%{$>UmksX})Yy$KhGI(B$~=`&z>>a+MLGw^@Wd2R#oM$J&snJxbW!8=lyGuqDX(niiLZ
    zKS%Qcg^O27=1s-lL{t=JE}gu9gC&iJ5(J*}Jc>rOW~A_5?i155;~(6e=$!fhv`5A#
    zLfi&PQjqUroa?y1kc4|+vcDaHd&P7lzec>nXAY2kHJ;#9TbA^-&yL&ZfdZ=3UU4vIaTZt>T$Q5uKeMkr~{~P;;4^*V1%lb<0h=
    z$TAnxj4KAt$n$p~&F)aH@d&UFP=gQ3Ybqd0=#TQNH0)ThYAj&7;xS(M&`Y?(^frd0
    z6kZQSW&3}K7NyFUKWM*4iNHK<*+eG&C^E@^|1-2jS=8Yv2!h0A5J{|0{t+zHGld~v
    zjC(Sr(c32dXzAXq`qW617R4}<=CZvgN70D%4&PK&FG|tJgiV8=YIh$>xy6KKrpof3
    zUE+7(<~gcu&KSFcR8mxYy5=GKz3f2swi4y$!%va^6d5d7GPp|t;uK5p4=|i5Ay}{g
    zj9CgIHmp4A&yiJxWp10Rv7afr*sy7W)DX8zgE_~^?xy=mQW9cJo!Vb~3dPs=-$g#0
    zjQ6sziVF)Fy;t;j9BU3~x4I=C+L+*PEkYUl_;gn#g$)Om9nOm)D8&Q^whZ|aq*nPF
    z!0#o*h2=Cxv>z9E$(=>&UaCKJ5QMK!(CRuLasA+X87g$D79UCA`#2fN3ifQ0Rk*T{__#kWrlANAUbLp=xObdAHZbCzI&JVaO0ER(VbXPtJf
    zQi^e5jqzBnfeJfx49%Onj@0(EDq!UM6m<#(9&9Y<=~qm$oAG-GCBXG^sDZf)?C5^O
    zvRJZKg8~&gDWBs>*!)7J_4=@gve!l2dSS+-ManuJtTxPIiXc9$E^OvXN-91qITD!e
    zb?a9)Q`+!hnXt2kg)GJ(I*fC*c6YH3t_M9SzwluNV8=yLxCvkr=zd2qH5Gul=~HdR
    zAK4UKP&l=yRf}ljd?rddS8LBZH(g^fmvTe^8wC@U;zbB+!cOLn*I2Lz%B(9ZcUh31
    zPnT0VF7jFF6IZSXV%7p;Hvdg(`M!!m&Dne@PoKd80L80mv(UsK<)mdNjlCBtW*_-J
    zg%>0GUSmxtLS$0ah~-6&E9htjuNu}hKuu3S_B~w)5hH)+1sh^N8OjOb317+QL>8VV
    zx{FSQ=IlXn>aCIW8Cdw=!|=HOq+R0g`~lCx1rJj?Q(pW;-AG(TH~l$Bm-LIamE@J9
    zBESg8gy--PO6qn#tor}d?!^=7mn|Q(AfpjqF>!tUJ+T)zmx#7%WUW`W@SROpb<%RI
    z6dgBiKtQvMJb4;(ygvv+tmD4WBWG-35x$vuhs?-yaS@x(sSH-t+?GudB@ubhQ<<
    zopN28(yxHkW2C!B(qP)$0zmm!pGxA-XGg&HH>G|5tONCTzo7DS~sQB9v~h
    z;yE%pr|(fPgFb17iJ$}G)8!j=>8bLSNCJvberFJnd+S|J&zmRMbORd$_Yl>5eh*`w
    zi&Pg}@5W^EC`x~S0>V9xu79Yz+)RGWH@P}6{U*9rbV%;vh~-6n6NJSt!oa4PF~NVs
    z&q2|z-FNi4*#u?Gv#MAwv5y3tiD@l|Mx2;=(jOt5c350y@kwhM#*vY+8TISKfe^cqrXHV=(uNFJ;JMy@M{_Hj}9?iur6Tc}`B+S#gbIap=q_
    zsbfbsEBD0`*+oN#A`Si}>b~s=)*&*^)GnbZjKzNVS1UHVi7#{H*uska-->KWJ4}BB
    z*>}gk8Fj~Pw8jtrO;q%n3%!0qM`wHbRAIV#9>_-tHy2A&ho{YG>I&dHwMV)RPu+iS
    z0N62YpMtv9fOx@xd8(e8Yvu^c%sd}OMVVB}EI5V<7xFP2g|XiWDkv6zW2>zR43iOJ
    z)hrxF(Nl{H;+{`#fS!&SLsKvH#8~tJpGi**A6~IF6K_hn*Jnvi%|J;Uta#g}763Ct
    zNm3@rV%7{N`}0vX91X;!nrrK&SpBh5TCNjV{E42^?6M$o;tDxxMHVAEVPnLSN?;K5
    zAs1AYt)P>ao}DoDULmN=AzplyQz8$H~Fmyfed)B&N
    zzEGCY*C%yw&-Cl&AIMbkzadk(e#>#qn6OZDM(Xuzp}K%jl|W^vv{o5R%&g`xWfq|U-BRV<9;!fR0_J={SzWyeTrDJl!KxL7LgHH`$D
    z$x(vAwE`ftb9%m-|4>M?D8c(f(E828X1
    zwzB5n64PAbDvrD)C0LoRwKbc2$=yh%ji6fY-)`+(^1br>mpTi0$ajfnDNyov*Kjro
    z@88IK-nzL&j=gfE#52%!kjn1aX~^Lp?So^OB=uelC5=E4d_6k$TrlCx#>!p}$FE7+
    zm*YV9z)rtmv=~+zQj=d3TxJ{W#kj$y>sG$x3B95M)Q|KBDNS+HH!&B!@cFtJ
    z(kF3>=lsVfDP)MvU2BL5#x-zj`3bRSd;Ep2NY+LTMg+CgZ+iJj(I-|n%+o}v46q&u
    zz1_Mmx~Nc)i5d$`-?DaV97lJN^}|e}>@ZFt);AeL#Ieul=n&jUwaf!atAXlzxaNf*
    z5+N6A4)#?%a?hU%N$z)2{3!D92CPz`Y4Hih>!*Q_k4G!F4OWCwKJTHK{Rm?7so#H5
    z&@BszmG~b7Xm20(s@`6k+w&|eO9=CJQ_X!i^G^NizATRJ>U^yg~bLn??v?J``H3!;P5}zLrvzr=~-<-aBEK@XzVAkx<
    zwwbJEF!t+Z3@uoa!!pOknyi+4A;|bz8+Q_nuv!X6oJm?bA)1*Pf;uHH27kI9B8nYV
    zqKYCiT_howFldW2kVf+&!rZuW=rIOwN(*?nntQ7no1Zf}3$mwAnA*(2q~8}xg2K7r
    zCAygzQh0G)+s>0pVpiooU~5a0n;4r$F=yJ2KMtOsCxiRnSWyTql*R3@tN?u|O&=IJ
    zL6Nk``x+N+ZaPFh=}Om?dyf0vS?UDl)q&Q1dqFfsTZG6#w$orPGLaNIVE#tU!u4y2
    zBm0<7P|sdUX5c*buflL@XkIHozxm(>*``U!??*)6ZMD0@B4ltooHrIa|B?;W+l+tD
    zQwvaBjZ0j&@%wHR<2&r(;0D-OcLb0!D{q3p5Dp1rNs!8|T)z#==MK~oP8JJy4z<-p
    zR-0TM0)#s1?H0EY0ct9|)yUVKw+bE`Vc3t_9LTtgvR_n6V=4(zOq5{3V#7$3{B>q6
    zU@hRgw`eTLz1v!)HBT2jXEXLG^`&67jec8aoQ|bs=RxYdU)o0AuauKS#b(yc6Tk#x
    z_X)1tMq9^iW)f$0C11p|BGM-hRFfUVB5p`XHy+#R6fDaCAYIttx{o9w1mpfkFbW&1
    z4!8T}Ek0hVfahcv5(U(mV-7MSL-97vrl&?OsmWRADI+8zdX0|9TZ6dAt>^V0&~|JW
    zuLw)N*)3G}>T788|HAFKX{Re!J5}i497BgcigIvFurzs;M*f^Z5A{Fn``ZZEh+4Gz
    zFTWS`1L&L-(`N&01a5an|L`VO9C}kJ9ZNrgHwDGVFzud_$3ic=s|`V%&HhXcNn?S2
    z?>2%$xfs`n3SzMU(JQQ1Z?G_ttAk}0i0S5GMDypE2Vh61Y17nB
    zrLb3=Fpy6c(yFYzACe|F=h+Uo9ft$sGE_~sKYID7jW*z{nInlm-13L-5-sP!42iNJvhS&AfQXh#u;6*8%!(Y}g`ElS-iH4n7Tin#Pq
    zf>-l-oji(cPKxJOw%;seldgCW%J-tISG)-b4WD=Ddr+p?cx}b4ZZf+1aOD0m`1vYQ
    z8Q@Uq8_9f`Ug`u2ON$5)M)0HgD{zm+43;nuzC4F{&Qelaoj^Vk^W}}XJSEW-=Qrmfu|^ma6V+o|A~QyYvCW?Il{6zjrs~AFGd0
    zWy=kL!4Y1)$C(NrT1Q1Yl8?DpFMopVvIB6y_c|c(lhxeiV!h%0&uw|F3L*!O7&oDvq1f+eImjQh1&n=`OY~i)x!Eud6c*!!VmK&8hnBQNY
    zi24ux$($_l0M1Cv+e|3-`7{s$+8*R2LaG?X|KjG>SJ3$pL;KG^$4RYB2cD86lQSeD
    z!E1|uwjIGee3CP1LjbM3@xzQBdB`VxNWsbrY5XQHZC{P&2Q6jPcqK7l@UJSa9lQs7
    z!S`r7{8SLfJ3KjsFZ=~(8SG-NU@@A{2cYnev4lMmcjyMw!DI_gmeevBDG
    zwz;oF)f!_5nkP-XL}O`R56vod#h|2XXgvpSGhRk=k^K3eGpcCDOh@>6s-xX(H5e!%
    z25_fMJzqxPQb~q_FwJ^7HMHoH0`h!Xb7H+OwNUobk|43HOPtG-(d?62MIMI*2B>?y
    zh!dE7_g<0FJ5yKB_>*feZP36ZjbXP2%|AUC*>{cF-a;A9J{_g28`&PPHzt$zveXHL
    zVq$&+ZfX2fns1xMJ5(}afE_mVaUu$=Q_|2YLMa6-0{uKW#tNw>WGn4_DOr{wRTR5~
    z1)Mke<#r%2zHrnpAh3ea2;qskI`x%+pZ^dl#GKH3h`IsZLo7z!ukeC_J%15!GmSIq
    z3PZQxD9rkl%exv|&gI}}B;nV%C-Sh%G@k&J_+qlW`U3Rk8U<6FvSNn9;D7$po-@VW
    zXK!6aKyJLU6gfo!bE}`nO0v`FIkV9jW^6wULs%r8;@y4TFmxt`Qgx}9XwyN0g?3Nj
    znX*NJi2JcOo|ohrWOy8TFJ==a!G<$$kPL82ieqKxFbj5256ph(#F37o1XgSkLpClO@T(mDU*~Vei3Uf{}T&2
    zz7|N*puD+cBmCkLRY8<3!INUuh$dKZkk;I)3Hu#XJzQlQ3${#CH2Ki{979?T&Ypc~
    zQ{N!2nC&HUsKbC#%1NHjv7rS{6q5loO)n5L&SRSwOtAf@zyaX7;B}|Bi4zcTVejyw
    z*RiMLfd&f}At#N(@cw$11Ctaw8VG`!P3}cuhv2xsmIy+5Q6{UWwS(KX9`5R^zN|z3
    zTU|7U7pOiV9#GKa!gTQ-^~g4W%Gu5+?G&at28IemLmePAZ6M;$Y=T59+wqu*Tv=62=wFXBRWN|5z-vz6pIsKQNXJ}YwONW1>5+i
    zMf}F}keHe=TyGh|xS1~<9nED>7RhDskl6BvLFX|=#DvGh>wybo27US=C~n+V|9==@
    zblb$n+aV}-x@s~T0TRlRIC#M~#`9WOLkUW>n!p`Z;!Sj?5
    z?4dn^5znpHU{Y+v^&H)&9@-8qdWL@?#9+5ij_CtDJ9tW}H8LdAkvgfeB#5hSyZa$r
    z<|FN13q$rx{jA>bP6c(x&9X_V%zw+Wge12tmh~U8r$AN?RD0&%@@w|VfBbEBqxayXiyN!u@=LnIYP*gxLW}^V&mKkX&V{{vLAC|Eag%
    z*pGY#-5^L(&by*}3vwD~e^9eLb03_=OXo7n%Q~{o1LO0AS<~`xZZkbzxsMbY9*&%I
    zeuC`<{!VpnM8CY};2-3WssZ;oc~zw%#{p?
    zN+FMC{@GVg9lf9vWro6ej!>Yfq8S#@o)fl
    z^@zBIT|7VC`4>}uY#CjDo!y&$ERTPECLRyU5WP7SV))G#IuYp
    zL5>7YCbRFrT6wxUWAC&{-v1>t8QeWRvSF
    zU1T^QRblLct(HV6#`3bAn{V^Yy*5|jtIK|Aud72&=f17&ZT%Lux`);2Y4!RFaigU?
    z=?nfAT&$TLBkrGZSf$%QWe71xJuX+c%AiCLRCh;CGqkh_#AH8Ny-gww>v_Jqc!185
    zwhMYXke=R*o6bR_m4FzP_l?3Q_sW*R9tQZLo?;oxGb?QBfx?&0sQskQhEvnp-bXd6
    zj8ix~(oep~F(&^ZNtt)pw}aEdSm061gBb<8_67710UNhstHE(}w`
    z?N~^5h4#rcTBfRu4n&P~ubijn`9wTXC>rV9foQDvs$SqQme*N$T${Ih1;pk%sO8na
    z3=k}4P^fg962OSU{f1gvOX}zfRiEHLg64G_Q%U~HaY@@PQ?!l%8#yB3Tn}I9Ko{&b
    zGVrXUn*m#KpfKu9{wJA~%I!3G0gY%Mtq^_>Y24w?=bys4P`_`&7PQ!_=Buar#{$V3
    zuv9Z&7O-tnpwiLj?Nk&HL5msN@01EKyYsL--Gc(WPo94Pp7_6n
    zK>_PvMj&s*X^b-oRjU+jYHk;X{zF
    zQ#{ae-5i=3Yms5F$^LYvt_%r7csD(6Z2Wl)UQkp~r2Q>xBbiX8IciwNX_TKW5KWhKn1@@U?gUj<4;Q0{CcBiG8yzzJV}RXGd~nI
    zHvDgS183KyH#I=*{`nu2LrIQhiUOh>h01rvR<3o()&5)vg=YJcuRxe*_u-T{-Y7^)
    z;DVNmLa6CYXhulzrzg|}A+~Ci9ku3oGan3Ky#uRzRnxI6pVt
    zwipiP<;58}!7`zE8`xfM^NXO>n^9Q}XK+1jgK3v>q2W9Vb=_F5JVG55-
    z`5tup@0Wqq`#(Afa36BtP8Xa+7l5q5JA{|Cw^Lp`KqXbmn5_9iGn$Uh(Lii**av!S
    z3$^gUwWaaA>M7>ZrCR3lPwHAQ)MG%!fklJMto335|DSws`&VilYK=vPHcmNz8>eWz
    z!&FfnSN#8}gTS9c_)^RxTAE$-E9tGOp5M$e^J3sLQXYY??-2r%KJs2PBW>LqE}|K0
    z!8tpkARR-b_H7sE>AvfMG;z-%dD^_&VOo9@dPX?g_{}SchTfZoy}Ukf(fP9X$%z5r
    zJ6WCY;9#kT5{Ic-?z2Bgk0Jak=d``RO%7&e*!Df3DDt}tbKH2oNqFUSeUcWfKsj5$
    zqz7QrZ#MdttX!(p04{B+Ze=iV`KbIt6P^?G3#~lB>G@ss0lpH;wDx^mYT6%zAImwK
    z-PEhc+V5y@TqmTo)^UMMl=uxubA~ft^HS0Mtq(Z82D5xIQ{i_>!F7NAXkJ_vlX(AC
    z1bE1cxfqErZVU$SorvSlsW0gXt(tBzA5TBpx%{qpyb*c4a(E<}x^<7M99uzTK2;)SeD_uxWe;PMCI8Urqtw-T;#2@-@)G#FW25Q6{s
    zREnrP{pkj6p;qOK5b$vwaI&D*?gG%U@@Brx8Sqm!D~1Uom$-tEVdymv^!R(t+5dCR
    z*J>5?A=2P(gDd2UF626!D2a~|-;`GuIH&z#)Zw@fwV2r-YsoWZP5k>SF}5}%w}fAG
    zjV@z!K_-|+vpq5|$+XA@y|wO0QgTUHG@v-%1hj;fsJn_d74CLoyOS@}mhkSGOJnk_7eo=4xktcx07-5wK=xk!-7J!I$jpTst=IXK>X)@b7u;aa!sva(Dn#&YncLx9t
    zqHhMU`K_Z-L7KCDxlOt@AhkAXFrK>QPg8auZZ^~PPSrQc9ihgfp`Y@1~
    zdQlkxy#h`UY8OLkusE|6;
    z&~P=ub-_1rq}W_eb$MzkmXyQ6sN#60-`1pmDI?>tV?CuL)1xjjk300F@
    zkQ_aisfHN-;=`ZWA|1Eu%a5ai*Q9FXBJPHs&rG)S)!L_&Q)1cKp4%A&e)g6$GX`_ltu!aaIQ
    zLP3ZO_j4@fauj~jBK#~l%BPaP?5|3MZN7&$zooVbPuGSSd1BazO5!*`6F`!fnjnEf
    z`J6~YuI3NbBU8cz&P;$tl-NTCu)>ji;F|daj_(5>b;$mGP~%^j)ERj~ouT-YL+B4a
    zwJ9``PEC`74jQXD>J+e!P`7UC$p_Cd1bOi^=Mq|ZRG&?`RO)>*Nt|X!Pbb1gVNPIm
    za>tG6A#)Ohe1<`Bc2C&r(@W1Nc~96ft#M{aS+Yr91Ipcrf0&v88=#mLjP3)@rUHdq
    z@&0*W?n#}n@H-J~4eps9{Do?K(1CEi8pzIe
    z`DnjN-}l??ItkdmjE?4Ao!3Eyn8k5?<7`1|+=
    z?w9MuY1swtGdawNa?#V3uRNs_2Jr&y<0+Ql%OTxPR^y7(c?**0kPJh7XflgeOJV=n
    z--f2K<+AVU0;*7Kk81MY6w8YuvXb?BL}V0yak_fNoIoFH(Fl7jwdZpH6L=GEM;IGe
    z^Zw>Vwz*Rqyh(
    zmz#NlT#AbF1*&iP@~rj6VS$+dy8O(q$9%Bn2Ta9+Hlih&GHZpbxdOHb>IcL7ltE0x
    z`$qn#bXrIhs`L;mO{DC1jyw6_Am4N`28Oz;4EWXP)?~kH+z+x^eq0I7tZNPpkO{hd
    z5m<`+;C#1-gL6Q9dxn^+8YcEu%PHk&7ut9Jt)aGzrp+3W1H>d93*aI&qPV6xTYEXV
    zjP0bVXV)*4EF;o88KM8n<
    zN6-YHQx|q4jEaPcW3S3zX^mP4!q@u`axDa`BFg|I#(>)Zu;?Su8YuUNlgJ#rjp67vB*sryMNn#?T
    z+@N(T(E|T_CB=zlH;^(;uh@>j7io<^q)oT-
    zGNq#;-OW2EMNLK*^`tZ9_3%D^~Qo|9r8&hVRpB2-v&k+=~a`5d>@GLDJb
    zXsegGR53E#af=ZV7hyn+9QzngV=6zZTT@%U>NlQ`@9#6D3qf0F%Qj$b*bvv
    zr<&RNcf-R)u6|~hQ+^nx=ouf(7A}XQQtZ#x;2G{`G-I3KatZ4d{6@NX`~Vx1k9LH5
    zQ`f8~JR1vlK3;F1A|nmkszrk
    zssM)af1i}|-;;tKl+A|RCYP92X{4^f>NSIKNpT0>+p=SS5#^SP#2NJay|f*JreZiR
    z%JRVi3p&MiTBTCB?ak$+L$2MIMi~l!ILPgp3#&hX?j_XSvj+Tw==gDiulF#CO}NXg
    z!X|Rw?Zk-zVrJ~UMEwP^MBdbq48uGZQ9*t(?X}_cy=sPv#1hj4EVWP-^EX4i!c4^
    z?Evc07Dxpzh4o_9J&Hm1OhKk{+8xC0rGBJ*YqOm^b^U7P;O@)$?Uyrad3=}+f*W5V~yGihVv
    zNAnY^%Z2&!f^G_RS3F`GgWZ!e(X;IZ%0L768BY248Q$@H7K(G$a|FL@oclM+$`-G%?US1-ii)gczU9Y%
    zKLWIEHAADN8b6oJw$oiA;q~ah*Nyc
    z@`*X=EhQaD5)HnT|A^oMDuWqA6n
    zbkIKK;B49e(m^%y)zD=d&XV
    zLUieq-aX}X%b23*Xy1mfq`31t%D(!`AlU*P3Nn?i96&1wZZm~}a8SiWzDN%0H&eGW
    zVxz#nSB^V3+D+_v3_SN~97iPXdV*HUYn+Ucz_h}3s?-2Dl)AX>^{1#NH)kklgsnF(
    zV{WEIR=DSB0OHw|j@=`X^ZjCt4z9s$<$67p=8G#AJiS`Sf?ggLgJ0Xnfm6bOo>ToG
    zk8kCB?(*uj5Om)38Q+Tu7I}jEGz6`3(s!B-);~U`ux3?xtVc;YC|kJF&DGitD&R2}
    z>7UXSo1(L*!ZI)_gJ2{tomQoZDrP^{uN*MR&BER
    zto%s1vJft(JLa>U`)h+4ba7X@o2kle1ATE1lzd85`U=)*-=pCetqkz2
    zM->8WuCkz7IGL}26G)b8Nn8gd2D(6t1@}jgO`=0SnZo7nvDAwsG2zy++P#>_PKXj<
    z!a42<4{}|Ds0x6qdm0)%G;;&Z1Yf>P2{c0cK!L4W*Pa^k`E>37`rSR)MpG#)rw&k2Xyv7TW6P!4yGa&=4*C+co3WCG$u25
    zVp1TtdF!n$!!e_o_|mRGhX^dhSbeU8fZNDI-_O4
    zxBwRF3dPLR{nvWFS3gp+>x;d;*8%0*sdEN2@8SLNVU3b6;xQ_p~j{;`Gja
    z9SHd3)#y4(>9}7Sd;w;=L>$;!wNsLro4{FWP2LO<^1cqeX6&gIkKs}b+m9LHf|hY2
    zQ>&zE%?NBs&G5zGT|z($NoK9PAW)}2L*g_CL|qByKC5ESD5_n1qb`q?VrYmy^b$UX
    zaW!WbI~OY8_e!pGM+infla&gBFX4M`da61Ml@3s$(gA=Un;@wkNjVM6@n?uy+hp<`
    zOeUxsJXif2M%}Eu1g>@G16Ng
    zwenCs%u>-`BXCJKd(o_^(;D+bag4Hbm|hhDD%#&u{$G0up!D%HD^pA&s;{o`dB_dY
    zh1HRHr>thc=!v#gh{-do8LhbSUjt013A49Tim|5H*M}87K3HsD`|6XJpyhDGilnXB
    zpiKB(+Y20wo~plE#NyWPJ4=bLy65I1SMy&MYAl!y+iRVck+9N)Y_{RP9t-_i3xaZ<
    zM!{#uHV91xI+`<+3XD87ip9E
    zbt5Kr=UZg8^_61C**D!ZC(BD5H{H2K{%*QVHvH6=?r__y$eRSFGXU;(w`r%m?PmMH
    zQS`~JBIu>oC0z0PcFFe!3mS>lz4s@Lo7;x>`ysjx_q6+U#dlv%y-ynN3k)A`r4s9k
    zNksg19RTMS17pNPpOZE~sHJ`_o6z8y$GPGFTb=#nRyO#r{=QlLa2|(gA$&0&ZSG2$A~)Ll
    z^Lx4+`Q3+J9wBZMOK{=9lAyXpyvQ!xE($}~V(|X!2T{cPbwrmClF&5|%bsY0Ie5GL
    z2ggmUR8x)XwIJS9FiOkw@9qo12(8(cH+z@c2a5`PF9P;R&r0fhAOVdBbQ(iUP+SJO
    z>r$vq^8Yp%>C_zaqA;+T12!Pn&e9wQq)5(JeVa4i_fZ()gqY
    zxjage7^y+6D@|*v5W3x>V1n_#EfF?vWu19_hpfXU8VLaY9?QmljW3c8{Xw5RW}hKh
    zTDbaU6W7EC$nKZRScZM}GdL>@$7!VdayCs(n+E?*Gp1PQGGvA5x-z2k*<5&1f^q4duSud;Op)b9*{~WImQrZMDfc=yLR($5HX7iu*Db
    zJm>LTZ~?!2x_-93A22)2s4T)$>|S9HZm6;U$1wY=JxSUy6SH}63sTn96Vc0Owm742q+^W)
    z*UdKl5c{6@xl2*>2@*bRzCK#X=sIu;GonMUniQo4i`R41fZo0ppKaiG)2n5`;qef7
    zlD0LL0K9TO?GDGW^Bu`X?6ClD
    zQ*<%l|9^bFWmKHevMq|c1$X!04#Ay3-
    z)U}Ez9r=Pcg7*wP4`Au{ok4hySAtxSusPF|VA4NN9(V?htL8}t5E;c|+o?alh&<5+
    zj`!C-9PhD9T@{P-Fx~Mz^
    zKic-IMAQ#(al9lX8DU?h+KV^;zrYi$WHU5S}N)YENGWB>HqM)tgpdVGp
    zny-5RoSqIa>I*3pvrU$LX=Qif+PYBSkiEKTigSwG!DxI%_L;Q2d6btj&ep~*J*z!P
    zX*1Omrjnr@rJWERSVIN&zSuBeXf6b$$plif0|TOoiLIl<2FVH{ktrWptj7FBa
    z{B%+wg!zUh6`s?{RbRr8O))o3@jLYqZ^FHvl-EmSaRr
    z2=6LxxjQb$sDP=;V0H~xt6lJtEAOVN++Mr&aFU2goJg?)TA8gAupt;==kycpp3SOm
    zxhu4sG;NP|LAo6l$%EYXfA({Kk)}1;ExxsIHS*G7Y49AO1Sc|iSl^D)HR`bG|JB35hmwM366(2EEGBZLp(#)fJ>c3h=SZ%vvD2bF|>
    zpUcr*7ke7U4|6kX-(z8dm@{7J4j)sfv29a7N&=nS`k4r9c{W4{c{}P!Gof1nubX3Q
    z@bqdIR(YM}C_PD?B}(VZD#iTcpW4WmPSfX;m&dB7o4()U@ILmPV-K4PFJV3}uD8H<
    zZ~L{U8==oH;OAM;!>YSa7KKPBp{E6b=PLMPikxI`2ko!?8qqv_XS8EY%52Of6MYly
    z^pr2~qN0eKm2wJDvVH+pHah0VldXeb0O$*Y#{jtmP{^LNiX~Nx0jbCZ5z*Uh)H|fI
    z#iO#4$4A)v>@b8V$s%P|Y%o(E1TryYtIq+r#GZRLz3II=7o1t^yf?rGQN2`iXAnU4
    z0{nEnCah4I1n21CI!ydyO{v)JTGYbAU^H8H~W!5)x3VrrAPQqw0=H(z33%T|B8f{)NRJ%&YMU*Q1*=
    zDw$QX%$zcI6*c%-DQ8f2^3N#W^LJ04nxovt@tF-(B|8O472^}24DjqIUBILH|8Z7|
    z@z~_M?EHVsk$_D6c^p=(Dr3H8a#{S@YSK3)8DI60xL~)wBIOB0`sHTU-BC?J(Bbrf
    z8DxdcDu?5HVeRA`xJ%2`9NFJ~m!aSQ8Tr&Pn3d}Yh?#GI&1PJm4C6iO1P8OaxCgB>
    z^{@=UQFbc2b+`?1Du9Hr7`O_i6e{s&QZ?Ivy6C+;U|voINODKN*I0Zta2OSbZbkhM
    zBWOl)qmbUxS-~&`
    z?;N>;=a7fI8AtWtw(?q8Fo{`q6lkic+0l2rX!-bgx!Ec21@XI~fWVg1{&
    z$+m|2eA*_zJDL%!7%V9XPFikOIexDffF4lN`|v}a@b5}v{N(o%x?<7#d4L4GHkGWK
    zJ33}PmFaNs>7bW8$3`%9e(zY(&+rK2m~A6)+y*b=T>8;^iuo3mD0FL5hOF=M%iJ&P
    z1$X7&Erp+0&#;?mRVD13s}4r*7VtXec}nqqlKspCerd-|1~U&p?B=T6F3YTrWZzTi!jxTE#Sitnm^ZYP;0FUt;32FU_!
    z@(!zoHr;akW0KzVhbBPt=!&Ph3UEZZgF>m}6aLz@&9v1@$JYL~st}YpCA39INOE6!
    z3ac$seScmBUi=m8k^d9yO;f|0mjwMgr4d{PK#z8@+_wGom4N?h5onP{a{ae-G08{)
    zNCGPuf$Rgvq!@X=S6zg}gpgQ-J392>-LHPUj$QsbRWu88q^vCqJ2$xFpHrGbI>KNN
    zBr?9dY*4sE!M>w$To=+g^}jXIMi;-JGZ@-HqT2h{kQHvuZr3$-2JQP&ol2tDqqh^v
    zUVTlmI`C~|i>RZ^nkWONAT6u&-&}%UE!OsGF|G(=ry&X}uVrV@DN&6cJQCQEYNgBq
    zjhb7FoNRpJ*QFKS1Zd$`Q2)p_ve?4r*
    zVcl$IHyGNwfiQ=&Q%OkjGGxa05{*q
    zjFYtGVS9!9hI(adTOO@@OZL?1$~v^UXW(GwXupelXLM>N)HmKX;#hv*adqdLs|Xf;
    z!)6$Atipv5Ft61`FsG|;MTObjf&*A!x!uW++yvT{btr~PFUj~v&L>e`73eHn87CxV
    z<2H9*zGm9X6Y!!p4*xx8svqY<&m2gE`6c+4yPNq>yv*(yTz^>$bEO>XM_~1(!j+mg
    zySkG_d4a#O&BVV@)%iP@n@Z9`{PpkPUrL3>zesg$`|o1q|36Z}|Ert-FOZlh_``^L
    zs+z{uHr`K(3yqcwmBWH}Y`hVMsI(cTj=P`UmXk0{f-{=2^pauoZ)&`fEzISS77*)D
    z7f1>Fq`&uF28gHsoWid@=qXNru;!og;U{gb7t(!SRc1!~%q1-KVB}$LfAcB%MB2{D
    z`vUpZm|9MDet0*~b57C(Wcfywz9Z<*h0c2FKvBcSciJS|sn88z@9Socc$9l2Cl%gZ
    zp_U#YncjLR70#d7e7KG3zh~<$1_l%Y(6kMrBZU2*hP>b>Kv~{pSM2$p5r!g@#DnsCVukm?IRL
    z&lVe38|}XZd?0>{Ro+80kSw&Q8aJr4pVS{0&ESRO>4ImLR%B@eH)LTRZq7I}MQWUM
    zte{49igpjz20sSYDA-l<2n^qT-?{2KZV6AJv~g+~>H{j;`=6sGju$OBGG<*!6`W!n
    zF-!isAQIYhy7W-Ity*t-q2~6GM*iM6J=g-VNn*I8c1w0WRfj))+!7VoX5hJy+eq$L
    zp|;DzXjg8+kS^4uVw|Tky|*iaxYu)8Uiqm>LIF&RDv-p)7d0ye@gZ#%wh~bol8eUW
    znWqz5Cd&PS)H6jyRMg&BQ;d%j9t(XJ;Xj2>ZwevTJ4`FbQ%E7~*hNg)%o|{?B}^QM
    zTB_uT|H#?C8xtsvZVhaw_?aH4%sYv9p)F-eUR1i#c!LRkXnx
    zQyCWT)$3ye4L^TTg{mxUr`|jyRn5b{#nk!Q0*$>3w{q!eu^GK8aQ=+K@dL;s{MZ751~bX_-h
    zoLT$D`_xK@hbx1m-@uPhwxUTmI#7I&DM^YtP+VYMJ0>)0`o+5k8bS>C%_eTTV_O?1
    zgo!A$Hxq+R`_wL}2fX^I;jh9eaSzdW0a(|@T_DVIcRad-ZNHolnoOuHa#{z%?Uc5?
    z4PhK5C^bzPN!z(#6uzVx$fmep{I*~I_#SJ@NV_0dw{}{gthn3Gy1;rEZbg}FoLYCF
    z4E)-l?2}QN
    zEM!2@kWbA8o-;BiQ;U_G(Ia9)N?^iZ24TQJQ@3Cs;vkX~h)%6NJQxVyrZwCc02_vG
    zJXXBiev;DJj&sj@d(Z2Ast`I8-fIGXabI$}|K!znx4dpG@{H!KH(E0Urfx;jlKfqn
    zgZTqsTAI%uUP>Bc`^cW6+s|%~)!s#lZ05^H=guYQOH~|He$Qr0qoVtSYT&i;bS6_)@Usi(lOlpMt
    zz@}0Ti!CU)HFu7yLV%|q9Ci82`jbO_MtOsOAV5M^T-(6kNR~PNB6
    z9MB4o6nZ*VtC@JPc(>k1WoU3UU1WXib4&6~Q>CD0;pg6+WmY)_>to9U;#X1KoxFE+
    z@xo=vl2zLB#0n>`{rwJ^TBPTYiZL6A7^2V1SLf5)ZeAza!V3fl+yER1Xv>$^;Xg2kN
    zeG$FS^QhNcKv?9@*vnOAq+#Q6fr_l-)@j?>*q%evb<=q!*y*e-CS{83o-?prHwv!e2yV;Nhwj7BA?v>)OW1G^o>=g$K1$sY?g_?ykEFXFva4K#Yk}@}5P6rnfr=2kIIzbdy=nMti))Mw!ZJ--vF>*_^>T(xo@ahXe9
    z+K?f3DCoXW$0SDFf2YR#gOcv5
    zg(qmN#kP`rw4v~>qmV-0f=}tyWTTEM)B-gA#Aq2XVXDYg1Ou@?JE^VG+arMn__AXe
    zF=34!hL{$8JF|i1Ky(WS^jMiJLs%NMhks_uW(wLXP#Z`WS5^uY|uWRA2A)P
    zqxL>|nG;F^W51mHpo{>U|JT4d5#?u)U^xhE&Xy^b9~qSBsSQ{Mzp`@s*!B`4S71C=
    zXWI1)cxU+gQ{0(h)yw)aygskbz7pQ|dFqo|NZtp+aJekC-h=eEU7cw?+f-XnFWwl&
    z*!La;sWb}l9}#I6q;7sbkcbueJoK4O+DIJO_IE8)f9Loli?`L3kbeT^|EG??uwnJIB=?{ZxPVNqB5z
    zXujy=e7-LxquMMgLUs)hn%!Z-K8!HrV)QL?cYHA0){1aah6eBdQ1nY{f!x)Zh!mP4<}
    zWcLlZ`PZ+74gU3c*fp)&BO=jp11k-*FbO|>%;OAwbciq)L>K2Z*!@UAp)cHG2_|@n
    zX@}0rWWhx^g?=He_tLX2!zD!@dk2&qbZD4ofMlE~ZB?-|H?oatuGxeGOt!g3U|2ir(gG!uE-XeBy+#JM
    ztK+I@nA1#bpB;am?((cfY29;zR0>RzAoKWANvB$jSu62%X_!
    zJ6!MUcpq4N&v>Kt#=o4KVT$lI{g-#gsn>2!N-RC>^F$Sl)!7#j-8Y!s#u0I!FDV(U@iW{b#|d5)D1rf2qcdKYQv;KMxY==d
    zuP7sgKdj~`=kcm;bLcZh{{9||F|8{qN=@2-?lbT&f72=c7z(a1dg_GmFXQMRD2|ii7&D^d|&P;-g{wft?C3w7P)duxvG;{(@|VknnW
    z)JxH;pI8MT)cvU?W<=3Y-+b6(N0;P<sR9CPtq1T17@5i)`iNEiF@)vH#KYFw=62
    z$jV1P*W_&jp4sw!7S&vC)5*|x-ySD+pF~cvF|xq~$>@8YRQ5j}L&{It%EyY9z1vFZ
    z$Kw#1BaCDjQO?`%K?!#~?j|QrCb_+#=*8g8-zncCcFehn!I?kE*c0lA54vWKz@aHZ
    zUKm6~dM|;T0-ErUO6EU6WJQc^q5oqH;X!s{Q#POj&R2fhgMzJy#;B&MJnXI*8Bz98Rv4j7z4{2
    z_9I3t_>lxI1Sv~K=yF6qwp6(57FK5ey2Nyd%~XaEKwd*U1a_iv3)Y@aZ1zIdShu8n%IH#RpIjDt`=2IV}Blat==>MO->Nxj>JU
    zWi$19RftjeKB@|;;cn%tQ%1=}hbXB)Wl8n%3?}svBm6|ZESa;2uP!n9k4vr>LRPeK
    zhbRzu4Hcahn!!DRJHjX^tQaYr!EA4A!i4p(#l2CCz<54y-#B?7@?=WSCE%xV+g4d~Nh3w#@fN!D&KDI;af}6Co}K1V
    zDrPITB3Dg9?KVFcZft%0EtUHpB)J8RGI>n!XEvFN5i)YlmLJL9xVobj(I*ay1+#Fk
    z&=e^o*nU=nTW=$5PB%T`vCt|P-Y97kRU%X)Nf!-8MihqA)uc1Ik;+P@kg2wJBLwqv
    z^S!-{b1=NOQ@rI|k4HnWp+*}ty;Dv`-YoUQw(YaNBebRX-iMFBt)7LK+Z#gMPYM@^
    z=sZI18vHvrO$wjf(1qFxfu)GuUIo?dpBqsB#|;Vf@ps1c5ej^|9$dmb-x#wVOmqo^
    zzCptSk#QEq)+OHfK@qGnqP)@Vo&_H=ALe^ID+B2}8GF9rj3m!wgBNS;3lA5vaQJ%B
    zIa*Wk$;tHg&+)JlFKgSj9v-gg@Htv6fMB#bGj=E23Q1bj4(cTnbG$d4BpC1a8$Kr@
    z_QU;*k;QI!Oi`tZMfXhQ%M|T9h!Z!R=7r8#UL26E{=9oN11tp=M;N2F=tpsldoo7wBPxNXebkX)T?ICi9w&nX
    zHYA6hu6SG~nRjKsuHuV9S`uekcdQGW3iJeZ>3WT0m}|(D2)@Iv6~!d2f{_B9=k
    zRXHpDqLE@epIn-$#cw;>l}zd@ELx;Y2Lt@0TC>hRIHp0xFX5mt-m
    zqa%Ui=CHCQ9S$|HlvS!1X2zlxGcaZTfszaZefi;m63K4hYqvGe0C_*kW_NNzys1>b
    z^YkR8fb&f7R5Pyh9cz!;Z7ei&x)Ds}CvxSWW|gT0}f(Gs`d5AXnnTc_Qou
    zMA8QWI(}}sagT9^)9o6hR)|EDgW3FXCA0Gnr3G@qfIJ&Jf@
    zCJ_$64ShBxTA0Uu|7paoDLr@au>5i#y}5n;py>EXe{1#q({bf%LBX7qu$(PANLmJwrqMv$J
    zItWW+9N6r6i{)TjQ2L15T%=+zo33_n5sFhSBeG`^29`E0F%d?sU7{>=94&t;lzuJl
    z#^5KIMloG1YAsRngC11RNuyOFwXNIR^ln*0)gt*%{-QPflLsJ?g?;_fuU`sE06D)>mn}eqw{B1F+
    zQ`iSN#7NO41+v#DHn4$J87NRk66vGh$?(mhJj&ms(O_9Kh`Ai|`iQIWas10GA%2O~
    zNg`kXkjw(9g%wCG->y|!Dl_l|PuB69krUB-McW%0>eBys)U7J69|mz$RicGc
    z-p7i^``9I<`CjI#ji`Z7(Kv<+!vp|gs+LVq!2%_Gi0UKVLMDBX{kCt%xf
    zVT>+y#6J~H`@7>G;}M9NfZ!sB2-=Nb
    z*;C-*&NKJ^8JPAB^0m
    zn-H#4%dX8(%+)Fs=p_?oO)>8kT2!;G!NiOfr;?N^&HbxduUj;vnDvE21Z1#jwVsH#4gr2-6I4h#QpCrDXOxyAS=@wI?sbn_U;oY
    z90H$(tYIbP#fl}oN0(sGqdD{TC!`~lvUdl_PbMjjcB0r|qHb{tHnzqw-`oLbk&JE;
    zV+n&{Sp8aKURJijE9B=xkS867?EWz~`!L?4J~UhaxE3cem!f^L4^p&M%Vl#<&xVKt
    zjw{IMXOEqhzIZ~11DzFYQ4m(|RG-PMXlp)ATb#i|8N}sz>syA5b5EmycspoY&{SMA
    z_qmx=Bv~EUJ`fjSZWo4zB&31ozW#R7*3@~5sN1OjI_1xv7CsVb&K}D-_WQzO`I{~X
    z&ukD=3fZMmY+8fN>k*Gqx>UBOw98GK_N>v1`bV#S(Lt!Cp0i(RK`+F{1VK@l`9)(ED>Y(
    zmPxYhz%jzXqU`4%>^624BjLYUWG)&aB^szsq;Dce7=aX$x}@N0J{O1J9!P%^@IO{=
    z`({6o(L~YT>z0#Fi4TtphVbBwPG7Tc5G!Q=26olVXgjnjkpan4kf0`MFYA5zP&)H_
    zobG39Q(=2%d2-8yuTsaKP`fpgOYT8~^hTk_Mfl7y>NS@eADWt*c=@56
    zQI77JN%=IUA+!KoX7)keUJM9==4ur|RpVXH{mdhUHpiO)2@Opla$nmgtWYkAZ9vbbvqdTw0rw;putswZeYb3v
    zZTF#(g12GJpVsq_o~_Ad#Lr5KJP9dNp2*7AEDf{|&T&ryT$;6{JFdVDteu;^NqF<$
    z!Oc%^lzhtftoX3gPeRcN9L`7hDWE(o9Ky`SGp;hhzds2(U0zEShdIyHk@Y+8dgLhC
    zmChAgH+cn_!YQFbW4Rjh0GPA4xgeSt_aIB(=lgfctKURf&IdbmvJ8n|>gw2*n+6h8
    z!)Gf-7Iu(XFeH8CgtC(%-Uw@}ur!zl%wZ;XOCA9%egkl1s@DHBDzfQBjS4
    zl$1EBrwF7zXgI&pk299_WW*GHdjUa3Fojhy4y7PUTRh^I!Nvv7m7NPn#tH8cMO64w
    z-R*J}!NOxZPsd^>h5hFr(!ZtTdi^S&3JGLg@+1tIQs6v|D7;HR-Cf4UP=4*Ozy4dzB)
    zJBp92u(a}NuZ|g|uRmH7tCu(!)&t*t-AlC^z6Q6bUx*n^LLecg<8F&jZZ{dR45#!5
    z>zp?U{UFy(U491kWD#QL^dHY#gsGwEp(_3~DOKN_ag=V5JH#xK(UvDHZ*0S4;WTQ&
    zk1!a-hE3U2NckTp`D8h-K@oj`N;5+&(LV_l+A{heiQ;GNE|xdPqIij&9-h`38w++h
    zkovmcY_z=3L5`_vYnY+B-c@k{*TX3ohX##GWk(ThBq}fP&D2=glmcD!>rqsrFf)9b
    zSaLH5bYag#7$tw2-}*aKUF3
    zc2jrz4#b>JC3K*6r?R!Y=JDC5`+Sh-%kAa&HQUy!THYPkMR=B`*6DGr`&Isp?SoH*R2-a@M4fdbYbZ2)y=f;*OaF
    zr`(%|mkSQ1)*hvinN%D9T-ib!P~yRP8jrerw$@Ee0lIx6Pk)G$e42_zf{5qH%?~Kc
    z$b&i?3KC*!HH0b_$DE%f_*2fbPGOGhL|r%ZqMx^fG!1;0zc0I%#H8YVbWM$3tYW4R
    zkM+J#VrBu$AnjF4*gxSw}&k|11xQ0JdC!2u1P>k*AT^HJJ>dTN~qP?1x&d`!O)
    zu~=Ixe&uQqw!DH&+rDI2YvWs_`F|c2z#zwp0}3ed$}t7NqlJZ3ExUEhYl|k%Zy7Aq
    z)-t`8SHX`kR^8Pz%tZ9>66~$;V=sif=NTEhny-O8xMiw3W|XJ@8jgg$55S`IfZb#I
    z)%(gMVbd2w^!D22;#Oif47_Dq<`k{CQ>^&X+vPDKV#Q>ixz7tcW_vgD?x=BhzSHYYP5pO0f
    z;TL9mL@8Dvexc<9qqFg+Jcj9SzrP$_u05=-d2OBP7arB|zO;ukT`D5>hWoA0MrX*$
    z%#ZN|X|*zK;aI1_j+VzO+(1~Zh9O3V(CZ)a)?fyy!#-0uzeNa|9|%
    zUHZLdDF<~}sA2*CL9|gdSlY*8eMbvl`Wzz`?tNBb$c~Xb0Am7;$;ZA?XE3|wYPKM*
    zP0}EaBzS&RUiiXZXzI5wIWQ-+@#}AumrM+aNdiC^ocyB^S)l$fSkma&k)10$>C!y?
    zc5MfAJhA#!7G@SQL-74r&U^$|PkPF6=!CjfOibrvf3t@lfNgnSD`$CK@n7;GPI(r^
    z42)a{n>nk|UZ*WZe1k9jNl%+km4SXKB_~eLTSdl4sZ=5J%x!S!JJ<{mqvCzRjP6e?2&${+=ZVr?8hG=}A^O7gGB(UQ
    z13kmr=^FA3-cA8gKCze^ccVbX70z@!d~?A*u?sw?bGzFP8@*6;d7L6jj-dbGs|Rkr
    z%>q|^U!ma{0^OI?Xm;N1^z~tv{ixCGT6^)C0#Z#skSvOiY#+u(mr79H(iWWIZV72u
    z*Az-7vBM%Tkg4^hWSh5ZyGsY~Np0u;ML`R5;Q4b=ib9>NYD^|Z^ZBs59cc<$qj8}l
    z>Sf1OkjXTP-Y1xCVk6JNA=_;18gRSlPR|b07s4S8ur;Db
    z6zucQ6Bds+j$T{t!;B8oW+Zit_lAZoh%tj2(J-z9
    zv++u;FCgb^=&0fD{erCvVbeZ*@97yKw24PTBspJk?VykSiT7`O39rG8vVq((<{x-rTNW9`-annWzGH0I}Dch;IgoZr{aV!BQ<~du-HW2bg
    zq*Lq3`TLZsOVCg9AlJU^N-%fF(PmO~v%~%QhZCK6^|poC#!sieD4~Qcj(&rjDlMA?
    zbnfXlZ_CKzlo!sTXAQHDhzzC+G8#07nbetFkJL3p8kuNdEU0Kxh>5h8&Qa_Z*fd;z
    z-#`)I55q@*c*?(VVTV&3&=bzbE;JZ8cMiDcqOgD1$QPgf_3~#7r4gLw@c4s!Ua#Zj
    zeqwcxTXXHIDO`s
    zc&v@w^hfz{cNa{{L_YY|2rKJMsr$o&<%Wc&;SC))U;9tm5$Wx)h)_92l<;7zToO7NG~$RYUhzzvw}pZQMCFzh5AkO++Vrx`-k{qmdBLBY70x1%)x`wnU@%UITn?
    zw8S)2<`kBhKfys3e*uWxbFh70{siR$F52Ysn`?QHK*Yz|x$QNFQV=s~nQcf)EjtXh
    z&NJ+pw}S4%oGp>(M@iFf{YYvZHJKiB;hWm@@FATq4{6t0OPZaG>L{J&G_^qCrJVUfE;FLrOYSZyBI*;kFj2K!)&#viu#
    zBImiRL5Jrh@}ZUTtRn8RJmV}gsaI+$skU~XC5nD5pSmN7Wd6KsF-rT$>*rS&3-Et^
    zMC5&Hx=!*H;k_br0)LV!xDPC|X#u5BIJsstuHw@IWv+7rO9g1d?)q@|fcU-Ftxz+9
    z&ab(K!Z%eeHnL9|mKBlWp%}eOV9Sn272kIS`X7~)X6GE~?WB=7ABMN@dGdlY2J~xT
    zED)I}qghIZEAzL)h_qlY7AMdQk{)2xal>YwH$h}%yvWG5Ag@-mcDBVP#vLe%iV%mB
    z)bRT&W?4%3Fnm=%&eKGAtdNHX6Hk8`*P_ekFLYsO1LtPYYJGDEa6#*N;2?m~n$b!u
    z;$%a?*6*cCQKpkC?DVmh9`v;UjmRl=vW+}r-sDE2ay7m!9bZfl26!wsG{`to0_*hj
    z?<)CM3M4)w$OkErjRpdIP^d;!10ALtcTRh&^E%hF(I%$=V_LsRe>iXNH@X!76GoMXT#9^Ej@qtWe%}we~!mN^e
    z_NB0W@HX{OCqA=U*z5AsU8oN4t}_;A+eV1e{P0{VwtBQqyxF1WD0t=N9lz_J*)Q9L
    z#dG<$qGMhg0jcBS-ddjJguL#%L-5ht2k+p*GSl8ktXl%3aRnhEUCIkgp3YOd>=Bkg
    z+2rVsdr|M%%w%jdrRHu(G<^Ns^;^U>eV7Wv>&k;*&ELbp6hO?TJ)gaec$gpg?ei>!ga{>z=uOuOsqoN>cyeCP}
    zZ>-vKmsrL-IH5S9H_y(u_}%y*-M^T7A`9B)Yc3o9=uU93C{6*7p
    zHd2}a65|lJr#pjhgAuPS$XV=LL67^dQix!;Po|~_f2@S;D+Yf)7=udyFDhJ*Ei--x
    zFOz)e)Tf)j-s3Vz!By^ABYH9QF~9lE)f8OE8+5%A9ugg{Tqt<`ceCaAi3+{
    z84tKvz7V%#tY%5u#-Cdcu3Jt*Vj(l`)ZlKpId;SrCqZUFR^qrOeItFpf=JkW2QAWG
    z>SpX+2c3W^bSRa@f`<~b)Ed;_+#ZJ^1ve;hUfU7P!
    z7&M8jqdm!%k@(SCJ0o{M{Jos`TbjU5ShK5{^mf)O(ZeB#4H-{y=5t20`p4^xxiVL;
    zF0G-kBPsR$@XkOGm>e@<_a|5!COrTxO()*D3K^uHc%>t?rCKA~@t%W)*SZ-(ZleB*
    zo-2I%(cXX4mPelzP{Ps1H>anImkSgjCMfLy|G!UNpB;JZ>#>`D7qQgHrI~xfe*Pf&umW
    z;h|=Mt!r9bfS3hbcWkJ+#q=L#v-L1tNvOEdamU42eL2<DhzJNb+yZ=`K!G;r?t__eDgg
    zL+kTMo|QAr{t!ltwe7H@m8nK8N`FC@JMJ9$1A%@_XQ)BZ}#_{@^?(VHR1n2}@#b
    z3*4QQGwQ6Yi;3^bMVWFt5-AE61!)_~#(vJ%VZff1)5N;`BVX80&z2EA<%VE9+XNdt2+Y3H7(Gj)sn=z
    zFf+P3cuyJtZy7Ft-v0(a%#4_9K{SB6Y_vF^j@H`pD_Gt&(rpC1C@<99crse7PhO~NR-ORDacfRd(asc!X4vXfS?gIb-xbfNrAVX>H_I%kr
    zc|uXtwREg&L|Dur#42UND-_7Sut5}ugjF&;b@z32)+I<4DN-=dr93Pl{PtWUF$;l_
    z=$5bp$F^l-Fas(LW;JM~r_ZrSd;-v2**_fsnQ!k^df)WhXp*%k%!S^P6Rc~%9T#f7
    z2B!j^YnrS_Z-32Pm7~=daSt2R;qp~am~AkyDdG8!h!`2$%ZNywS)9v^-e)!vqRUWY
    zaC5v2h^5T9@sGJ`sLRRhyve|4_@ZTGT2u!vDrvH!8|%eO=*!h)P}Ep#6d!PyOEud*
    z{}>*SW1#_^VwnA@YcHM943&$J*eRUAER{15;6M!^%5?cu)Gw1c=2P!u3B)b$BN`+g
    zsU$C9l@#Ak87ouKDD+8q7v_pmdm6+NM;n>cU%1FY9#^+J#8a=-O_I=ObLl6!FW?{CI%QS4w
    z9;Wt^(fsAUz6k$vO@=q4<<2Hw(4Pesp}0MYXhK%?&0}7xsp~GR%&6dQ7#%a9mCX)E
    zbCJZhVyl1)#orAZP8~u`Rl$s5k(2dYl4whYDmeYaLKbhzyV#BJt5TcRb20rSk#MZDX9)v3`F}1GO3QW32)-B^SU_+I$eX>(C#o-TK)B0}p|M2uy
    zab11S_b}ZJ()Ff6x}>GMJES|MK@bi|qjYySNP~csbayH#UD6%T;q(3fo(rycal!1_
    zYp#*pivKMfR(CVZcc?UYDSFmfa+$RVOovtKrTCwNGMJ~8t(xrQ_`f5SMpzR^{t
    zlXSI|XGyax0p*(dyk@U87gg(aW$s4rpXHVX`hEsoaEWv=PKDjZDK~NIvPcs!)<|bM
    zIQ1n)w{3=MBVX8~b0UXXI}130@y0hYf!~OI8f~R)!)8t&-<@q{5VZZJON#}^YK{)Q
    zX|&+*vY~!scT+D5i*k3D_dlq+EQq=U)rFzh2)eVFC+$cLwM&Ifu%W3!$IPwM@6_yjaczCds`1eDBob*Q&C;
    zebDq&T~oE6O`mPkJd+;PdsSp9LgAu!Np39L>0b``
    zm%U8K1Z31wH(%-+!MlY*DbatBgLL&Vy}Zb#y@JDH5c?Ok#m@ocOro?*_pA%!muMM#
    z4J=M3?&G5r0@+ZD!b`I&UJ{q$@F_E{U5BGQZ*4dj2e%fPZ`orISVra=P=APx;5{+z
    zHea9Py<-JLGIc)AUWirNEM`fomG0$B!(oU|46u8=8I3a7qPe9kKx`PJ3?dpSCfgMA
    z-iZjuE!#s@Yi+5i3e2Y4O$&K6o5TR8I&RN&Yxd{vn6;ieOZYI6Z!NRii&`aZWgq=K
    zARjA}^}>uPLfEo~$gA$LZM>oH+lvi4FISt}|2hI6J^};3i5J2|F1te-iw{5Eaut?M
    z#TI{8@jvfmtK{)pKd1;reH^uZ>50|HWmJF?FudiOQ7$gnQp?zY>5Nr%K1b74!A(2Z
    znBYHMlw}b|RK8`Y`L{<4Ifxf-o%Y7m(OC`}b@(x_iTK;|1;bGPN6nbxCw_KnMO;3p
    zI8#%=lW((*;?u)=I7|=%RiPuHl}$K5<#u_*c!HZl=PhBo#bz9)_>`)q8{Gt)_8nFU8%DUhiUuA)xlwtj_sIbRz1fXvI*Xw2n`^IZ0O)+I~U?
    zB?(+h1|>WZe!LeFB`ALH`_{BD<9
    z41D}_IJE)>P4^Z)4R1damn{BE`V8VX@Z$w|jIARAN(FOTzz$lj^
    zOKGfQyf*vcsD?4PHUaUeFXcPXu)kluIhiSXF?#-&{S-+c^sL1ubWCKOeSgcPJb#tw
    zrgG)c-BNPWNe(P0Oz>YJTOa>>Gg0BcJ!{;#r>FDtCgySQv>o85+#MJEr)cQY43^Mu
    zW*UN;G1OQ@{c7#ke+&BfTXfF@R-ux{zpE)IHR3*eHIzMrajDwR{`jPICiMHq
    zT%@k9ed})a#5)>1Lo*{?`|_{kA+bN(Z*o>=N_pn!X*z-HV$r=gR*@9HrnyO2tP4EV@%^sn{S
    zlKOjwNadDi=Zl@;Lj`HI@EBcS|0zPkw%k;SaFQr0w4GHi8nF#RmxaRlYTm>b=wa~-
    zc8S)}$2tY_D%&}*#U*sDf8ynDc
    zuwX?{gyO!LGA-|ZguybSKyl=WYV8;Z4g$>e!9;=7=+Np^zK0D+HQ3g!dzyLTxM4*d
    z)udKUOS*xUueaZ`MezSml>J-J2I$ql^M+3OnJ{MD&VVGAZOVd
    z)l~O7$)8JEfs
    ziST~twByhUw_i;R@BDT?zFaTczxe#S-!p!>lBw=|HuqJzmodCw*0`GCj@MHxLhq4z
    z1E=|G8o2bkuFtt!9QeM{cCC<*DYR?s^#s2;HTyR9GrEiO&XN#Jx?V_RvC*NQY>Qk7
    z-BV4xwwZCMx4FkHBa{FIFPG*An|Q^|Pi1q6W*BM<=_jp+-#;TcHWSRJYjXd1IBEMW
    zUZ>MY_oi9^sFo8!5inIJ5LOqT>UcQG7??}PkpoyHu(bc?sk}d}Ea5Fl86Q)4__Cv^
    zBM*C>4(p@4bSc@uhbaKGq**lA*!P4%|v!obuZL+?DP>|ogz{ox1GK$m{PNJ+>x
    zyqRC~Xo@MK?l~7yjSGnudV^xMBzE@_Zj>;)tY!`o4_S|ZjA=c=7lR2fm=c`dRG5o}0K;{R*z?5*jlv~^*bU*ZsjKr~
    zLS?khsNhMkddb*knl|B8v(e`P4u2`mW(Uf3I1TOX!t+tF1gI^7|6Rm-Py)G2Qw^&Vl%z_e1Bi^JX}x4WK{L-*g-ZIMd`bn
    zUE+5a5g$X@^af@F`)Mp|sB9;Tpn?UMlyaV94hk%gY0L192qJ%Ins3-0pL%w5E}SY=
    zkuOA2Cwi-fP#A+BKOt|+&IBaedE=xF=(O(-F}>SIOv8o=x)dMX73?q9ar|2>ZQ2rA
    zVjS+#!$+`P%=UQ$wb^gGsr|+2=Edmpr*-F6z8kP%`?51?{(MtF@mL)rd~KZLdVop#
    zQ~4qAukx)9roe$QneQLW1A&KI#E#8AOz)vi-&MeO;5W3#&UsVj1YnOkou&zpuZW$`N?)eTTRH$7O(wA9cZR
    zi+JqU2(S$ZUZld-J8wp;<$Rg(Urz*odJ|rB#(rT6uLEazkJA(6sUe5e4~vfdz`uI`
    zYR0uLhX(7vC4M0EGLRI_NUp_)b@*GL*R9}X7fr@Ch4!>dzJCQZALzIs33JpPZz
    zvGQ|6B31n76Vw?5MM#y%#P85I=%?f*GM%;n(+3%m9A9WAfaECk92_SQ3B?`+l5}2_
    z!@g+SkRR8>^L6wQBxPKWXKt@G;i{<=_!mUDNtG~Q$I%rL|Iqa2PCd>g8|snBA(Ep<
    z;LhYKC&cL~++EaKhWSulRCH0t_AGRh|D^F(uWhqnU@oPtH;Aq8y@1
    zWaGZIPe-lTfa=!UU7N_8`TZ%K&zHCN(|_I0uH#UYf@OHWKW$w_;=ftB`D&}u_H_Jm$1yr6dKFpI<@>T<;0RfIn5%x$fg5Jp86PoKS?6DS
    zzD{u+%)e%{OJvPUY*~&!Ou(@xvRc>bDY!g_blPkg1Dfl4w%pGl8S3sw34+?f$w=s-
    zrO;#<`+dA;e0P&Y2zcnTMFw)(>$cWsUOyP#mAM>N0e%n1@AmG8Q#vn`s*gIkHGGiA
    z7Ulh`44J-_SVn4edOh`lUw#>hKiy_qad|-Z`cwNN{uNc}9*355KurF;A?Ti4GPo30
    z#E}=a3vlhV#Ehri>?SAVl*j$u!3#UZP(|Fji|bkrjsXbKw})G29Vdb-uTNU4x&X)7nd
    zP!=bv+$TZ$+6)Miu_8=XCih_>r9k$uvKDs$uvv=~zi)v}0mTui0V@#7m_aCmh}4%7
    z1@@3YyHbNt_FQLZrM#zkR<|I$3>f`9qrOP9AjWKRTL9HHJ-fw|{23b9591e{q8Z~%
    z`mSs<`w9z@xh{L~MGiGO!&cEML%B_3gDPANSxMBZ)lY+IJuA|vVUfH}TX2f{02z@U
    zXK%_fJB(=01yUj*U3+p3oqYd8Zd(vkh{jfIBoWG-Y$i=OagPFq7zC7!-4%PowQ@$>r!bRbsfq@d$E
    z`N*lfncbW=$m*-``JN-|;OBS!5z`^%`5m5I@-`pmPqj)ORm)^(bedy$EdBr~8l))5
    zbr+>t4>}jUc@_72T4TB;|CEg28hJS$?cyK9H00Et;}_&Q>;KZ5te_EjCbx@4(u!ZU
    z=jhEAC4e3O#<5?2<|yna9Qc(+
    z2D5`|tJF6+!0rY$7G?WxH2Z6Zu5#icQ`q%}h^l;N$|#h(W#3_T@@jrpoG31K`$E!FPy
    zN^kPPsmM>y&~tsxmQ
    zkISyZqcG1Gqf7wK&ZEuZ47VhQPc?n9_h?wFmZ+#pCj0ZFb6}@;H{)}(sr7J`iu{#6
    z-665GzXUkmyV%`Wx3vfesW(ATVwkr07NJ}8-R%3mIH;f$Eni%%@*e1*NB6M%Vg+eqgRA_To-$n)Ky4A3UKy;hZ|TX
    zdptY04nQv=V9M&lXXD69o->(vMoWcga`kO0`s9@4mw2_p=O0HNT)NYZgY#P&7z?%Q
    z`6I*j_DvB3!q|OFNhK5VIx3j0>pvKg{OQ-p!r{j%B(wuDfBgFQleje(1sAj~m-;>+yPp|QYkwwaNK$=3C+aUV3HLI?m)9R;1bQQ-?4Y6s+vqkatwU-
    zQvp310fW_Un1Gy*>F}=^Wf7+zqAk>c>AA`dmQ8am>g5Y{M3=V6_t%-je9x9pS{{nb
    zfVY4LIz4Y?IT@oFn}X?M{%ze3@@Hog2WyFC9yZUh>Vtj9_Mfv_;a@rt;0CHHJe$a;
    z*xPfA9n$=;s#bNP0s5{U*oG{b#?yk#CBw2>nWT6f48`P>;QD+PMZ_
    z3*4s@eM{($I*x-8pHH9*L8&CvWxLQH0hsVKM}A!j?@RaRj8-RtIDK10)u{0wPzOSU
    zHXHCWX=fw0v`&vmzT(?jC--GuiU3k76dtImzu(O59x3L4T6cCBnxTH)S`=*u=QI>)
    z)X_iFtnj1lhoS+!*}mQXNn?~Wh>;=K{DI-)CtQ*y6fwcp?n5(`baReCuBrrVE&aY-
    ziKCwon(53xE?2$QfL~>n1HU>^I1$1kBzAsDi@0wM(Q_!?iw7Q>#2RO}Z6vSjAoL43
    zWLTci!Cd*wQgAG2bat3;r@&e8iiwQF52@{oa=yRa*o{u8aZbb?QEx0p%=Y<)udpWX
    z691_yhFR?=PJP#rfs9cG@c#2Ohr(Psjp}!gRyHZ4qs+vEMh67H>}#$Eid`P;`&y^=
    zDNrp#@5Fyfh@_H}uY8PdmZR19NcFvLzOYH_8?VZNyRH9WMN{EMbSqqA2>0S7?T+Pk
    zi7tCBmLI-%{8j`vmrd^Wpr*zwd!PM>9QYu~q66gLgc0bC&POx>fIT^bD?R|Z=7H1C
    zAc}?8bQMQ@dqu2R^Tj$z@1&koz^5oHP3z;dW9oYjZ*g)nwl`&2ATfgyj72m#^OD62
    zTx3GW8xo|`he=r7ZQR>0a|*O?%h!gsAQz-|UZfUfC98vu5)DFUz34*XCy$S?Bx_96
    zvm$}6^KpU$Td(VYC@&==ZDd1EolYcpHmX+i85T?*u)o$vNvCVp7imfKdg?b)O<_vb
    zX`r*VFj|KxkVlQG>(>nMlBlGi2y-Y3ppiIXr8RQowBNOKpd>i6m=~;
    z6y=2pZKgJHUy*yw6?_JwAkeHZnP%
    zQnlaP#PJQx*B|%C?<(-F6K^_huF4gkcfE3~dE3~NK9#O6A*6a&OqXCosl*Er{RV6K
    zlEgB794kxF(WSzAG{)76LJ~SK6VXT}
    zR085pzo?VFtL09(;q1D7)cF|a?3d7Ol#
    z{kF|%G#I7cH(Ed(xk4G1eGOb+I`hy>c`;A%Ida@{=dq};D%UUJ&~=1k6W-9wjh6M(
    zkyXr^=+kdO4%ETXMYn`S^xy77fk*^1zG!rh^ThpRaPqgjpS8E&=oIMG2ITjPam1u!
    z^BrMR7FhktnLM0(_k){GV_3kBv3`^nX6xb)jO&;A*?HEUIEnHlB@}_SiS$vMuVd?q
    z3N@scvkV=HGCDpB+RZgOZ;5L|`U@2bOg=C;a-rFB4Oo>aqa}0@Ropkk{+Xs}r(d6j
    z&S827fkxyK-#d0Fozxus;|n0}JSy*`{rHO%OY2awYDuN6>BSkh%|D(}X?*s5mQO9K
    z;F3h}9^KS~#lZf&OM%T-1ETHzq}#9uYECYj@3>WaPy;7H&5+^Q#t5xyr@jW#tmA)I
    z1a}wVVOH+_r&BdqL!m!&`tkWU(H~d`|LM^6h*r2FZP5Qs`0hyzbfOA=iDZwon0rTj
    zLcsd%hoyDx$UQg4dQSoycuf?|+EEED{FccH!^0X9=E^I-dui9(dy`NTiFc<_pa|}l
    zV8EG{5TPA8?8QjF#T(M(^pLega|j{alk=bM)^o>Cyyd{z@#v-bs!yDzsu%CB()>Pc
    z2Kf_Gi)Nwcf!!$-aAZSHN*{SHM6I&mm8bU1`By#VQiN1eWz7RM0BmuI{ID7VnH?((
    zc(!-Atuw3EDO!nlg@$j^d=~Js>(jRhG?Fl?>gG436S{B8I~9^&|g!BMvL
    z#VDpivF|9gw05Vv&Eq}2
    zLPGdFN}1PO-)*3dq)C0KlT~1~=|rX4`>n&gsD!tZy{pYmxgO=gg>?^930!)7UQtgX
    zolDnD&}*76>~yaVyk~yoH1x=}v{xc$@D+fM>;T71%0s_ey=m{R_*
    zs=OU4R0{zJ&9uJ2c1F>ln${DiX2HH&Q@#n)snuOpouHFJncu=*HLOh#_@Z
    z%J{6f{S8inz6LKOajwxp#ybx}xW6$>?|_d&ZsC(GI2KS+FDAy^*L1i@bRkN=A%|!&
    z<;Kd1v~dIHk^U|^)jn`35ww)y066nN3ic7CU;xO$pcl2gQ{nT&WyujMzr`^`%+ac~
    zIu44J{Tv@u^r0Zmr-x~U?>~;TyA|{rLn2K>iq(D0u_q<_GXjDXGZORdbtfR3+pxfSCRo~
    zF4T#J&w6m$yE@O^M?wcQp>oECe-ChEox%?)QTH3Fcj+!-yF&|B7&VN`B=-OCHDHFkftk>qbm+PFkVA
    zb2j6lbO3jD8gS_lO;~Ce+OEipr3s(4VjUc58D>m9>8
    z7f-`snoCw@?xj@Ymm*_+;HEOc;^(PDCSr1(sosu|UGc&#)kS=*{SnIJBdt^9fW$ar4t_;
    zwfe9u$&Z+st6}R1+lCC?QSGP|#Ao-jC*huNaURXQCO7h@03S#|7MkDQlAvk$*p;((
    zT56h4Ev>LMq+J;p%0I*xYJOYp=?1#xkk%r_QgGg>giHJ87;38($A!ckbMxU@(5IN17AV}RNCuV&^#L(1DH#ALE
    z)X|`;2pu~c;&^#bVTW3)gwV0vf7WPf;Q1+#xk9!5>=x7$eZy(_nyIHqOM<(kcp(C}u_2^xSeUq6o)}6?9I|A_r)kFKN3?)I
    z(UK{sFg;gfFtR{HOuBwv70VqIoce|P-!EnhFw8E=Y^0W4k7=WRms_?CHv~^2S9r%F
    zAHAP)oT~~Z3+GJtEZB7c?AnA%viYx?>_O+k`^W?de2^GYxi<}91s2Aa8H*S@6Dln`
    zYbYzLIYuYAZ>cwjBWYTNj0=;STM`lxuov;o3EHzQUqCo3f(u557-nnH6NeCeq~XDV
    zF7`8T&hHGdfTJvAz}=yell_K`sOLXe)}Bfdq(riyZmg;J1+eFzC-miPB-ba)*tl28
    z@m4cpy8A#3b9==MGeJfv7~+tUT8y7uI;^SctgWW+-uO|=2`6Y+DVe`>YBuyxbzh5c
    zE4sMpm+ehdj=fSgHE6_{1Al_hhNEY;6w=8~IC!^pyv!7A5<1#rbtNu?B!N+nu#OZ6
    zrshctEQ%)pU~$1JBvG@%w3lAlUYbyn&j&LVFaNs7MNQ!m-7cOuenN(Q@g3L-p_`=3
    z?v7ml;CD>Q0jB!)Nq`6iC7
    zOJ!8%sf1Y8(;7(emZHmStxmOe|BD&`h7AveQe+$I1tz5rVNZ5Od
    zY~KwT#;+9S#;giEA~T(~+Z2L)4Lga#PH8&n{P+58myj|U@jKr7rg@VcB0lh<|Gsg&
    z+ELquju9?4Meuh-+X&@&#cvxpR_`JHibr1WC$Bx}7v3K)4w*-cpMgM;ljd&wzd;XumiNj23dP{Z>4
    z0MmGHuzM{;Ul$bjD$woo3r0x$Kw6!qKsAZN20zyX5~)8@tS#4u${w8_gU-FpU7A20
    z0w?II3H3=(<7sc{gI}&^!k@PhwO=}%y$To9@8wfK+Bq>x?@hS-+!pTD8a*37_Bb>X1k~q{-yPP^1PvH&4QDwjmIaFF(?JBW4O}t3rOiue%*y6l
    zD=nn@(fA@t!Ec+w*7LJ0Hzs)*Z1bfQpu0csgQ`}R{}HA54LpXMx%4`cWPpXi
    z)?-<2=}oj2jrA(w4buAxW#6vx0XM3&V-j6VGY
    z=bpwPk_aXlBwIPO1d$eKdmM&IU9zmGEPYOU7*l-TEy~=-Yl)KgTcrijp|rHXk{HL>zFz2qoNnR*SRueqpOWw_l1&7)Vl?oC~OQc3CY5Vg>tQ}plvL`Tm511YgjNQ7|>FQ$R|Iw
    zME4Cez%1LH5KKEBr{fw29%{ujFzs*;RID7uvped=z-kK18}~e*+(A^NzQ%#Ndsg?Q
    zHDy={R0g#jiuS#U72Gu}5OIGZ5->dcmko0HeD>gwAYjS;$~4s<`P~X9oUM8u@8wu{
    z{PZ1I55r(nDqLCyyx}MVvk=azpHPP-O{VILsI|V4rFaQ(#Y(WFtk^H`JxN*CLgpnY
    ztD1b#Em#O@gs3}-S9i(Xt@iEcYbd*b{3q=z1cb$CVVdQ1_dD4w@Aknqv~Lo>;+6)9
    z0ucZhqd~C!M(%yUp^t$nu)F>)PNM^!Rm&cy9?q_+h;-Q~2>kU{G+dn*VzHxWO1s9@PThQ&ug#ltw#BU3ubOq}ohb%h^Y4_PnyAzcLsp~c1U)$6F
    zu}4i_%JXZA
    zU?{`>Rl#LMfnq1N&pn50e-!}iQAuu;G;z+i_8(dzJ~p^~F$_V-45p#2$cV%Ql1N+#!PtOWD
    zl~LilsKlkM7ira92U@JNE(a50C|FO=VuaL`<<^JZT%%$XJ;vnkJflBR^W*l*x
    z!T6CBAx{@ItK?9cJ)n>zf*zy%tzV*6tECBXY-mwwu%EsfHCA>Q7~N^4SZ|U$;0@xT
    zdu?RH=$pUgv=^mXSd?nk;;(s1R#6ogC5>N~fp-}w$eizBaT02mfkdgUcKb_&=liWh
    z6;Out`^Qx@zcN{4G0!%1G?lm_KQtRuOKuPQe@ma9DA4r6+iCAVkCesu9qGYVFSK9B
    zvHA7ek{kRm&-Yn#A1Xiq=ca^ePBt#nSQe^v!{UXms>!QbE-#NN@iU$ctR!vl&>npjl&ZmZgKJ
    zS#kcW_+ig#P`;r+T1?*9zBDa&QI&AQSkgGr?Mz-Be0|nWdtOoo%8#Z;R2aJ8-deCW
    zf`JZT*HDjhC!95@quYXD7rl;N5l!f~pcU`FelE663jIbyw{qLf6%91~RV>=7Kqr=4
    zXj6PtIC1pKv{pC>33@`x3vBp8FRVn(6AKA3C5FL6es0KDpTf0rJ1JVbZ=>v(S<
    zz0S5QR78DD0FQJf7ts*vSz;`*p<2`=a#u9PD6By;
    z`u&J$EOM+1QD`S!?s>f6+f@139#Nrh{TgQpRv+8Z>CPFbRr&s!gNXdZhq(>k!K-B+
    zvgmVsDu}S>Dxn>SHdFY$uqPLRiwB~zrdR~Zce<1ZVdKGP4&J@u&#@fpZ_uCrt@?JBLblTW3@1S|pMt*k_bfVMe8RqLUi8xaP+BXK;S=;50|Xh
    znZq?6ao!&L#gn+BC-!f=imLqY%65N~1=tN5m%oikmj~d#!RziT37~<@&qUe;5&JZ9
    zUIhX+v_Q?HZ|N4_@2%Z%{TU(YeU-{NTV#s@@1G5QX2aB{
    z1J%%XWW|d&r-)Vl3$l#WVgd4}R7x<+y^iNi-Z12=;rb|PbJPxjm_-p=v3!MPAjYlVPyj(AlTgmjlUd)6E1jM-V)ZJywY&%5X(5ve`RWRI
    ze$be01OHdE`Gu2vJEd3mXHb?N!*XRb)BbXq9rM^X)TU=YoSF6@KJ6BE0EX8J#wtPo
    z-ZQh1UcTkw@+>?Wb*RU42%ErGq}WyZOP~Z23?eU>!cnV%<_lxV*o$FW)3q|yG*26r
    z6H5vy(Q>A9z4?Vh#R$M>L?+&Bj<
    zD)>HNQx14KAy)XlqP4|NWOhw3=U3uzsC{m(QzqP2V7_)4X3@)n{l7GRv6>AGAi-&z
    zg#Y(J;*~l=fIKZfpR@68x}l?t4<2XI2>}PVe9_r$E%8v|so0;=-3k9^i!x5N?oovj
    zb0D;KOF?nFOlEw0@Ko*B{IWvU?Du?K{pXj*Z=;71I~Y9Qe<3%zD4
    z^y-*TP9@RxX8$iX8Mu$^1SNot5*-?uJ&Y!hnxBczH{9WcIy+pZ_R
    zU$XZPL3)?I?*(GS&7xVeB`U+-(YW9B);u-VmPr16D9uWb*5j0}miOmRK>80YSZ{*m
    zgkOhEKS##!-h@ccDv4y284^LEUJ%B*6ME*UQ3QB(moJ491saNWDg16MR`EYzSwMgO
    zxYpqZE?-l;+~%Msd#${FKBi`#`$Ib4zvlt36O$@NH>(p=Ir@Z(*QgmH71}!P{eEQ^
    z+b1&v)B@7;LciA9NdcF*eRHNZCNzaCBIXbEp-VQJ1f2UTP6e11g|JoyfyO3MnTMKx
    z1DW0(KZRdDO3!p}hFTj#1~`qc!+)nH%C&w*Xv$Lj4u10`JQ+;Jsc1!*;%*JL-Q}7s
    zQ*po+7h{q?5BpOCM_=UX(YavSf&z4!?UlUz{xWIBhQ5^O&rtk4(WK41
    zZ{6~suh7{p*Z`*S=hHi$vQWbmaCb50(>bkoFp@46cl?Cp*0OZdhvPe5xq$kKh@Vit
    zxeiXb1bOwUlBO71E20eq~Pk
    z&#>UN)TQP^8v$FUbR@BB2*65vx(4VkTm*3MV*=ORGaso5Vfq+M=hXK1r~UhDs;3CuXFi7@+HWd_Ty
    zF$ymcz1^2zJlB6yE?HJN+bGkHMs+REV7kjs@XAPIXY@}-d^X|r8O_rqN)u%M`v1SM
    zL(T_(H}D|dEEGel&R2l#gVZ}%iO|~+-Ke>5TyVC@j4T5E;XnFNf1_09Vt!mF)5Sh^
    ztxui-A0Bi(XNUz8IZ-l`4KCF5;(8nrudO(_Xaf4+z!?iKch!0i-UvAry_h{5thfy&
    z`r3XzH0Hrxeje*zjf+A@em&&6y;dn=Vpx@d0WT9kCvqt9Fu%7wNUXtxAq
    z>A$L^4&3#wM57dHw@;X*zQKR$Q<*kh%nFV44%Nvi7d(zOt)~UEF(3eQ^sl@`Ih`$K
    zhAgi(UTO&eV4d*>ex4+dftS#*P%w3dx^c-;Hek31mOc&=AM`m&$*24fd}~DK!mvm*
    zDF5#%54(GV;pZBUc!zYuku)GTH6|gncf5pY2!sOo2FJwCW5i}Wf7vOA+e-sXzed4S
    zxFoMr>SCW>E$>S(f)q*m7tfoRO8M8<8+cV@mLh)=RpbRf4C!8JJ}XB+%G|;}q8_}&
    z;0OoHHzSJY`U-;B6<}pNeX_NmF(0h2QD$I~ujyZg-VQ;5dwl>*gP<5wXnCvbU+=U(
    z>qdvqprSDaOx7pYB;=JXT}NmK2^5;9fG-_EZCng~JM{$W$ZF;G2gqj
    z!79B9a@ej9-3cJ(m7o1HzOhNk_%Q6dGeXg*u|wwCZ{uPsX1hAaxAiRt{X6z)pjZ;A
    z;mz|!H9FuT8$#C}TBtS*D`KU9*P`Qo#d>9z&_U(3s}s{G8sCEE`3u`{`UEt-3Nv5`
    zOr}FUbbizKn}VK}liUCZCA#fpay4OTk|rczM%;iWV*za_Y1%cWAWCq+|LY_`gcQHW
    z?k6M&#YdoQJ)|B`rjUA*V%`gjf*Sd2hh2>CdJ@G{Vf^CJzHyj6_#`~q`3%b*Lq{7f
    z;W4NS%Kv-KalV&%4f&BE`
    z?df}UKBvNo#JZ|V=ht{p?OZR=&OYfPp!Uu^gFM_;Iub
    zz>Z|~*~SeikMw?o>|l%UK>A!1pmX0e53^DS>ep0MEA{1V%dYR)#uMRCsZ}7=UL#9I
    zd0O9!-W?jQ7ftXfy&qF*k$dks`{|B8^@EMi*jLP-vznz6CdnBBMGI;^Z~)
    z=!0xnt6fz>)0cBKCh?;M%^XuvCMJAQ`WS}X>-z>Pn>6w=r!+?}c{55IIqTtJL_@N&
    z|B?3fNvVLXQJjqV6am9Zs`msRjfE|Wt1+SDuk$jHlP26`tZo?h9KKcrA8wh0wmz9^
    zUM2I18Fll5MnUzz==K5j(I_%8(e9jt(z&Wb)Azp4Oeu|ACE0}=p)_obKYBkXki-FW
    zaS3`boyk{&Cdv$UOG|ojYk96adi3R~e;2}5Z=yAbQ_6+SH1hTz>s9b=uH03%Kqry5rBvzQ5i}j+?dv0migXaoRMM1O(_WLJ3T$f6zUmQrj|p
    zMn2uvV`f)M#_3iyLQWR)YgEN`TTrDe6R86GMfr~`(MJ14A-o>qYN&oWnUIS@EIv?Y
    zt4U{P>^~(tn%=lfj*Pcr63Hd?bY7j~4cd_I`8+GA$O{bKAmark;llt|Z|DKN^_gss
    zNvoCs(U1@NspIkl8RF9rs$O*QFYNQBct#DTGtL%~^!sJ`G4%T(kv;3Y3$9ir|D6Xn
    zzQz3(jmqx5R9sNnU~roE;)8vO%9Qr^d|bgFR_OIyNJJ+_y~FFIzZOH#Gn!)^nGlxr
    z!tE+ygkatxb~;=$_))Q_0cMo#PH2Jldf5$-xuQ&j7)6g7r9p|vSoA^q!Utl%JR^w(
    z`n=o)@~1(r;U$&cSynoIJmgwks?Ht8V0Z8!xk;_lVs?fYW7!myh#3*L@$j#ul&4Wk
    zcYhNFN}xikb1OLLKfE^QKC=iXTmN@CkOOzyFW&?JrMq2!0GKUQUp+C34*P1HxshnC
    z2)UG1m(A4H%HXnFH^F&%83(88*E2qXf#LUKK5mVZxeu|jhlk|piX3cy=Aj9+z>_O}
    zA?EzG0F1MD>NXHA
    zzOzFDUmgKS7`KthX2AqDaB1&XtxPCpU&lsA?s2L>*h3i1kedqaDK9}EmFdZ%z{axT
    zt^}C5q|3yZ=`ZyAeytdWKB3SWj9wt8M&Fpyh5GM>NMo`oP{^aAV#M&@g!bU(wjZ4O
    zCShIa8^;(*8t>lEOWcGNb!an7H}tgpm77x-)IV$*!`Lb)=O@C>q%@c^k+W=QioHq;
    zEkX^mV-a;NV9#+ETUScJooCq+I|vWFf~)7~d`WG#jf)RbxA6s@=Yc0wE*Lhh0+ABhxzao_JVYFq%^gwzfQ~jbc90pLLpYTQX
    zE;CEbr4L_q67giELh=eCz$xE3Uc#$SLP
    z7g5zzYtu%NYA00vx*6MaN;97v6dB^Wt_e%MViJ=O+oZ9RqpE2-wDXaNr!`pgFXx2l
    z?_OrKkU}*o7V97Ln4IVv@yP&(s5F)8++HRR_C}mA=csZxk=53*C
    z%2GT$c69tTzwjpT{fsr7Cn3Ctwvy1Bho<3OX
    z_}yZ3$U9Kzo4ZkuyHR`FWzjuNo}b9pBug$M{SzW}g>eW9(yjN~Og686T0~vD$W~x|
    z_{Vl>?>%VI;(=I_EaiD}A_B1>s?tsAI(W+<)CU%46w5Nx$GDVA$rWMTz+Ll?T)Bo;F!#
    zpEvxy6c(d@QCK~*!DXSr#uXNp$BK`>4nf;g)D;N%wvJ7?7#sDf|BoY*HW4RR4|Wjk
    zXyg__zLKn4Pl@xOArR_gc`UYXTlg4fd;PKr+xe+a26L;ucgztVzC*T=wg%2F8{$eV
    zM5%g#m8Nk9rEu_z`cpcZUv1(g%=Mk8^m?plfY58wAN`l~Z=>NsUB|qR_Cez3TQK&K
    z%L|Kt@eCcH0WkI=9rE53Oy+I`^DqMrv9nbFb>n2PDC4p(6WS+;d9_fx8NJqR!yb<|
    z?(23B+3w5@KYkj?c<*&+uE?$OH}`Fmc(lDXPJWR6Lv0_^Ee)O0-NZlt^OuR$4Cy7c
    z4|g4F>(_0@e|jFKJ3OmT`e9jMad1pXB)Gncty=**8wlos8O46+PV9t1>dR)W~o*~mx?s|@iNK#
    zdmOZH@kpB{{D+|#=~rE1eZhe5F1+MXjxR-=y`HF83_B=Ek``hcfDM7^z*@LQAJti#
    zEZe)6)L1t$9oi8bVw6kP=MaUjFLHkHPRv{Wn`^0faAW|XV$%qh`-@I|my`y?FG1=w
    zY9I@YFq{{rjT{f1vBLQpNW^STN4s@N%7a5eq)Z>=cWzYPBAl=*xtJ1Y9w%pvlF1eG&Sq$Sqh!ozd7l
    zD?MsSdl!M0$8{^hCLLJrj}wq@2S0_U>Uhr?(Y2H)sg$+Hho`}M84i{ka{782h&>Qv
    z0>6AuHqN>l-ndPERWvQ@K!l_?QII-~59ym05$XJ9&l;J5%8lT6e*|N)~h;Qsw=i4Bb-7?s@zN(hXevFuvup$={*J`^o0gLYCKG}
    z_Sm0;`dt&cF~tlB>CXQhEX98Kc5YYBIUy|Ebc4GHZr{2Vde4H*Gqt
    zLTlT}*Oa!~C?<@_*%=gI5lIe@AbsE>3=#n2^|o}4xd^d`Rn&2mM9VaR39^XTHX-LKX6NOy_}((phBCNr)`hV1
    zTJeAZXI7T@NkX4}d&C1D@`#f<82d;Ay=b_pl89W#-#=n}f#u4|BIzZ+1mJp6CSLO)
    z=4s?N8(%)cXR#VT;UjocDfoVGvh2eDs7s0;|MU!t#U4<5#4P6WdO_It^W*=4DM@
    zr5d+jYIxO7n?~l*?eD}CJzX}@10T81FOg>8H;bxvom`cVN`)XgjmMWga#dkQM5fRj
    zJt)=>X;4L5e?p3#u&A*a33RXD>2y5BKrqThL)dW2WfV?iMglyLs{Z%~yIn17(5)H$
    zXk>EmX%Ldju*D72e$WN%*he~Vyi8x_Im>h?THCZc^MuYv&KMOW!xV_EsH4KlJ-9zJ
    z=ftR=3cqxCKy;qC$a($KSRN^m_dqF5NmJDB;}wmd?}LGQcxdVzBV^5mYR)W@%eF3`)K|vqiUYj?cXCC9gQnnWMpLoN$Bl~{Pt1EuB
    z{fxQiOmk$Mg}#5cWGs?1=d_3Jo!9fQ{U+WRo-207ez^zyFk_m}{`SCYTu|BUv?2)^
    zWuyw}AXB>!^a_99S6V+pEG=ovTPRaPgqOpeESGsi1qXCVA|>cdO6x!ZAx1WTIF%mH
    zn^tfMEbCE}D%(`T%2&jf79#ankw~>iA58@#CHy@3K;r_A_!P@~rwl
    zb3qWWir$s!UpUbMI*UBkUolDPXbbD&JcWA2-`!Tl1+Iktpc+V8W?Th7G}1i!Uu-QJ
    zc*H!@OB?z5Pq6xSoPRL=;2@n_RP3nW0h{LwAS|4ekaK6j()Kvp&*itn+vAs!X&PfZ
    z7E;sl@+5Xv`LyUXxIo?;&S$84tfz@zuG4;nDNwgO9%eszjz<3&}=SlvwZWqutv+gvkzD
    zeCPv$blJpSRU%TRK7$t8M^pKwi<5w_dF8UPcc%&cE(k_}K-kVg{TROhrP2
    z%c{Mdl+T{}dyEPu$M`R}aNrG|i^k7K_B?X-;tAP)DXzi++^y(Yh`t-qypyk9i3rz&
    zjGnjMS7@B`I;6_3U||J9^F><$1{J*7)w9RY%rwh2lwbEX~7qbb5Y-a
    zF7Um7-tKCY{g|KV|C#krv}EMFl*t;6=$MCdo8{r^3RufF67Np)X*jv3&bW2T4fXuG
    z<3{X=UpfyEons4BrR!4NGGfw(N}W&G=uAv>$&r!(Gxe;zr0nh@Bqxj#q0zHeQ92OI*{4B^78Er
    zCS+g&&8&-{j;V!dA6XCZD=kRiyZ!nz76Y)lD0EYKsM54XDPxzYXuy_7$F_Fo3r1uK
    z?r$>^6qF>+Z4H59A5KFweWyKB*c}U@&iepMtBNzQ`j}pju^l{lJ`Sd!`Q=R%AEVnP
    zD99Z1d`sMB>dEkDYg09Dy;s9^UJZ4fQGFQ&p~(3cd6&~#Bd4lgrCS#tQMZ(wI29|6
    zeCmU2#3i`7y1u)P@%TzV%?iuAU=XS*z)t+qBs4B=OgRob2@n5nD=>qn#k|oK#35Y8
    zKFB^57Yuc{-I6+BedbnAU-vsHmoEelB#?Ir0#V)^chz?Xd(PER+;Hls^$dIdBH
    z@^~PzE^7ls2y!P&P`Vi&D#NTak_22w2H>X9uYXRZqV(U%ykG5!!GHSg>8#k$a5$U5
    z6{uZ7gc8bSYdG25na;P@HFUQ9k|nD5GI%UwZi?yYx#Zt(eL*B4BwECSmT??|#fyeR
    zrW`Yj>(b$u(~d!5QP)u!;jDO3&VdqM1GdB8Q@5;v$xf&D^!Nnn?R?z@;E^NR=a?B`
    zs$Wy0MzUudMLiOykbZ>s$#)3BOR3}|_9P7v?MOszX7NXMqf*@KCyne8VIN+=X;SKi
    zDP18f>;^lqUfW@W4G9hrOrJSp>xKj5%15t8Y+^0SSZwnrPKji}bNs`e;ki!sOKBwc
    zgoYS!aCarH=ANn+*pDN6JkEJA>NZ7|kTB1fR^aPdlZa2$80N+V8sBK<%0b1hC-%mK
    zZ+u`U8`iUQ1~I=CN)lx4x206fwBAg4yps_lPiR^P%F}<4B;GU?<`R}dNbh7qD*pHL
    zAFthHL%+Hv8NL7Kb-vUnjy1L9)$SL7=C^S9+yYLo#lJ59zinI*vnT)Q+GU@$#LRjS
    z4j7s+Bimd&J8+U{dhS^BSrAUfXSktVYW(tAfG7
    zXm{UK>-h7nltzBkOETwve;Wa8JH^al`4|kJ-SlXvNWMD${!J}rSOj$HMvcHDCHa8w
    z8pu;fa>f2K4_NidRO%x)H}GrF|FTWC4L`ZG@5Uo-$ihV5UBM>lG{^VsR8%S&B70{hLay$6GnR3Ga_qYi%M
    zSsEmTf4<#bvx>B!nOj_ry7jH5E$<`JNO~rskrXs11WZF+?&5^C-rqfw+HnT;g^z0#
    zxyQHSC_uX0uInA!%a+G7u@m
    zSU|Y?i%^1!s30BF)%j@b=Q909|0?hwd0bq~NE*gWQI`n}!12hdJe!@yL46n|%y=j4
    z0rfK%wGP8KHOHk_KFu;<-T)?4?E@IsOs@+8D4jVks(+=UPB`7_khSsI$*eOD`wQS!
    z@HKv)#WmA?y01#?mGCgFM2tqd9p*b4lf)zt1-0}Jv3gvI{SqqjRAew+!(w%soX?+w}A^qO|Y`P+~`#^K{%`&St;!$YF``)
    zkv0)}><#tv2b|w{K!XFeAGRkVp8_&0$xWf6P;F){wDpmfkv{W4u4nI4Sri9|blB5a
    zGX>WfDOU-JiS0Ahd7sd?EFXL>P=Xj{?8XSZBJ@9|H%anr1bx*fJe%=HPNz$xCW*<5
    zoSu+gZ9e3YYQtv#w^-V93Q7`BlaAkvcs)<26}
    zkt@+$yDzEwhM`?R=mXn{G{Iklxt2?Nn2m?X_l8q8a(d?8vA+-h!+IQ_wxM~}b?J?)I4)E5yBXO)~_=>u9
    zkn=sqw#nBNW3R7T0}sOaTx@ln>G2g~7=>a3sdonp!g~5Atg~q=7#SCv3H=uO-+vaA
    zC(DMjR)9;Izb`bj;50RM_{*Zu8FaSRo#HhujU3AFNk~AjJeLvIt(X
    zCcC>`eBODvMK0?fz%{`NJxGCOEbg{FWloOe8?5$y1i?*oPxwFig$XpUFu80Qk=HA2jp{9R!P=%ttHYR4wTg0
    z=Ond@_<0VLl2v6>p(TiaYdY?TJ(g%lahB_KD2(!$6ktIWDa!JyM;){li|i{EmRgXQ
    zr>{FOV1TkuudBvL4pqdJ9?Qodjv~1qW!bg^U#oToS?a
    zf~VJjL;7d-{F)tUgm|gD!BK*6>6&dOeG3=REx}@*ZkmrNB%R{yhP&Rd5VY$q_q<~a
    zv#BPsNG&#+RKr7zG|Otfz3y_+F$O;*d0Q=Y~W@_Hac
    z&7F&QEI--@`nX;v6y`GM(^oH#o8cT{4YLc_Ec&rZwbU!R3AZ2b`?DywO#=%42P6t}
    z_pHhlZF$rYnj0+pbG&waW?9G|MJEquH1SBgh>H2BJgBO#AgYH|LTPL3rCu-FdMtHpi7@n|VN$r9
    z9P6Ic3)?^B=IKpLNB9|@P*L@+tE2hY$p=SU3fC{4eg6<-GTlalQOz1gtIs^3$X{t>
    zM{r8^mo1A#^fjOK)Rm}QiUQIuG1eSc{<*iF*xZ0SB2stA7ZpPKbCMCz)nSESmOSxP
    z`$?YO(tAOyp!J&HaoENx^$7+(1}S)x`>{H?N`w*WH|CJ$^ppXiw^}|+`w)j2dos)I5QmN!p51?t%+BrUGKZCwdWgfv_5J(zra!~~tbRRD
    z@I*qcyl#Dn
    z{>g$5RC~lM%lrt37eHM@!qKQMrVP9zO>9a{ZRK0{{oG}u5}<}@1vI$Mu$pBj9Pwno
    zK#8Qe2s&VMEDhc|RU@^#j&5}7;#!G$q-hx^zmbF+F;@_pIU91J+EAb$%jLp^Q8^~Z
    zt;48XvvE^5y8v4imwu7X#sSawBD^ZgDb0^a=Wk_tIRK14+9$|5QQRgF7F~9^7js+Q
    zhPIHs0nGvWG@ekdimJ3%Vh*Rf6R~q(LZU`5t|>mvhN%wQmipEP!)_R^1W3Vjdq%WC)U&EE*rWG*1t=0sZ!d
    zGKjg>VA8e{^x57rzR(e>`d=%TDn@uZZj*ao1d_u&wOr}&_cHU6fI#Dp^obTvWz8Hz
    zH5HsAISFT;tZzSYIOXTDxOmSJy|y_bD`Q}FKJSF@TDf*Uifqb#ld)4p^6t1_hHdj+
    zq)yg+TV=yz3Q+kFY2Za#LD9!VQFAzOBWX#Na&x-#_n^Em1xI(*yi<=RnCCqaV|Jx9Tvfr5b#Ae^y
    zxHVAKDJ9v~yq~xLVPU93G4`iq!xy4@L;o>`d&4IZ9#Gr`2gOc#TnMBAVQczYT9KaHb=|V)Kl5|xFdeZ0
    zFctw~WU_F}IsV)877=AiEp&O}*rGUS<@#;qj^O*6n!y$>M*JL82|DLn4orBs?xm1e
    zpME?EAxcbVLPx^F&gOhSiG-e?0v^!!%Bx*?h;n&&hYe=UpROx!ulJkxl>f?=&OFAK
    z7zsD3EMdAb|84plvry9&0YbfllS5JoSB&vBxoW(AOcK!h{Ow}{#YjimmXa^@$|+Q$
    zx|k};EanhkgM`c~;O+?-clDPRt`Y%E@jdZ2wmMh({%>&gA|}u@F^>R#iIx~Jv@evz
    z@*R?_cgPP5tt7ActIcXY7g73;;)BPQVXod@2~2#O3H9^EUB|41-<#wg_KFEBeFR4YqB^Xf=+5YoeNV{YJp
    zrfezs+R9}^54l7G?|-hvPEb`~vdIb!wjHfYb1I9#FM-km9?)1=rm-cb*cV#srI&0f
    zs3!wskiNDOdw+vM6SamC{IA^b>F|q?P#9nCZMm`a54dT)MYR3{(gN(e5QPTMslr0A
    zzthjf3h;I|0-YKp1;TlB
    zo_FR383<@*K5?i!sDx>x>sUIQQ+dZm%r&FPYn+JjAa#O3;lE_oXUD!2)?B$O;
    zC4~BpBH&maNNHnXFj=o_WPnPKp*Tt`no;lVh{;
    zBlv6!SEYNE0X51#rz<1*92X_}!#rId+w)fE2b@bMc;?zs#sB`+PZsro3w-400gZU@
    zcecjLfF8U6LPacp+N~DE@xXe|jpao>05Q30@@CEIhCD#1-wAL?YT!zevNFCrJpgjQ
    zKF6HYrOG|lBr{L<_ff-Sp>TZBVFRHh1$pl9KiW+VkseU{zy1B}8E*e$3mAc-Nk2^z
    z9QWc&%eR!Mf?K8R!VXq7w&Ane>6pFZ=fZ3Ss2Ev9p!X|2s>+^F=abst|9#y1kn8@R
    z9%&omfV}#Ti8-Rn?{pUsH%~`(osDp>lOST({fW(~=!cDGmq4g(0^l1Wwwkv#o)NPK
    zU%qh2!z`sAa15-rD2X5Ak?u1V`F|lg$48XgV$Mc?VyGU`2HSis6jG3yDX#N4+n^?z
    z(rT&)NBFWigD(P>_T*AuP#8l&UQR)5C_WCw;(Cl`GEK_^jFZ6{GDq;k4fvY6DeCP(
    zVsw1qkwu#J7QFZObZp{gzKRzxrHzz@v|FVoon^nbeZbaT*cI=4WZT6BE5P{mw&L@v
    zlM(*^ne+WCLlDkUH__r{wfS6;`qYjsyy?w(E7#wrj3Zf7Ro#%{DwEOED-Sz!DwlU*
    za#4el0AoAlCW85YVjAJ`fQG{K0peJ)nGiBLLZ!#mm@zL$Bs@P+hRnoch
    zf~x`Pu#*80J&XWF{kbJuD-^ozuPc5x5Z3>0z~xX>7(p8Q!&Vy=I8PQh06>?_}Ci
    z;-Ayi^}OZb8C59kYaXokAw60Ie^rQhKKf|1IM-yq9#x=HO-$Sif%D|EP&7aSFuI_dGA-zYZ(BD8z9OdKV7LXrD
    z-$&je7v!~CkiLSJ-yAjqDrkMGEn8d$0xd5u(849*4^G$M%GSuY0_GoM<%bVn5*s~9
    zz90{cP8~g|dq0`*dA^vt5D^Jj@Y6mqlYCA(2UV${sWcUK|NF(Hmm)UzJuyXUJst^N
    zmAS0@M(@X1>Dgt7W>L2V|DK@5$(v^-0Yv9%&kWva?0Z9Dc3R10FNqlwJfPNw(J&(c
    z>V$T-cO{QU#ncjKN&3yh3$YQgrL*>jKE`B>A@DEg#_wa-8c7yLRc9ywVnQNmm62dV
    z0eg1xD1jg3h}|9VeT-e})i&#U3J~D#(bKQ6yQR!;^dwS2PvVt@a9I9faCJa&HZHkI
    zr|Yk{9f~Nc2b38nQu4Q!ff2C+D~}~+Z!`F_ifxn(&5Jl0E2Q$$m6C%|I+1Hn9?6v`F$tkqs(ZR;*-#b
    z(d+~*oUgMyA3Spo*>XMWdG=H8UoM{Zv@v-~);tk{z$T<9W^GIwnZTMX!bljS|kYrxNA6
    zm>{B0!d!b)NEzu(!7aVh`x*A_U0PcF2HR0}_TGb)`$qOl!F)6J6kh@3PFHOju7}Tv
    zGX?x!g%zcsFGGNKmVKh-hNXAq;q;sz8(Y-4uHwzWH?hfcA+N{j=AIqiV!1
    zdiM9b66Hs(g=wliZZq(O4}n)DI;sIZ$oV8JkR2v#uLUp_>=cA4-H3XB%!X4Mo_+9s
    z6;N}WO7;Ah=TgwBbI~@YjX@TFjx%$>UKG7EMiY7fPMM0w^_sse{6X$IK*{_QjPw^W
    z-F?hYXu_Ml_5&Q3pXgRuP6IsW~Br3#0KdncQ>iEJ)RK2S4X6*e!
    znw8vaG@zjrd0iG_O=MDrk0A}~dboAPqJJ)O%O_jfjyf`Q&>MgI75Elgi3K?n-ixKa
    zQ7FidS57n9kUepHXZ~Z`_;yO^ty0b0dtS3
    z?_0k*kD8?3bZuOCqU0_Xan~X=g_^$yp{iuERRL~$>qpOiH64M2IC{^ZE&i<~0lzwr
    z5$mgxm=E84J6~31?vx`v&5?3%-Px^u{{8(z_0alHD8Pon(CFVCr;|DEE%$M->ekyP
    zvud5(W$EkTj%{U?<~6Sgi>_9I2j1ZGxG~1ZL?g1Ovwe2!H{WEC<|#&!W&PK`
    z1MlL=+(FNO`S);mL5)?fR1P?)f>@e@&s=UsMYQ1_SALLWtK3t7S0(Qt%D+HsG%xtN
    zwru;I_1!Qw%~SIqIxTEk)fl^18rKw*p>-xYQYG{F-uvJ*wQ!E6468&;82`u$%&@ck
    zfOz-DoC;J)V}dTJaBtrjr4w2E+gid47+Bs-xWC!><%7CAgx7ZE-cO3=tKx*e=43CL
    zNZ2{czc-h9J!(IyV_Xn;7`ouWDcX;!mgQKC6=2K|EY*DN%st6>Fx25fW@E{&Tw06f2^yYp6ZW@9E
    z+4t#n2HiiL_0rpqCE=Jc#~oxh|N-&;PA?t5&`6zmxg5nitkeOCGzj-1u%ClC+v0CLnJXhF*BS>hYRw
    z_n?%yFuY~sn!ozn#Yycew1Utm`*}oTDRX-JA5pj*C?A$q!*xtA$I1v4N6M1kQ(ouR
    zh{aB$7;aM?gvn45=cIXMPQV#FQTt0UW|tbi@TT<&p0o7>eSK7Iih+m-
    zc3Z+8^tJe9m)I4#EP%p1?nUtcfTJf+T31{4n6-r7QQa0c0V`Fg0oJh{ILFz*gdF`s
    z|L5vtRkkxdC?A_mf5fz`)(?2@FTq@+>QEY1_?P{6u2Z9H-4I^qxe`oS>E(KgVp612
    zH>>Z@gUg{eUUfC^js>#WI$qOp2x%ztc5}5VN|Bc&<^hNGxtR|?p5ph8Rb0@Lt+
    zzQYpB5wFwOzZvpbwUlti(^`0qT+!ZduM$5McO1WRw0d{^axLQM2d5^DmH$X~{8Qd`iyjpb>LS@qMDX+ad+N8pCjA+5!(*5P6UH+W0m#1A_r(mYw+j60SNodxihOVK
    z+C;
    z>3hoP-YK!L2hRiU53Fvbo9+dlw%%rCwVxvyFp+1~Tj%bHZ&SSBweV8M-@y-fNm9Ar@(YeBQ
    zlfJ^ts1K!Ac6W2h4A(!shqkxjPg^|^{@FZY?WQ)T!cTS@;5aUMwBE
    zab;Jkcf-lSnPIKJ+W2c+=T*($|C$1VYpK*;Tg;uKtdvhnUuvA{^xy7Iddl?3y}1wb
    zY$>rqUyB{U*lsM}KgD=7z1+RgQA4ba+bvV`Swv?GphW^BmwoT<{zq=__B24DEJ8LP
    z)_X0xQdy->MR}_S;jF$Q&;sCHV3%Be$sww
    zIcK>G6C7s@;`%CysP{ig+1kahg!OF6ffKb}2*qMR4zP_S*eO0^8vzRosha?1i)e!o
    z2j?iu#4up~76_bC-n+m5H@)>V`D_^m?Ef8n|L4@08fi}QIT^5Z`jgye3Qp!QpF2C4
    zb7hZHbOLjEK$8ff=Heg)(7eTGA^h+nB&Muu;4mWS`vcDRW|;Mxf~d6wTmITcoFXId
    zpiQuK@mXeZvdbvS)ZRd!t^H~NYCpsh@c_SxJ;k#G;b+ak{x)4mjhH6s^^a7sS=yKz|GKgnX4ZLT><-%w0VC@w$Ob!0J|cbj^MJl(ZypZ;nA;cFY_A3UT+fZ?T|8*;u-{^^4Y$=j-ofz6MmE>Ld$~
    z`}gbjE{6AYOE_2zYPw40XnWLkv0S8-@WJF>bJzkg9dceVOk!+SQ)oZZWr13gj_TtpwZtd8cu|2-xZU
    z0S@1h2lR+-!j?=ku;vt~pb6ldi%PJl5op21dMU^|rwwz4&zo9157A%Ue^1*w}6Bo5m;`D<68
    z3`#6wNvYfl(hJEz!uO;57Jy>ju8w`*b<3MCxK3&mGGo97{5s<{HDGu0eoEg`yO3r)tKXO%woRs6^IPe^9GU%
    zHZhEu$UI##P|dI!o52P@*Xhf3DC|T%N2~)=H9O4l9>H1WI
    zXJ;E8a=^~PLF^^}q|~To2Ton0Mv-QU?$BHyeHo(@Rn-5wm9N
    zHe&?3c`h@iAQRYgxbJ-irP8wm&3<}AF%G9s{!wD<{P9@UCC08#;;h{_xB=rP(X=@r
    z4tQH--7p?cGjWRXUC-|UjMg)~t^c}%1QM34?FyQw
    z8+h>@c|-4ntHnWvaS9lmns>Z=Ze=1Qmxgg6YfNhZ-RqvPC3Wf9FD;xZTsgAG3lB~m
    zuR-R-Bv_k$2?x~z=HeK9^Qhth=KGODbGs9t@?v_7UGA2MY}^dp_m66W^^M~fL9igA
    z&q1FBKfyG-Nr>)6(R$xp(Y;C5w`rXXg*l4K)r--L}tKN<@$Pw5=i3n$lS%pOAlA5f*ZST>q)1gPUcF
    z%jutwnP%3LlhzXP8vfnKJ!j-ac3Uh<47clnk(s}||DPw95reD4FI
    zK06fe$m9FHZ8)_~@95{J_vK-qvm5H@BK5RFiJ}0WXIm+uY`6yricxp5iW=xI+IEpJ
    zTlP7`TNy7b$pW99pf`xgdJC9IZj
    zZzgid+x9xwJA_hU3cc6A{21hnFAt&y@`KyQbMBSA+P>`~0H9|uIVi?H@@lx?=xM>g8#WlC$j<#bJ1Y4ozRzq2Gz
    z>#wwIWznDEWBg%(Io^ndnRa-wzC$7MPI~}&>(P2na~r>n`=5mhnoVW~y+C+MlBERN&|v*ly+Tyl=h}
    z`v0YLv{HRjSNq|S`L}*cXjjWd&n?Wq8Mo@b;41BQ7vTN3
    z_#BqSVcTyvLb1KP5Nym4$yoJ%6}Y|2myW@B+T8;G4SHMt&OBWV-L-xNwrV*(kv2Sa
    z?7CcX^&YcuyKhPSi7Mhurjn5>V6nc{5Kg7^x3xa$T(5Z5&c7k-8?t@Z_o_N~ZtfPN
    z%;`_JiX<>2WeZ$V-#OLzW>@n=JhP2LWF@>g`1`G#oAGT{SLI@xPa`SN^ufHB{u8xB
    z5J1`^=W>tX^hBCVyE@_+kII`y(cZErP$3r!Ef+u#v~iL({SSCe=PcB)v}`x|;CR)b
    zh0E-u?4f!IQebbS}^^y3c
    zph?%83=A<>5;p}j3I1+;f#yG1uPcV#T`$OD^1*h(10_nhTu$?U6@yWd1V(w^YVAci
    z>E5#o{}v6#YjXrqWZ8M461Bn&#tmI6Z-{ju<=88WW;V*{hTI-dSxgf63R4ibCnilM
    zkHM#G<rrg2b5pITDh-OE2qFK`!u;l
    z8|YBvhw3oWQX*%w{fSC&#hW8!qFM7j9cXA*M^1YiF6Mr35D}ZgpdLQsz7EPA`>;Ky
    zBZqNG>YTTHCC$<-RHb6l7_$}r03MN5DHZ$AyI7IvwJGi0rCW2VAH?pU`Y*7Z=TqXt
    zwX*jkkN@hwt}WWm4?Ou?#dP0o%KnoxYU>-xEi3u14q*Cw%#-W2_55`Rr{KWwKTn39
    zGgI)NHlN>D=5wmxDuW|C+n)V10*OaV!X?0z^PEuA_>r7322X}-v&n5q>X~Pfg~ZI?
    z3JEd9l|L7MWM;3-nOVoKva)z5ij+I4#3g#0bg*MM#xiHjtn=hb6&&ujlbZD6y8
    z<}Q!P&-rw{#j^v`IK1t-@7M%Xg)ft
    zYp4zn-M?Gy22ObY!3%2YN=eBDB_+St|jP=yCA1s6CNgI>q&LlUPSC5z+k?bEi6PV>bJi9FwH=
    zHE|_y8o}_1@I~h#R$tQL(#O>g?bZL1!;5aD-fAD?&B-k$`Gnci54tcU{71zOiGFq<
    zt-A6;R+}$kQywJtDZ_%a-D(p1mi>fF1TTq80As0Sr)p-+Fpq{`ce++m*+tW+A@%{$
    z8$b2W)#QrtW6uAui0OGWaD5jG+`4!+CWeX34RW4o2G?RxMoW=!itGbE=4foRP?Vz2
    zT_DfWUVpIOznknpV9+(JIz9_YjLQ!Ajmh7Nw^X4@pgEw-l@UXFhuaL9_zSx2y`GwK
    z>a@Fdr0>6k;M6TUG557`gE$)(b`YYX%u;I#CmBTL=4l{^AL?ltM67IIO~TJD7v=c+
    zdJYQ}@hUx4_mf0x303kMRpD4T(fbqv`Th9fK*hR3v23dlPqL;1M8+*WI{U5j2
    zTm8X3=3!^7`Zr7svyW6KqevO9y_VZv3Q69jw!7FmbOn43H|YwNVJO;nWEu|L)#%Ul
    zR7gHOB_&S&Qy`E$tf%E`clO&wsG*s1rBew%QvGM0r@s4AgziKvXK9_`-fVqLvBU8)&!wZ?Ni;-NyThgm8=)S>58S?TSBKqbpJx4V
    z2OAQJg~o~Rch00yrED3scMvs|gQ#3Fag{?kX`s?XWMW9jRj9}JRi~=AkSB}Gegc)h
    zlf42>c9Hc8dHABM79%E6HaRWW&4}9QNVDCXey6Lkf5cnA5U55#YKUq5;Dnb2U>dDv
    zOQ6-5WBjlt#yTFr99Oc#_Wc1#rac~KpT&md@b{$Pxmn5WrVu!1))ib@LEV^&Gdcmi
    zBkBCu(!d%QYat5xy!27f^pLk^Jn0Q9?xd69#fGMl97z@3Brgij6^iO%KnH$Gw&5qD
    zNQ>G?EDGN!E@B_*sX>hN2%W3-FY>DAGTWZoCeHN^3`qjnSH!{NJ^~`|9e8LIm)zDE_s
    zN(o3Z4mhUjWR^0Ag^%n_t>4&s#VBE&%S!~(avu9>hpf)WSnwNjdnT{Gs4JR28XiwS
    zN})EXI5O)^f*TIchw7Z57$$o5EIe{twkN;IBXzxYd|#AcMlRt}RW$36;VWo&fI79~
    zpiA5Yjvm_~o(e{N*@-~sXTdCea$E^!nSP=o=^bl&vL@}}x}MAvU9nMfs&tV`39my^
    z7-?2HIW8~I_2STa^M!`rwasSBGPkObdXH}dp4n(F0d9i7gxBUYikq8)D!Oq7c~wYZ
    zIA4EuXOe&iJjnp12ND0*jtF%r$cW|oO4Cvz_B!*{7Sq2sQbNxRenct+Lar^-JsFwS
    z{B_7-+CxV)csM_x(KOfGymrT4nt**d#cKWMnH4bhXiPvrMZInIS$Y{ianLlN;le?m
    zavzg5;65JJxD}1~xxSJhb_L-dG-b4Y-_zW5BNT6)RVgR^Tw$HP)P^PLjI`Xkpo&pK
    zHj4jF_*TeV$EHZ_1NYz}r~XXx(1=C&(#Pga6~hQR%r%NgVX|!vVB83d%erOv^Xck`
    zp&>vh*&snnteE2qur4|I_#=dR7-BLs{p)_SqWu0*rE`PQNe!*#F9R-`1Q?3EzUd~%
    zRO*ZGONiLq03scj*Z
    z%BJ#kC_Dc`PzQ|3W)!mb8f0q;oh5pZ-oUdKF5bN14_q~S&Ax}GL$;Kq+wVO7rldy^
    z;X@VFuRunDCZzq@=)>wzDPu`}s#73&b;%ZUWuSi^xpQl7;7&v>oy!>O^@^(D+r;l%}RIaNwPcokLBby(F0310j2zIiHSZu=oI%nI#$M*68t&@ujL
    zF08J{%1ZQhC|T&}LVJ3x?YyCR@4P+sMS4e1la)2W7T$>QO0yZQGYJ)ezUpMLde6n|
    zy&ojZ#%&f^m6W^kshv{T0O1-zlf)T&TA9o
    z2cE9jzxK=h^->acuNZV0sMeyNhdJn)FTw?%7Ji0mfGU&0?@iBAwbi42ht8Ji%+sGK
    z+)4f076H2w1WbzfYjXKdS_FI@PBRQOUcirS^O_;JE9DVuOzDMXomGJY)sJu1(d1^|
    z=;}+Ef^J!(!6Jt>>}081+3YHxe3#!bC9FaF+GT>^$s2JQ=>&cF)JcpIK~tC%B-@)Lr8lJ$I5|oe
    zbf_L_9W1)bXf@gKZ{6&o`qyYS}8nJlWC$
    zrV6^ao?MBr*&3E#+z;$>#jeL?+*``6M!@g)^L_(p-BMpN{NgM6%Ml%5vY8&sE;3~|
    z6<5L7mYd;7mMVRlaFH;qzBqcVy`anr|zRL
    zonfAG7O1z||5P6xx{ZvWi0;1x#`E$m?xresCY?*1_vzVz^1OOYZHGt0|0=FqM*o8H
    z8P$!AR4)YXshS6vs^AGg5dQmFI4+>fA`OU0U^A{z%C48SQ`{)!sjcYt=&=salMSHHL_-YxO5=-W-F6oN^-v>w5I)lOf7L?CO=lw#xvkdZV7fW9A
    z2!38pVcVHf1D}CY`Qq`5UWJiJY)&g)EC&5c-F3g5lmhO(^S#NQ(hm2-PDlRnNJG1e}
    zM$!46Jqh%5a8|b1r-ciS?g9s#Wp!ODmu`0|-!8w5`V6>2PF)@(Y%SuU70Kw-Pienm
    zgKIvytK4LmEqFlj(5e52ulJ6pGXDRED@hWHB(md_>~ic7vT{f^2ZeB)?3HtuZN?te?xYM`KW{F*9suBAd)EG!{FVw<*1#(;uV4--SC22S(;vvTFr45$?W?lmc6Yc
    zeKVHx`=hqB9nx9X)3V@twArzbJmrCC{IV^TO?ezPG{wspaRU^^Qb}joggxAO(x%R@
    zE9VD<^9jPn#2MpGN8b6UjS1P7K(nFOW6(N?#m3$)G>#}CTfAD~!GU30Aq*JP7@nxc
    z+#iGjZNzpbJS^SF@44wa5hJNJSU;xWf(c^d`W*etB(G}R#_S0tU5g)WdL^IfJLzZR
    zSE#bx9_r%-*LA3QrSj(0@f9#%DY?D{LKmU#oTI;{@0s$B)N0(l;-k?{o!t27aNV^}
    zzP+{k6_@DuFjDQm8)N8ciO8W}WIb|kK(WiK`Le?SY&gaKzN=d%
    zxK@ynsppnQ1NH%XhY?1vB5(0{^~v(Dsx6)K`tr1byEf0uI~A(-$EX}
    zxb=z00E5Ngup^VH<{pc2k2-w0Zre>}_Jk$wq+dXQd*?-++R^2;zww>^eBbtb{-dk{
    z^dVK3Tv||IewJ7`!d-8S&BcC9D|d$7pV4deL$iR5Dt5^raD((4pGJ$K#Bf_bj0M?;
    zr}%3+TjOEsm3G&|^9kk`4T9uV-N{Pfc+cwf@0uF=2o+Na9#M8av?
    z6Z`->=E7-TXc93WpgHp^rs@?ST5&c@(btBXS{(g@z<(SlPQSl?GVCT?e>YzPUVJbzgu9q~G3J>ECq8lqvl3k~zg?`pe
    zm9en&Z*OfXJA@dTv?Ua&$@wQ5k5y&?O@nQ8@4qmOBj`rYrY!`rcj;@AEQN#b+r=dB
    z{#+|*IR0nW1yrYm^^Nlq0W6BpLn5}OugaJet3eWd`_u~?L`yzuQ@IvXv-#ms7PFc1
    zCNt1E>D_mAK8ET-pA^qP_a%R&se)AfA1^pxh+RODusg;J#+Z_KHO45UkFU+Hq{K=K
    z9!b7PG(+(dg6B9BYv;pCXyC<@6~2;7wp5$-5GK~fWV>KY)EbR
    zOn8IF@9(=#Xm$b9PZIAFD8|1-aZKC*U(^1nFLs~L@^?Tv491Rn`VcAc4E7nLZ4;jaE5%KpU13vr#sstrg^3%D26k}nG@f8&S54Q
    zvLMap3$q{g?#^IdT`E3$E)z!>id#H8!4UG8??eynyS2IXnU%vB{G1WhNU+9i`sE?I
    z;w+}-Bhh6nr~Nx>-rGNYO73AY-UT81ViyK}C|pXGCfCKbCfVMen|-R_p9H1;i04m&9m2jK=6kw#W0tV|@U1ELY?Qox|yA}D^%&M*QIZU^Z5i8S589JqO
    z@rEz+ym`u(2(;K*eszA$IYUuV$fSIc=5Qn
    zy`ny$xdXbI&eHY7UfB9X6`$9mHb$vCiBWcb50qfwY;}Z2b;9;^g3iKsj4NSVs{1s`
    z#=#foV)X9PHgMRiy_y~!W6!03@Q_8)xf|+SMp(3n
    zat;$J!F4PNwo`}a(dRY|$cW;aZe`Ipsj7%8fH(!Wtuto_R%7~(+6JEIBLrIMcas?A
    zE72?u`47N0V5GT^hx73_Z&OMOyr>xb)HBp
    z!j9jn_~%P(PzZn|&DmoY^xEOAjmGg0De>&u5kbF?DK{#Y#x!=g-gFR1ATo3vJceLEDdA|v)qSk=p}
    zjKo;V(EP85T7@F<8L)i+nxBOEq~&5k!FbvVpzz;t`zLmYj!*1666kW+cQ5)GOj?b=UQ{|$!d74q
    zJPZ$$Eb=_MWzHaSK~yZFW0TyFDVyda>ML4oUcr;cpjky@AR@^Yf*qJ{bP($%RC(W!EaSBH8(I0I}MuEGod%fpP6Cvmzl4LqpvD`DG~m
    z=&|LOc{RS;aqtNRCHp^#v90@(;5}(qMlhB#lwjLd*Egef40yz=N{STUE6TmKN~Y&g
    z6MLq4&J^BT;Trc-`}o32%(Gm7aT2K}I{&b@!oz!Bf3RHy+0#dd8_!;nAK~@Q|2~ZA
    zXez*~@uH`xJ#m%~G&4oA9!|1z3a#V{&vF_k&ta0k*H@%nvQBuA1;hXHocp4i#KONd
    z7qLasY&OHpJa_^B%dNdmV(#N~{}iSSJa@Tl8fSqxv>0GB(4X4-v3opIxi=Vpx*Xz0
    zFZu0gXQ8PMYU$dr^CCTpM*CU=qMCYuYJ^4vx{dmDWeqx;n~U5~jYdz~Ok#R-wEOn}
    zAxrfRRxr;;`yM7bPr^5JwT>jueZM?p`isii1U=vb`Mm9_4F)d1!y~46mHvSik=;|d
    zrR+QmOY>T3n8$7_v&iKyb_h>e4g7n@hGtVbJF%1>ywPZr*RqqCV0v9xn>u!B)0UCt
    zI7ajy6j4K@HtGo26#^Av7sdt0d}kaCS6n8kv;J+wFPKq(vqPL}f4LW|(GIt-z~7v>
    zQ!+y_DktU6{+J9B8lj
    zDNN;l$zY6@^F)R@POmjetr@YdbV8(-_-)lY1jNtmlf#4hl~
    zp*~tkek5U3j~7Gstt)((_|C;KpDhLGi>~!{KS5n{tEcgnpYR*{Mt0VFv8z^q
    zHTH@$<&q;|J$7Ns=MzI`8u_r~jrA+!m&r9#FQ)8j>8R#6m)Xz6U$jt1QaemS#ffhF
    zDU?#D-%w#~ns|wjv~uL2A2uvLeC&tz
    zwR9MftYf~bx59-N1be?nSHOo@BvudEU%=|FL4vlafS@I#!RjbN8wji7~y083wDM$Um0#cp2EFk(O<%?nQ
    zb{Q-q>{3u9OIF&y9on_!DVy%v_vrUELzMlW(IG4t%Kkw=@P^D)TiY5U1aBcR|PBy&Bk|$jE)$anbsOi{KFm*G}tWfbWP}-
    z7Vt_jgvVX^VaB_FqhyjB56d+zhb=}@3a{?f66s9!hDjVvTsO(padn{YP{C9PYksnv
    z@4b7@gA)RZZz;2yjr+zzYoE;Gft6&!0{eL1*TNyu8b;Ng3+?lwLm58I50u2^8Ste^
    z`Ml*ZtjdXmEQ^i@JmOeCbx#tQ*=5U_$EbzR(!fuzI9S_1@k1-e+e}_iWi|wY80ZXe
    z+JaFtJH&aG(8Mu_e$#IO-(cvN4ymEMxlvl!1Y9B)-TUGnm6I`<7u#xk4u0qn!~uHD
    zrojzeY*3wq9QFC1i<$NGi4+Wf)3x-D=mclDO;tVoS9lZ!dvae87ZegE=$gf}Kn$pS
    zp#*QID}yzcHgGml7;7xYL=BU&-KB4rp|iA802X&l>?!_hFRXB1cv7QnWSOnXey{H(|4y|B
    z+;|RJeK1aX-UgquIUd(YQ`y49QUAQQi2@{$*Ef@__WdAMB(+gvWVGIEu-#PxxH9Zz
    z1;1KdtQZpk7BVMtQ3~-GoI_pn_d{|=#3}#hKhk;*;gI&qDSoX{$WvA)g7M+)8)a#y
    zp(CV<+5$bl6jo`^{+zigtp66UB20C%;*a>AzTa=xM+@!0cGl=5+RTiJ|1%@I|GDsa
    z`$x~YXqMItB3coFiwuNA)sl(tD^qX6XFd}0G{H*|t^tUs?UFivBg
    zHiobK(NJ3vBCtsWgo1DOug>PU5@{Snz_olP0*04v?9E@|5@6LyE2xVogQ15dP!JB5
    z!+c`U6_yxiyO>qreFg6?%20T7yKdNA0nofj4v*fTdq?<;MHtp4vXb%q7Q>83>_5%!l~m(!bM<
    zcqHbL8AMoqrB?@U5idqrr5Ep0x4{J-?`;fvaM&T5dKH|NfHhRFi+$Yc#j;}jr>1Dz
    zhMqVKY)7Qy?1P^Od5K{R0FglCpz&jn@6{AieD>|{VWDELF1xkbZmGMC|89J{PFWWUI3GBldE0|4
    zIt4?ZLg5$|Q-2F~V4v~x4#v!PL1LGn>7YH)?JxHTp0^p|p?AhE@?#(H
    z$3PUd;_E%lcl5=7fL7)`YCGYYDT*7E82Yxu_Qg0x3G7bGg4Z0A^d@G7uyVZPb;
    zJ0plGI3LSFZyXV!C@QtPaW#^|iv_@DS?FY0~?M2IziId?M&Bq_fks1L7ZDk-RXGT4y`c`vs<@v^j0l2eozKO`1
    zgFHZI#Aq}3UohF1DL6qoes1^%0=yy`R|8d^d35=M7Z9EsdOTa=c2G)<11`_)r5W?9
    z7Ov;IHY+GgF=YYfQYlNZ7T%@9r#yO(Y&WV8hHY_-0qZvyjnCV{^RAyMpA$)tP_kVV
    zWq2OL`)FEN>Tr@Mn(Agnkgt0ETS<$22ZWnM#CKC7WP~M6lbC5|E&R=O3NoD5RS3Vk
    zfS7oH(S=_1_fqd0*X+FnygVpx#~Oz(6_uxXcHnA`VA$=g--{=2q%we*-Kg$|32UGr
    z`h@<~-FI?vxScFOH~SDLV(@AQNsYdB_S!&xljIK?W`AMf46qstKWiP10~+qqS3cADs4aaS?)
    z-J}jI3GKF0R6V$R{&-(;mW#=A(_2rIk3cv)<{Gt?`jKH;xmHtV()2P4_l6AFcNzL7
    zH*5x_UthV5Ma|q_ILdlnRewJ?LsLEu9L)2AUQF$LIgFk-LcyFt6k1AqhrQ{=!&U7z
    ziCHexnVL)~ON(#6Ye!X6Ry7^JIBLdF%eo1w-ZHNuVxcbhmSL_Sq4C9r@ns2%-<>0;
    z3A{j|ba?;asZ9hD^g{7Y0EyFyr!Mxw0g7*?-HACFkffl7>QSoX0FH|+5|dQ9bc=9i
    z&>3||8_AY}g2u{5PuEn489+i^O0D<-s_w0!&?hv}=D0Ig8$E0g<+@ql_Vv4ZSW^C^
    zr6{jtfzN@RkvysKO@C9Gr-l|r#Rc3W2N0)$PRin!8xF$$z~z2wvgV-Mm~KS7m(pQL
    zlW13xpncwal0s5Sl}jh3>`a#?cjyvOCTGB+ttKE${oQ%YyNUZ91P>{O(7mY}{*H=*
    zPiWsrZ64qin3c(T{Pz-SY0AliLxh+hZH1QSwJP+o+fL~~$pdq6`YNSlK)IUp!
    zV0@)m86fI*6f1j%PhPJ`ld3`Xr@&@sk@z1-4S8hoKeaUx!~O3&@LP*2!&dG$k7H6{
    zkM1T5#5x*e+b}kh<#ZF_kt3>y
    zGrBagntY@&>@g2IbzS{xzf1zbolNR)zOi_1L2&q_YdmHPCOl2+|HZrV4OyeeWf;go
    zDNg4Z(1!uMEM{_1QyEPSRW71mq0h9s;eke=v
    z&Qxi39;18;1=y@a6Si>QXHvtSHoyg*XnqJ83G(SQ!}0c^Rpj;0NvtRLj2ZrY2r#IT
    z>v%Z@ZlhU3b6<{Bi)*3eAJ;gL)-&h#KL`U^9b@Yj_MGywU+2HbOLHEJ)PW_U8Xx8A
    zmsjzTL)g2OcK}$?u|{SKNTA&^!f@yp`)$Ep{1t}0BcNq{P=f9mJGD&Q8>kN>_v2P5Vn+>C1N
    zsv+V;l?oJd(r=Q48sM)b3%m_Gj1%fuG2vjMT-dSJqJu>pG}JuJmY9l9bVdk|IYtQe
    zJ_MD(n&Y(MHu(yM!Gp#-3mKuShlgvSoI1e3!5()r)rD*YbFH+D<_@U9FH>QEpxzr9
    z-KX$Nv}AkmbLqR!ilW8<1nO)%Ax;B8e5cO~qptVZ*EB>hFrDN#G}X*(Un&Hbx=Z2%b?kS9q4zw0B9e+Z-xw0dFzy~sIj
    z{3iq`32jomYi2(>Z+?>#i72c4ep{U84O1hkU=Os|Q`cX5`+%rD7;B)7dBLD8$at9K
    zF_L0+7nHu!@)$bWwZD^X_XbpS=a{{ZzsKuWWNzL;7q!QNND-Af0T@h~Yq$RZ*owz8
    zvMu-rvQM&kwT`wU9XYf^K3*1RJN*kfp7|X6#KSM_`0vwM@1X*)KbiX6`N|xoad6~F
    z+twXmZ~=+cbxmh3QV&S>Nv=g2(2k2`!P<`KD^hTJ7kLP8&X$Y}A
    z{hx2{kqF?;=)n6&&ECGvWOZkjWSf^&^#I+<>q)nVh-4(mi^-B+p@ZcPA>v^_Pwtf;BN3lFav|8nmmqJ9g9!tS$X5OyjjM?2Gg{WUK(-J=0nB>mvo@{-!0r
    zHW9DGnwv)bqr|i4{|jg?WW~Nw1g!n6m!mx2
    zbf%CISj*lLwR*iK%-}xw^n$<#_*>qASd$K@RMDj#zV*FAP$_)}fllWzqy#oupmW{V
    z8By_2$?Ii6;SGcgi9q@yXbjF9PHyv7*vup`8_^Q1$K6M=Xn-WCSL1g=#g5Cw(CcO*
    z*Tdx=cqr@1+J)_J5TpGe-gT^Sukx;>H?h*yu`>Hh^`h*lg^eDH>gb4%Lt<^(Rz^I(?HNv)TDr5kj>I2L50nS*
    z?XR3XRmoM?_pNBD40}ls&KE9oa%?`eT)d|y2YZp!6MSpCxUe_Kr4UT0f&;&Z{*#kZ
    zeMYXW3rAAVx+GTrtNaV%h#{aw@u5`T1>|gah^AiR{v2jEgp{%JYd2jrR=kie4^Wt4
    zC-!5@*k_~G2Tg0G%r7^#e)4%8K|;1K)ss$_sxvjxE#)`$yAhAJW7z6OE$e>#iZ9vz
    zdjD+6PT8R4lk|Ohp0BT%*aL15X}wTbx$uJd=S!}qWvM`suUN5?i?Z@gTljr>oob*2
    z@Z-UEyIWT%$Pqu)G&J}4B@sJ*-14sUV}l>gI$8fbU$_-sq3Ch$yo5cA76-ZT68JdBX
    z*KN%y4Q^%v_Om+CyCihV+Y(I-EZ!<7!e75GI^KP0&zveJ&R4Hu4N$?WrX?w;Joz%A
    z_8}|fe_6$`_kXfVwjGvKRS9fVUN~Ps4&E7rYpt@j!2v*;t-HhXHNg%M$Y}rZ(`6w4
    zQT)*`i&K8o9?|)>p2FB#j28c^;tqBxLMk_*Hwo=)=*!>OI{3?U{
    z-u@y@Bj!q3syj2FHGV2=<+;257R6!MqKeSJvIsMed7ZDgQg7}2)L{6RBp5(sMGX2*tDY4*UDgL6u$m_
    z--iTvOiiH!9ScJZS9N5cd<!t($t76s#>4PB}S*it^@53
    z{r<5GP)I~y&0+!aTDNV#HQnx#*ZFKN^d$~`EL)wVV=<{GTL~Juvu*d4L4q590-6me
    zVi=%xGX(nhORWE=(R9YesyWPqI35-Kk=rkyw-+JRJboOnfXj6F1aZXQx0GSk)k}B`!uaNMAs_@(
    zY#zZ~toh3|h3*M)pl88PR$)H8;G5bqn8}Aew638Zefpbn7$-l5mg~iQN=;l*mgOUG
    z_J@H9|92z=0A<5X@KpaNA^op>gyO@tzLpt^_Ib0@1xa|YK3{B>s)=wCdsJSwyE=mG
    zJK5mjF~g;)eI0~v^$pyIEXr=lUlT&LNk&HWb{baEZkUv1%*y`$l`@t1tAK=&Tp0F)
    zIEh|2h4cd`=f6tPfby*07vUfs%x*kX*9E4G;X5$jJ^=ntA|jvSzTY$Z
    znVA8(W0!!^ucht4OFo5O&Bz`1|5u&^vFd56rZ)Q=W?#yiGEE}g$f0nz1T26D^(}`9
    zo2y1$^4$64*K(F`+VFRBZk-|*88M_UKJhQV#r$>mamGCf1K3dv`fPt1<5bUkuk}#a
    zGKKN!+1Z84LGstl>=uyF6hQH#&};|k_YdN@Yac185C3_gQ2*$?Z9>;ImgXOd`t?u4
    z@7Se~GG?u${LRM#6y7gcuh^8v|L4@bM>yAvM6`SDNkHhMc#mlt09yLm1-SFzFPHhs
    ziV+_%m!-9tTljIO*22jj`3)K*fb^bSQ0K3M&Hi8{;;<|z82e=5$&U2wkFv%Vg8mQ@gm&CzJ
    zThZ!9{iP9JjVU0!tS&u0!+2tYs_QtvMe;9Hz%J?>0no_LmO&Ts8RUY`i3UP3z`}A+
    z4Vz5PgI_*&=fd39;kjt5
    zn<=D@|6?9%M|I_5bj&J`=K|s&w`R$Vi#~I*=V1y}d;4)K9TVuh>f;qap8k8%DY|-B
    zoH_p_pU=}eFmJWG0UNmXZRf(DdlDxh&s}O(u*Tz{-Obj{6`Y=MbtnG_tEsw;4NBx~
    zkasbR5OaAGRM+q8M2E9HS)8Ff`kzG=PLHvHSTKO^k9EQ8lVBmxGx(4K^Aa_utjO2k
    zW#C~J7?+rmSIw5%y<4F^A^vfUQqo~IrexG+)VhSOeB&>pWx};AZe-tos;Rt1{UD-E
    zoO@ry%s2FJ-GkAy3{Y+X?ANxR{C>kn{j@c)TY-jduX|l*HPflw_OB3
    z7F-v9yxoGjibB(Vy?xA~m3<;&Q@y9%t6cL&VsYXn
    z*gj@E`kIYP>12^?_!_X>o-C@5C0$N6Dg4<0l77VTYsD5avXZwBi!k4kCuRfGeB3P1
    zB0_$(l=}4#Y{rA?C^Ny8HQBxKz>W{U%-Q84%pxJioI9MT33V?vU{%-KN!|d!ls?kD
    z!#ERwYxsdl*@yqCC!0+=VYSarI2hdrF+TT)Oqlc4(8M#$Zz&gQy$$H)Ki#W-g_{pN
    zYVBgSN@n8SW2Ri0u&A?&xeuPQ2TN&`@xuLoSd6^98#B%V+vQm7?XWo%r0HzV358G!
    zX-W{~uD@k3fnW8lj8V*kTK%jFbFIDkmlywv5gm6WR%*RqYD+Gv2YKU<7wBp)QQD?*
    z3%1qWvzHd*U~w0wm+*p?D#OyxMpo{M1%z%F
    zenVBN{B`Efq;^x{f7*S}Qo$DpTD-sw+9Kl!fZD%_171wum=D^WTby`ZIOs5@_lIJZz?a9nb8c
    zp5SCl35$!lFM#0*6wO1*xbM^k?ZQq@bpvsU3|-ZQV0KqF@lm~UYi$-7^2txWw=p>R
    zi?PN;)=)ECfX#UkCjJ61m=}~igE^nZqJ77|hVlK9Kn2YQ!6e)Iid9s7e-e7YZ!UJpyVd+=8I+bXRu(bG
    zu#@U{7!aCw;>h$~T+h&29jupViCP0Qi5jt~s{-cjE1#L82y&~+KyEBh%-8SZ9f-_g
    z#KT)zZfjqJUF;3g`OUyyhSemR=zc0}4RYSkI41AKy3f^6oDk3WZmZXX#JdxSQDFCZ
    z18$qI((BDoBAkMs1*%JOS>EI^NLq~gwUtVVA34Je<&dT<`4WbnDN?dVg07I8$I6F6
    z5zJ)9D}J9Irx*tt;){qVv{zD(qS-Lr;C^x+Y1mgVmcxWjnK!QtV2Hyf#@==mlE2D4
    zYz+c4)TTTcyL=7f%_NBuu%rN=QE3IRn3h!~@eTk&ATs)&g>0PoTl-h?R2F!mz=FZs
    z;0K09eMj?4d1Q_L)pcC^pFyY4TcvuH#O3#milqqLDo6IGQ-X`Q_IK@fj-N@y@^Z@`
    zxGj}>tj<@I#I1>^G(C5(MWc>`9bKL*zrV@P6#g7F$Gz!3lt=oe(7C;Y!rRr|~2CZ%t)?}@qRv>#ErP)#kOu8zPZ#6m2A2AI!iJt6MoUVuE
    z1WnidViYxNxfb`m-CI*8MVA$mOzRKTXNgW*Q@ygF6B}ny-$;Q4f2Vm{Gh3OYN!*o|
    zhU!pT=r8m^7tX3REp41nB3GEKBBK$FAv7D+(>gs{%d^bC-il`P-NBUa4I22Gdv;{&
    z%ZaK
    zCJ@g?U;E)B#6}hUfefSGA+0!aNI8BhGPSK$anVkP9|%wW)-5rh>arV`+{ow(nHA|&T8ElBDCCN%8$vp_Rc`FA~sCPz!MO`?;mds!_88G~x
    zE?%yWL@5XkM(3W8r#W@2q`;r?6lMewoGu6DcUCD5EhHPeP|RUwk3lLvUV;9*h{|bZ
    zN8|tR)<>j?=pS^eHID5VYbA_^0ZC{O<_Is9ELvno}308^vfxv
    zcdFRpESF-!zP_5^p|H7VSym&;3?A7e#-Sp(KAFtu+irriV5W@VO~KTx@XH(Y*z%Ci
    zbsmRGR20dkYOG(Nx2pp*`Csk4U1F0=fmkI41(_NMGj>rWF|{DZ#Z(9Lr&+pL2;V471Qyhua){oFfUeERZE{B0zidj0
    z1qDe{m4-MLZIy=dw-A3p)Q#w;kLJ9Au=4W7$?}S(i;YErPUoS^yCp?XMEtMYrc<5<
    zR2#K<5+VlAFhBeTpEZpb>%?}SVGeotx5o)Ua+J|9i|ZdIbzpM_Rblk-WE8q1ipY?`{h4{dpuD}ST!Te3k=
    z+L^yU5Ip>FqxHeP%9rIfJW31f{Mm1!yQ`oFc=l2NQ~eAT0*N%s-M|>^0qRf4&qaaM
    zIJZ>7!wxFBOrAfQFIholCu+JO0Uy|MW6Ez5k<-XTYA~fN)k4hkcFNJ7`6#j(9b!r;
    zD+`0X6d+w&D3&Jz`lghzcik_M73m*AL$E-TH
    zcI}*x*DY>}tH}qcvw4IkDddE;$_j2pdW*UKwpW-<=XP?@``zsHFF>^zXT5)G1O`mo
    zaW9*VZkjNpD2<#9=8oEerPZvt`)bh4EswXyk)_ztytThRuO3-Jgem_rcRgiN?Hh=C
    z80%-E0wLO7%*{EU#VgEK<(D$1M6l+Ol3x>>LzEypKJkviB)e1<7W%wk>n;B}9c&?{hA(m7!4ZVmadJuLxG~~&jgFH~sIX2k)gQK_C7*xUkmTupi
    zJG-hG7jDuo!bruPb2&L~kp7?L19Qn9#Jk*yztth#h#U8;b7y@XdV(U7Cw^T?H9;pE
    zTqi1?)8zl-3DAr3w6m}YKRqIi>uz-&zOO+}ang61)g$?OGBqK_a!O(7HmFeeAGqvU
    z(|=1tXInda+`punrT`r3ij^kPwni-YHkLF|fx@UQoiTX0!ui(l(mQc$HX`xHtMNxS
    zsRdw?qH}(cM_(R);{$E8Hv7Zyl{Q+qJOWyraqi%vCNt#&RwCedYg=;?lf&iWfH`D&
    z9c*qCVM*n3wIb*SNg@fy{=80(P6{$L3*gV&FGfoMNif&0JM*l$t@q$&ENB#%k+
    zF16#%(&kfi)A^wM@RBs<%i{>znyUPr7*35eiPbavo7WvVj#Oqmq{j3DhJkpq>jz5{
    zlb<{H)U2GIvOm8l?R&5U6CdGI?yA6YB1E)O`5gpRG+Ji<$>XRu$*Y2*Tu5Pu5}Z<6
    z%?7RBNS?w#j+}{uKBu5iG7rKwUwLDd6nRL~Q6|)R5jWMo=oqY&AJ?M^AD7>5yb6MS
    znr7(C9{4xiYyj&L|G$;Y#RUut#t2i`b#`EE-_BDiaXQKz?|sz09GGeSB{lTt5nBT*
    z=3CL*W3!oP9w^D?C<(XAU~pderrp@+_-uzZOf+n!Fr?-rI|m&7l;e;6oPI$hfEK($D5b$j67sorDFw0-@VKOvwWLsZDS=c=I}eDch>E
    zd>-S7UBRCHv3OE!b&l@O5Rm_zb(~i|cpi~Zw9;kVS6+UeShLOy6Z=_>vqqw6L;$_q>FAECSuj2ZyshxD#T?pfb`c
    zVUIvaK)w0a)0mNKGfFY0q-IH8t}7vEHvAIWX@Ex>=Gh=wDWi9yIb5XTUdqgq_g7uU
    z%AT7Q!C-&mK<^4r}mVh5Ys^<;dPrPez}(}l3rM_da|#ugK&QL7b;t8uQ#iL>Q$4jt}j
    z%zO0og*R%#L6+C{Ps{IRsSih>sSy4C3E|C6GK``p+LVW;p_<0lI?vuXCRzRt3G%Eip@Q3AS7r__jYIqKv7
    zNz9Oy_nL2)_kw|OkMjUWNJdW05~iqS39R8rUS3AkqI&o{i<%=~IT
    z@mths0CWIGkQ33ozQj3+@ty73RfAe_DF@9y=;`mU8O%Gwt?nM8kuRzhx}yc7T@RWp
    zqLBdC3MRpdp8SH*Hflb@l16nSny*1M=$`Fqj02^K(?d)KtN3e&MJ&%8HNL<59s+Y8
    zo0-d>cuQ3ImOSrpc1vKv9Okg_Z$A+HHl-U|Rip5ywG#Hn+(1~q^g~~RKElM`$%tr<
    z*>}7dx&`(e^%^d*BnW3;-v8lPn9Z2f3C2)lZ+QA4-!W;KIh9266KyJeF*7T*qdPK^km
    z__c%iYNO-cnDaa!*J25A3v|78V|g-)G!rnEa=W;F5QoF
    zf7|5d`O)|ivl^CkeAB#AAxIh%8eX2H;fNPWfmQhz!$o-#KT+ZP$2f~Q*s+}76f{nS
    z(nKW2&E|rDs``k6a(}^AtG~4uRZqBOeLZ-}s}KE5)BeIr>L6Qg$9C{sTF0NVJ{kME
    zvX$)6TMiTXS-asLmj+F)9Ojm#k)By^vSs<)QKT$wTn|OV&JHk#a!Nbnw7vHc1$i@=
    ze};P?_kzLUbQI?9_vG@NZMnz7VKXK<@%L1D+7fuKzms{AVAxC{jsB|F|M9l1n1=h>
    z?!hn^BnJD4_Qldc=mo1q&SWI*FB#z@qf-USYZof^_sX;O+u>0RLVZQ#Tl1cYX34Csf7onodKrld)xK$gq<(r3H+#q@|)}k*kxs8#qE2AMxDoD}yh6T2i2Z
    zUx(RIX#aISPD4RoyS%Uj
    zblcgrs06XT155WS>1An8CXTL1D!CEvo7c#?_P}X3T@GVv0P0e3(swdCaTdM30d>=O
    zLD3f!nFV)uB`?fiC`5Nzc8YILOjRZ_N!gz-?K6sz;c(}b_!uY-iTth-6
    zeICm}auabHp&=#M1A#Xp&{IHjpP|Mj+v(i&xCb86dk&5%LD*qywr1}~z6icI*izh1
    zE{@=VJNOz?^}}*TbKC5>*xTE5h`oqT@N|TGUuziga;kvmv8`(=_BQ%(+$IPO7UpGj
    zzMl5&ZY+6SIIf0`dM_Se0*daEx{Dq;K1TM@@>Rb#s9c98{LnH4s;u(1%>@ydJz}7=
    z%um{Z-q%uWVU^1_ggL)$jn^I#E#RQXqI%=^P53N^{l`A)!M@=%1{3~_eAFEqbf4K|
    z;|GQ_|AOe#QPKcet7_A&L<#O|&RuGkMlNhg;yuDf)~4Rf972nS)|(s1^N~`f^6Vwi
    zo;>r-Y+Go!9a#%~OK^2rz@I8+&4cc;VRvsN-m*R`IO0|1Xass%F81UJW|t=G;oT)*
    zWOqz^ipZC^G_@|h>Mc)WD-=*C*Q%0QLQ)tlpD?8ComNDbq=
    z2r)!zk0>}pDn*-PKAM-OzgdGPt{-@A<72^HhkKo5c+^qK
    zR$%`GNj)JaqzcnnZPZ$hxaGM+uLya)HWVPE4FGvqwf_+SrgKG|3t6qfg
    z{s*QNoKJeSn@(PVD>u2i<@WeYTkY9K2zunCTX?&!yMW;Nsiv_J#JYCvj#K6eKS|FE
    z)d!~(j16YTBu*O2=QP!nDihxy8;`m!>iPz5xV!I8e4kmHs7gO@L|kW8JrAzPc!Jo_h(q)LAL%u)l!K=Q`AOn8pOmBQ}WJ2J;ZVy~|;0)JLZqNgCUGTX&vN
    zJqmw5YUpu-1*)WsVx!vj(~~W&um~=%G(G!l(zn;jV8rjM)c7?^YZ(+E)r)w468Kr{
    zNsxICQ!mKQyM*px#ksS{)9lK>V4J<5Wu!M}0v)Wg%-SD+^!f3p<*LKqWJ@*^_qgm4
    z*ZnWNnvOfr*v?}j0)8Z;8RJyfTqAaam{{`a;5?FQUIM?{=73c)PCe8N3B=Di{pL9CQNp4$S1l8rfjl-7cb
    z=lvoRIew|H4z9&)E8|Y4LV}-Fh-~xHdnHzuRud3kTPO{I2^T+|l8@{QX@R9REuFbj
    zvp$9ejFIcmAH12!2b!Z0gWt3R$#J1jmw2vq^#m2?%b?>%_&{oqJ}Rm#?Q2VXnt{B@
    zrj_6E6-sh_Ur5$_j@8nD^Uw@tB!)M_Iek=IpBjH{^D>urrN*<@Ti6jl
    zGCIZ4w2Uqnm^`2ewPa(mIyLH(J}~X$0Tm$Bw>FWO`W&gDMvV|)u}5gEjy;qGU(#?P
    zxIj3fajo+6o9jiK$7p)tfx)IKlj8l$FIABK&AhKL6_j?6*nb2mtr-F9Uh=KG2*R=r
    ze^{>IS=i%tDxWngDn^COhNjfx4
    z{qNi2`MVNXRYxtu>2a%c>B!$or8%|K04gj&zuM9MyL|fL`~|b$jMmrLp-Vw%D~^Es
    zGFM~kUdpwu$k`#NU$3FqIJ_!C7{8qK>v3Ck%TWghp=Dl!fM3*W>qlu}E96zpjzt}N
    zj^ICnv&EFBU5_tI<8-vdH7Fr9aJS=Np3|6Vo^?g5ijMOT-7Ac!;GZI;ss5nYZ$aWCSx8K380mnZ}r0v$TY7Btcmp$n!)}N~kp&
    zlu9o+kqBJC?@rrN4)u01(>2Isq!3RE)cy;meCMZ3XS+qjr|T!HDx*(}CTK_=c@A}d
    zgazDoL_oWN(AClK&bZtSnTey4((_hk!q&z);DjF~L1)8&UY)Npe^y%MmN&@5-%Jd?
    zTg|YsBixCk{<}cgW*;h^y*IT1szC7?Lc)aGjBz#7%a}3ojaAc6D}LLI=MQDWUW_F!
    zvvZyew;KfTB|D9fI=@%dMOE9-Fu(q4i_ziw=8-QY&MVCG%-i-aSKJxu
    z7?%z0>3Yo=*D!53emOmmJcb|YGw+6z1gswS8`6+{;=wn%S)J_bY!(+kOwIV*bMPn&
    zk~6BzbGhpd<{hS%g3p()k^x^Df0c+tMN7Yn{9-mhODq>rQwCGH%rtoQYgS%p?Z)oB
    z>S!z6fx075N$|Tx{`lODMozz?fHl!RbR~3qGN)C~&x`x62ie!jy05S=8mYM@mHS9)
    zkI93o1;%|#jY=Z5-u&7PGYfJN5`oV%`^dggiqW{GqdN!BRV`!BqmY)XqWBj_{
    zxD7AMK%42tt?hNGs~LyO0aX%LpcfJRg|&ne1*lQa6n|!%=Vm4zEO11@xRrk*ZoGrV
    zt#l)Lhp)93`4BG;EgV2$MZdjCa6e`1ag{reck^eo$??WfN%gmLXZe^IX5S^|p%=DZ
    zu36WwrPg=bCI?mT9cwn89<>{H&eop@oq?a1$;J)23RiXYA>i`(=qM;nyK{r!9e(Q9
    zJQp*0NUmtAt&ips_GJ%~8YT)!
    z$XR8xMi=-fmL(G96d+1I4+EMC(mz==m3Q#{Itl|?^cH5Ip8VMy-_F(k^9njN-=Ky`
    zI)5BKYs_@`J2mXdOXi#x?`Ee0|1YxMGODWg>l&s*K#*2Sy5S%tEz)s7L8QAmgmklM
    zeuQ+lq@Z+ncY|=~Zlt@P?f-tiyQ^2cNI^jTD(dKi_@78Pc#v*Qg7^th>N3q(t_cPGE4s0k`cy0gB!ub>Ut
    zE5i{6B^2zTT0(+OQ$Nt%N92tDbfe)y050D*SqSJE(E(2Jzm#zlzyC2`ex6XaJ!iOE
    zeW1M-{mW?+Hh0CK;Z>uDTZs1!T0puSf{n`)MZjE(6YIiJAYCb?lx~G2pcZSRo&4VP
    zhu+wqK1H#ODMrs@{rf{!xAf^N^~49zX4Apdec`q14OHNbOTv>>!yLh`@+sKQGG#ihSXAyfL$#BPjAxhTzi;PlfgU0w!c~pyfEk|
    ze`L!g2bK9yoe0un+ag>mtI;ODZ1Bfh-&;|L^Y3vZtzeltn@Gkv6MmvmHGatPvv9^D
    zeyL1wIea@y_HtiUm|Ty(Iz?HQ_g4(@vcZZda)Up9K_h>a(|`l
    zdN37ah_sLH7&h7Y;UHiIt*(VWcWCP20IpNpRQEv{JD5hwdgbYdEtb>CZ7ue?;tdgxU2pqm?fqP;bBqw-%8;jPc#~$wWwH0f>-22ITOOlsc3qjw>
    z{|yoG7+x#XIy;uvT&SaRI#+h75U*e|2DslToO~#Bk2wJaR26(K0EqBp{w=q%_qV6D
    zvIN!klibv;WHvef+eX~H4@$2XolD0>>H
    zF76D%*tK2fJ^N%@-fwlXK2IP>y_CPqt@MqhmdPXIz!775-r~%<*_ui_^tjs_abeeV
    zxOSdf`OdHBG~xby0A#~k*Ku9%vvi)%%bqT#(Vu{hnkgT^%GGb_BBSN^8Zxf%Tg%pR
    z(;v~cEqU3J3fv!Zy9^7?=RIvxDNQ!_qfPK
    z!29mzojL2U8qV3uM7o85{B-*)T%wPSM5TYtpK1H?<;;mgyKuvnNz~f2Z8zz~D>Rrf
    zAiCI%ntKQIpm|phMo7QrHsfo&MyFa9d8XQNY>lgN-k!Ag(SJKmYnXsy)tL){Mp_$VgjXOHT_h^d9r#LDv~SM
    z^QA9U?D;`UnsMZ{846a>8Ky#`R+S{GA5p>~IBi)i`v#Gjr#(}7wn$IYiQpC>Vr
    zJG$4#(JA46wJQ2t4BEMzT-tMRvhtb-Zt?xD!9q
    z17iSh1wSOfJXGY*!|iju(hxBO@(>AyG_uW&`p_6BHwP{vF(}lcvwW;H@%*4yDe_6R
    z@&%e-hP^Z6A)D#QAYvD~v>L>IU*bJaYLU&CbBrC)DKT9s;S|9+fJfyjf;9c
    z)6{pPA{rYjo-Bx?QP+-O33lH9u02T%q(1{%PBdBrRS_^JBw1CJ%e0{Wk*Up4ohhei
    zF$qer&`4EmEVBL;hx)o~SA`|_!}e)maG0r*io7O9(d@*RH_aBGjNHJH@^`|}&4cjG
    zPj*lE@I0cpJDr?RhD=x`r6#@RM5c?rbQj#ZL{o3>qc+J+1*X~bpt_sD+#5l%Pcs0?
    znF54ddt8PzIzEPg+{W5jTAM%(H^59}>msPaTlR<{ue%|jK4FMg7r4}iEU!Wmdb*V6
    z#ZS{Eq!ho=aS2$j4bw6(_I@ypH+Cx*?nRLy>)Lh4=5yX%BnX&AsIpn$V_EUO88+7K
    zXYTwq)SEz2^D=METElL|)*EJpZ}vaXeRIaXDGvwfB3nt*e(wVFA~BBVtfDYy5`kn3bsH}GJg^(f+GVQ`-w9@7%{5mF3Ep5=Ia;V~d&akh#j=IIk
    z((=_;7OuN%v>e<;kqF{)0Ux8t%sTFuPx+tASH#lYs&%grMZ6ro{W~%Qa>sS9b6hb}
    z8vba_3t|&Ro|tI#iqzdzK8&@JreUl&Ft8YxhSnrdo$Xs^>Ms@?1U=snt+=E=e)7r6aO5IK_+{X>
    z-AB0bwmpeeD8zrRH8Y=cGv4s&evU-{hN$G?lpmcu?tC3f+x0)BsoQ4?gTFUj2g+?L
    z?&OWiihUUUhG{>HfiHB7=*CfcO|52JE)TEyOXVi~w{PBX{w+6=aa>;;fF8p;4hI!p
    z?uSz2w+la~nIj1Z`+bPeM9}$m1ap7rGR&-Lb(Y6T*%`C01#
    zg^rZ?rD1Vi4GlK#J7!ZUOB;ru7rDHR-9iDa;04{X8pYmnH~7w>*grRKlYAuE_+P`{
    z02FLure3G!MEa|##<-HWICo&7EK{7yP*zhIBAjLoRBhUk(l4-;WblC(NenY3c*%0a
    zSWs_B<<5cx5PicS}5d?eQNt-{JpFOWHIZhZw2E=glM!+jlLHGry+si%QBA
    zOy1S7Dk}NTl^;>_XtvEr*YOC|4m&^@LTWSo#9FHzOE9@2UJV>j>PKEWrH~8FgXL=A
    z_AHh1EkZloD_lP0-9`-GZ@@$b!HF$8e6HEv*=#?Oiz~^{$+{wUB$1KQ(_teF7$Hjx
    zkbFz(#%}o6;{2fG;Q4W7=3#$NP1)wC{y20b!|pR`av@Ohl)}!MHU9vq2xRJVqOuwF
    zG&P>+8?}$4dd&dGA@zz45=RRg3
    z`3F?b?zSMBn5iSeoiI~2(q}z)A!~j&wz;{b8JoTp!#4MHUpDh}-zE|a026XgxZ6gV
    zw>`$cUn20?8Bl1Nfi7JErfjWKlbeff&p|rItEsh6|MV*Bf!$PYRB>PXT9)t8JQfH}
    z@g|&_oDaVI<%QrD0nF^aV(DHO)dJQF__>r^Ul8mHLf7;aF_$Jo+`^?YQR=|=(!qR$~75W5@@kW9G;L4lTR
    zXh|$8nu*^`D8t3?PTn~rjE(B`AGsDP-MlvQ)oYnewo^6MdrMsKKOKwSO{GY~z4u4A
    zYb~xTqX4SI+@z<2dmjDu<4vn4;KbSQ`GO0V<8k0I{1~Cv`FTA{vM=kU`Q>V56AA5p
    zCe=g{1TpHO{ZZ`TXS`*NNk}?^<8y3QYA0Xg-&uSIXm!iZ(Sj2yX(XRTz1yksk&7A6
    zFo@g@QPyiO7y6bNmtsZ@-Oo~!oR5d05B~|~0V3Yh2*ry$oJYn$VY=*;owa@`v@p|2tJd(!0+_o9n
    zbFKO2_J<)7CAi|@JF!@S+N)lpE@^XS*40#u&&S>h-c`brqC#ZG8!c2uy=kzsWqk+z
    zbZa4ypfWVVIB_^GJsjE7~;1v
    z+$s|?2Plk6zs02Q3gL6`=gN`Ec}v*LZIzkU-M0`xq`XMVfPuGrIu(HN8vLtgGWLl!uzuIs;kE!qQ
    zO$R9d^FdwPV~HJ7QkD%e1)_9Piw!b~h`z7;@Xz`JcyrS^6Gb062Oqw**26LHUVwm5
    zy!UkzKOVxQ*HYtXnZ&`W8PySR+{Ew1R^IigA(`rV7d`2fEix%6dUH@#f3%Rap`T+q
    zJs4tKYI(ZeG<*-oM^GO#_-CCgSQNw-VTy`Zw{XQ8kN0Zog>QU=`
    zA)gT2&Hwy!z0)3kbD3NhP
    zX7zwenDZ3?Yy(7JLlLw%qw0hx;mw^G!$w@wWBrCR!((pXV2V21&eNvpt`j7f+-#rBaAmV*b?yrV3e5
    z8kFGV)9qBjfwtURxc$+0{$V<~aJne8SJL&V
    zQ0>`+u}G6f0Y(kK=%VsjiEV@8MZI<`-IJjhF^>cOU5q^$u{)nWq>Rm#3SYxU0$`8k
    zOuFwr<#mM#$9Ajd@x}lA>k{xR;+8eN5(^|+gLmn6EXizn?CbL{POgYpo5UHV+(~6%
    zx%b}|YXu&r_%W5Q{k$=EJY_+9N-!C*vwjJo0-#S)^96K_H=l|ws|G=?{hif-QHCdL
    zK^i`M6DJ`P_J-O9>|aoY0Y&L-kdIi{|5+GGf`*IGdeO3#Qg(XmnpE=StC{^DOsmFW
    z%N}IfG`myX^grNceCrG$VHacs{ZomS`@?D9DEfH}ZiYt0K%m!2V3gNQH$l|>dXA%G
    z7EExBHbW1=>mqNX?WV_T*dLWK(_CuUC57ZC3W)
    zkIOZ|Uw>{LWbh4E~17zb%7bc;dzuY^{=|Z`;`_sRSO83$o
    zM^o)>&HQwR+a8pLg3fnC)wL0lfOiUEXkw=iGlYy
    zQtW6pDa{_48VI0Td`CvjNKOL&(T&H;o?d}ESp$2b@h#7-_eVX!W>(F><0ZUTc=Az!
    zm{a3hXHlU`Gcd?}&e^aD;|eaXXt0}ljtv(|_Jz@yIxjZT&T_Qg=sR#_*dJoVa&G6f
    z1_&07xz9`*5A!;!?4I^%g=t&_DZR+(iQtFFTZ+ad2|0jT@R@1WWABaq3djZoNX|o*
    zy%f)50@LXSqG5vP+|S(?bs#BdtY;M!3n5l4$*}vCrq=z#j@W|cgyP)G(d30EZy`9|+?
    zk}$NhRpNRYDASv*XpcmtQOH}f<8sEgWc+BxmJ)t@RabiTe|GP-;kPnL9c=7Dg
    z!(ru6>#{S`f}g+@Rn+>2L7&*JZPXorTJXtfGySR}4kU4eQ3hd(T8V93pca}S`~g~5
    z{a*_pZf{UMCp$gO_9+cVvV)!i6H@3B9ObPP4vBb$BX$}(Ws)@|>JmHf`W^g>B+o1M
    zOxWaT-%C^SdO?n~*GqCyqK$${uy?Gc#Ql9bZXHP{B;`tPy{*v*zClUS0lmI^#<9Ai
    zUDoZ?QK4fj6nu1)0CrsuV3-&DXRin0y_wlkhDEZkOyl25g=mAA;Ca+!1w^Mn$U{_y
    z+i{C4=$5yVbi=NzerEQ7xjFH&+s363gz=pV=+mRCvMT*7^6^UtESFy|9o7M001zat
    zQc0viim->)t@w~FC)I$FfXP!V`K5q?szXO;-OANtvdq8vX#qM1;40)}-V~YL&DRKO
    z3R9Cy;cz_g#t|gPDQDKvzEx)H{15@N+R1MXFq_I%@LsYk>FP~)>$K0!!+k2EyI_X5Qs^z_qr;ynrRMPqFsdIi;4-tt-(4|1B?KQbWYrZa^_g|
    zNKd-+j2P?=i{KjoH3yEkWoNl+gfIoRQ?ut>QTRed#7dkejcb24{2_6GlIY!RMP4_l
    z?3#RoTG)mTNhi?{oHP_*aXw5&ZqCU44c=3#4d-ZzkZkOl=N{nO%;mV>rl&aGh#&sc
    zAKFk5&iUjKGWmD&YV=JE{bV$(@#*g1MD+Ftfh?98M7|av#PjUJftzAM8SS`vHoJAE
    zzVquGJCFYJ--oi^bAK}BqN>K&3{ieIP%Zm3RYm2GYbt`x=;nu-g{A401+1J@
    zzbG{;0gtW6s8X%7+5LNXspcziJKtB)X1D3Vd-XD{v)y9!Gp;m#k+YK)R_@Qg)J0C*
    z7|gHQYXW3EEP7g^gsW#g*gA#l|CSOB9gDvaDRsZ-*u9xrOQrO&80cc%Jra$=j1*&~
    zYIu%`oDcu3?SM4ApMd*!Jmm-bKqMbEyxL=01t930Z9u{{mh6&IXXhppZG59JY7jiY
    zi;5j!MogBVPH|>s4`l?7oIc}OM3zx`THi*PbB|=X(d^W9Q0YFNQ;7ScCT<$d2wz42
    zW(S3vMDBLOLZ}+cj8E92qd{-YsxDgpu;Yq6Wc}2>4LtyJnGxeCCnV-@xx06Y*QYx`
    zb05`?r*4(^meGvpD8GOiP2lQE{po2kOsmc%p_fDPdctfi7zf596nOiPlc+(4UuaUnzN*=p^1XPHBaFpL<1JMcV3Zw!EVdE^*@NPSWrkGTKVD
    z!>b-!jYp&Qrhr?m^*kIujPLm`m9gwOyZ;KXwP7WP4)*-k
    zYKY%k35~Xvdk21YiQc0@-#xdLE50XK*)`sY?<_u4UnS?a4&QfwNW24M?kO)W>n*o9
    z_W3o{E=v1*@)da>GV&4c^}$$^e%=zz^YU%pTy90zN?l2sj2aij?NeUXs!c%O?3a>J
    zR6_x{&WuUn>3Y^b?KAymG`P_0YJy@RKq(CJ&$Zh;3V!{MP0Y#c2pRP5mXCM5dOK{U
    zK{|2yt<$zED!;Fbp;ql9R05
    zLDlJltCb)+Md4~vU8$VvzrAE=d@TA+M<2P>hk4gfHDi%lBeP(|{_an=JH`Tta6)4+
    z2DMv*rQCLErst8sO49#IxaoH5g;X1);>CVIA5pMzr(mxLmzX|
    z?c_yG{a2~6^pU~?v6@j8mD+iGl7VEI7Z4PQ2+YYCum~$cW8l1`AnYGd&;%F2MJ++V
    zRu+#5JjrRNfkBCQ^My*>sjQwO{iH8!u!l#n_9B6PAsRNQkVnlRE5pFJnrZ|V=cvhp
    zMVy(p%My;Jhr&1zY}v8X$SFN1YQx(mhVM_D<0l8F~O%a
    z+hSz(N*MjVO7r(LHO(VUm-E4^z3*A&IR>b7#MAV!J%=AurDdCkJ4(6g4+-3QUIm;x
    z#HO=Fv*BqQU;vT?8S~`IpmvqNlcbf~gSb|>4#BUJ=Bf~ayfb<9fA&D^a7h
    zw4W1p0gR;s*gfuuD)h$|Rnb(;?-Z}>>d(2re5+W8;M7;u3J_))BPLphXq*Iz?Adnp
    zZrBvG3ot2>B6Vvm8}19E*+TAH3LHSM%HjZh2wsK)brk+WwoM!TG`KH+E;~YJP=8>M
    z5nGf>S}ikXB%XZ6i91}}O#LeCv{~%zsi{O6U1nSX2IM8MPbaSv$M_k2gm=xUd5oSU
    zuTxUv?fuIoR2-mkKqDsUcwtv|^iK4@LX-QgRQa3yMQ!l0*HfkY?dib*X1@$NMyz;k
    zgZJR`3(jhS_OkT
    zqi^LZ`h!QQ9OVy$F@vmVzQuZvl-A*p%$(TY%O1_UIb(lez!tXde3=h;kK41~>`WL(8pb!KrE)rPkF}Q(mg*pCpW-Fn@Nc
    zTSutJN3#zDZF<&uU%M)N6U}yCG3Bl~X;mccgNBjtrJ^$Dop;B-+^V1ziNP-pwV)kI
    z@Yr$jT)A;yn_b*Hjv9Pj!1PC|KK_TF83E?scTzR19NQ#h9>+6bDt|RUg-!vh)%8p{7k80^I7^#huHhl{9Fl#EB0`X!C=0?g_;{qweh$PzOG0I3u>@1+>>sK#3X(
    z!qQbRv7=7D*@gRnEUNWXKnuH&jz!bpfjzs+=L3_aH|9QBeKvrpN;&L*`g4#-0T
    z712yKq)2e?J8Iuk=cUGId|2$)n1HI)7vQ56m1%o9*GV=*gT#|K=
    z-FM>9Ht3fmC{X(ML=O>Dwtnr|nbYaHIOf`s)elp8R^7b$E3nE2?uQjvCv!N*!Gj0B
    z((7@~KD0Ifo=2%JTs=;?>gQO#cAg4`xg?qWBv=?Q!E`O;G%W5T1nVkj>$&f4AJ25F
    zW{asW04e8+(yfB%YuV}6rLQ|-|2yzTkRm&B|6l;eOV4a1RmS>{@-3R4*`_*mI~p=kiUktE=&Mm7dn%0l8%S3*uwW4t2g7r&NI
    z{d6_@+~-X&4DG0Y;9$#;t?Z$yXV}$(x9+tSxY_t_5a$>#zWYYFQI_v__y)V0cWh5?m1V~eSEVs70Nj*X5
    z&aGG)3j7=&{N+F6k6+3>lP)9bPsLv3kLi!1K*M+==ESuPwxdY4pVOO!`m#uoWxk*i
    zJP@mvraNFm*H_SRH%Wszvr}vi(=4`f8e(*1s`4}AH~;b
    zM^#@P8Gahs;`#~(#oX{%o6%hl29=1fsB!cQODuE?@*w=(91!(T&org}0POyYMq5Vl
    zkr5S`{&Wi7+--Y#yp42DRUy2JOBGx2QHDRHxAgjydD;gVn;s%VPP^Pj?jkW
    zBa^0|l)dM&Ckx}^735L>{{E?qXytG&@M*}VGG-!26KKJRy6&sUt6|`^%Wz2iaQcHr
    zAE-W@lFrn$RJrKuj>v$w9>&;_?hF({=qJGS}H4F>JD)>d&-(==2R5h^Va@*nQ>07o|*wbKwJYTJ{?q4W3`
    zJ-i+7#JPy2?xH}^`Q;k?OGHs0zQMMmzGt@Tti5(7C08>|TAB@hA@p^{r4$Y-%eb0UcU(oj8LkPA-g
    z6uTLINbct4#a#Nsr^`-C(`N}ntS2(jcs>a
    zjP(p3Y3!kHVoxmvfSVp8xW5N_$3giV6Ych2faFS)F%id|RXJo&$Vmh2{CIkaad?N6
    zS{y1}PTBayOhLivB%)A;-1j%~APoqu`zqqA^?GW75NH0x_i-fSk35Ec-MpwWzARL^
    z>fm`;Wx~$5>A_C}$J!ik8yMUIEo_oc
    zu;ZdH9Vmkr2^X?Kv>L%lw85euIB75UlGb-`O&jN1mBx5455kF0Lt(`fbw!4iA3{-k
    zLo7ZH)Pi0c@chuM5E!>ct9#&2D@TAm_8|LGL1U4GR}C^IymYI)r9%#cZTePZrIh^V
    zr#c8s%YdV4FVG&tH)mxwC6(lKaCQ=RQXlLBcC3y7vSte>BCfkvOW|VAjX9u)(t9`@
    z#ywn*p#hg@4o?;;Ez{POA;jIoq)tBH!DYS3^#f1Eq|)wx!N0uVCMQX{PyvURVzx=g
    z3&;v~Lp%O9kWT)$8E+u`tV&m?j~y9_i~x8yr_(69?hhqRWmLL^-RGDQbCik*-7q5^nJHtHSo!kz+rGlwhcDbu
    zW4yW#w_YQfN4g(5y1&TQqPq>>UH&reI~Ceo8sOXEZxPv^9aSFQGLE-q9;d70%m;GW
    zN~XIdE!kxv`)=$ilk#?*V3FDefljK<;NVW`4RwLf?Tgg*PYItiYIH%n_wk;fX?+3b
    zIsU8rUkVmy^Xee0Se@0OUJXUY8wRZ5S6C556M-X#Y}q^Km$0#?zDFc@_j5=jRFq8N
    zN~)tbLTU{-z80k5ci@TwF>!(}Af%HQaw;?M$E`Hf=HVMe!?$%Eh?F=^?*H3$fRoh9
    z-fN&{*!vx(@{s7F!L+pO1s;pMXp2fQ24A%$l#Z{ld72ep;^xG(X1@a~@Ym9?ixcw}kKxA_25>4ScPLgH7wb!(1$>o%P@
    zc8#qDAi9Y+@sKHj{4
    z0YP9fA|^k7Gq47nKWdDEv6$4H*zl$3Z)5T@Tnfz*pO8Z%M97)sn{Uuf971EK^W~Ny
    z0_`hF!}C_l(OlX$6ygq>t%28mh-m8b<80C8D&Xoz#3fCAKk{@72YHC*;Qp>Eg@mgc
    z-R{ulc*el1-on<~kYmKR?H_t>s;^ehbd9l>bcdY!f=y;EX$$d
    z?(y{ro4Llg0StV$Lmyzo9tTg0~l
    zpS7^K{sNK0V1XHV7^(O&A<`TJiQb%f@Y?VX=IQzMownb_pSYOss-G0jH5NypyD&c^&)-sZ@
    zERUh%!l4D#0w7~
    zBuQWYCvLK1TVq;BbZ$vTk&Pfa>33Pu#NzGb$EZVV22BZYOTk>JYHnHacQSaOtr^E}
    z6XIy|%M$dtVvO9XVCtBz&|~mpz%DdHuYt`9e9bOJq)Ju^2OBYZ0ghQnKuwXb&F%&T
    zUP<45`j5*ZNc8xAMKL;(+^q+ptDXDU^*e>?Cs2`+aBD&j5#IfBhHyit_Zt${EPD$+
    zf`N(Om{*Z8;!=&j;j-tSh?<2?!hG7!g2GWIKJwv?bCBvWG^4H=cw)5-NAr2V6Lf~K
    z;o4Yh4oLbFcER!(EPaNSzA*?TFih$K9jlKH*u?$c@f3J9Cyx~KgOkg2#p0|mg5SR>
    zDJ#iYM;Sn?MV8lu%Svv3-W)kUn*Y)WRs
    z5{pdMnQetqW{2+74ZPF&+^p>z{H;7`Pjd=g)ZmtRMN(zNXtHb(KR{dk2fPcWBsvjT)-)FGyJf
    z{_jfN2>w(-_IYj#U%teN)={B*QXy*<2=w7yNySa4l3m&lc`s8r0n%(f2tCvZs~m*F
    zu2B&ljW*wi8MX}PIE<6n**H}or3!VNxWkCQE|5a1-`GRFVrB#n_c8z)tazR;f>v0$
    z)n7LY>P20~S0M~3mYD}Kuc@z(qFE2I{+J-pbTAITe;;lp-xT`i08gm@u2b@qeY*c|
    zaVVCzq5Rp8#2XsLRcHfc!qeHw!wE(z_C-lS8$IA?|JP#=PdoP2+)~a-wj2k8EUmX;
    z@vv!`)p$}{zJoR?X8Z);|52G1{pP~OfqiwSi7`ojkXUS!U<5tn!1s?`*<68}n3M-`{_r(7J1PMnnG-IY#w6>n&&DAr9$q)Qt1lwFR
    zfhbj@@TVa!{oQPtgo0e#0;4gygW{XAMI-t%<|US*Q|}(q0QU&suNipSpY>Zmp&Bcc
    zQ~j4@u;s#KvDNh9eZb%nyRV7wA}^}-ds?rp`VM#1FUYCcq^U#9Z<5?~inom(e$tCW
    ze;aUx@>Kb5-e{aTSkOnr|2PS&bBT}tR#lAtNtz*RkzWvG|*i+to=
    zEV+uG8Vh5xe7{UQa3YQvL5N|CbIT4=qBsj)p|W|j2n{3s*^MrhW5I&Zq|h@ZL!%do
    zdq4JH`g^QjHgB>q5b+(?F%ofvw*?REj5hVf7|fn9fxV^c*&$Snx#kbqsSJr_;EY_LUy6{;
    zFGuKzgp)8m1dwY;=52Hn$}DCm>77CH$=Yy@@FCkc2oc89e)FmKPKuyS+`yXQ
    z)aLCa-6l?xudmczX9`iA(EtVLbfEcdnA
    z7deN1w3+Tn|7`^+fenO>W=#p4U7@OEw(Kvtq1hkPBb@*R@I>sxesTr=$>YSj2~yU}
    z{X`#AOuGVMQjakv%|o?$T&RVt6FXI&w`io8P6QJh@G$e76fvXFvdiZ!f8~-E%`xZ)
    z50y#jyUo;xf-WSj%&?z%VV8`pwqU-krPhR#M-3M({vwWzs&;*Ev9i2!)r3K9!
    z7`7F@0of-9*-&%hvR7xwHm1RJ=HtXCY2;K8(RQ!L?90$CZR?^Baq
    zNF=VhP0|MibU$+qiqC2}v2!EoHNJ21bX)x3uQ=3_mM{3IO^mU)S!c5t%RkgKWu_7$
    zZyM}26Ca+s*kmTBi7-V3)y@Y;CP+uF=_wfCK(cYEI|Mp>d1a*GeDzw?(a~uHB3_q
    zgxY;PC0MDM!%QWSD-}U`jgDM!JU;CLZ3zZN@xx55!p*(y`>EN@yp_tN*>i
    zvO0olQHETPP1;plm^3|l=(NFYj0WqkZ0$Jhlf*wca;8VsJ;fqiH~E~{1*-abPj0DB
    zQdOT0l#w)?a0>-oXm8^
    zlM4@jhh+|`WHJ<|7x+h^v`tzvco2NPN?uy#y|<{grtwR?cS!d-EZN_H%Kk3#kfV(2
    z^r3R2O)U=)1R?ic$^5+DRkjc0^Mp)-)-yk4433ccnm<|PWZzD%@A8zfQxS80{8QCd
    z(x~734FWG4Jlxu%1-cL*lr$mDUWhT$qz8-mWyLrx0?p$TcklzdlTV&
    z0{ONDJ{xADF#KO`<%SM3VEY2^yLaj?15~-q=$+Rz`qX!iDmiV^yxUefpc;|*V)R*~
    zf^dHz5rKQuj%a@yS`Us3Ttu
    zI@p)*P&w37!jf4aWPrc-f7wM85kt#LQ@`|SYB~&jTbJ+iF*Zcj2`i_#{788@6Wz6L-RgzU>j=Y)C)S#<%sW%t^!RU$|?rmh|BSCBI9gY
    zOqHm`zCMBdIJ{#~`<`+SUM+2?8z#KF#3PEYf}Z1*wz7>@3MU&a2u<<9zLc%$tfo4l
    zSiJAR1%@d3Y631xT{a7Y<6wwe+8;Z29Bsn+T<3FrB9A^xA?&A50U
    ziU_#s$>}p)$%==aImOzm^@9?aC+H(o-KgUqU+5v{5{ipsk)uv?qbQ=UwQCI*raz{d
    zzBqZRA6fR?q-J6gK&z{tzihu&ff~j_IZ^)8X
    zALPXz9$DKhDVh99hnT%i+&X#J;L8aALW0W-;BDK>)W$!LQ5_MAgE#ltB~N
    z`8MMeq%|WgWc|31)V2aOqR$vS-;P2sCg&gor-NOIY>M<*0XL=-+QV?zDq$F;RS&H$
    zSRTvZHv@#i?}v8Yn;^;0-s4_h>=GU{k>uHgSuR!DY&6?Nt*zWn*z87|$9ZDrweG!+
    zfXSucAPqN6PD!_G1!y^WJjUi%o5z}%E(>sCAI^z$stTg$c{-wotjka*)k8~BD9$Zo
    zZSDEmG^3-9r~h3{)Kc|yfl`xrnOA7+8#dBRgtom}S5oCp!5qeRoQ{r`YK-5$#i4deswtGAs4tu*}g>Q*gl)#q#rY2_<&L9L--ZtSY
    zXt(`+(_8obIMKLTUY?bxnTZ)M;^4R4$@FRp?yLBj-!jqoyxXWB3AgN-Ofbso7DbxO
    zQnr1>FNn`Cm-VGc^*Pg`>3^4O|7PQic;V|zf;Ge`-f}|Ad9!)!`iWMZjd{Eg6=Nez
    zW@sML`1Wt8OIfo@^;jU~cplpt;AG=4SVUc)yU)|J`X9p=wNmNxZeBH4dDebVGq!ub
    zq44jIftpqCvJLiyP=i*Ul$SHaekepqcUF47w)5sIOAHnZ}-fF^b2ter9^BjBIfxVtR(|JCwuADOkpA5tlUl841#cKqTI*=WetKtuvr~a
    zCWrrBPQE;W-+u{4q8@5xD;6Go_UM>N0x8J3NR_Hd%PGjSD5m$#DoKs0$c}({rq49V
    z=xj+LxzTdeN9Oq*L0k)sM6X^8g9C)ewlUwr=8Ic@%*+4z2@Xlq=wtu%
    z0ar)rSMLqHyPb2|?z_S}*B5HE-a9qYW+PjQZ`z;!`kptP5G%T<9s{B}%eaiVbrqb5
    zNjOtm-dO8H8^SZy*S+sN?r90wnTuU|wZ#7z=ae=({m69h+^nxyemrd?V>rHTMau2i
    zAW(XmIY~|bbL=uZN_y~}@#huaYLxccww&%daPHzkYE3N{@$!+s&sSzjayt!QidB%nA5Nwl1gTU~C+;h!aw8zBqA#at6BDT41$5`TWT!W^GL*{TQZ}@S1V99c{#+!J)xwwS{*{eW5%HEm@;eE
    z{(b*a=A_+YyBL*zfKi9=Z)F{&s*3G<6r25Vusw{t9v{|C>HRQ}nC{;53P(3(!Rxup
    z1*bqR<{hQWrk^LMfN}SX{bz=Rv;6X%69KWY-w=g!ar##*3*(~4*vcbcvrmo+^W;gL
    zwJ%jPz3GkqoQ~=j1$->$wk~xnMM+7ee9T;ddzOHq{hb~LV0t{~!&RMN523Y#z7L8Q
    zacB{Knc!D=clPhJ-zfdxX~({K&&3&Vb7#<46WLKd=?BJb797z)an#prHzwmQ`Uc-z
    zvm>rQt~xE>T{7v}@}S2se-;1ewQy6~QEZ@SsPw@+!<`w9N|IjoOlbD;AvI@$i2cQk
    zBx~6T5T|isd;vxm2XwF=DZ~A1FoH>T3^V-mnOr@XI{&TC$KNU?lfRSbRSn09-%5sd
    zovE5*7U3`Y+p>@lDe~uQ`UBr+)i^Jd1jZXK>qgLxlqwz&EF7>w&oc`DEM
    zjK#1>+^lxoYY^-f7~rak%oYzjl_h79w6-?ukt?3;@6&H?YWY4!(6id4#5O;7f6
    z&S_GNs959e$rpXfiwRlHC88v`3}iAOfHI!#wK=39R3{X0!j%Psg6czW3}>%BS4
    z{`4wS1ml(0_gA-4Kcpm7k%!XoEHyUkKYYIcdlwC;7@cQbxnd4qnXmKG2v3-RvcT;)
    zt8?)l{6DWY@^wt#UPA2QyjGAHdUQPk_?MvXman)iN<&xlD_`>;FL^%@drLG95GS3b
    z*t#loW)|sgc>*XUqi=cr#duTRW0en7c;)NHogHQqc-m;AzJOgz9kI_<5jo2c7*SF;
    zwV7Yx>7r0dwqTFe-{r@8X>*4IEgk}uQRttpez~`i&a-?r_)y|;sRP0I#gI~gilltG
    zB~E1-Pv87g&$o!pKn^E8IqCm*u`jZ{(6GqO?P^4~tvYpA!kk!UA)ySH2bVDnEO_~o
    ziIw|~SY?m*O3Bokdo(0`;t4(#=eC!ZmALBW!YWZ|iFfOO
    zbQki*#9Fovl@~!iVQDlniTNd_i-g-IVA1{MW#&l;j`sJbuaUiFxU>;afDwbOaMf^O
    z6{63`Td5pR55r<<2jLd)YNYt5HQrk1Bq%GoKC+3mQ#bp@F<=^B*JMdNG&Q4jc(ntjMHN`N3$69Q;
    z(1>LuPV&=ei;pC(MW(c}$uKnxm#@6n79zX-(17y3-TKDr+m|3jB96H_+vTRJNY*u#
    z;&hth10x_Dj5OnoD~o~p1`1mk^F8<%Ux`r}Pm&6$=POOeC5qpAuY(ODqbpcN20zk@
    zP~zHQ5CF)zioAD|8%D|&0>WEUT{+kiBc`6qJ7mJ_Q;a4fBW|M&P_;Lh|F$Ibb3YfM
    zvGU9>sCG)$_AViNnI~rE@6HR%o_r~PjaVcTPA5}pdv5SmHDaC1F+I*Iey3X%*jq+P
    zfAwX*xW0DLYv8-g=KWP4EX
    zl!WSRizU4LX&(C14&T|mH_7BF9VkmDCuOKV+LAHBz-HuY-MK?FEG)qd&v4etv1&yDErD5ck8;nJ9s9US?SKf`JcRp7V?seaX3E{^;`hU${@mk+GIbK
    z+}y9i7VO2F&IN{OE+>Dhb!ZjIU$;wrO=HEmEL{IAFkW^ATmaU~kR$lhmkBa692qTN
    zl=%-P0*{f3po&hHGYU(rno`HQGl^I27k~2^UrERcp>0%tB~R_JVl(Mdje
    zZl8k?HNFw!3Tk!2aa^rmC--W885}DS4KuiU6_YluaR_R*N#bg|yf&=IiNBnGJh*sv
    zw(i59EfDij4Kz0^7>{R-(T^+6+!g2<{6@bsQ%m;GO=ZEAK&|P9@_Eu5!P0AYm}T`l
    zB|t4oFGI3JFuRSE8}g(yaL4o@x{Q8;v{8Sma5pImWQTDs
    zmVl^?eEWINo=M_%ISU!XgX8!obSD^Ly2rSVX&AeeJ7bla93-uH^HR5+p9)Xux
    zT9(!<#6<3_EMR%c#@XsUQ`w=aS5cr!GVm};!lHEr)14^wBdhxX`1yC!2c7UU0r@)p%CFj?PqN=3TJqns$I$;i5!r83V6nsq-NB(z
    z@?~b9QT0V1OAtE|Omsz^TAyUcnBIQ)Sk&;<>tBMyZ!&s>-B=kYEsGAIv7d$~+fDsr
    zRPe^m8lQNJ6}%YKi|~mhsp1R>8Y3NwL(0hTnnrt_Kwtcws(i4M|
    z>elPjds&-%PE%2XP%C}L7c(Kb@Z-E@4R*c_Mlk~`Uh^w03IR@A1TCvpNDGwVF}oKxjvfkwxI3;$1loC*wE@nSK_cKJzFnF^F*5?eA7i
    z{%)bzt3jPQ`yJKgxV|J)d*yhmk)ROf6$Jm^WU-$5NM?Jeqcio;KaDPyIU}Ly7^Rww6imA&5=^$
    zQQ>o3EzPIFMqjV^3!#mXmE_FZ+Oh@nqARy{lo96r`w|13HM6eRp%IH#aosO`wIsR1
    zHe5Ztkpm{liT3b)wMv}0_Nt$6-6HPkNo}@bin_@t_LSBk)YU~(ORH%`?z0<&6036FU9E{$4D4%
    z#DN8=F`w{1|KVj0)_X-CN>ma$9-cEkGv)Yc3NpxYfM~cbNi~fNv(rg
    z2-ejcRKkN?xy9n>A74f4+=iVTYv%21Us-P*UGG|3wlDv--``w)BJiPEpG+(?2YoDw
    z&H6g#A7ZuTdXtF){JNU)dYb&D-=0GxD&2--HU4=r_iYE6h
    zlOK+--i$`$MpU3NL`0x53|dyitLr(NfrLu|w@rV&EH)fnk~^@Nf8Z{h&ZFJX4cY$1
    zvyx)!b}mXT5-xo+%bf{|g4U5O@8r@J&GUriekTEe8n4C&vRv-(yz>SuUy$4H^T(+`
    zfa?t%eHa7=5yTF%yQIRp-d=5_it|>W{IQkt{TI~EjQd%)ywkhv6i;&1Z<&baw4PxA
    z22F_YZOn`(`M%rh9hK2hC^bKh0Vu_`VSvR#T+U5^`wfmpTuU(?ZyyKPQD;KuLJ
    zv8ddr3zEx<`6VOQ0}gyDjJ8F(Y(4uSmM`_-7}uTvMv(TQ%eq8WoLuOy>43Djmo0q(
    zu~+#v6vrm@Wfb-T^TG?N0=8|D7$aS#`(cJ(
    zapQ?G!;>%ZJFNahrLcL|;L0V*2|Mx~Cl;65i&`V3B9ZZ8X-JncU3=WTg^|$d875)H
    z6Rv{s^t->8K%>WUVU@G^>F@XXT1|aKxB_scUmGVw3zqGC?D=AiQYcMF@@32#H
    z0{XAyyJFyFYXZJHfb(!m2=KW@Z6nZ-Op8l=z%P
    zOmMm3qEKa@jsJe+N4THP;wQ&tY1xkJeW9nu2%i!(gT_ZGc{0VSte*9<{Q?YPYX~*p
    z+n_fFjQL*G<9rIfb8%x;5C2C1*uVS=@*FZwi=N;gqMCT#wojSY`E(|%nx~ArG|
    zeAtij{Kd!WBM+*;T=3(3cFXR!i8LFOz1fmNc!+6EI?=U;4*z{J|tgt?ai2K(hi
    z^TXAng1I@&g^lFw4FU!=bc**riQ@gQBd~NG*VB7S`2zH
    z%3F|G770O1+d96_3tQk%Wp5ScZO%EYhk&K3`b%7{*3)i(cZFnPrqtq
    znUR=BP4O1G?QoVUX&5~VdYQ(^qgg+JUZ%;ADR)}|h+zL*37HkQv(Ddh
    zNqze<4D2J`UjbJBpO{6NVMBzHDiTG*;`xck^GZ~X8wZ8e(Xktej$O}A&Ih-=^M?SP
    zaGk;1f3kB^`6PN{b$m)toR>DrFD@E~oz$Vwx$LWSG4*)5&KDK$utqq0fc+Ao9`4bZ
    zPfwgdcco-L7}GljjdBQdrZ11?4G4o}b5e9$W%Mbcp#BvR)+Nop3ax$VizoFQFYF>S
    z6~i;HXBaw(f=A@$Y?Z=`L#swh0Bo^J1Id=Hxb%x&T_4;lzZ`gtH43I;&$++Iq
    z_;T2|orXdG;7=E+%DWBc!-txOpYMLwUr@*>_)JmQ#4M<#<5U)n@~T{o^JRfVKXm12
    zL49jP0y3|Un4Z95RU~8^%!QG=#ru9o{WYofj%PdkO(hQ~exF^=+GWt$^}${AMZ*#g
    z0`bn2f1{U1o>$K@?Q4bWvsB-YsHiKD^I0wDJrJUzBJnP@(KLw9#xF-
    zxb`YTj^EH~pRD&ulaXw-40?B%KdXB!#>FcJee~JXD_p-P6h|!Xh)NY>LMKiS^JM7Q
    ztdTCrG&^SX;946K8z(k{<4M*;bxbec+pK)ak;2~DOkR1)^gr6AuYnq{tbI)hp(XyK
    zT+9eXV<3yK!12Li{fvM(fmAYZVsMa!Y^syvid{2#SSb3p!hX2IqWSCm#rEGnH+0;#Z*U#puU1MglB@du29nFBMOGl|OE0N(@Q=f=VGZ`D
    zDwjZPof@6t23Bu-p6AmIM?WoVT405Ue_B3JR+{JGgwyLmH{NPdpegcS#5XCbgFW_@SAztdRacHuykr|}O0is}IEoO(~1zX_8+S~g(IJVimOw0nSjoCwNO
    z9GN>(-UI2ypQ)gnu+R3H%`G;=StDG0zB42A8l#BA*a!#fFI#PcgUsoFcm>w5lt?+q
    z;IOhwDMLgcckJ)Er{%4flHyu*JjkJ=F{UDK8U#y?`jD)~_<~U=gC7Uz)krGcUbB=neyJd*R#d?Nfcq+Yw&k5n}eAmdrR>Do^4=FXp<|%mi+f`3iEf(B9)yjsKABhpospL?K
    z6VxtD76lcODPmj2&L~;`&d5_~H@q7YH1KB6IvHB?`|}ESM$Q|2W3h3*0+1*#;@3t*
    zrR-C&z!^!I2To#?B8e#OZtA^4f
    zg4(N)71shSeYI&QdP#Pw`rbH`+3P3Kou5^v^GO}!N%&BHzGzMtyZmCDxcm3nxE%T|
    zV1=z;Dsny2X?kUC2tr(ZzL(nCQSN$AJz?XQ($)a{dktZ9B8WUbTI{fY1TSrgKC7oH
    z6vu#x^S30`2tkkzrExDMb;b@Cr&h{I{pLAFgpWLvlPO*h?PZX75UJtjOE;MiU09!~
    zjk!kU#Wl?d$(Ul+`Gz>f^ls1eT&y-l=7(io2hFSM!JMBlCAI!N{FQllGKd$gl{at<
    z&=FV1Y&STD?M%GEIbaAm?ltbg=e#t0?^?%Q7s#Mr`Cwc+h$y#f1S)R9@GC6{UH9Km
    z-sVHR>}oQ%XOeyK%q>an(tW)&KiMZAY3FA%+e0!%Hpi1iqDc>(<$75y%DFQ#%!Gvo
    z;~}U*aXtUgDoc3Z00qTflaYr3PE;#5fXjFDL3H2dgK%!V_yiKm+w(IgMCKN(X+9cN
    zvcykF%YvO)BRir^?)n(l6}-jMKB~Bm!)>g!IL<+d@h|YXZ7~ZH-<9AdC`A&M=+`H{
    zo6)^}<+YSmPIL0J83RUsH5I;gpECzM!bR?L
    zc@UF{VxUC=QG7zHPr}{YK%3TQ@zpMC!m};{*&Yc?07y{UR*l?rxaIky!
    zv>0D!kaW&n8{!w9Zfj)zEv-Dmi9DL8?fTM+*6zPQIZ0C;%7VreA~^dAv!nnBzqjqcIKyL%6R%*;+c>93;*tYYWKyzuqZ7syR3RR4$j{E
    zUi$D?h?J@aLe72|MIWSnxy0
    z1+Z`6PBxa_%M3*YwS|w=xz2Il>h6o!dY;kvsyy@G-J}Db%17t*0HFd_AQ{759W@@yGmGST1^%>amQp6h19Eee+bc
    zkCNCznXaUvCG$GNBeq8FJ;j9Rw*64`S(n4J+l}I9tG-{TI%k3Ee8~ief}Mks*|vrq
    zC+B5n>8I68!;!|wnfh;VZ4kTWvn71|+bLE^AHvM_R}YWn}NMw^@pFQ+;}
    zjM%hK%9&*5AT>d}HaQ#ml782Qs-#=$^5o>*zfAg6kbS>PqYuaWSC(kQ3m~A4Ul;eW#s}_Uy%XJT)(@J^uIt|l==j#YouB4uelVS7{QGf`(aJ_s
    zE?VIKFTNQZ+*p7+1}@H3TD-73mr4%#$LwVRV!E>8o!ankJ*U(~@0tPIUukEz<@xL7
    zY56lHL0SHbH0ZO#A1dFLt|425$?l=nfqMAyTCPPa@;T>*D*t@;8QTh8_)uT0kH?R=
    z<v$|cD6*00@);1UZL0tTpc5J0y=m<%xfZd)6
    zF=0)F(?g$z^P@L)LZJJcJC&&(>x19dWt7(v7sqG^*G}x-a}gzoI`2A}1iYe^OC$`8
    zN!FXBf;MtNsv6%#9z6(5#N^La4(E0@K_>$At*-#wW_NMl~qdyazYmjvJ_9oYo#S0A;Wmi${ok!zq9ICnDhn~bj71fg{Zq`3Fy41=sK7kBx4p_+gqvCTo4yz4`YAJ4z
    zkBxw)+lN>wqdN=}{qnZz5lV=_QWLKWfuOe*)KJYE}pPn@t9~dtph&hCb+W>Gdqejbh<`XfrmFnFromBr!
    zV-t@?$l*?#3PR*jRra0L=?f=_rf`viDFtnrjG9?uoy6)vq<+yGwywS;Lan@1Gyd>9
    z(P!8D!_SY@w`$ba*vb8G=8l;Y4VWr{fKg_fq_zpdJJ1p32Szn
    zVfEL~)qk-Di9^|&d(@IbXsXDCajHp?)L9#kW=+q-o=!KL0Sbv0tymt|PG3H&CoZ>Q
    zC1HP>Q?N|*X~Po3R^YyUoLJw6#lqZgd^ct->3w9G_#;O<_Z<@I%T||xY>!%}mbW;Q
    zV7OJTxo^W_!oXNhWa_{&#YTvx<0b}lVC4b#z?XyjFZPKGpHRZd5JAZJ&&s>6+Sxxi
    zt3Qv3%FvnSEm?H(w>m-sS_p
    zF(Zun_VfD`Y~OY89LYzr%im_3j@5usQ
    zdubO{pOQDj=EM*UuTGx29Q}FI$+v2E!{iZpWO{EGHmnyG408^SC|k+;DyiC%KfTlyVMrrEOrFD1q?%
    ziDk?LqfSyT7!yN}FU9t~Zz_b2y1xJ`z|xG?+LYPP=W=SFsJ~Qi+1yv5ek^;t;oKA1ZrJ4TTP
    zhqI_MZb`V&EaH{_{JC%4b2qx6{?{8hNl>!yY;unqGJJvejS;rpu`Re8aiWW^A<3AGQUx^_jr+mQH_Yk#L>%Gk0`BTBh`?#+_SH_P
    zGNxSmhz1m#=Vn<2|Ax<^s5oA#_
    zD@e3S6sG#gdx}#2T~p?6=3ZDk#UfI6ikg-0&hdV2Q!I=7qg$W9=Q<0C3e9<^6UG)&qJ?6h
    z^`ojg@r!~%teLLZx&@2#1rYc^$g0!lId9(u%qpd3cG%^zZuo&?oH<6QRdBn4bi
    zuD1Glo-S39ydo-Jr0_vMl1-{-@w2zQ52QSJ_iNhn`}mUifIESSz2H^J_sz;_e0^`I
    z6|YdB#>1#&o0E^#c!O#zz4$=+!$I
    ztnIF?-xFB{HZLt1saA3l__{BL?idoChhe7se?OPie~4kMR@tk_y+wCUIQZ*U5r%Ra
    zyl6b&Gm1|i+YY0i9uBt~dhOx0*ST@QJ%DU%%`QUOo;tm73+hb?$44?nczXM3
    zl@BRA_MX+0+)P^@vN8%Ju@&=em-hjT(~RBcNN)0;A4HRM6LpwZh5PL1x+*JN`H(&L
    zQEd_%Z1I7@G7j*s)bAoloX5Et|D5@pcvDQV+Sf(+7eBL5-37t9yfO&aR7Bj&kWWs6
    z7=%FpuhCni!Fi*Qxzn2MvjuyPh;PRzdnc^0bcO9Y!2zST{dA6d*IV;-rE>3X7n9+<
    z=XHOa%?poTi=*0ooU~xzzE%4?H}7#An3*#x2WMhyN@?}w`c3;9$_WGxHaAYw^t?F)
    zBm8%ZZT&rBIpQEl^4v^{+UKBv4KWW)a4WDP{th|PS)}SZ9QAS$-=Fpve;Re8;clPNuQOrf;hE$DGiJm+Kp{q7e5=OVM)21$f#cz98?
    zuwHD=ZWwSK+#DrKi{&!R@!FWi{WX?HZO@=?Y1h%6cZYWg=waXg9D&j(`oAs~w?sEDwIB-W#b=Upr->AaG0f?w)XnId_+C;$z9`v7KA=6s8xQNF%iOD>
    z&;h1n{J!|j%ZbYk3oN8=XJ1six9HN=$D6VR^U^Yx)^C!fC#1?=xIM{}Y!DrHL#!h_
    zPN%@(Sh@XfR}P~W6a*Z~xW4(xobC$R9>BLdg4e-YtYnd;6l2rF^ne$G&NTr;!(DQidvG53t
    z?tlvsqrW-M*Y_eTh7Y*2O;?-Fs2{}dF8&rVb(L&phDHIW#&sk06#<0FVsIa31y{bY`>n3^eITCp_N>djpG{5T4cGkeKJ*~
    zi|5)=F^MuYNIu_2k1f@##u@Z*rxQN>R7)Vc7k+bC{GQ+5O7I@cs1Sg$i(z
    z;-u<+tQ)OjT-x47W=@9Qd0Hl3n|;hlqBm8al|mzVc5o}$-OL2Uvep=3MB#<94`00Z
    zV`z5pIRV2REU$l|qFI+$JSgT<*C?xfXMNN@>CFPQlwhXKEhnj`H^*LMH>C)Bm}4)=
    z)M9T;7&2PaMq`Ak+|EjXn#>O{;v)AV|DjaAmiodVu)*B7GKNw02Dp1`$hA_eGx34$n?|MyAKI6}p-k$5|KM`BzhHrZFp3
    z3qxqG_pkMdz@mD6;PEYJFa^xNskX9L?c9=kXoaFmndm+K32@cnZ7C33GjvH5o0U^VxJ{aPtE
    zwJEc`et?+HP(GVC!^R2`I@ZLUoJmeL?}KF{KM%ytd3Q?i4pV5PAztk5B|!{yJ#TsG
    z7r(=R#q-Z2CdW1nM3bGvHIi=*Onj_gXdbO5-fcKbxHn(DRyepJn@;TB^w;S}bROL%
    z0_#N(1+`sj*lLGZMQaC*qg6!9TDSv~tAI1-TQy#P>P2TC+D3&gNzjI3MD#_IDqK}@
    z?m*7NJfpA<0>j=|d3*Kh*~B??kL_G8b|VqEG1d3&P4ap)V0>ktPGNE^{KIOc3#N^y
    zD9Lt=OSu1jm_7TR%q7SIG&I
    z71B2^dgWh$z{%EEs(B?Pi!{kXFnX(hk|4|R1RLJilG-a>D+*e_K``9X%T(ig%?;f3
    zf#Gi|4|1+jLFOrtl-SF=CPR3OOCVe%CF$!`VDn)?&~j%XtRfc?cy$L*e{pyO0>4`u
    zpRX(|JcmT1kHHu9AxvGVaNdz?5AyXW>@2H0q-U6kNn
    zI`UT~D#lG*=9mPKFTc))1x;5l?gN`YoQzjGnFE1BAV}MIoFJ>Q{FTtUS?xjTeM4}_
    zFe-7rDs`2v9r*#xrhx3C*L_@Js{Y`F>m%WQwbC*S^~qDSr)yjd!uFww`hs&8h(3HO
    z0;|-x1Cn0{E`Szrf&-%%NS*j1Wm?UHDq)Gw3(}^8Z*7{;a?{@HL!HCrH=a9?~IN)S^t2xM#{N?Wb
    zY+gm;oh;oS@IbDmeR;`?Hi+Db5DWy(w91TmLEUfSbJ7!x2t-~H!XdO~-~Fc3$}h#<
    zGq{v{v!wxBj`xR7a3_)2FF``Fk+&{Ew;Mk5=talBm|w#Mn^u0}8+-`COCs@c935yi
    zBKC140UZqbmq3kYn`;BJ#?PE~R&ZQE=T8Szy&JFBH5BqRASrc*yk`8y(VQ7Tr7E
    z-#5L4{vBCSKW&Up*m9$Q9^U$*dzXqpot?lw61L4igz9KXb8DQ!_ZP}*GbsjDtFp}x
    zVGU@Pg#Ij>?fdy`7WHQG?)<1U+w2ti#^fUQcl_9cKKE%y9RuI1vh?dSIX9u
    zV4cOd>FZU*#a$;$33L%1`w9|PL-O$Gj1L>3_k(PHVY;RZMB@hy+laRwE<>zoxS)I4
    zbli%99x-|vjTi`$kdbK|0)qT}c1Iv5n&i@g-Hr>=5^W6#09HWFcZjvMEQWyDzO1B>
    z`wKx6vur=*%ln@K3)4XyrswW>3YVcv3(KhidUouKBYb3F8fXK6M8PBCQn#
    zA45xDbPsk|@hxDALAxJsh=RbP5a)-nTDweb(7)|9JlYLSQ+&0qfwqwQ3E-rchp%z_
    zLVB#_40mG%CwkHAto^RCP0R^l@4PV>srKcUA-`E;=ZcxSb=k97q=(UN%l`FT+Y|KQBqYDrsqW4mDT~;Gh73MXaRm
    zt?&1wZb4Ev>wNbgy_!A|czgHs1&Y?#g$H=QYw0ku!Lb6WDkRluavKsU;r}DL;u`61
    zAw(OK@Y5y7N5%w#0LDqR^mq^|iRu2F5-`l@8UZE#hEnr&a
    zdjJ`Ed_aifvg-8E2D6WvAX{69B0dBo3TEYx-{80&J=l>&0jX(%i-@sVsk*enTk={g
    zGF{8S&I5RW8r*-e`BFbw3GTRbjN@{Ix=Rd$Agyb^;!6SE&3u!%(75hYkFxWLwt!wD
    zsM@y9tPx;JwsGyp^{hqfoNCbUAQ$~=3KyySsZEUTvLA@fd9KF04!7^6#VTa%Y46cn_h
    zb6qN5bE?cT+5bF6GNnPR=^!U^$sHmV8+>syr{lB%0;?=gS)Dw9s`=01J6mPG2;%l>
    z=!FPF_>*FC(KPu
    zQf9&uZX~2S1n|@vqL!u|{|BJiHZdzIQ`hFoT3$;u{qcvJKXqIT;rq)5^%@de^+#@%
    zUFAI9kWrC!#S@%Dml-0`v{HQxnBK~9U5IM7Ij|YIT_PEE$j(&ug
    zN!u71{AD|?2oczQMgH8s=}wH7#yP3sf!jC&*4spDK#N4}uvA=U&O@=XQs!qpb(f6TXz#pHF}H!R%}Y
    zD<*t)V*YC-7z2|O1TsTWsiAGbaK>Hd8V!hx1Z*JnVXS)PEwhQ)Dl;@D44gNRq+@DE
    zS|c&fS53`&)c;ivIB0$Zn?DG9hEZ`R?#>ObU3Y|=v7j#;lhEf*QLvYv!X$!#vp;
    zboo397&2!4u-^(Ghh
    zj-3IWs(RyhIp_6FXOC-`q|Q-`?uQB@(_s05D8rF!2CX}3b1NUolrX1p|bZa0HAJ$Q9<80vQX|WgfTF1%$*lCCJ{WoG0sgw
    z`)w(GTnF{LQr5AWCT&KN4?WiHzaqTW+yBYqu5P}<@?Mmw&zZCLZ=%?gvWCGh#??e$
    zGOPUuu@VEK!6A7ZHRFi3fK&q8X;#V9V;d&_tMJ)fTTEziBVQY~L7$Rfk~=-1w{^ZK
    z_8j_esLEQ4vECM(W~;lKd<9g*MVg;Gtktw`eHoS21@
    z*B@}u`N^F)67+}qQ94K<75E=dOJTB7^*iEzBhpE|Mr6EN+a(Y{ffh*E?0so`Da{Jp#YM2F!cr1pg>F>O}^=$UovPZtqBt7XiVzMHdjQ
    z4fi)s1|w^uFQXx4e)HnK#(vwL4knT(@0rrDPB!oQF93D60SB?J+2pH<<}+cmEUje9
    zbsS0Y1>CFboHuswt8&C(PoXc~%-Et%$NSE2BwGHmjfq%4@80|2kUljycd|txt$BNc
    zuAu0CutvTI^yw@#DJ;Wex?H6vEmRXq`FuPlEBDfC
    zo<9Tb72#IXtW5HzQ#<}4NY7mrfaC;~t{dtFh>E4(O-5Rh)?k8y#;b3ceH6G&s^3^r
    z43uzYV2iXLn#K{0-32!}Kb($a#-zo%dMH{VIvAO8&xnoW>&g7`f2
    z*tPy#E5qq@C}h1QNohUc!o?EI%?;xz!s
    z^6jMD^T7_y4~OR|h`=PN;~YenD#*l)EFxG&1eE2~GO$~w&h1%d#M9Ohjy-T~#b>fI
    ztO)fFx%dHr&N{oKIa!f`7piyLDGsWnjsy>!&qd${qF-i5FKc{Grhednrt|{DP?ecU
    z)1T9Zi9l}D>f>k(pvFdZBBZ;(BJvuSIRgr1{V!M6?
    z4P3ftkNg9%)^?Vpqx0hBzo_MvoBYUtr>7!$wy;@4OD;u#_`SQlAb(PP(Ic{0U86+0
    zP#0L;Hdn}HDtWOTS)3|+;V5;}$TV?oHEYD)(G`ip(UEj^iG@UeMFKt%)#SlRJWg~1
    z(4@n;YavIgl~kVgBxGaTf`nMA)LqQks#dwN5K>h6q=G^QXShF
    zK0U-`y(W~^`kJeEpkHq!;jlw^6@9ty&h(}|_P|8#n`U*kCHH{pJ@Pn3Ee06o#uOUbVY0x!Ngk(vzkqruS_4_>%P;|y{C`;@Fn9b
    zGAhZ+X-1|#*cNjDI9`u*fNkW4XZAvK{X|>W?yk&6oNw1Ho5;k1Osw!=9<96iYwo>J
    zcZ0qpBR5|W-?E@isqp$P>u9AR*
    z04aW-ge13ddHOhu9+EJtp+Nk?S`+94$04|h-{vKTsYD4jK7_i6f3uc=`Kn(cRXaj`
    z>88D7ag@Sk{Qi1MiV|qyxVwF87eZ1-?2yuEhyc`XUrm0Do_(>xH$0f^dgHPp)UV=*
    zs9w!mm1v=t!dk%CxGW=pqn;w~U-Uuu1Dg&&c_``Hu)OWdR3-ShsFiQ!%b9Ms5-ihd
    zbDf=+yX_@bUb7^o@HB>vBHGcC_S34Qa~%YXHP`)2;xQE3gX)_E7CwiJ9!`WUUIzw<
    z0ZoCpxpc*C1NgRGGmsw-ei=h>;CtC8PME+G6EoWqaIYM4VnF2Wk$BTq<@Gv)NdyzA
    z7o^N|c7Q&3+H!_XeaXv(DHz!<;!Xjba~3w|>pL*nxJ>h+_
    z8|BfZ>fMNohX#|2PaF*zBc(!^gy6NnqJQK91NxsK+f~k=4=`vSXh6z#7*hq^AXo!;
    zEmhtNRE?`vW(3s65!zFU`g}?FFi1y_m+O4{1m(iLeYotG+gi#E^}D0Xl(F}Ejc3Y{%TAB6HuC?g8&nk3l?Cb
    z{d%!gy4*jH>F4CUMoc2owf9Xn(4!%K*kUNPG@Ipp`}ISxDIKQlrQ+O&R!Wq85Sf=zCoc+{?}`dU`@S{^WevY{wox_oTyt
    zK{TcZ0tViAda}Z;h}#^F)LL}i$XX1!J&*bwF`4EV7p>vMPH5KCO-6qn6axxPt0TKl
    z&h^W#TvEiITZ_QO@UVwms11&8bH1oE!j_iCDHNIL;XL-@J`w%EQQhS)T7upqf2pap
    zhjGdF`xUuoKfjZGpO64|o!xjgT6|e$3Z64rK=CAR-Uq1}eoiT)jzwOtpRbcV9QgdV
    zP|GmR*$VpQCp@&J;c_c5Ddmoq_yRKMdxew|?}4^-NKJ!|NpOuPP3dqzK=2{GAU^Ey
    z-f@~}{OV{(>hd0ttVBr&pdBcXLMr*xoC$GLt*D@^Nww4z1?1;%=FME5!S1FL6oYF^
    zhs75cC1dUed@BO}6%2YD5W(-v0O}NbtBsb9dP;bhqqV$WmKs-!A))5209E6kcHmWn
    z+U)Luep}eH*+g%vT!tUSPRvFht01J>7{O*$(-?oksNUaBG0^8;mh;&w
    z!{M2O#l*kiICjl
    zg-Kr+f7}Hgcv=q4fr4Ojosz5(!L#EZ=b&DTZuu0|t3_%BJ{4AertqC}}!M&=p?O%{=y%7Q3(+!1D8*-puA~5Uf
    zIP`p=2eNs$`et@1!Y@R&R0K*aDDa(SffffOnoktl{+;lIS3^w7er%w@UrcNvBzOhi
    zggzwHp$nq|rTMW<5kphy@h5$ZTsrWO3yCTCi4HO5JA&wLVSFs(6xz@s;ds_O95IqvBK|s
    zgapSY^LO^O`_^$_Ipc*TgyXTcR;I(*N$*kK^KxP@E}1AJWH;p1lh^+Vp=t
    ze%2d5yQh5CC20>a%j0{-d0?!prnQiigoz$wv}vhALh-gG+bjWB+Q`QD5iK$Dcn!!f
    z*}?N5B@xhs4!H6S4u!t_Uh}%hZtco%$$v63)MkF=R&h8
    zTOilvT_@eUq>$sTYQtv;4cAE3_RX&@teoYvc;8d=zx(~KM_cqFaCjBL##o-DaWupZ
    zZ`T_SlRbAeoiq9Wc>C(Gsq`N~(x~17jh;(;JH_{ynC=DXg
    zCDKSpDj@LN2k>5udtZIO-}n9D^Q`B14tuY?=9**7F~>S*o6{_B>Seua&%)Y(atCBa
    zVo%8vtY5VIA+Zd?fa`VK|HdSL{lG?tUVtcxq*+4Hr0@Q;_$@L8;B8uBL2ic+k)poj
    z5#@7}j`&^%HYm=S4TX(Kzy9Bse--3_4zH&nzzP-N>9M2kghW){7P5!;!w6Mi)mEFi`>+C
    zMw;O!7H(G8h5zVO*~Ie?nbbpL|f_$jxV=$v95&OUc$
    zjr^!#+QPcVLE9JHSh%BsFrrdmh+Y_mawuAQI~ZocYJ>5}!qXk-?*Iwm&KyT09Enx_
    z1M>tWb$(_y9+oK5U@6FktXRwh4YnBc#a;`yw7mV?5LolRc9sI}slr%_Ov<;#K{N^?utiS9Hl+mup^J$t7SQ9!o{Y)g`s3;V#8Iqg|)
    z0iU|I2<<-|aJjAVoM(7&8Pb0-Y`gi3SHUG%tJY^L`J7W!BR6Gcmq4#W&vUlje=+m3
    zi37hq^It!Y*O(h;PbqHE!>>WCLQIX$60JrOmnDkmY}VJMB?pzjL<7k)9hkHnpc?}c
    zc|}z846!|B(*W|Y$vlVn$0EyJA~3s%aL#&!VS_v1H-}Eajz*DjBQ87RoQ0L6OPq=?
    z6l}P8Arb#BIi@knRWK(*ghy3A0+}bTJ^tXH)?heClBwYsq2lDEcul=dksY8`5%t|Z
    z`XwA(K!$G{O@JZ+oUeUP)Yd}ixB`@X2bhHr*q~~*-YJ-WLm#5Ya<-CZ(5o*QMcVU=
    zy$*c0*)Qz-pN3%8F}m(czyqNLU~Gq!%W0tsYokfb`xg8Jz$P~2Vz{dE91VvyjIz2f
    z&61C_s+F!kmsN9c%l{r-fL|ibIp`39YisR&1%#q*+t!=u-C$;!t~9Rb5k1Z0XTYDa
    zSHDv@cMiAb6Lt?*uF#iUjE}1nRdlG5V`7fIo}^@M0B&YhBrus08S4Kv1TKt!7(Ts$
    z1VQ?~cEbl7vYNJ;^*nQ@>)Rd=0kD6J=syc+;HMo9UXxdyryG)eVnWlX0WI7@fv3dE
    z9Tf9GVi*5!aCypIw4FEZ_gFntOvjTL$}zzZi$iQTNm8YY8P0*AN8d$IxPTA89^L^!
    zim*pyI0r&!cg_IFR$xg^sce?deZi5>(r2hxv
    zDs1p0aRvYUH{N=2`1F(tK$z|6tF70wc=$OK(b)Q+!0arUAZsW}MgIa~8_UOT6R&X<
    z1RipC#2-9d+EFW|Uc-EYg*%k9FgkoW%@X8-M+OyR-|yCc+pK?+#c!MS&Uo)`VSJE<
    zJe&lTZ~7?;AJ@Dw`Ieoeq$3WHfp8Avh?Iidt*p4;*sVY8&s#9Lf(exZCGqNQ$f6#JI}K|7LmFO5PaQCA+L^*nn0b>Y${1T9J4hDHiXA%0TPDZ!
    zTo#fPffN`JSlbPWK+eaC^y?uc3yN@`(M&L)Kw1S-_O0{M0#foTwBXDSZW?83+#+L7
    z#B@s}$+mj=`jTqI9zyGdEi)zvCTfnnrUIqIzo8cR71olQ=4s(wWbu;k7ZDo4EIj#M
    z-08cd^c~zm6a|sfWC*#x^xq070E7aNGX8)HV4Q&u+H9T$+%)DzX%HsK$0^jqF`w5s
    zkoO1&WThMu>D{myeD@Qcd|TgO!Q2{ZRe83S1bRkUf(nK}PcWc4?l3ENAZJbJ4ai(9
    z9R4mRfS+G>Z2MMaBvVXTqePg2e71-4UXjTeuSt~6#?{Hw^|#p%GbZeW_kI%bt$sQ$
    z>HeUivj)Es^cRKR8Rmi|7bH>XZS=2>&gJFRoswy>YzURyq8MP0{Jz+ZCzt~1tfI}o
    z726jR)p_~?zGbSja{TlikX=PE3RW_CrbUrlz7ttUvEpbyv-o#&Lc#R^C@g?~>0fk(
    zztjfcRC$0f*XL&_@U4J4=QgKl>+YJ6S7H3u;z9_O@0Fhk)vr@@
    z>&@?%S)%?yJh1|pm;owZ$3^mPE4#cW#*uLztLV4YzLAS_G@>@&0!5UmKU~+}T-ILT
    zv|5Tyb3H4^Pop{HiPUqdZ!En)7G&xFElCH9*W*rI(O?i1&$;8E;LiLD1^2%=I`9`s
    z{|3H)KpuaFBQRAu%XXfDY<4e5-|jTYY1t1$AmJDM9K>A!1m06^?4JnwzY{5Nt0X+~
    zJ(FV`Unzj#0};HyOt}`O^sV;%nKpi#DyYc;zY^vCeeZw;z|U_0ClNsPts*f@Kdng4
    zK=52>IomwD{cn~*YW}y*0{oWH@^`-cE?t5(!Ko;-z@u|M<%BzDY~$yWgqL4(h57DJ
    zR2ldKu6#M%96uAFF)eAIjQa7XPm?LH8gCj(cpSV9lv8tnn|k
    z?(zinB;06!{^7fa2*Yf(^TD2r+dgcM}wRrd4CX%O}GJ2CC2
    zHLf^Q7F=f33hPCUCwB{ze`FiLKQj*ymca=_s0k=-2C{<10fb<2UY$I}gEJr++kRUW
    zehx(EEBsD+D-V=MHU35PJw=(H_1_CG&m!CqefhgMBz7lzIGp1Tg`D$s2Y%3Z{-pZ5
    zu#NwG7oQ^_0MXtdI~w5z2$!*oVEqsWu5gq2fJwqd=KdW7p6+7puanwlPwwOsR_K(9
    zDtd8uN(k=d2mQLr|1~H6Fd6T&z;#NuXvB?Zuz
    z#|F;wyUs<7GN_GSlt!_mew0QpsHFci1lRRcM(u-QqX*}R1byd2QyN_X+{FR31NSyq~FU(|EPnV*4L==
    zkR!Ga^d?C+8c2SNCB0KPpD*J^p@51m;Dn3X>uz(MI#*hEL{5mp8|4X9*ej%>@
    z4KWwgS1@22>cBP>7D)chKb=YyEJ5H#kw+aUx7BDN=oiBdl(DacblO1m;J2lUGyZ!K
    z&%sUcT|X|?@fG{coYS1U*?T8;<}6^LMoB%()xOC=0|Obyp8AqwbD)x4Z~P<1{Tsvq
    zKMLHZZ~hg=C7zOG7Lon)P9G|bsyr!blGgpdFg-o~kL53a03v@u9yo|_M}H$6B>&}u
    zTKeN$@GEV9Qoqip`)B>C3#}{25X^PEX^}lZptyoZu2d2znm=*RtY0bm&!GAT4XO*g
    z34ElSYiMU}%uWAX5WOK?hgM)JeTMFrDKhoCkIx+7aqex7Vs
    zGi@x(5Ty9Qxbt6hC@}7T-3)NuD^*GfJ|wM_vv!_IoQa^`XbQ@Hy+PqxKm#Edh+8ZF
    zgWB=}xPL1%A-aJWL}+Yjg>>g7Znh~x`Y+3ac1sh?p&YyWf{OQt?25oHD{$IowMi@e
    zmp1E#DE@H>*|mXYPaLy(Lqb@=6nPO#dAY=$cTK=>>&pM3!{*odSBDMwxyAPDbaj!K
    zon|6f5{Qs{mVNIH?U?Y|t>c5Y)8Yu}E&Wxs{-=cle(X5Sf;NNT)0I2zErAPXB7Lh9
    zREkf{1?|Te8H1qcbK0~{x=PjH%u)J3D$s#*AH+Wr-rk=J^s{Ajo${
    zuKP144IsGD6Z}tPkke3wWZw1Yp3}tp2uNKtiy0p5CtR>BK;)JIdHH#kZ6b(=q@2LOP$3O8W*DUb^}N<#QaP3J&Pz5>
    zHht)aWU8>eY6{a|6+WDET&lMAPhNlv)a0k(0uSg}0h?E;=8FeP-!;wjPc$A@oC=o!
    z*pGK(w{vDxjo{n|k;U>itq(tL#B*v96r>gKpyOLZ^t*=lcNUNHX2*YaU;*cistfY(&%pSefAug!n9Eq%9^zgl4sgX}A9V#8(u5bi$$@`XE6-hf)#gvzV-di
    z&DbAs2NILx>3u;9C^*%u9@;aN6#UY(K|j4SQ_5dO&X2Vf@V{AHfwk2+N&3ei`Un2^
    zE6(uGQS`5@@ZXJrzo9?>NpbbZ$|&%ItN(&D`X|b0%3=574=VcwlheQSX@GCmCrD-U
    zzh!dzl>!2(AVH54069qkmLK3zh5tR1)4zC}fd31V(|1k#(~}f`d7JHpt0IsJ>r
    z3HZM-IsJr34j>5|H0(+rzdiLrVfw}$G>l506c?pre;E#bSt(g`~CkW
    zy6;y2J6H3rMs}Sa$T~d~7C&=(ILijIi5jYjU&j1xMFoYoJk|af0e%Uy`_3?mYZv=w
    z@{!6e89fr)yLczUrktlD^z)0!PTN8M>wzg{Ry3BrhJhNP&Z&Q}H@Kr+ETNLLTt&OG_fu=+1}&<^%8?LY`h0
    zt4tJ|5ok0%H!SLJZ$;(Lol`}LI5~S&Kx{}q-m<5BIe(5|ihb3^%p?Ik&?f2N76p11
    zi#JMg&5P)iIVN`%AqT@6+p;QavNQ(mhLt<`IZ+Qu62=zdZ4?JIwW+|vZ2#fI%*UZu
    z9nI}nj0$y3sRbYiyyCz|+zWn@OgHn%mT#VE=lm9n$eo&jJaU%UToi@*?zqnGlSA}dlwG;t*%om5
    z(b>*kC+JqVoM+?I%Z}O+4|$-YV&I`ao^2CFj>q#`0w>$jrT7O90>JU~_SY3FcI{BH
    z43m+aT@yun$(pZ&Bniwwdy*1rLZen;9{B6>O=Ei7aNtFC2Zr+%2RY`qlwHgk$sE);
    z%nqC@@@lg*j!i;(@aLq!AL!72uB12|*`>W!vhO)zJXpN^aWHQs-ey0apHn;ZW+5S`
    zTZ5XSraTi+(YAnWldag2^;|h0GOy=*Bd)jC%fH}7T2Z0Y74
    z&%cg5f4#+ySK`z34!1U-%JPQ2rtw&kswc`PFQK;axb%(Qz}&$BR}{dmjF)Gza&XWk
    z6e1b%@#D0@TMgBm+T4#HnUVQ9C5xT|;)tpdbnf?R@l0;th7mql|*A*BWexS=q9!lsO4tcG6IX02z5M6hn03h6m
    z)aAuAvp;zVMvl=ivz8A}gVTJT%@?pLRI-dvx>_k%mM}#6AL4oQu&t2aN918A7>Tu+qwXO&y(6sz@xdoYzBFo
    z4SZCwm#tcxU#t|S>#J|?$AEjIx!ZX{LG$Yncy?1SJJxBVZdV|w6#gchAwT*=sK+RJ
    z&#`T+s0XBZL&0qa=kRh{g?Vd#a(9K36>OAi5Gy`<-8R1-k=3jX&#Bh**rwH6{64AD9;~xZ+xZf6!Y!PJQMfTru`2n
    zs>~A({h`8x#|a;g8XbMy@L#Or>Ka<=oe;3OTs`cIg@n#fx9fCOBK9g}hf9jC-=MA?WZQ+wN^O{f2u@A@yW3RLx-R(GP9I^5Ozf5L(#tk1;LSYTO
    zJ&yTi)CGZKJ?$jKhB(t$X4eg#CI`H#;+L&k6;0Ds9M}rjIySD4@8RN;ve=SS0)BA{
    z)`Xi-Z1_T3G3niuimqg)c|zp!`);LKM;O}#G5r*pphODe_+G3szy>Qri20(
    z8)IS`v;;#|wDJ
    zHCAe;zQ;+>x&>QeFysT+*wxuk$EDQr@jEL9`{|OO6Bl=UV#2P$V&f2_eDaOglJqXn
    z{_@iDWO1FP)9&%OcS2nNGSL*0l84TdFwVyk){40{3%
    z#TxTG43-6p{fCdTvOzvd3&jrk5LpawIII{7=h=G{6j;f)B2HlOVOhyoxngdFBVt2w
    zfj4@?cP$(i{09gAyA1w=uUZR7u*!jE<*CxXiI|BU6Ksiu9rGd#mIRxP<;TnM{B@^@
    zSD9~HSXhF$&vxVVUAJx_8Cd9BJ}^OIWBE3PH`0js{A}Ou=LS0&+t~ze-6Fg2cF5-;
    zpHnAeV?BMZ=3jq_Ge+PMER7x)D+deY6Sj6X2D;`*j!>^OO`lJQ+8p(2-+o@dr!nrp
    z=Za?3kWjFuqC$C)CVV?_jtTEEnNdk7H23j|pj3kt*JvX7M!O)HU`z6HQIWEPGBKVL
    zP^3L9Fsu!n+?Q`UiYbyoyaH4$IrCP!xrGCdZY0OgW)@Dn=QO%_ZAfKjxo=mJ6rkU#
    z9mrijls{UybF`blC8<99Ic4Rf0A1U4e^5CDeOFJOG?^q=dwg)YOq40l>tqYMu=3WI
    zwt<-e`9qnL8u#sxU3AOH?flhuIyBbS$*=VUq`ZpddQ^E__hg#(6Z!%98qPU|<{@kD
    zT*pZ#oA`UR0*|d97Tx;lrM0s=v*8~gu*9Y5#w{RdyTt02i9a~gwS+t+c$xk{$^9iw
    z+s+o80(OctdYTYbOTRXs0%yE_GRXs`ggm_ELTLJCB3f1ztphN1n;#s==?&0KL8kjPnDB(RT&%GFed@AunliUi
    zIS-8AmpR-z?|9>ijb^M^L-ox@C>@b@0ax~zfqBQq0+SUkpV1T&KOK64x6I$vG}Uh{jR!5^2c|^xO&|}Jkm{-u3*y5W+aO-T8MM3_+RH3uriBa
    zt}u704_sHaHb`%vcxSJ8JIz?+K6G3#$uetQ)m3zHE!aStv`)C
    zXEyN)KiF_jGC3;kZBXV|WzzE7J^z_^U8RFPY0l`=rG_J1&SE!L12zU5*UC$^DPgp2
    zODmJQ^IiLvn4J#Yh|Q~V?4Pes@f_^;_S@kv?WXMoUE(U72A(IASo!PhlQg|P4v}u9
    z`a)X5eq3c~X8AI?)NKeK-h}NDzrH|UB60@ilD1~q40oxUPDl#1*_LsaSj2d(dGlL|
    zd=WFHz<0B{oN`}Z!jEMJ1$c?jL`4{|TK1O=EyzYVV#%?(AI{NA73I?KOJ!TgA>?9L
    zTkOQz4y~d@nga~!b9M3ZYI1mTUwb(MchLNjOo>b>OamNMKZkODOkMGxd~1eRXY?9}
    z1_3GIpfk%OR*};yR43xW0m@XeabS`?&81v3Jq)?2oz&H&un!JJH?F2{<+_AE;ZYdk
    zpX+hXd98o4c~_KHL3MmCVY9lcg*B`71|H66Myzd#5n&n7OPZ|Qn!S;DPrMkLXxX3Mos;_Oe!plBS4qNf6hCvS?e#Z-yc-5s;kVAo!`
    zA>sUb7dKzu=rPeIk22}IaY4^RH{Z~B*mP!^oYMBNE*S!B>zM14V>h@DTYF)x&Dk+cAqFK{Da7PQ0=V&;?sN7h;f=PA#j$)wy@$m+8F|g+
    z4W2FH(o#W0y0(UV*XPS_4h!4ioUN7&kQAX}a9*@G6
    zyl@g~54~lihe@LFT(N`DOsMN=iE?CjoL=)_9GvlO&w*Z;jNHcnneaV6ShSt>Hd1*l
    zYsO&42g!&}Kg>h#-}k)RvBeIDmm}6rX`P9rXyBBSyP+C7Yp}$^Ce)C1bzz@_B`}+&
    zWAZU9Mvl$`ApON7M^y0AWiEoHJg*dzr&p}Mpt^=4__Ux7l6&~2MBfdWD@NLH_%g-Z
    z{*a8`>*SLAiN>0UbDR(tMJ3w3{#xD3pA>k$SoL%!-_A74BuJRy*3y|54n}?|BmQI-
    zc3a`9{hi%yn&vWH-xsQnVdbwcCE+ciHZH2NyUh_HPd5OX8s1l|RQw!cM!9cWdWT>c
    zNuvu-Be?m@)2hCY0c13g1ogS4T@48-96S1z6{D{l>IvGX*2adVh4Q>TVH%h_cCFxX
    z$ewkIH8H{ZEX+``FFdp_UQ0NRgZ?IRtaTq(te-5`*MPP`W7aWJQ@~xxwF~Wf2h0QZ
    zzC(ulZzCiC>A*{sr7(AcMO#Faf^TOMEk5IHk9u9mqgUC@K2%cBp(0K${-FO3PPRxi
    z8y!UfA|d8|c+|=u9vWKIumN`w1hTf8RvIkZ9K4ZFHTT-ajqP$25XkOIZ+dgy>z$2a
    zXFJGB#jJxJ50uORr0y;xIY_12+){xdUW{sGo%#&R3t%yDdFYA-*)ymORnoX&@l!P4
    zSR8mWroJM?hCUQWz>v=+9GP)jp4&=P$IyIUXBl;9k9;Vp)o^cf^nOD8%Os)Lk$A#O
    z@&i)0$L{xtkJAY|SmqHvD$2-;Qi;2f$-!UHirThaqNk!-LWk{GF!-6f2q(kBp*4#8
    zmfHU90iFdN{@SY@_B7DJ`WwFi9qqK|!6M5m#zRH2JuS}ze
    z(2QTv9_1A=&k+aEm0huLZGXVJHXx;oQZ=wlxm3CK!n&bLY923ea7_E4$bGdW>zMSj
    zPFL{^Y0l2Q@lD5DXvE=@xa(-ZSG=$T`wILnJyXCKFHVh>$F8gdK+Eo&6^^v=l81}NxEm5C&HDho>kHCiRvuSUsIsS?IryyY
    zJyqb^TSm%MyRGVo)?ywi)yNVw(#`u!s&upOwm(ep(c%CM^w_TR5dKam*Lp@36Yq+`
    z0m%%YT)FR-xY|O1ILlpx`Bj(ec^p&rGB=s9R{H0XQVJ#WCt3Yebu0suk2b8OU4q`D
    zMVh~w$81Ou-Yd^*;f`A^eF|$BA!1%-xuG?9Z=+R)WQM46^x)l72Tbke1dNnOI8$$X
    zgohY6oSYFZd0&BlT&X}$9cisSME|G~XxO5c#y0iQqdCqG3bw
    zAaE79x?Q}))L1648yTPG!Y$R_J=Bd$+I+~wY#4u?f($b4J%Q3M~Dm*~%aKp-almfmMpS{l6?XMFT1
    z7HmTa$_@m23HC<#P+=;!fNd()s%qSfca5O^!UJ0A*
    zBL;S9r`dpFLXPH779mwJZq?e^tsOmKvEW|%U}As=fMcoDr5E)
    zDA-R2&}Z7Z%>+YD&!Fa`h^Dc`Nn(p=&0O
    zwiWi+j$AbojUH^0Yk7O$R?1v=8%d1@nvVUrozKmxY_vY<_m5}Z_V0}pJ&W1vF45Fc
    zE+#f$8orl-61l^4Q;!ClijR%k68NM)S0a`|hrf!n!3YO>Cd)cj7;}^HfGr00!
    zWA8-w9klk~Gf-Ub(V6#CHgq({>EzkXQVS@>tc!Fp*-TfcRJr{Ghkd_2M;vM?s5wMo
    z>0?imIO5)dxvEQL%UyjoZd56#Xc=Qr{J9(eO+PP*z)1g~K9?dtk>LvdJtF`n!@a{l
    zQbU2WBW56?5@2)vs+DeW$s!r
    zSsT3Yq8VQuC3Jv43BuL&m%V-`{6nJTxa;)oNqgulUU+g&>h!MlN#d^MbzDYVPJ<~1
    zCZCPA3~n{ok`=DCBXmoo?E3-tbhSeB{Oh@L7&H7aH+Lk<2Zs&w?sJ%~
    zU)j3;t`~D^d~Ds+g*|Ajp;64PN1WbNgj~_r|0s1ntu%}I^7Gv#D{W<@+}Cw0+b#^`
    z3jBg?YB;k!Iq56X;4f;;5Igvgxc3~c=nQ$q=b)-a*SEXbmq5v-<6^h=x}#p-V#CQkP}_C)
    z^18PUOBI#*a62iZ6=BcHx8Hs<@rpeCK76h;z47c)%AkKczbK}R=hs6j33z>ftln&_
    zWJ7VBer0zTCL7H()_%Xoz#YmkS4y%rWQM5XiMwgHvu=e&g?Be{DUGZWyzAa{DYKrX
    zC1;+#Dbb-q2i@>Kl);wXFi_Rbv?0Hx%iAt{*QN(IK!%U)U3HGp3x7#jBJ8)}Jnq;}
    z;y+oSmt5Pze?1B%FPkCE`(!g)Lve)U0Oi)0lA>@_oIUtkw~b$p!&w1s8Wq_QUz8`(
    zpI*z?9!X|I)Hpni8SUWnyvwH5zny51B*9rx(v`(X@ff@O{ukVRX)CQ*p9NGIa^Vap
    z>~+D0NT;5gn9MB*>enr(58=@A3oy?{A0A07Oshx-$SF6*={bStS?gtwT
    zVf$v<8Fsq$CpMVX7$dXlZ3j8N#cHnWrODYwYA5ltS6*cG-E$^D&k!W}
    zM46%TYMUxG)jT0`vLYAV2PpQHkDaG4#u~q^D=Jf9$bW720jn^{oI}r(L`%8uIhBql
    z#SG~v6D0jY*Zl5obC0!j#v3^&IMK+HjcC=~_w>d`aa8hjRKMplOnvf5soQ{+KDPfZ
    zcQaXtqt6N3Zbn;;AJ6NCC+50d)S+~nh8}M_Hax1g?COX6G&w?dW4{dTb_`_jdhzB^$KlkwU;u%3=;
    zS36ASQl?xt=8uWjmzM_2X}K3G{41lB_aFHYUvau@J>t;dUR2#pWD
    zLv=i~VcIwrnhLVohfwMpBa_S!LPF	`6Qw|dxHK#F>>TCn5dcjdiClKWfj3yr
    zP7A?lm>-JoPHu4RrZ)l6!d
    zV)D?!%lS&-M(JhF3fHku)R7gh^EuYs~>NlC}X5YRM&qs
    zIFrJ^?G&x2pAZ#`PDgwH6F`L@H(kP{0jvGKRg1$k%l0LilARV{=8GuPA+_M0qu~(d
    zF9@%hA!7}FM;uq|S|7ha+ij6D4A#<3c9@96_S)s>;13y9k;T`=d>i)R;GZ9qr&E+z
    zaGP*>3JY}S^TFs}TM@obt(`d(P@|_RlrsLBNzTNq@{>x95pc75g!ov0%4>Y})l|z-
    zRONfAJGr?-m$D{k0o;7}J9-4iT_WxMTnI5fY!ff!qvBkMM$??0zKn`8pYbYKW*klP
    zz47o`o1k;a4QTfWuBH{97YG4v$%=son^D7#%4Vw0k~%^3QiuEmjPn`j@<+y#k9U!gwQO@oZMwO+#LSfN>Mp#5-bRl%)XlKV*$Bt%_`ND9?~Gp(ZF&W&
    z7T!bH#PjwUCTM=P^mwX+8ZHfviRsWq{bBbZEVQgFi5R6P@*`>9Mdd8JS1faDL%~r?
    z_o*w^%1TcHk>SDt*T7U!Pjc9)2eGdb^Aoe~vbJ$NuK-+kwxbUe=kD_0L*W_-
    zMUg70Jd;3Wp@n|EBV{qh3m2xcI(T
    z=aw&VGvb!-cuNTMQkuZ`dJFi;dAO)Y^kGzg6vX~Q`yq|i+|gDUCr13L@P_RN9&d6a
    zyr*~d-z{bfVQRI=Z>!=GVL0K4!7uPY)
    zV><#TGUH9x#1=1R(ZU;NZ1XMR=)4
    zugP34D>p0d6O0~RM>i-USVehcv}hI|~OdvZ9%N-Y_Ip|!|EJ~JkD`Gkn>DMY3s*vJQ3AA4>qq%FPq$jCgBYF3w`kVSM59{{K5kIo-O4<_@MRXU5
    zBnwa4a!>N$A=UxHilRAu5mD|!Fom)1Ja9Y81rojP6cjq7V~OpkK<)bc^-JtEK<*{2
    z1xv0gD7#i6{&1KnuZ5y?9$6o)?P^dSj!I2R2k1d(Ze#cmOTGdf=Gwy;s6&;|ldN
    zI_p`c$JVI~(ON!Lc(+7|--yI~%;Wu3(kaK7%41QHNvF3G6CS>3&rQX#0u0Q5owt4=
    zyhKJM!ACxRtNHdQ-4%36I2nyCY1CI3Zw05<1{bmy)l?=vxcQ6K<7qU1$izg~)`@?C
    z!TDfa(VKMRb_|0F;uS7MX7n}o;p?iIf;y#QmOOmi_n&p!M#D`#@Kr-_nt4_a@5}^f
    zb-PHWz8V@qY46=Zy=Uurd=L`jVuDD**`tjYqJva`6*~H8Q0QP)=fzQ}({boDOWJNU
    z#!{#3OqX$?0(Zn6VfIQ!hWl5Wv#DTLMw`oG`b-eTKO00>LR)`8CAt$z
    z3@dl3?S!;FcZE0GG|M;Se#hP77gNTib+uZWnjz~m+R9DpNA|lb0ZqI4&yOp|EDkn{
    zH$KzwtvM{-nGSIzd~IDtx|iSN2KW?>_pBF>jWumqP3$FXTkH;2O)gq)jy|dzFPw6(
    zAQY$hI%-*!-;%1`aP(+*Wo_KOs(Cg=8Bi(w+(*inS~9hNl8`-UQQ=-CnywzhTzTvR
    zcv~jd`}7^V?e29AGEehu~XNic;UR8^^GWaeOjB!n5^!%WT*nUw2!+jYGIzLr)E<;W^P4nX|?A
    z#%t1KZ!;BrcKfemlui;UT_!<)wmdmN^Ob?5cDD>*Ef`8qcK<-Sru-4FIcEdb?yL3V
    z(Lw&{PV)z2FsY<8Xj7n)DDx=QypqR_&zR=?xK$SdMx~
    ztHc~K3(a7xSI}dv?TtDb-2=aLIB1{N=LKw^zS5-8RL^yaYtXe9Pb!X0@k=(vhr2c9
    z-J>{|aUCOSbFaw2OB2QU7!i_m>(iq|3}Q8fepr0cmnK9~Dvro121iYRei32CM%!%l
    z=!UPzHOspdE#er1Z~gM++&pyH(hrZ{F?0vC--`$uOk&P-kY-6Szy(B!(^-`@BWO4K
    zVV;syW%nGrLSM%(9_$?O#9nmo+Pi|-|(8r(fF6cu*-my
    zh0ZH}LF1;i!yxlO)Xb1&fUL5&$C|tHeR0Isc3B@>bA%yYQ%WaU4!pv!>!QkqVPBME
    zOxV2UZa(!GFE)2a
    zrh$`^fa9}h>#?|rX)h+WFq`5@d!;r-;AEA64}WU7o~mV>hF2$z36QiS=~cP4C_?q+
    zYm38%YgP(hC40}4)n_`}J(>?9W%OTv$hSBeQ$pX7b{iD=xH}n9;2*gNui>@<=v*qs
    zJ$^IHLzauaTTUOZlOHlvV2G^vaV46uz&X7yh^64S>`tK
    zn8l4mw^xpci$)e5&kznwm?GwS>U9^(1YYp)#uMt6APyLI%6b46ha?9k7M<}x45vlV
    zP|k4C!5~GZb8a?w`YuJrJFz#_1hWb7c3sg{07LpKBf-!H&Xp7Y>Zv7{@0
    zWnp;+!HS-25VJY76lJu`6A-sO@@)0~DS
    zrV}DZy9X~iGtfl$g7b?2T84G*gpiifZ?fCpItjS}K)zN~pys3uanB7G()m
    zJl4Yx!p|lKGt)P#fZThj$#!$NjIAFr!WuF2M$zB+w&InUR7`B3e=!-=3rG?vn_JJG
    zxl+cMK^rWJUS8jN>p_mA6be=sVeyI$qJ|=Zy*V{e{&@K_1k8-Cn*}AD#x9?QE65SI
    zeA%j)oo`?Y>-w~4vvN@%-XGsA=Sd9Cckeyg#gAPZ${KuabOcyU1Rhd3zSxDbLil`e
    zCxEz8FgH0mm^&otl^i18K<8Ar!%8bUB{w0V@$LRf3m!U*&A1nc5^NOncc~ihKSX9?
    z!*Zmk54e_###0-=TXV;YS;i<1XBIcG;fi=~`8ZV*=Abh|+Pcj|epmT8iEj_oev|0Y
    z@tZ(z@hRz%=4+r(s_)h$p1i`}Gn`SS=}+FWlwk
    z;z43TXdF44_Z8ev!zf)yMP(6kuC4iQ7V|taEGC0BE*i;}hGx@Ok5InmBysK4xEZ}D
    zv)E{kjhR$7Uakuk7o*=Si&^E}c;-+?TimNoCFQ~-Pn%+L$`{u?nhlpi1oiOjg{G=1
    z+YPlx%-gmNSNj0easx@{&pWZrwZ2dM`@3(ei(ifH&o%avy4@;F&>6iQN+ECmh?^X<
    z%E$Sc8plh9{I*B7Q)&h%K1_VOi?c%}2Al6vl`fO{T5}}AdoxcLIS+*0VQ-S%e#+as
    za&<3g2q-#U9V{HM;2`>(-|zo$^2D{_AZ8HAmYa-P2ESt&a3ktF4dK{tb5t*
    z-4h_S`7k2db$FLIg;jZEs^^zo;uNqs!N{U#QNQ3@8S_+$s1ss`-P<1QYF^Uo`
    z@e|^e$M9M2frE7DFAg8kRVals%b0-ceTMuhJ-aV_46l`O*C=St-p(8~4llQ1p$J!1
    zsV_9v{qm$T4zPGAH{Qo`kU4mJR{VN-f~HYtaERvk=XN)XtMoM^;TSX@R-sVq89PzJ
    zf@d#_sIG7(;217XG9rCWtHPqTk*#jSRvN3ms!?5d+ytltM9oLAyu7&U9JO^W`1%!^
    z*=*MmF!w+D#i!;3r@3g6F-I`LK3rvZyLQ>p|FxN=d)b4Ct)O1p{p3`PVndgQO|TP#
    z5%63%W>pnBo?FBiN^;f5tKmL*B3wwk&&Sj~H3RjmVIO$0_QBP-AFLxDV={~_;1bb%
    z^+EPK>GA`fY~3qyEp}8~iRmN=f*B_b%i~Y#wN;H*Y@oeg25)d^4Mi+Aa#D+%nmd`b
    ziZ&!HJx$lBO3?CvcsMNSo-^y)z49w6BwgGVj$VUG(2ct=_{~0~Mjv-myOw)1SCSv8
    zPM;OI>fJ=e`}Ge>@h^xmU{s7zKHUyKpvJmhMNhwQ|Cxw7M&)FJH#?kJ?2~GzJilDEzljD@ol*&^izpbWmpA}cirqSOeO5?pq>Bojv)
    z&ymK4%MjFX&nSuxIxUUswW^0;-3V_v`eJQWq)`O?kuqf~MjOha?}u3a@TSU;8V4;x
    zPR0*ch~hlLh1PVQ@AJue-A`C+O#rpgfKP|BP`BP~Ry$8oTw=m}<{4;Rclg1J^qPa?
    z^$d&3Z9unbIeD9NR83)@SH4KvhUaGkcsfvrTVJ`;Kg*7-J0rDFh&%$@>!A^h|iw~vp
    zYTA@i%k$?M8Ri*8Oxml}2{rjW8+8&|dBeb;?m1zOu23ymzLd?K1xHP#O{VQ1k7pA3
    zvglz;%6hrbBc2RriX*206}xh`n*n13gzt|7KCc~5P}mUkXeYFIt!QY^%DUWB+fA~=
    z-%m3He=AA-_P|R5@tkNL;U|RDR@C{0WD|aHyoE6<^A9_=GvJa34%CHGPt9-?4Mhh&>eY9}7mHvpK
    zBcp{*m9;X7K18B#Tn>k1mi`VV=5zS6NtVE?j^k9m9Y)ARrUfpEPilwaczh^`@T1m3
    z1^u$%lO3pUbj9LGTn%jBQMHmxgeK*rDJgtY;w{u;btM%omy(-1vSE}{M#3L9o1w>}
    z#!DVBLoB-+OKD<@-$M2lPak1C@ok;*)XmGr;xH^`43cChX$108S$AxT%jO;s%Cb2?
    z367#c#X_^A#PTANI4*d%Fj@=EAqJS^zv3_!!yE~JNUJ5N>tD`Dp)e+r`bKF5*3EQ9
    z7;$7Em@k4A+b@lTDCM$rre;(NywVyiMY?Sgzn_tv-bbC;cSWo-
    ztgGyTEC?5)8Uo<#pFeatIJxxEzuS2xGdroi@RgI`+M*fHwoVXN$sv%
    zl8u-_{Jk&
    zSHj}hb>O2*={-p+*XGQzNtSCz1JK^+u3e1gGc%#fP>O4CRvld&n>vVviT9MQnpbS$
    z#l+XE^iUIr63a8-X5R=UOd#o&xTULa5a|JgMo_^^7sV6Ztxa9Qnzq|jTg%yb`Zesv
    z$E8f4{!chuZwpI9JQ-*c5GS8IY6?({G>?5UF1)K^~F*&pO(A>*e|2YJ$aAAs;GeM
    z22f39Vz7wL6>Y?j`jn5RP|-Xmwh%2qQ|@4e2`a31tqmST-b-hU!^BrSHA
    zNj1^}lb*`r-huSao7gD6VRswhzg~0jMtjHgVK6#H+9S4nY7
    ziz?qtQk)t~;FZ>wOTu-`nhO#VA``;SeXCuBq_@hhwe*d@TV!>5X5#N?zxyqqOkUa`
    z75cRg;_;?!GL@%9;(mhLJ1ZU+)zu}ZZ!4W0TfHuB+lo%Sm^_`a+mgG=k1Yc?r%Jz-
    zClQgO)tL}aDwHowp9y@eTflf`Jdwm*yEa`wqMbL02z**;K7~tjR~1esnLN8_?sMfj
    z*o5F8H^KIM9l*DW55}KX;dBuqu~r|luIm!G^gR;5%8KyhYLLP8irPueUHZg>BG$>I
    zk71(GK2^$~wlIiPd0{e#6z#C9-rhw2t&_g%(S9qkde#~TPG8yw
    zy^YJ!-rsKX5Aj{&aU`g3TQtG7UeP`ORqL>JFuPFRh@Y2p_f`Rns(7}aOXEF@heSK2e)4h~gKZ-WqG7Yi12RQLa`5Hx
    zm5L1g2&J+JoA0|!!J}DuZmH_6K^u{JFmp7lCt^$4)M-<(q~#u6r5A0QjUydw#cd-C
    zBp%5MT(!8{#6U0E^|I02p)Hs#{<51r#L#?!-#)|n40nmxm3B6#A*awK8)-2+%AGxt
    zt8V0e%ug8i8SKsVr`xAY6^p+-9k^!i6;Q(LCuNz=cpk|!X_T$bYshNUvtJ`o5UPUS
    zdl{Y*xTDEQSNpl7IuaBeA1FJXse`|*(24VHWUJkCfkH~pJrpgdy{p_S=w!YOCD8>e
    z(Qq*~_nlTRFmdBoKdihf(-vO8@L1)LL$#^X&_RZ8M<7w*%qQF$MeK6P4t@8kD5YCp
    zDR1$*C{aKA?KSQ544?;XY(Z@PYS5ms`WaRf=h?u>ci6l6oQubW)
    zsUYOM)TLD_
    zp;_+xAd)WEEgKy|4|q>tDD`xC)m}!&85KkYftc*MpuqU+$v!Eu6Fzc0svf6mY
    z@=~9BU+Z%t^ZF4NCQH^RT%24Bpfs^0%rpK6>l^}UZuQy%t#-Z_oQQ$!qEd}{Vs36%
    z!iMdz@nGeiEc-}SS6y!6OG0-z79Y2lMSn5dU@N*j-p?DgPRl_%NEz2pUxe<(O1pP!N7h)tGwrQL@+;?F6sU7$
    z0-lkXCQWzbYFbCo>1Qdw0DXP3+rvbU!Wx@ph)Q$IPuVmp5|p-@$2c<$tsfeMUb`ut
    z+bv;A4V$~g5}CW=**j$_9DL7ZnR}GRM(iQGY=@=fb5|F?gv5f)6;1$6{|Na;+_
    zRFsg2T2P-wy5)K^5>lz@^1ZKTE_>^y46lwKmuQg$`4DBO+a-}t=#UoM6_75o8(nvv
    zok4JvgNt;V!ra>1e|AN{1tI+P{Hm9E{RkBsN1vT5^(La1$MHXBNlh-hO5^x=6FHvQ
    zc+g+Map2spFKy;qzcpnmXL{z}=oV;LJl`EU?pvxRY4*>T%~PQ|stg;T7qe&M9UatZF0SnzTb_IO%ZX658?1AVL!0?XSYzS1x@_ZH
    zj>3%QNTW9tAI)Z_G6$>H&hDRMc6UM8vfX7Yj4=*x_Pr*ssV9RjY*Ws&_j5pB(
    zW-%}guRB7eTTZs$vy>~U4xok4GBEe{pP7P$f`>nP(EGP}{TR*2!v$@5&RQQD7VJDQ
    z$ZyW%dE)jU_chg7G(V|BEN_5+d^K6lK-O%IZ#E|FrGW@J*Ddh3>{{7vuYc-vKGfd2
    zxJD4Dq0AzW7RXmTgAt>D-DH$6XMalXfLhNmqRpkX;qBE;`o2Z>;4I(XL_KNakL6^S
    zmSTF>5i+viSfA<5xs?W%ra21^$%W7sRvM;WJNVkRWgl&&7#cF}3g@HYN&oo}K>Rege?6$^;3K8L*CO&WChdo%I+{)`WF!MB@W
    ztf)Dq>FHZ!R(&Nrn$D4$
    zA=yMNu`U2JW#AoH=$M=*TYm;W|M_q*db~@`q%u|0bmtCEHk_U*%L^DF8vFcGc|P%S
    zFL&!?WL=D2j7r!oEotc0Ox&et>mTE<2`geaqp9-cyyf-BKqwTMLdXjWt&y1Uc9$Fk
    zVHO8>(NF~1=ElM!_2!O^`Ga#w`F&K$t1M|&@z8r;*AVagbHEc1SF6|5n>Ic>Y+q!o
    zdBZnG>KI~a{lK1@@vN2A##5=0F};>(i{#;4`(QPG@k)op`40Ln88O~uH&UWqvZ;od
    z4cgAsGJ6Po>FOKZyf=zwrUS-PMih3Y%a
    ziyOo|I3sJ*L2rZNj{%AuTz+l&Ygl^BC2I~)c}IKaGQ)n{E-qk+cF6>i{|&{0dm4YP
    zsn%g%B{soU0Hod8fKxEiM%b#MDpmU?EJ6v4rP5ui*2kABkqi
    z{g5AWfelefYkOa!lnZvS|oi=)IJ*`^ix>ebYTCJ-k5!%P{Q~
    zzN4ozMLQd>WKo0Fy+d-Fi8ccqTW5U6KQ=x$YD!4TIXiaE-X~_>uBQ}Hf&IgQP
    z<;GialkX7lIkhBV%5dxLM&UU(6p4#Btdx{Pvo76R#x}sUX&I)n8)s-`Xi%pa(fh5w
    zR;kbTb*UkbfZ>$dxxOd^mD46(h6>@IW->0LDdo*jO{^e(gMYN2*fZY0U18FxhJ&UN
    zZBWH?iV3z#y|N_>Sx6O3iI6yRZHBp_c79f^&gYqr?{Lr42*Fe1syd*%!~C!etDp!)
    zR3n|@-HNK9OMGiVA=`zggxwh4XT<)oY@0>2#dp#&ho7ryK3k0gI1s(9Z|
    zkG`+3M;4yIY5&P7sqW8g(^d)K+t
    zOo{cB^yDkcKE6ttIV&u{nvweY_9l(?%OywKDEbUVYN-jw8|yts7UG_Vh^E{qExQNab*{lUUKM+iK`f2@zw58!GIc%WebIkPU}epWD65qSD~x
    z%?sn^KK{kEJFw}ZUFnZSvbB380l1*haxp{aXYSW!DvC~d%nvG954{i|TZpOmbR;MZ
    zC1Fvfyp`nSexafWRCs}vGPGDm**iF2Zma(BWwFb&$?eSJKL+8O%{=)6W{*dQ!Dt!u
    zi;LRDr^lBEb#F?~EJ8mOhqOO<#jc%_ez3eYqBCx7DkZ#*|9iqS6y17h;<;vTP13?vgZxKK>?lP<##ADRY-wUtXbisGW~hR2~!Q@4~DxNQ@D
    z>xe8crIv2Jww^kj^Wctp4P=VWr~nlcfWG9gtK_8dm4dk~fWs;9%J`P52NS(7@Ip_h
    zEzTWu8@w%&Z5My&7lh&@e42~E1YK53I=t}X+QX3=tVR85r=oXfl74<7xb`%_@aCDx
    zcM~+#Rr4~q!X1H-43V|jr3m!HM~RtgGpj2k{7(cE!Q5KO!S%NNRs&}p-38P5%F$vX
    zf@X=}?p^`ztCugqn;c}7Z+_28NF~;kA>K5NKP2#tjhhdayyHQn`4Oj7A?IO$iOqGg
    znXHd!X!H48-ANqH6MHLCuY7d#^VhHmFIcecHN=+^JBF~z?~>WHCS?Ab&-D~b9GFul
    zW!!d(l|}l%5=pGq&Pq@V>k!YcD~{;bug{O%1T$RUTj`7#0_vjk8uH_`6vRa`zZWd5
    z=psz>W9)T#^c8X=)YX>obHnXMr^^~nM-_cx;?iQ+o~Ar+^e`%ifwLmB`W=eDR?t0n
    zG`5N7C<*ot)Y7rX=WW1j)w)qe=d=yjOMh7K4ZApKeG%i$XJr|6fsakR;zu(vKfme_
    z%J>0C4>6UVB6FG??YeVj#gf?$Od^KU)qYVQ2dBHeJDlHVSi|c3=Jve2d194!XxI%B
    z!_jj~nf+1X=|SX1gH1i)pbtjP7q7Q^Y-Ls#P9om1z%A#qNF9Qd+03qtvYmD03;e+K
    zY51*YCvjTAr$My|{=`hpc`|(bYpt!M7JwH)4ObbTil*=Em6I5#7fbdX8b9=xPcP)u
    zF1|x4aJ^uzy^qPa)n>j7uJxI>EdW!XiQTw)cTtPkgHzo>Ey1Yc)!VfA^u-7cXas*Q
    zO)8jtn|&qyI`hcJ&d~nosC1OLD$|^~(Lgf8cj=W5_pQk3tn
    za6Jv5%d)&RXb^nQG~SMa&{9pgSZw5E>^)kJ)2CMLMYolm#
    zH7{B{OzC3AXR|TNq?t!DG3=6gx7x-><76PtY}X#Na0_YiEozLO;Z-;v9dPq()pv2>
    z(nkf)WIg&iFJ=g)-siF`oK1g5jEmW;ex#)Rab`Q^&`gD)w?j2?s|_t}MoSFGrM9;&
    z7F1gJz~n;@r?0j|h5^q%&BPFkW$Lq~x<#dK`o8ww-KrxV
    z;ha9dATOH$$KKYNtuL%Mf-4yxP$JmklH=vLQ}6BD-F#iZexIC4EO@VNo40yH{&d(aaK@egZt@Lzfq?wsbc$P%!jGo>HMB-(2gD|_P^z>ATlim@Cyo<7hF?_N#AdexX$6LH64Hys*
    zAbx}Nk_8GFVaF9-zhR=Va5=z-?$|j~#(zgG{DOu{96@tnq;-Kv3``DSzz*vIodg8p
    zzd;Y_1BK+r$1E$0N!7kFBX3SKTR5a0)Q~2;lKqfDjpCdAcX%O
    zIgc?w6-F%r;K#Zr0P>uK_-MyNqcF=@ywZ;+02$RUo)ABIYA2Au&Q}6I2ryO{Kmx;B
    z^E)wP)&CK*R0BkdVal+0>#+;}FHR8uazg$UPyJ90o)hF(fSCX@SNR(!Y#8(+P{{Bf
    zG5<}gK)|pUmw@`C!5=@i0V{F{N*pR74ufOtb^x|tpCa&|@Bxyu1AvL)NCXlyyaS+!
    zPzqznmx|GiE^686&%
    zG*S`AZNGRTpE=sy?YW9H<>5Cq~cPasG*@+2Tk0)jlL
    z3lm2kO=tOI510h(x2Qn>3_>^zC64+F5D7<|WCn)k|D+5dj*>VLQgDPg?5`t1NJzl&
    z-yeS%gFwS2jt18JDTKlQUI>>&oK!|aCH^uHDT(+iX7Hp!PVRvcheMAXIpY7nYX`@Z
    zAbxTalq3Rj@{CcE_^|%dXaxSM;md&ka0D-t6#r|Tr1;U-%6|^496cZ;U{LspP4F@a
    z_(?zs7@pW)%E!7$C_Y^F#;g-P#5~NFd=7NPv%o
    JPgzF=_&*`>9E|_~
    
    delta 277880
    zcmZ6yWmp_t)GZhY2^PWK5}E6+4(s3y|ds;ht(s8LA11f>1n;qvio
    zbi9zd?&m?cFgi>#(yn9zp+B-*V_sKo^0Qu}M>K)UA1?lUhW#NRr02!j&UZ*pKD)~N+m*r0_F3g>_F*d;(%-J201@|3p3$Y{q-q!$v)
    zyK~*>ltpnCbdD`{P!PU!QNa}SfLnDpF*zb}O4T90-%INp^z-zhbq6wnPi_(ijc4ac
    zG4)D~hhFEud4dM~zW^G;<|AV2_+c;d$7WH9Hh4j!dHh>H-wn~P6)`LYyPHwWs+zsJ
    zY)Nk#aCr$B8>}j~!+(GjTSy)~8;doya?KYS%ENY){{O%kF9miRf2z7y_l|m0pLK-v6ac43e6>eD$2T5?7&CvyxI^OJHUeZ=QUb#byus8b1*ScnF!1Nf@*mlo810
    zD4IQy2zWAL_a{{A5*Ffmy#Z8Nu3&PR)b8?InmyNx#q01ynSVKO^wyAdTH$Ki*AI}B
    zmGrCZ3pcV-mArj7MArwF+f3d1*Ri~~8A^R){0*g9H^i6FvA1xUd2~>VNU>)6sWHQ>
    z&6k6L$rG<4dM~*g5VZ;a9&`tT^%AS|c)5s({##f;ljktA8#f-+!t^P_)C0D^=mC$|
    zq&6iuT7ANLAGt1f;g+aspMKL3D+W^};RKFO0tuYZBbeJ`=Mi6(>wos#RIXeHw>slyC_2o2btYVJ;xcBO-l68Mn%`G&kpIY_7{-cvc_|ee2e^
    z;OVW5Tv_TT{QVUa`SXNAvaZt4Q{r9j99mM@sxc(RXATMprL#dZXUTGgEwTI3Tfdpr=vmHM$*`dQ0`2sD{~N
    zAZ3<0!uP0`oGP0
    zCr}zlElvx_Ey~*|^-T9MT(^a)a6E4apkp>I~4pJ@6s
    zjRHd$YgYD6(Zgwdw5hs>fjoI`-oCRJSwO(@$cr#fw`YBnJk=fDTS4sXCO*k^4IjO_Gym3eQW9vTx{h`-ej;Gxe
    zAKgt{1OCX$skCSS9Kx{n|Gq0CR5UL1My#1AkQ4KZft1_
    zHP~e=#9y~y73%E-ddjP%_z{-(P*gU?IbN82*vKGbQUat11ao8`%NoQ|IH$t6rgeN_NK0G-|jqAt_s&
    z7&q8NUqP9liIa`-Lb}AT?1E=sm{>QLfcO-jAG2jyB^{c1H&j?*OnR)-e&+5pR38l+H!M)-w?CL%YUCe`CWd#p(fr@7w)
    zEGuJGiHr8k)i`E#zfSNg(z82A-J-PM7hC^nk9$0OPE9&D2PAT$aZQO}@i*!VbtGG9
    z)LHE2N(BD7drI%au(Lzoe8)LM3aLcEeGOr2+HWuc-;}iPtTfY_uq;yyl)enzAIRP&6Kgv#miT(
    z?N)!V9$rt5cKYfm3Cv{%eImzE#Ecl?yHglg#LNC
    zeOzAT+o&ax^kz;$j@JDt62ymNayY5Wnkq|++T(t0eCBper
    zw?2k3B%$r^HCJ11ucO$T6FZbcslJ-npPl34+!4u(sB#F{zj8-QPrR%M$C_>wgqIST
    zJDOiteL|f3U+L&$@D=gZ?VEgjgoJ;bbFOS*cOklUFUun>=7niNdw2@K&t(twzTV)0
    zz8!oAW)C)ijSn2CR-DfanI{+yoz!1*3;3hE7~Uo>YokMz2%nauW3PdN!
    zOl&$IR8Jlw5@r=WIbj4eOqH#({qP#ye(@scyXIUTQBk@}Ko`o?-_DjJ;{A8IkB{Lb
    zbw4YHZ>uFNdpg4r-_xH^hzhgfI@YbljB;
    z4X%+rB3b0Oulc!E-=_IxpIfwQ6^y|Pf6D$LX244+A3n?Uc>n;9$9*oWJ3MPLk2!@{
    zJZevKT>aL1Y)(JTuWEj{yERjS2p_TRHt2rRVF|v}j#9mt-rawNEM%nfd%rwL@AqC(
    zO~|V=Yxg?b>?+}J(l{a^94<6)DZ_6q#}qQkC!+a6`Xehkw0s>$Gl90K^xs<+iI@C3
    zd6{2f;_KR-Z-4_w3*1QL%ZnyM*vFRRtkY@tKTKmu+2FR2n}p@)Or5F#h0S|vUA9Kl
    z@AnXguAOE?2=}g=C1gNGb~+^`OU7+@IHsn!Ma7ZrNY?3VVoBE0hP4RoCb``AnZ1Q@
    z3)PAuD3e=(yQsL!O^12_2P0^l`ND2h2qbapzJtMF23*BxW79YpvQ)6VihhN!9GfgF
    z@|`#F-occ5+Mus0h^F;Y@8Y*JE@h;zzt=uRrp3e0w%j*Zjsl&RkP
    zS-+YfD+Q`|{mqqUXj*GHe3*2WaOOws<2NuOyQvP*cO8piP$@BW&3+JIGA;}
    zfVd%Bj>~_^g{g-9A2A1%rF~F?f%v|Fz1T|!P~1-)`VrF{MDPWhp>FWA{90}t>gtC0
    z%R>Klk(`HXYjlLbvo>Po-=E}?47nn7CQ7<}#|?;?Z@wG0=+p}b*evD#iiKLJiBc>3
    zJ8{i_tS}P*V7r8RPly#tw>R#d3`<}*zo+EQOszjs8m^v;+94~m9ljUa&=C;DABhTt
    z0H|F%@cgwptgvX)MvT$m#y(tJsIMNo)v3?!+1shzUHg2T4KYO@yl8!#H8{*0GiLd`4>!pk^me{i*3bRo#eZTSp9kpdPj9)T+{!emP8;
    znQC{bkUY5zm9t^N!+a|_@f+>PerZT(O1x-Je`&}tx3kDpLnq?7homK~M^+zqh4(~l
    ze1e+~o#M7`MdfRC&4MdUZ+E6e=`6butTzc;eiaNw+lmRo6_$#GZB$ZHmMP)JfK%lL
    zZprQ|J5P%w!{7X?lEd#~%isaEYu4-<^^RIRECzMLHClwY>+O{~CBjo#(Cfc`(-DiY
    z6$7=TF;K(eV&{rxs1wqgG02$3-8k!zidI7!2Xn48Co^prr1iDam=ZP(iN%BzJbadFE
    z_kKA3uuShNPm;EZZbcig_!g5K-+ZxufUT&(b3&aonw>{$o@0K_UQP7)31tCOW~sJM
    zd?jZ=g|F}WSfS1+-1MY$8hJY1gPD^Z=3i*5*(0SWx8$o7X-u^WU(w9MB0ZgtVMJJb
    zggx$k+_AS0B^g@u?8d#$`GKTCE->@*2=kx4ET%L4#L{i9xDMd%%$7ALFnr&dAib_F
    zDq#4+Ex1(I;dXE)qiFtM%DdUr1bX*kd`2$-X@xQpt@gc6RZ!)2=aFRTKH+v^Fgt1K
    z?H-i#uYR6N`vOwIz+6bN=l#d(YV`*LW>yn^do=MWiDjR+2zgU?B9)!q^rCk)Qp;%E
    zXVR%&qQ-=6v>N!{;Yq=*MlgpRVP6r=FSTiO_(J%UE)MgqzRc~#RgGAAMFGx(#psmo
    z?VMF#fC(RDu`km;{N^K;-v=ixzv~9eXyh9+R6^lt!C(dPa@GlAaX?U=DVlS?z*{$1
    zNGYaRoD1;*WmrRd8YLv2W$z7=8Jpn5h^
    zK*xmGApc+bbaL#sVv+Ht76xaev{;w
    z0AWIh0L*UVgQXEssXuZhk*IK#6cVn$+b^x2Ok8g|H=A{Kg{}@8NOIBA
    zF@o|QTYIWF|4#E|T+}m1(3HWl2tSD_&99}#Cib{_E}sbR9m6mysQ$Jp=1!%wDdmwi
    z^YU^I@EiMmKqMq*kBo*{`uz#j`4R#jSnDn$eZ!<2O{$x_sNOno+O&7eCK>li;mX??
    zprNUZ0Xqc+EwklVrh8@SY`4aKCGfYf^;)$uR^$6{8LT)r9E7Pd*cPF`GsAyl?y$gF
    z)q!XtielqyMofl>gyZQyL0it9ncLuiFXR`c=Tl5Rl$P&Kt%JmItVNR63r9?WSZ(Vg
    zmb}jNZVn%}-tA0^IvA>FgPxbq1i5N-C%)-{74C2Z&=Ats3D^9)zB54nuF9BzLe=Yd`ZxU
    zDMuGB1~2`{mz`x1*(A~K`nSQ+52uQBZL>&lrB{-fFlEzuvIZgaapCMZqkG9M{$gNAArg7aLL
    zQXVqerVm=00~6IW8{;nDn(I({*2SrecrPy0=m)EwwH0YGdREp9=>&r^1-52PFn5G9
    z?k-$eZFM`Vfp3bVS7eLs8->97Q%_upoIR{O65`k1Lr3!`lW|tjHKCyN32!UdNSWlC
    zF6u*Q;UpDl+nhGKQ*+7U-ea1>;VO{v5m^WD+{*^)DQ8~OgP-C)YlnI}hDm`bMr`D#
    zd2U`#xfuilf*FdhSWY+uc6XW(l}X1xm9|yZb$aF^dTBOJWF3Q+b^zb7h$6`&cNO1D
    zdRUJzv$vMFLy%%_@pA_9<**+!>SymOK~8-N^to0{_Vr93E%vyn6-f>lIwm)fm=X_%
    z(87tza-_Lt%S^G797Ljf%%eblJx+hq`iqnX`6$Mis~z8cMBR*hoj@$b(D?#ugN~#2
    zp+L7dglYaJ@ropey=SsPUo^WrHyD^SYG^)R=Njdgb6POM>D-bmxr<)t
    zr@hCGmm`JeF4V8=@E%IB56q#5f}$g<+!^SqhcE)+5Y3r+pi*%oYZ<1#c;yKz-5!8*
    zHtmy%&oLksrD5VE8yE7^f7X&)8#q$*MAW<6bBic-{99;!^5+DmOBq@hhBF=bmX>6V
    zT5Dpj(Uc^LO@vE011^(_uc-zebvboQzAlsctOe0skKy2LGZJgQIU2!aym+qg?FB@I
    zV1R$4y9EyjpIKD!Pa!Kuq)$W?!*nM`yZK62658?GREkPQjsDQe*}o<*mG
    zl>F&t^B!MPGTi*2stL|`3SsltmkbfdD7&&#-O5}UTZ@nDs0v*k0ggsX?t(~amX<%b
    z+I&JvA}*Cq0z02gr}~*-+KfqrdK|nup&td9owz1bu1yCjpdc>IatCDuJtTGF6O2B`Ep4
    zR#)?ydv48*Ul(e*)o96`38|E4+kFPYbpNnJh3mF-dp%*BX4Ae
    zC*8}TH#?TC^1HS3XE2S`K=!)=en<1?GX7x2?r1~?U{n`y}dT9
    zM)E`pJ7=BoQ4CB_Bq4^~T<}(=-l4m_>iJPxK>P;rgmP1=ocP!G?GQt~Yp8@#jos{c
    zpC_Au#-L-U^HP7kuwPY@R$JjY2aC&|X030jie-C0E|b8yrmILKSlQ<6#3RU5+SVMF
    ze)oib5TKrn?A^UU1ArxW*pZ%7FWcC!`!_Z3(7A#q_wKrLx~re;m3#emlO%zw2HwR-
    z^vMZYb}KoH+@)fAHzbgvJ}H<)KHrMhCA_X-^9iGpjEG3Ba@637xOOtGNtSE28#-ak
    zb$|a+6x$ANr#f4(v*J;jeDur#YPHmN;v!~WWwBqOpQ{^`dZAPJoIt{
    zf|ZdT269u8xH4fp<9U^xQEs4Wkryq}peY*K!*h~9<(xp<9!;$n!EXwphRU&Xga`Z-
    z(k=hr)eZ>swu_2K_wan)_kzhp!FrmQ5Wd2qk0qbEV;C}YsPf{e2PoU3k3y4uN_a^0
    zw#*`WG*iCnmb&a*+jQf5pxl-=gnE#)xUDkq_p;on1p;J)Ib;8$!QoV>Q7(P10eNp;
    zOSl|?l2}Q{qQms;N)cM|mQ(AF1}bm9O-+!cTrWnLP4UH=eF&K8W`Yx0
    zii`Vi8PNI-MVdN$nEyqALH0e^Ix3Rq)n5{UX_HR48S=!h&_bUz;xa`hzDqN-Fqg?n
    z`eOiAx6gc2X7Pp^Ltca%XL{4RfNje
    zd_bg(^@X`rNsO5jk1}etfDV%_Qvy`2YR;iOi(61AhRGRg;pB~s7B7iwdgxbBMGA5txy!0teU2S@@FI#X^-^%d<7LM6JNyBPm-X
    zQuvx&SD4j4e8GZvM4Rn_?KYPQp%SD~M0uT8L^bc%73+>N&UPM;neZtZ0RsO-1}x+a
    zHerwy@+Yil7}v$g5z%C24dl$dF3=6wtkgLU(RADw~fHs0Vki{
    zBRQtWbr9&;BQWSbFQ#{H-`MLma6lR0!lqXIri#bOs2P!(H?`48S;g4n#3%A`#|qC9
    zkTtcYP!)IrvY}+0487T2OnKT2QzR$mOzz{gto9>JW>$?``0Tz8w23*U@_b=cFLzF>
    zIj4xm>J&Jv8SLi#-!!B{YC)#|kxyixLIcY#aBbVy&=Oy7-@v$p<)eCPm(OI9ZovDhH>K0vS#8fRttT`=S@F6lq?kUswMNuW5jQs9|3vfGo8^ST
    zhQ^vP;Q~ZmDUc~5{;xz{tk^AVl7rgPYvTL_8A>tE?IguzdcV-F2HD;vg5QN4{Rf#>
    zjT+tD^YiMptap_WpAj_ZH-+qLRoR3iSWn-MmTqfXxCteVu8(cQEDT>CkZnvxLZQ;I
    z0q|DGc~|bUkqow9KmO|FueRWVBg9QIHD@B;^+p%4;Hk&y*W2A2L_9^vIf>Ul1BjXx
    zU-lJpdKqM^1#ZJyy;-uaxZTNc!SY^yX?S~d%fM31Ebzo
    zyjlANOot!WE)c%2qA7>N5Wbwuhb|SOeVmPTvHz{GMv}}LaP2Zh+&05X@){nInz*TZ
    zcW76Sx2&VgT64+W=o=+`FDf2P{TJ^9v%2LdO~gnhRU@IWC3R7J@y3sle-b_GoJzd~
    zMYz5ovP1+K9kc+hSN=n)?1a6{K3s2fdC92DlH!jQ+?UYOF0FAr68@mxf%(_8J8Zo&
    zNy;62LNw;s8S1(Qe2W=QD?Q)?s`Mb3*EmIHgS`00scD&gYg^IdIgOIb@W3S#RUxH@
    zv@-*Vd6Ug@%j$xLHHM!&JoiwdXbDbGB5<;!tTut=yqll_R87g|2>*3q>u
    z8Xq1QMe@$Nje`L4p01P+=k=vDQj(&CSk=&8&Mnss^zPC#v4E
    zCR5Ei5Yu;2v~25h%vhvRu${iSFsZl{(uMHtSXKb~71$@3cnYa%FD3#>dRfG}K3OCM
    zvQ%4K*Vl$zszY(%&XdxDM+hV48yLixS9QyR4Pjd58Vp%gDA7G^_yfP(jQ{mz_&lNIIm+mKC;{
    z&ksZsL{##F&$(OtRK^p+oa$c67!jK^l?EZ0rq}^K`?0t*m@LA};
    z$>Q|^W#QJF4dLpm)(%t_VH=R_#sn&2be@EmLbke2R=3ZCQG|WcsoMhRWg2^DuA`#
    zIFWpsLE=SKIEErb6rxFM-M(O(mA{<~=I@e>7mURFgLzj%1KKH~Zba--8=|7V@H?H8
    zcJ6uwD{1BeD}}7W&dd&P&l)RLn*mcpl_&|d$y`;_dm3lgJ_HGTAC*Ee#LTx-Cn;gt
    zVy*WNzVF3h&UC0|a$cU2{V=#r!ZrOZWP3gp>FYDxdzmRjEf05m%YHHmk<9-c|Z4XL#R;VNVlF%bN8+>d&=ty!sULr0-koxW)X4
    z5c8%Hd-jV@INv_7tE-ghj^nqV!D33+!!yHX^IdC@V!Rh@a_&6k?(9_%VH!PF)T=fD
    zlEyq4Cn@?$EY@QV@BTr#UcgH1c{8yBdw0rM&9~qE-D%d0r#xd6J2n4-bmf+Ks(Yd+
    z5*y#Y_Cvgn`r9ieZi93;zbZyH>58>!|gYc~c+7aHx)!}Hr_({{}hf|+#21&fuw^E3Vhw(fZxz?#nsDViRB
    z=0U)jMFF>=g=(Q<+>Qwi{N`VeqnP@GtILetE53q0oqP4s9d!hUcl2KU#FZo%xz)9q
    z>5d=u+|dc&dF|e}*(-6(R3&^0Efa%ZP0_9RN2X}R+3E8)(YBpsjbt(XS!Wa_ME>0?
    zpXU_NcdnhByiH;PNazIZdC6brh#P4oaXF8j2JOf|qSpY63UQ2J!K_5lk!O8jez_w{
    zx>*W=F@+JM6n}L(Q2)B473f6NRRph?Y;66~GDJ`02(#B%YR8a!)U
    z%QwP)D1F{tB)tP`PMeb4ms<&I=0$Y
    zxs1E=5M#3CAHSlle15Q4Pmfux;LTNbZ0DBR;9j73o+-CWMW5jAT)EOs>X=cfnk=0I
    znBtq9%n;zN{2FOM1l}+*ok6~>FEj|VMDv<9u_JgZDN4y1)E*U-UK7mfl%{L*w>#d~
    zTA)BV@Z#o^SwdULSUyw$E!LY2l+*VCnbb%Ap@1ydsp{s)yB|DvdPPjTp*d|~B;l6$
    zTT+8eIVNE$m?!H4q*Z-Dudk=DW;w>7%qexU$_hxCpGEZ?ciOzMFJ8PiW>Op!z}nW;
    z)aRm<|6*~yAe{iqo~97jT!!tQ`ljIxt0AyMRjahiJL~-NYKr>$n3o*#DSv>L6BK?Q
    zFz8eK$~SUgYg`eoagH`UE8_lYUAJ7*bH~4&Yk5$=014R0WaJk86!>i(Em^JJFm-6v
    zXbDiV7@`=;b5=FfIvmmT=fG0Lo?We_1m5g2DNjJ$S&-1~62^Ch0lIv{^N=%aszfHgXI
    zeG(Gbq^0nS)yI;;BL)&^?tTSwhAqF-LK@Qi-IbkCwd^?OzTP2ZfO|2r!XuvbfFZPG
    zvANM&f4aR-+ns;S3DXL-nZMI@B#Lab;Ieq=_ADoxkKTFQPa($X;#zY!{PT9&fIv0X
    zUuZ`$6bIb=N@?p|2^mTg-QDOf1?QejE)QpkkTX&YA&m}LGcz5cI_9&7%RpiwKyFolMQV4v<#DuuRPzAQTs!WFLc#Gu4<
    zAT2P5*_#)w*kIvf>LoWzHfixQKykcZyBOAz*O>vE^7K62v#uXPEmhTV1z%-q#J>|g
    zy?v4CGBGJyUr3G#ncL-(IPYDa=IiwMu|2}?rHUrXd@aKa+RWkSSZTb@#2_&n9GQR*
    z%i1$l8jMXLRXe>D`F|FS=XkpxGm`gjioalqdrcqaK_7uMC
    zQN^;DZiioITU2SlrZM51{_b(C)pBKf*+qS(sEUW0_leerg{Sik%}qKn)SmPQ;hK-#
    z8>Jc_4TQxhNU%4zEE&iuy|o$2^npa1?UO*yFGY5ghtN)Cf-)LJoA1$tr~KWM-9#%L
    zeN};<+Z&ce8Np!^ruRBxGY*-Wq=9FUMRLZ!3)f++1;L1Lx=VE(_fnp5TFIq*L+K03
    z)3-j*j2bLovF@C)->h_&gfxaV`t&Q7i}ol#qfC;7OZI0vHGoCulZ1fWLqU*UP4nLrN&jFupj#Dq@JryqQgz%hG5GFM&j`$85Te}H03bFvK;K8C)RGbxoxYT
    zHu+G|DY_P{81s;*51_P?CK{$L3lby>b(EPUaX%sLz;Jd6Et})1C>7!e91fS3RI+z!
    zk=|lj9L^O}z&fVGduF8%+@0-8?0R-5rw9mG)@72~mQo@Ph_|XT3@S
    z4Zwvo?P}#|6uLnYB^RcuT^hyqVa2)~g&xPX5d`q1e;sUI*YrSt<7^HRuxQOA9i`E^
    z9b8}Fc4D)6bIn4}3(uU2emHSgFt6O5~<$eRRM378u0MWdsZlx}LvUv@ShCz3AL
    z8IuMaw~h$wBO@9`c-Lk)%8H<6yBe`fx`WKuBi$34rY(=Q!v2?%xvYPb``>A4A(a0>
    zG5B!YJPVaBL(gO|PtY?@a$zY%3wUYo-6O~sYc3M)@B
    z8emdlE}X~J&6$4#{HZaEB^NFIq|%I)clc__DoRjk_L1k8hW%=lAp40nq!mU0jpV>&
    zzCK%LYm6bxsqZetKqV?TEYo4WkiI#9{}yd|&HOCXWdgw^3!xCx{Kd*C2hkh^w#^wL
    zdwkjsKATtizf&XrM3^l(u?93A&K3csEtJSm>Z-yMS6-Z34MU766T4dcnj9)U$arg5
    z<;AzlM0=x^!_U}PM*4Xs>2&wI(O=(-8g3G?@<4u6WoN!pe#KLvNQ>o#Wn)zej+{nx
    z7qq^Hy{}_$W@#Q!{?EjEN{VFjzIS^vJoAtdH}z|Y1cL8C>PpUpS_VQPp!o&Dp#lLkwe{IEude7Z
    ze}ifIw7_V%vdAPoF3`k_bv|FIn#=bUxs>)bnb>7kUYsH71|Sjc}py2H&d
    zaLigMwpF1rcpST_=nRbKX%P-+{pY}aG#ah`A+4}yFQuRBLxu`j`T2JjMiwTJL|UJy
    zK$SCzVHTNOrzE{YiVJp>BOC7@o!kSWPEZ%t<=m|=Y;yFL1xyk=IfUDLW*tE60-uIm
    z0*lj9{nLzoKfmpw75fb=Y;?f$4px3sf1tXN|48cZ>kI9HaQmK<$O*XVvHYdj9ACx4
    zx|`5G?(RY27GX~6c6@pSF>DYG=2_ZT`}ylVUPZ@kvnHDycjp06USOSL$FbR1G2}j-
    zsVBW$s@*za-Kv5<1pLYsWOri7y4qiB&nGZUhCI)kveJo5w;}I+HZeJRyD}A~b4{aB
    znaq?<9^ePe8L9d}lsv<-b=(H>&J1DP{+i0m|EkSK(of$_Ic8d)e&-sw+=AzeZoG_t
    z`e<1EsEV;l5Ix1m^ta&#R>j!N|4hX}1*8j{=|q`|){n511U3y(mwlDC$hIb0aF
    zE8KaiyZvL|5(g~aQVinWE(WJ#?>0-2C5vWk&Da3!!j2?n4I723Ig0bc3*p`|ViRxX
    zjQwX7M|P(fb4SmX{|&Tt5HDoNLw0FX#*{fVAtLKd_c5aG4$Um8#pb;vL8;o91$IU8
    zoT`&@*S^XXQ2`5(kwcxPJtn*JcIKDJyM|vB#*HM;3Jini=h%G~UvgymPt}tVB$tdy
    zdQSuIMPDy2he;gr-Mv)BUOZRU84lX}#GgKGT9-W=*!AX;nM|5n*{oPp51oV+bH8m1
    ze|4^!b(zMn;oWFzkCV=eBsF8dui;9zS0!FmJpQ(fUJhW`uj`FyR{VLd9K~y1)`Icv
    zrGOub{uWBogg`O3H0VEzVY-xY8U&}SEePlemPCVLG5o&rI^_^N*UN6tr;5Y>I#gd+
    zzS?c*ye#_I0!6YC8tt?ErLPK}(VFR3xGB3g5fiRbJx|x{qZL+1O8Ck=*UsoaefT*S
    zX8(bBBt6>Gjed@q)}^_!Kk1nwz9zh@KoRWkDWX<*$g^x0!k{=)js?=%xKPK{O0
    zf;R6oytY8$fnM;&0j%Q<=&pLTBH7GQX@_vkzr8c~f}!g&5T^?-GTSG{}~9pDTux8K@~eR
    z{urrJx(4m^4-kk3TC=Y&}tknEoFo|8pA4xn6Jt;8^l
    z?$dLhk~8H4V1P5A*S1m;l#-4yR8bK#ny<#N(_FYNQp5eo*Oj>}vNn)$m`pxSkV@S(iCl?Al
    z*3zUe2gPVH~OI~%Ua~}gD5`S
    z-_?Np{ypaWiHX~!BCe?HMXS6bRyh}%Q;nm%p=k80;pr0Fg}N|f@l*cGKuM3oq=s^l
    z2QFW_Q8>Z9Z9G>>F~46b3+UX$3P-Hdxa2QS9>YZ3XD8$4KF~u36-~kP8ikv^0`;XS
    zt|PY@0Nx8U$9#EjYUHlv37Q&jBC0^%>HFx5i1;mMzAh&B+aM3HoUWu(j~D3mvQ5AZn`q$qFCZrwxL?EF*bQ
    z1JqcC%L?chjg_7c{m(Lf2!r^p@g0qwdD5x=0<`XfSZZo7UB`XSb9+6&kwowb-J+@d
    zBCWGmZ!1aMlNBxtC97%8|qLgZQCL1f>T
    zBp*o(CR88yXR0{DrxF7VP@l6Q(vTC5vQ^vTBJJ12u`jRlUZaU06o`t;V?SD-{-rcB
    z0{skzTKO{m5bUamz
    zxNL1%nHe1)1-hghj6=ZR`8o8>B8JZGz$u3kgG68M#uDdJD}6h@E_}qFVV$$rp8Fl)
    zklkDbR80|7Pe_TpksXJdX&Y
    zt3Jdyv;BaO>}w3%TjIJ4?^41aHRx0QUPo)`MYR-SS>DhJauXcJeXQp@16QC$9um=6
    zq<{DH`DyA3+~B5dX{E%0xS-v!>%~F0sFWSgK!Tg6Sgft-dk+Zw7P*yY5nL2b80nX%
    zTbuK}vV3ZtRzZa*78+!>j2@AJ^br_?uYdWMtDCD8+FWZ0>pblV$IjdOZ*1$o4euJk
    z@5aEjxn2qkIy&@Y27W0wpw@DKwUf_Y)p9GG{$LVu%hB+8s{UgTi@;^B*1w<9s*?P8
    zNHqVWXG|upKb{>0966gH-m~i(9}l8wP3mJ$^bC$>al0qUEpq+W!#TaO~n*P_Fy>1GwU=b<57SdT}5hMO218G?W`e6**2KB~%lWKvT
    z$NdiA!)j^ScwU`LmKXms30K{Z;Ys9$U7+Q5Vp*QOsoVS|vqKSH&C%lB<{$T6f8aed
    zBtNz|G%ic<6HSF;XHncj{?kY~E!(nHx=NQq?N^e9b5s***>zc#P$#W4zVh@pd?oaq
    z58K(JaNsPz5dwyOZh;%ZT2|1dbA8K?_KmS#PhJQCL_Ng~BX
    zKs^YVB=NQV^B8}wvVajkCJei5MH`4Y@rs^VXi1IWGk!{1_UL-hs!1*;c_e`b1ey>3
    z=LYn79yYZ1%Z!ZYQe|4X-zy8J))QPReP4WkpCo`5pf|3@2D(C?u$A%eP`0a3mEA`a
    z%~13FAGrS;sGN!kkzo=S#eZ!la&AWp>D8C?t}yA8bDQx#_kOY73>1cO^2Hiu8lsq=
    zM#rDO#hYd`%geRO7v8%*s6-mVk4(#wmm37GDmi%EyVeWgnUfRn^@ASe4g|hIV8_3L
    zSnvAs@~L`Xi+Zg8!RyH<9r}>IgH@H1cxnHqTp6arT*UUrRJ$HKG(YL1g`tf%2%OJN;R`*+MX3w<%EdquM
    z&755G{twpihA?T_KP~_0FM?|k_gzbGcgCi63FE3=Hv^WcA4j8%E004@C?Cx3fLK~h
    zMSIZ$i*47wh(fxOzy0VO81GnmD3zQ#
    zkJ0OVXDU9zuP7Dw`b24gptQ}H0SzGGgyI1u=Hnk3a}prnlJmqSBf(U#=&Z#vafgt?2%inBjfB
    zx(H=1PqL40O-L3hN#U84kloZN9AdesUsfmr={kN@!7ArL1B-K5M7Wy3@!>^m+XsYM
    z0Zl_wI)8`wcA;fc+m$W4*=5VoMtQBDehkrtOihe_-VEB4Ey~&q2dN^`xpQoGWGBoc
    zM(9`!#X1*99dN*#b-*==9!>VMWZwrEP2kq+*qOSm-QqWorFqb}e|?kHTICYBMk%=D
    z`|C98R#H{s`Zp}=nD$l6Kj3cp$S2$EDk)(3=>28QUdQW}Sd+_ZwabU=+eP{5PnZ8<
    zi!x4dxvuioIE9WrG%lQYJ=S*Mhm?JW>>L#4_P(0JZ*m)JQDBh7qcKN_MZ3f8H2#Lp
    z=ta`JOXtDial5GD;j1Lc;2*da#mt5$>eooj{G5|%m%tzbRy`9hYsc*d7gN{0tad;U
    zwdy^900reAA4+yz?%;9?nJwS_E4HO+OZ}@~rMq->L8?|D%+Nlt2YM!A2J-fd+bQ2h
    zGJIu}lL$Oc2kxEK-ZsXO4VrBhWwqtZ($eYA=*Lds*Q9d|SBST612T+pM{g9>v9X9#loZp%W4
    zH-mQyg6PklzM8E$9lbwq`nC=NtTrwHKclw&wyM?^pt9XYQgmleZP8vb+xX)0$m;Yz
    zn`xbD?e#`ghRbB$`+~*77fRwnAGbPq%HBg?!Av>PB9p@<{Eog~U52MG@NsK1;Osp0
    z`LF8=Ly)m*x_LoduSyP+Az%V{K2Q5md-jyqthI}ob8)%N-qVSs^?b|MPX~Q(zA>@z
    z@=F0zziFw)=R}FUkC56>XvLXPS=w%+I$N3t*}F5Ijun*kp@1+RS-g~6N0c4FuBD;8
    zGuGJa&}i2?efO;Dx!YH@8p!G^Uh{f=0!4UsBj$wRRI2THo(DJX~uS%99a<7SEvZ9oAUUDe2ub~
    zWhVtZ_p=@}j?%CmzQe@TLp^%u93HwmZ8zC!_JNl{o~i^ed$6AAh_+a)6kxzqN9whh}XhGbuH0mP{6{AeWwqoc<^
    zF+_bnFPNb+)Ovxe0N=(N^y>WkmXQ_zc<|2hC>wLKkjLGC)3HyG@X1lYSat!M=}lKm
    z^Yj$F-u4~Z4?c{0vAfro92DBXrlsn_ExT6R*-i!W!*`@AI`}mi7`P2ADPzw!n~Me|fHwk^O&B^%j0nwoTYDsibrX2udR%u_7QLT?+!z
    zDGjT1*98dDU9xm7B3;tmUAibO-QD?J-uL}}@ALiv``hb`nK@?WnB%0+YW95H%4q#@
    zZa1t|rIuH|dd2m@&9q1cX;=wku08LZ?*Y^ImTy5q%kzjKCj
    zW?aiBwCkw50jY80-Pd1826xwUxwT*qs6W(Wg3&96L%*mvYfasye*Q|RYB66tJcB#&
    zJEP}Yh^+?|F<}VCjeSA0P-b?x#2DIy6_kgxmT$Mf7}}P@PkKBPvNW!D-0)9vigUG;
    zTlTi35$U{f7`%UTugvu2+ppm
    z&s6=w6Rx>c6uha=JC9m_>6@r?Go%$N)ud0&<&HZg#nDH5woLvd1P@~zg#-HDF;BTboh
    zBfZ`qK66LEvqMd5%)YCdKjWuTx~sYUA$9gdr{FQH(Pg)%3@B_3Q-F&>1bzUyT@Mq>
    zIJrq0_c4D^tj8RDn62Dm&vdqT1!j4Ox8>Rh+(4j?sjKw3#G1BXNL%#!QUFIm^>Ntc
    zu8e8PDH;5StWnf7IOZcb-GkX5{
    zXjz`ioL^Z+x}zSGRwRsx#R3>O?z%J~OFVp{^Xy#koSmCtnJ6k{;E$Sq;K
    zD!J&8U}_B;QQ;U}fB10wU}dD7n4{ml+dL7v5=V!Dn7dc0wqN@lt=`Cx!QuE>zM#t~
    zM{8tu)b_zQ*MQ?A9^k1;+?GY2J1IEj!}lRq!O^`qT`$*Xf>0ZZ)_rMG)#KYRb2&gB
    zPPT5%5tBE!^Dgw&Y0kII;tC5sYaS1_;NyGlTdWYIWb6VjMTZI`QWch_oJIfpKVE+B
    zYh1!k0V#Fl+1#iVJ9%losYgDn+r(F`dvjHErAVRLbn~v8)4KL4>rY#0lX{k<+y;5c
    zrr8H*Evm9Gcx`3Ej4W*WGg}fUztODvHY`pR7*g3{<~A(}!iKsg2_(vh^^)0m3o3X{
    zy9;W=;>Zu@oy1DEZ;nS>ZwBHYL+xRS*o-_3+5Mk2#O&%0f3MZ6G-&K$wR~js*Z)2C
    z*}Er$IZW2^LBGIdMg7WNwebCobG)|PPA}qN!SZ;|&N^PaD@mEjq>wjLkXP3;9l7#G
    zfT#v?D4y(8q|uKqgD-tAFKN7sW!2GOk>idWG{a$W(ywY8=-Q;mbwGag8-1f_mBeIG
    zfJVkr$Vu-o(2E!@uI1_-(z}g#R3xr8Sj0N6(_PON>&&9-WKvHcUow*HAZ5mf{5I#P
    zwfbeOa39%=rCFQ9HlZicCIHTC)|2xC`!v-E&J^=`sMxU#co$@N7GPu~708Z2L_v$Q
    zQeRxLDSjrv10#SjC}%^4@voL?9zMN0IcDU_KY8$kCrq=Ml)1g;%{
    zM!3O9sPf#Ef1~&pYZ8s68B&G6zjR*r!>q=ojO1mB`@oCkL&}_L^Q%$o-F1MIo#n~<
    zAxAYO+7oilaVr5gUa>Bwvt9EEsk;fm^`FNMAQ`{H+w7-;A#bh9BMi+nztN>7=kO{v
    z>Via<-3M+0pZvha9N9Xb^D%ic@ipk^c|w~ggl4t`>9Bb-
    zNJzH1_zStWvbOm$#+=?%q*mqm2yO`+ooMA1-~sncsNhI44>-^?*mS+2{w
    zMHf~JN92YJht=KOA#m9~RpU}*aLsi-kI0CmDmC`)LfJT!6jnuJqY*d)Ll&Xb%B%g@M@AiW`aD47XD|s!v$l@FjlC(>?6#l-++`1}erT3HG
    zyi`U!9n+GM>Sf_o>Wqq`&7AyWjS{LF#0_Dxy9vO3zEjVIs_mW9Sg=*oLdw}S^bZSu
    z7mJ^t*_pmR|Li3T78pnQLHMFi>
    z*QiNcX@!4j?ltYG0^o`@Fi7h3pXNQT
    zTOw;%6wCTJLNw-c+ZM@NO1&70<=@LzN}n;_Eo{0(_s*E^YaI{97+n8S#~VN^u{K?c6?#_Bwi)%Dh1l_Q
    zePYM<=GuWrtT44HqK4lx90`V3pRVMx*QTv{tsW7gEbg26mfK?`)uLwnNj^qVId33D
    z`JDO7?-yQT*CS8rSVWgLa-XygPw~i#WE4RcReNb@7>3VF9V~x7YSm6ZffJ8S_}t~o
    zD!%)%?gSj0dnYNo*l_!rePwc_d#%~5FuU%BU~#5An{(OOWevT)ofkD63`E?z?i^R$d=d|B8@=5b-D_QFQOn7hUGdUd(9yX_
    z2Y@?|C%&rdC+-XtNQ~-^UxeG1H{N@!=|{_cOmXU=kk|xy!=6c~Gh0S<9J>g2fk^u4
    zuMY{EAGk8=b0Dj|Ei9Yiq8>6F#m;K<9w}Lq^1}t*YL)Be(K%nvi`>cMsSk~})3@@b
    zmO0Jo%Sq8nQ%HkiS=Cv0d|VkP+mC-@0zj+gB^67E3O|$MtBUN6rm3(Z&n5dxdyhn`
    zf~v5sZ)VP;B}}zL#vh&`x0HH`oDy7ikFls
    zPSsYnfqJLV1mvu~-c>iv`7Pr=`vHiH$K|#7m{XK_u|mhP+rwu)5oX97bjr&;nVI{Y
    z_)~qS$JFB|}ZR8`OdgEj~!)MNX<{Tf$%%#En>Hjlbsr_jh1W{
    zE%u%YiaolJZ>O$wS8u^tUj-7EnM@^C3k+CHFB$uCS51VFv`Jj>)1ezV^AgsD!5_qe
    zVUPK%VlIq?jgfH0qhq8~=J9-?rU^?+gr(l`cLPx*6F!)dEv7bu<`{e|NW5NX!=#vG
    z=;<%@8UF2h?@KWgv@U%kHTe(;!{**}wP6wwE6bs2g<;nwEhs1eBbAjuF_>!RJ)S%C
    z7_QNt?8W>tMzey3Cx2<`u9<|dU0p8>e=(%oV4UZ1Lo^*
    z8rJI(jhVJbL%JO}idI5#^{@W%77m9Q=UIN8G7_hktj7|lt<7Mu@flL|R4xgt&ucW~
    zb>N*p;#H`|XO;=$p3`-#g>uZh;I0vbYfCtK8RkIhkE$-Zrmdk@T)6kQW#3n4_@F{w
    z4er_2z67-0iR}$#Bs$k>JX3H+0g*-3bMLPopNVV-`O5eqbRb)6LFdz#x6NQN8`dz@
    zyyvpf-U>8p=*Hu+F?wRjnLC1Pu{I9F$b;uewMyaZG6uFE3H;sVDk9Ij7{q5YpBqfV
    zyUJY$-g450I5u~15)i&K^1bpm^byZ_TCyS(@%x<5K&6`TVcG+;Q;|cUhvcoV_=w2p
    z^)Hm1yERjR+;|Z@Hgx|>qPHk8%`F?*masy;14fS!(x3z6n<)bN(QW
    zwOqRE!*&)fhP4vkZ%U%KbIqt4#iharN0ZSmFki*NAd*xp&O$@4Lh_Gf1DD&Hjbvoy
    z4m=zmnIRAR78ubErPwx24?PE8*18{MW>`Gjx?2}dqV_riP0X&pW~%w7Wc^9AzNKc#
    zDJ$SZdas-&^ZR&aME0jN-w)W&qZ#DqxzoOPOQnszHOCE`)Ym&8O`=S=!uqr?nO?=+
    zg{f&jl+B04onvkD;ggm~UG?Z{iIhO`AMn?{aZ*sox1dZdtrt^U%&|j*kt~Fx6iFj)
    z`$Q6IhSYcN0*H^DnF+PY)4N59OPuD9!2VmYLCQyck8u3;VPme2FQbvix{Qm~!sOj|
    zNc!zXl5nBDsPeUEN$hSLc+3|Z1E@1KwU;%>dm6yr)3
    zI|>Q5opFMO26hZ8qp8B!dop;9?xuo%sq-T{_Wjb&y+6NuT5^sY)}Gzyj=*qCfAcW1
    z$DwVMA<3!_Qvd9a%W4(;AX)wyg(P6HldCaWgK(7;q&luLDB7@@cRobqL
    zr5X48IP2ZKtsYEmyFZ30@`xCXP@4-iGrJp-w~?wfj=@b%Pl84*k5XcNNE}~V7o|HX
    zGZH{0T;m}TkIsX+`L{{nw9pE)T-N_3Dy=YkwdIyTtPf+yPU;LEzTPbd9=2Z9KTN+y
    zq8}?RX&lC~vl)B5U%eT&CRkuEDOA_W)>Z~b1-iA>)~f%wt-&5moQ7%bwvL**Z5(+>
    znWSRN9rx#>uad4J^yzJ^pdRmq2QN$&g)lBRnVHx4Y3dgz-$puKcEA4c&bpCS*lH8Q
    zfJn=hZ#u=Daj3C)tA4l%sKPH{OD1G#h^>`-1Zo#*p|!ltf($3}^$7T(=*B-63s(V|
    zO>KD7#@X_@ra~&2BhD&p8nLeYV9*GLzf)qLJO}KX*!O=s)ku}-a8cD?EYr;pm#|T&
    z^reJ>cd`dZth*eVg0KC1qay^vv9NuFZGw=IL(X+9U+OL}A`D;(kU#mxn(;Mk5K?cG
    z-FVBA`%66)Pn-i&Y@4MO!m2m{F&v@-@v18cF
    z@S`kHHUjLh`{$FCL%hF{{Vd9Q!tHZmZyXC^Omc1>k8seP2)
    z&mjAkbgonD0F#S-i>$=for*@recr`lYC
    z8c$5^+&j0+8u$2gx^{=ZydqGt9k@?RvG~2(s4`#){C?fJK_AEESi#K0Y#SHf!}qLha|m9IwbDky;wx6Q?9DCyq!)ux2BT
    zbzw6mCi(E4PVC6i0}P9&e#n)9(Iv2CTot%Cv0YjN>e(HDb+a|Flq3wIpC9@AUQIqPb8|mOIK6h0vy{l<=dO>-+`$lKvJ0orRse(b?{;?vo4=uN#qRl7-u|sfF9U
    z)|vX_+IjCaYEhSN>DmR)<^`dZ7|EB~Fm`qpGiAlLYJ|G7;HvEV&UB@
    z7=xkuBPfn?(CB-nbw6E6sG6FpFB=X=ZiI9JGU41|I_-0}f4$d5e5~Tl{lGsZW;0iT9(ruhv|stDNM&s=UbX|I9G$
    zrl72*tY%yVzspm4aH`*GnB)w9#%zR)OBlAnOLayDRfY;vp3(g)
    z7+ubV;j0Go++8|N`e;j3Vr_tsKXF12WOiA}Nk}5Y%=}4ojuKaP-~g
    z0Ly}(a8FUhlzXZ5{q4EWpx*pNbn2b{BnoE``$sfL$>vVg$W3~$sN;bX^zt0CNhKyS
    zo!6geRqs$5JI{_r%yi(G~nIjWjS*;PCmRL4gnKGMLc#)u-BgGUX>#;fVBjflG
    zt&`Y;&Z+k2_7b{sWN(TiLk*9@?4I`t@O4AQWWZ1tZ{;rLFTEJtbZub}|0$9-j=_<(
    zEgwFQG48kl{!05!P=Q%{Ne9I=%QF}h6tb3#KHh9>Zw1@NaRto2pOqcekM_3(?vJ%v
    zuM&&OTDBC8u9lzz^9QG802H&d`D~gb^KilUkLdY)-agC*#ym?a1KJ8;AncZL5^-;l
    zeHv+ZQ-!wq-01SCX3BT3huThV3CcaAYU7rlzNOokh##CRAs!b}_$&^RK)LpbN_7q%
    zwUQ*lQ=IJpD;%6Ota#T(P#X$(+~`M(Ehcz_12wR%DYz)Go0vWd>3?{QQg~;TzJd@2+`B*uZ2(o-
    zUpg&tHQN~_x^;+NjnHa3sRx{{GPl$u$Tim=Gv~d9Jwu&@pQtnS;()Oo+&wFeUXA~s
    zsDt7!Nc8uw;p$c&zx)P!!~FbZV%u*4pPXI|uz9XbcN}VDRm_WkY+6TJ*D&8&*Q3)l
    z?u$mxjYpx9+1JYxyoz)bStTL>wOZv(gwAP!)hX*T&%-b)CaQNPVu73crfswO|4
    zL0U8wJxtk&8$0=tyr)!*KL&5P34)!3ggnvkY#wJNDHGGJ_Nq$UTX$Z+g>x_k9ZD!<|H#nyi!Wezo5`))gLHH*(}R3(Gw#J$9u^8P!h~
    zuYXWfm*Flr
    zPJ7V#u9kS6`7XP)B3FbmMuT*SO|7Ad_mp$S*g;|G7;E1kmlAJ4!i!&91Ifk7-sGsq
    zXn}LO+k7zhg3nTeo2Asf3%@Jxl)l?gNYd2YJ4S|3$GJwnoO@-N*v=1O#!T(MKTN1t
    zs52hhEzGR~W~5?=NZmYe!r(YPFPaI5TkjXY?xgMzk>KV)oM3UUi#`FBmX$6W{ncsy
    ziBmt6+v|5T*zr4WzV0P}V2^jL!+XG1;1Wv7X3(g}xR}s-Xg=_{RQ*NvKgrzln(4*A
    zGVPTZTrC$nHKLnC1jR-6OS$n!|7@A60N|%Y0~Xch9I3BXqFaA!@M~L|e0BGn>j$Zg
    zs>>jU(xZAQ6ps9HnaEKS-S_iI%l~tz^%V|0J$MgT-=oXeI>em!He?v`3-OrD%xD3
    zOscE(9=?nYszUp}M7>4IkLGWLGSi|@9cpdu&JR0M@IpMV&e%ngO?VgtOEo{>9!syq
    zJ>O4(#@Q#%OJH?#QB%d&JS8CtjkpzLDQSbNZ&UJFLBZ~Lo;(HiP!8lL1~`K-?%!?M
    zV8+O~vA#cZsvlpCF!JoX1;FGv>H7>9|7KumLBYw?wnbCRO*ifRNqsQ%dTx=OyIx*J
    zK;9v4An(Mnm8{8#Gkb*tWM}g$Tx?F}HvFAfP%9;!Az5w}5w61py(oxB@IpJRvQ81>H<(v20s0
    z|F4ipQXc^jjh+hFicVzO+Nk*V>@5DPv;Eh%|0U$5`_Toul&)OE$%};#sTy2TL_YyF
    z%=e->r^N6N`g;x63#hWY7h>nlD(jXTzCP<^ua7omwY$$26m7-#OcmaYM%x%RA!D@s{iq5ARW-op#+8_kW>-{JFa-l|^Zwrto)1RJPKW9n1
    zN_Ya|xngc^p#5)^}TA9Ih$b
    zro*7Zt~)6^Dzps@^}b#sdOcNr!i4qkf1bcV!rN4Apum$v!ii&MuwKvQWcdYYW+cz}
    zbexH83a)vt_$k;5YL~IZ=loE_A#5Ku;RL<9P&?XR*sELpMCX0}Cz$T)toD94u@USN
    zavGJRu{T9!X6rE|TJY(g?!y{ZGd8Z;-E4vTfjt$YtBmus(}d;Brt8V%S&wysxR@$#
    z44Bxbg2po6JrVF{$*1^Y|3fXMe<1|k0uNjTe6VAf+k2db7gY)=xud8b(u46nRR$^)
    zwbrLTsk~k;YP-6lZ5SrBM}DOM18jl!9(KtH?^SNto!iD*HO+f+;D3Wmpwg#CU6R8Bf5LkUA_vu?;=XThdqit
    zr~T6_VP_cQ_=kw1e_R`>KQTONuI9X+ne#D80Se~->@IzIA$WLeoU9OFCl^vOSUoKV
    zR)97pPs$7kfTi~Z&8sJFJ{vS-7X+=Y`^qJo$-wbl@co}Zjb+U_mS(#oL9O-2{&A_!
    z62NRJx?%VR+T_L#gn
    zysQRzudAMRdS$#9%HUHCEJx(|F0!I0PEdh
    zOQQjkz|DZzx;rnu6@`&GUo}F?YOB5O`id3|OUcc}+w}>eyW*nR(He;hcK&G`f=wN<|<9${G7{
    zuXVu4zv=l0H+sjTbn~Xw<5ZT*9SH)2@dfXxjyR)999i^%vSeg6Ps6Z~tJ%D+y0@>L
    z0lCmkAPUAaRG%?D5}o~wREI)t?`VA*BTyC|{KFS^e{uE?5NEFewDYFF7r=tFOR8_S
    z{0ZnHFN3&mHfBcaz#$SFBdz<+Mo>RkqG*D?tl3uI^@O7FByzyiNATFwN8mz8fuDNW
    z33MLG%NF;Bo;v0G56-!5ZHCbP@{FG=w=JCC&N=ZBd)^y39MwJi$7@ha(m%NZGmiEK
    z5^#}248VdDyz?#}d1YXki>v1H0k+khP@YzZktsuxUfW~<3a5JSO{=$fcFH%D*6(c;
    zw3t~o<)2^|?6LgWSWC5QIqad{-@102tH}ft7VOa(?h0}voGh$gF1wKHO;uKqAUG`h
    zlIvE(vvJz|ZqtcCFM0pL0_C^`RG7F1F>(L{x-x9pM9pOny;px;i>!4u6Fo7_0H2a5
    ze}-{lVQJ@`IqPFGoPU9+ry^G0!E{vrINKlm_sGCkF8&+7$c98x4;@#1-_uoJY86kv
    zN%j($+4ndnbM?9Cyw5VI?aJ>P0~0UXx@;AjhV6!JQLo-wqaqSFJ=l@vy9t1cO*i-E
    zZ#8c-Ew%f{0rq%DJ`c{tho8ba`M`#LQE#pH%R)cU$uN}tqLuIZJYCq<@-E@ax?F~4?tN#UH+uv2a0ox0<_X7oDK1BTst=s!iqE}z%GFw(ggn^j&SHM&B
    zec$GIec&As2Hn^wQF*fIJ}=s#C_X?}e6?5GdUs!SzNV_Fu|B;o7Ukf$cR512a63}(
    z#waL2RiGH5D%8&9sbm#q_>{%fk6A`(C=}-nE#D@PLF6s+XF)Du1BP?IYjy2
    ztT?{rNam$DODzh|A)
    z!e)%~1wcdiRWRcNCf})H>`AD7rv;>66l)@M)Q8A=G*%;o%i=G>!T;<+_%%sHvBWwhBolZ75BaU#Jid@G7x-F7!6@HJHTEJgl4AdU
    z8X7|Up=z79`jEH0rDbuUwhUD=T+O(2lGg&tlR32B@g;!d&Yl7<93hS+kNiP%wGj1@
    z+FM8c`j!&AdUdC!$`UH#b*JS}foQTP8~jluPK>O!-}-TsE%`tibPw~5ouRJH-0>y;
    z9~onEJ1glC<;=8mQ&Daky07m=?dDHMZUn{dFZ;BN(pwOSqxg8y06K;_HFfpNwOOs6
    zi2A~{Pv)GQ57U+17TZu4?h0vr_F-@lJJNW+0GFq9PT1&e&x+;ub31?e=)@}{E0!Ho
    z(I46o#5;a@vCo;V8c+U7482rLAzu3v51PYfeE6qlz#^=12-rSxTR#;i^?s0=@cxY7
    z^_!koX$7-UwMkSI8XS_pqx-&rwIMU_xFcb2qPbaQL0`%L1Ex>0#?|9jdq
    zNGpyNl2qedQmDb}VFcPSFJ>HDRsqZhgGuLtre3(aZHmS`q1WuvthXE=9o`o6=0n(E
    z*3i3O_9XfL+=IUd{2GT6HgUjW(O7{1+=V>O>g}c3YUP-Jwzb?`TxUJc(_vndR^$(#6u
    zUN*dz$}}e9Kc=*uVvJS;-BLu7a8EAY*>`9LQ{Vo*U_@-j#cUQWc~!Wh0Q?|_q;wM7
    zmzyoaP{8J9uLiSX%n$F
    zig1raVHF(582gc{LczkY{v1K8u;p%kS!1lDUP1UJN_`})l3Ap3$QCE?ou3N1(x=D#
    z{(;74QIlDWY8C}@&ICHSKmb01PCOqUz7lIwS7_XrLpJo!p-pJEX~%ySPIUcOzTWB%%+0B{cduW!@C8x|yy^{;O4&Y?;Hm?}FMx4y}E%&?jsqz8sm|%*u
    z0ea5?Z7IXr+Y62+50=bwGgF*n+XMY9VnsehOnz9{x9g|0`p(?4*Y3XDtK#J-wEC#Z
    zsi;}leCz@Kkt@^m6kNFBj|4tmUdZTh|Dn0qx+0qQ&Ci6Y1dam{l`3k
    zB~`z091h1Fef6PPHt;JQh;GttFT-RVzHUYg9((f@R4`K|&ORpPhA9X(mq(>kAdJl!
    z-SY6zy8^en4g(Aa{}fdUxWGm^s~g*^q@IzB$o?PuEPD++uqP3EnNh+fn}YcQ^F*oW
    z8c!}{DCPq1(;maSN_U6J=_|lt%g#wa2)=^F|AwoLh7!Llt@!xvr@i`oY8%eK*3$d#
    zKX**=8AA51mLJJD+&=f_h3X#*|90cpUCF213cpuh363%4Gv)EhJuDEV#~krT;fMI}
    zie0SlF3xORPL;!Y5m0IvZ0f^o-TN72wA=1<6#YJ@kJ}00(t2|>)#5oJ&ysk$g}s+x
    z6wjb+(r;1bSB_}$xtg_~OkAD+|&N)ANf%my0z*z8N4D+?NO
    zh(FZwBVqPb^!H=7CL)bE7UQ^3aPtV1_un+6-whEPDs+M-Z>z1M@gMptL#2|cxKwEQah5IyG48vz@?aJgyGQXC7v$ECgebMZ7{t}rW63Mnp8VCY8
    zfj#Y7LC1q*=+8tlb;N5vcl-N)V>s|Ph%Zb}!z;_4iC+fhmW`85E59~=4cEHCIS_p2
    z7l66=34Mm$a61^e6B_nJw}1tk=$@Bxg?erFe7BL*y|v
    zETHz_srFxGiXH}h1@$4BfVo%WN^okG(Fl*?ptu4Yq0H*2>Mu8
    z-H~_l=)rLB29GwLKsSA*`TM`t?Z+mLSr^mRIj$Z5;0@0&&{an3r(`|-#fk}&KYNsJ
    zm|7uIU%sLUN{{7R*G(XC-`N#8Otl%8hj4gyZ%1m=bEVM$+8IT?XY+IXCdeUke!CVy
    z3axTtfuMH}uw}a8S0|TmuIrgip%fItH=*@6sKwTOLFkbXhaag-j+n1hmK?I^Y`o3d
    zL>LR(qy|Faqxy9R+41IoRNo;8a9b1tl*u+S%w1z;*Q=FBV4C2b4OY}
    z<|X!Pli#2KJa-9I-rYuyhv6?ir#i#nf3X{QVDtqz_GJDAEMu~Ge%+N>XiS~(F%NsO
    zeh;69)oFT$g`Wn>y;#KS4exlDOn86mz6)?z1{c=HtHJH*WN;BNxxUgyIt`E=zOo`-
    z!kDf8(9QZe7N>H+*^L7Z!KAYZuxqPF%s01)0oKIx+0S2r070-~XF`J>8>aip#pZG>
    z`xBo9^i>`>zrJvNc-Tp-d|z8etBEQq`a;7bD*utUVW9WWQ(EP5-ff{wA&0d%e`(7=
    z{zDC72X={byvjaRQqj8X2;Vgux7)8c=x{8X77f>?-M7B@L0^?DpeO@ft!r&)OQiLp
    zKrv>5fBGiO&A=Ur^`}Od0p9)Z2DFhLXrQRzm#RcSV$r%y+3=B!;e>Ay4|~%>7mNqe
    z`UH-#2-2>H?&a1)LAuiA#$(}=iO6#
    z)CoWHyn_G}+8Q;^9f1#%IeZwS+$f{J
    zc*NneI&tG*UhKrYG*7uK_Y-~$-|Oe-pLpY*;=ihH8fYdToC1$C45Oqa?(UMFl*m4)rZfE3E-FI(iGc~ktNwHugV+0G-4RfZMm$nN
    zWFV-_op=3|=)`c=ge3|ka(a5mg`{JRvCe{Srh%Hc(MJ7#|!4`3$E_?aBG$U!oC-Vspnw3MHe8=J}y
    z2qj~INkn;e@XRtojWhp)PDJc&KqC5+@E-Wm8>OLc?f41=RTBn^V;R-kxF5^qx&>s(fM$_~+(Ds&Xir
    z-MOQx!7)m>+s&5~h
    ziEAHdpjCa?=$RIwFYIeRNmq>h#LQNLr$)?pQz*@v8B&(-BmsOb-a!W%huWc5@W#6d
    z7PFI~04m!7C4q4Be-_dRh~ywHf!z
    z2dazzaa}WDTg{f`lvi7pjlc0GQrjXl!Q9l+!be@^4T??2#&7MT5%`iNbCRhA&Po*I
    zy#jzYdF=AVESSfc^5goaRAHap)FhuD7qP-_cD==hRuA$UR*M22~V(=#6?s|A0pk569UI87Q4ljOd{ISa}Vvq}rtFO~l7#
    z#%aDgL7dSwtC-4_+4+UfW69zC_FhYdm_HEV&@?3NqlHO4e6(?3$lW|RnWg~vF5#%>
    z4kWBSh8|dpzPZSa6?92J!{IHB^MY|4V;WbTRZ)%*_52_Ud%WJ*RLz7fa}GL&
    zA?h*qPDsA*q+QAH%k-a~Ri*ZRX+Zj?Hl=o-Ya08cLGN(-oSylu_xLXs^szvbDp#Sx
    z_NhyKyKZLWQzxxMIW;6ntnVxUbDN7S{5;vrz^3#?$~%#Oq++G>3op|`m*yMwtPs*g
    z8&m>ZQz*{?Q@V7p3G}*^6mawktsg2fc-k)mBUl2G4Ki&xo^
    zJX-ydA|gaD^%>8?;I^={$9v`^Ym2|QTz(-wwKq?3n>J-XCOdb&>^%S|xb2*`hWpYx{q15DLdSmju0564i@)i1l0|65*
    zxxlamMiToELqBh0;@)PT)WW&yKXFHtyEj07;3Gqx1
    z%YKn)Wx=aS;(XoT)muTn0jBvmN|!qU7d}6$2k7*SMRxT?zSr8d=(8{On-t4`%A(w%
    z4THRYiLR-DV9z3vaeiUTi(C;7G_~)t(Lo+~HV{&*^ObxI+WC9{RBIeoGXDs1Vn9H{Br#Bpo)KLks>^FJg3Zcb=w!*g
    zXvbEdd^cdt=DjKj>MmS$mO<|9>t~d_A_Dz
    zEN51K;I~fvWtkPtmYe9XL&|PE{YMBj8ru}wFDDl@EBpwC2GBgpeahU7GHX`cPqpaa
    zyE>3k^tLxg;PHE$9*hc+WSND={n6j44K?d)+qMVZJS2kxd|(19K`_*^{}eN?s-S|z
    zUcK)lg)C}^aPH@8wo>%>sHK)K9<@1@%Aw*=Vv_H8Q}IMF%{g#-yuU6?CV$WC_~Th6U3@cL
    z?c-WCGYCn1tJ5FLg|+Ei|3ca%U#%yY?9V{F8g%xV3
    zOUz22(#R^TPLf(X8?0=N2R3Z85<_<%@f-LB)R2%GE42fL<*N~`#v3eYmP#oox2KB=
    zFL(P)6`zdtz&j3NusWSFkb%i-FXY|`OKyFRg5wEL!Eh*d4&C4X4mEF@cWZl;?Btu)
    zW2+U$4)fg^7@vh^4lSf?8O5(JWX$28p1Oc`T3BMx>~Z}0v%w5up5yQ9^Lf7SPV34n
    z>CS38Wf{Pt^5K^u`{3?@T(l1O)!F88qgRi@`t)2KEp1_`EmXQYU^rF4(^J3WsfO5G
    z=v{;%=l*0qP2#T?16D_$Pt2iTY@=^yts7dtl5-l>?e<}z{jkyO!6^t
    z%*42GUa;A5*=Lu9_(f${;;K%Y^*z11Xv9BnwpHNeOHYa|qn#NDY;6T-@$rI!1!R|>9cu^|yvas4JJ6SQy^@Zt
    zDMvUg_t0*?lzrseQrJ)lrj?dlby7EfB3F#_PZjFx
    zlh(`jk$98z_XwHr`8V`FJZw&}^%8^_SkX-z!GhmU`}{tqqr|w!!o`)WtyH5Q6@x@7pmP-7@BLw&K;~EW#InH1zYu<
    zcOps@y|Y`6?5W0pOc1)Zx`m*^)dn!LN)oUVV0Bug()%mcBkLY=n=j_2_kM$$OA=1W
    z-2!`3wmgDR7d{uh@_km6qGO=eGvPz*`gv-Pru@KV8vci&7DWK{+pkU1vhueNYWKeI
    z_9s+Kvb78h`EGlvGmBH{6Rw{^DA)-trvIQl7!@{!{)v@id@76v>%dDY)ocTx^GxLW
    z5fBHfWIt(S(XXZ^;WAlMQ|JM?C>soHOBl7zdssrH{WXhYqW%{4af%CXI&dFa48h@I
    zsS?PQG{wV5dLDOMxA4IB%&_vN*w#WK{`LT|4FWo+(7@P_+@j6>o(6TBNm`oe@qs@z
    z5{JEPQdMrwcdE|qE-wVCfXwS%Q-X-*RRXv9mw&isGZH3dZ#UAhZh9Z?$#fyPGvU0e
    zmn7;RWn`W|e}3ZLdtmoV@JpS%as(*@OyT>KGBz9oz48q@hq0(1r8w0ydZE;pOIQmc
    zkp@{T`}oH#ZQXbDJF0$a1N^a#3HTyN#mL2u)4WHEeM^xWyQNf(a=;--@+z_eE0WnjrDiA!g&-1Z4C8%8hoJjLf#Sk7b&fE$
    z0=&_+sLt>Umwt|j9tF&xRNkVfZr*>>mJlOUQIEqFUSt9nSwHXp
    zN7PqGMfH7e69Up*Qqmxe4k;;;4k0Q-w}7KG10rzg7Ac9L+Zajd?rs=DI;2bKe6RX>
    zzrVHkXBGpq=H7GmefAUkc&V5{Pak>V-g|QfczmY?Yg#zq(`6i`Q<7g=n%;qA47V_C
    z3XqA>Gq9;obxtfISB)zD4
    zvUU4ab2Sn|cQcCbCm|9=_)Ihn@7L-7*B(pdV;RvcELx*@vG2t~<6~_As2hB**-eUG
    z)e7}_rGQ=kb5Au_ed=*JUMhTZ^a3xAYxRt&M%b#gg$jwK22x$0b$bB`SH}(%{)Iw1
    zT)ny=K_+MJ^M>DtQRr!SHyLiiqNQNIP-PQuSu_uY2`tV%bTtiMm42K;Lg)L`kevN
    zdLN60li0~;-K@(hBa)I!xMpFmsXG0+e4FVCt}X2B?1ndYZnj5Vj}rG8^n@lhJWQt_
    zqfhUrMpC`F|8CY_cPNbvfs5Ytq;LUiM!&_s-ew4s8d&&(@!^>zlwLdLb$0wicnb~h
    zeQ%7nl{pum!+G1dkRZar=
    zP1%FV9MhX=Jh
    zoqp)(`5Ex~n3h?$66<`sSM!C#=u>3{-#wFPENzLfE;Q-mmayCNqAb=>0mvJHt#-z_
    zMyW3@(3C9`%hESpEqg|B@dWM5GJ22OKsbYf)T##Kc=4tx?QJJa9X8=FFlx}{uJ@9BRwi`ZnF-MO%0
    zH%O|w!?)p8UYp*TT0s&Q6eRL*>+`7LRB<#dkAnr@jAI!FZZ^kOjD*tD$J8=3R+50b
    zNrpYh)CXgSMnby3e3X03esaHwoX_x^Io@{{fpB83LK0m2UnWmu3JEDb8@?0t@fd*V
    zs?LqX1mMG4xRpY`T$p3E8J{?BVzfS7tx7mk*c*PTJe;x=@5kvI2{B`2tomgiwb)?d-b_PRy}@e&yS>EVVdjc*G6lwRrb>M0Fj)_)%fM>B7JL&=IE`4ymj^Rm#-6
    z*duND@Vlw^MPxQRzlV41#Dfjx$T-L%L@FNB!CeaH$E<6$OF6&Q;xq-w-SR{kxlZ7}
    zv`oZ&+}v8mm5|`%9U)@#8Q^Q{My_o7%rC8u=~!~MLM1;=Q~d2HGvlci07{xcTX6a5
    z=Jc`Au)}{Lt})Po%WBEImTSm5sl+5g1U+ng8HHn#gKh8MJpbyi6v@I3-|Rx=Pi__J
    zQ`XG0>`Aa+?Bey@Vs8ozlr&rELDOk1`U*$UvMMJXauzC)qd889;IJ4t`dQ`ai8+i?
    zu!&a+y%J4XLG|M{u*1+NxWMNvzvMsJb!6oHh?X+&F)Xg~4D8b}khy*5Dik_qp{=W&
    z{B7z{wyqN&`zHl0p8k$Tg;52O$5Cg>!$y1DByS9LIV=|#fKQrmkjHn`}u8NFHcDHEGVLgo3KpAtHVQj~@2
    zHJ1YUAnk*5NAZR?Bkgcj88IwOgKECTDy6bbBp#$Q*z%WUHzUx-l(l*fvG!FejT|8d
    zS8~xXYeESZ$;e1W*Y4dfgZ3h&`5w`stFi3=#4!=3E0r6oWjz>QcIr5b(9cwg5!9EB
    zdrDj1SlUNJY)TjK0+zn)g?c8N}hN_;yYPTNr+yAS6+FuA9=>cCGzchGHEK?rC
    z)$#mQ$t~vQ<~5|4gW83s<2>y;SO?WK71Dwk8&{JoSs5Zq@)={2M>NC=P-+#=N&`A*
    zh;T1V-;iOL4v#zCA#m6yf3PUtA4iX`+gQV=>47C4G+(}&3=vSjV3O)8eY1v`A@=BH
    z+3kBN7Rp*^ALOb)3FN!lwImTg(pg_s~6p!|=KVmIlJ;{>i1|Ln8bh&eKS|<-$E)IXP
    zH7wj^2vn5?abRAjiIl;6=N{x_@)2c1)U^Lan(qhUMoD*`GoN;*pVAdkZAHRegzcV*
    zw8C%6`L0Sl!RMG(55qDu{kY0cCW(`daS!YhQ24euTV&BRUJbw!
    z@eUBwjhr(O^;&G{_75)h`n8$iN0`KI{|c+Uh5I~R9OwPVL#5o=BMgz5mGPl}I^Fth
    zg7uHZCHb$Lba0(C(jn&99|i4Orj@hr7FupMos^lX+UXnbPxuSG!o^Zt6;X6GZ`a(wjRPv3hN$lFAYjTuPr
    zVVlAd`sPi++)dwbH;}u(hijAa5+4~&B89DI(e0>PQkL)gD*HT_hE9}93_BvXRmsDZ
    zhDPnZ)K=S+KQG=hbC6u&Op!acyf^KM+1;FF=B7^2Xq4ZjN4HykP8Y1~v}3
    zvpRLOhF>w)cSU*D4Ni3|%+;3ldh8zqj+E`U50f>ck7Pq;(J
    z;{&I-a2e#
    zb29^nplIa*(ihE@F0WLYp>yK;!ic(7B^YJ)I@Qx@Qh>2e#sGbIyj=jX^_LO)tLt8`
    zMcJ1MCAdJ{WnFCqE4p>^)Z+c>#m<5&KR&9lUGlqCA~u>oziK?ZKG#6b4aZuNr
    zNNwp!y1nsg({(|x3#(C)?x~1_u$teSW?TZ)N(jZR0-X_|2OS8*XbocNIO!%Ym@7aT7m7P;ns3ak7T7o<>F9%ct>Rw!O~Shx5p
    zNjow$QnZ2&-gEH)=iVpYxJiW!M}C2_(vO3?{?`k}n$m*r-@o_75j++;-a$fKoEsbJ
    zxuIU!HLuKFMx}x`W@{@;^0C^aah`Jk;A5!cy)^nw6yv3ruA6oH81Envy=d{5((G?L
    zT_Qy}Hy8F-54|By-@DDBbax-#`lJ$GoS(1tGbbeEvJ$=))ulgLmzdGR39p$~^8!1o
    zDBDv4@*eI~HxSpA-sMf0&x#wt-8*-S!26-_Fq$gSDk|^ll@P6~hyG~k)Lndj)^*lwB(T$cP!#d@)#a8-KlVqLeChhxcZK-zk4)y2nzhFkYHppf
    z3F@U6tE#4x^%BAr>0LiFuAWhkha9w+3&AZY>)N?|IiK^rE;RmZ*zDe7&d0Ik_AItN
    zY6{$|nZHvK|Ls*MrtHB1APh3I8iCGh9v6FDj>JvWdc#NZXQQmgx2vWgmQhq(*`Sl|
    zggCW7N#shV=s>27JpX$OvQER@hA;e0m5E=3Of&K&ba#FbHrkHU?iijY{EsB?>H--o
    zuDV;B#rX)ucdla+&tAYrzmRh6PL}llX!SOJ_3F!e$U(I}a694kWau78GLiVAHuP$9
    zRQz?#Gp!HOh}WH;H5pq2^egQJ`Z8`V3pz7SrvjF5jxN%!uWqh(#mzKj=OH(Umn}@V
    z=3J3C6P+1VFFOts#q2+YOciG}$fSAZqV9uBb2p}#Ys^3wLhcvH!61_-N7fQ3H^E^o
    z|5=-e>TaefkVSn5L4ruvPZ4`F^i9}(V2f1daII0sMQr8ci_?w`d&*3mg{~J#5*y%Q
    zy@%TE{%1ARuO_OvnGH!y`?<^@ZbI3SpRlkN_>@AM@3PmY2+!m)v~T~__gt-T4o5N9
    z+1-uWpC13QArVsiiarkc|-4b6aJ{xr$EZFD}
    zYk;Wi#ELZ(IVANg0cCe_{H?*Ndkf};0%NlyG82K;VX5$%i(zTYNiLfNoT^(-I&@9t
    zm9|H{_3^GV*{kp;Z4P38%bhNagIza*Xr0XKX(`qaFY=Du)0E~35;GbsEiytU
    zAZ={lZMmZc;#T#1o5!|ANKC!Ww+7E+!@%W$H!6_htrfJ!BZ}#xV(mf**F}69Gb-r;
    zCePQKg`_eoRt}zSUJl6NksFrEH8n*E
    zR0pFK8pHP*ANj|&p&d!Et`bnfB}4-Be2ysLa?TZ|0cpPsb4R|{(5rn(m$|$4{@$xK
    zeMJ*p$4oW)alJjBn#jYpUsZEi8fwD)@+Cacfe?YV>UPz?@3#Kv-l#QnQj~UhZ)gKIh$#rKuBAbm!x?bOW>08h
    zoY!iG-lg-U=q7T!r9esX7I^;AK?Nz|E>?!|PyuCy-(qY@8MZ1=K^tTKmi{glZAL7W
    zq#F1jq@r-cWWu`Rk41LNl_%Mv<(w?ocAyd;vC^H8tZY;tsZx
    z<;0k>=j%D|_Np(oOfOyu?!xcUgj=i<<@~c%UGApW=D~ODXQF_pXQrknyR{l(4$}*V
    z;$DyCh}QF#IDbFyn%|^AKhxJg8&>IbVE8?oFe1W@vO@^&!PS2oQKC88yO71b#|`g-
    zYHl^r?Dd5;VX-{*$qwMW?`)u4&@_-EAce6V`jKBDev|=5D_b>rM`9gjK!|M-48_KAo}^zV?9-<&=eUs@e%)Zx;n)4BaU
    zHNthf2G2+vP_hK1?o<-E0g+04@!@Pa!Zk;b_eylHzOIh!5}DEb)1v*Bp3BQofhKAv
    zZB1kK07y4m=Pvx0CYI5)(?MVVZQ7S}FsA;;j+CL4fPGf?$U^197CJqGCH+2{3b(>_
    zU$_OikIw|^>9>|yRSulMln_7Mz*zUaPgDR;=_*lN%o8OE3mw_TC#EmNiZEc51Rb@_
    zItxou6DzA2x3C5f(pB|U%%fHE+3Vg?BSfkpTJ*fwqppYp63YFbpUQ^D4}!-U8W6IW
    zZb*J0Ny3vQQOZ%Zc4dH~)VQS#M1uW>_P$fa<$EB3@$NS#-NCo`KpVO*m@^!ReiO1u
    zyB7(gDMDLp8|%^WBqk{7r$f8^YEk^QP^-?(GN+id!qUQ`-Dm@mo!#;OxeUWKFt3zA
    z)TLXx0Xc3=B?j^qp4;-w_Nnx>Y}wd1bL5OSC1>n
    z(`mu=Gl_}A%7S#GdMh9GGV`-qiFUl~pvY*CE@<)-C7$sBODz3Szi^T^2vC(0grwX)SHSa%UtY`E^SvP`I}@VYUXJAdmYlh-V|nscJJCqz
    z9jIN`TO<`V9Lq^6oxfCd0Ga!gj0{JR4c$f~XC3`+Ce#FGi&IJNb>`@=&?O53I+%Th`lzboOEMeoPN$-k`PsU6lq|I1b
    z@-`B+@`#Aj6GP#*;TNRT>Dw4-R;1wy%^i+9XI-lr@%1UT%-S7(A0efLC1Sp1g@?79
    za8pl|i_K6e!w4<^Ry;eNrcN1V0Pnw|cXRGexx&lX>Uno!mU_$TFwclV(9+qMiTjGA
    z3wekY3s51f4t!ScP{=fIZB?!FOOTa*_l5XrC|#2n3&KM3l1*{gY@nJG?YUVjMNvgw
    zI5*8s{d(zg%YO6T0-Uyr7{&RUJ$nltu}Yn{ojc<9^hmxV3L!&4FLEvc9KF(mJl@sH
    z6#h*6N{1h%=CJAAZc|%uD_~n7cHmm*Toc%k5qW6VI_C8|d%2eH9F3mUeSUS@G#wE`gr>05lt<2DVIKVZ$U8^Dm;L=jRPJFu~C0}^aZw-
    zR5A+>0%^&P5r%M=ATo{F%
    za^H3r^2a|GReCk#u9~?-oV#~(-qgRj`1%gN3PbLv&&~(U#L8S82o)jRnVGoCmoUV9KP8|!&~GPOz$h||
    zodgmCIRJc#xs{oQ;VPIMg8YXct87f2;<1}%#pzl@N+eMDt5(T=DI7Qn$w?YBY3IN6
    z$*|#y=l`smvfr1rAviwykwQ{SZ{Fy9`%&Y5+?k72K46X&NG
    zv3bO9YQ+jn(u
    zIgWN4-w1HP&wo~PvpdyC{CQC5x0FxM=Sow{eIsdcSy*5)!FE4ebPEiO*(w-d^hwnL
    zZmo3PS&Zd-xzev_1WJ!q${5ht7!EKB5yr>ETM;aZ7f
    zyaF=^AE!$2TEwSV3Q}`9n80@HuaLr{jC05i1{8$Id(v`1ijrQ`C{x|9nv6|};-ueD
    zd18#TlSO%zkJf`o8Qo?LGr#9cFtXrGx2WMvm33CeYr<}FJGg1`K7oA;FypU;b&p5X
    z;wIubPc{)Kw+{06gV7r7H=!W#+dpklq=^ADFxFFBbZM3T;Du(SotP7+@VhDN1p;lL
    zq8VUR1A~jAgYCk8iOZ->Ahq*Emxoe;(xzCLzWbV-9^amzNUT0Fupzew&llr8@a%v)?F%~|4RIN@u?^aYz2!m0dv-uoSvv1
    zv8!*9WJZ`_OT@LW)acuRqF3gBN_g6%x3@fk&+_x@%HvB#bem652+8jt&L_w@;U}yM
    znC=5wHM#LvN=}#af|ha96;C7_t6e_AAMTD-iLTv2HBpoGj2(7+t)(Sefe7rPY=KMv
    z4K9DXj#FTB@U!ETE}lNE;XESU%Hx?hlS&S}YIFV3N(W4vIG!pu
    zOxC#cDn>wlZkFj`jmmo#Ub&%Qvsqw2gLM$%2bn$TGX~Wnt2UUqtetC#@jafd
    z#+LAhvGhv^n^K;ql@Uhv*rw>Ni>^2+wrr|^@?~IIG+-%AG#-h<-|dRBmTyj~t=tRh
    zP8>E@com6N`{%F6hoVMozH9gz-wV}3ll$?eJ&PMIuO%78&^OT5O~8{9hIG#AA(X~?
    zdL?4VuwpG}{_WHzDjph~)3!DahkxC_wI#G&^hqiwTjEnA>c+-P&%Ie%qYXd=mQ})a
    ziO(EQgu8lD=y5=S*Aye9P2i|RRR>{r=ur4%1?68#ohUHu;wSOA_P(}jjRjLsOfJ23
    zZyiwI45z-oyYK&czT%BeyZ>DMyA0P6HS2oMsH(#yerU*J5l&Mlb0~W-@uQHKful2S
    zmWlB~qvD1BcY9X?{CFhWC$2yZHl~beoo~v}&C&Ry?qGQn5EimCNY$UTDe!it%GI$v
    znRY2y$ZUse8^&P`9rT?53e9HW}SV#GeN>b+PCL$?21s3l;2%i9v#
    zpv2=I1$t?>*7RSt1?A_tK
    z5u|sc?KAg)vm0Q0qDq!4zTok`5VT_ykg8uk{!!a#=4Wjl7Gb|{kQ%iMcX<6ep7QSb
    z@4tD8)R{&g<8NNVU~=YrR0Ak|$F2!5Rr|LfJKi(6qkb?-o}T4zehL4q+Y>@W4|}dZ
    zj7F>^O$^@{8X9_E6d>9N3)XL1kp3anFQGC%`@lDk0f;$94^`U^w~z6_=a-9K?f8NN
    zbU~KQ-*K^{{Iq26SOr9CCFr{TEO?zkuE8dn1RHuDeFs~bCfI2PyMYaohVz*)e9>dW
    zthkjHcQH>jN~l5oEcrIes})=W$^`8%gRtTujZ%5U5*urBQCwOTyA)BKt7J0Qg_u`z
    zL>wT2eP23%gQ?`p91A!dgX|yT8m}f|`KcXEBGm
    z11ZP20aX~KJ=TQbMm(aJi|k&}9VzQc>*u^Ed=&-%IA{+@;xG$m_Q7;jl$GfxWK>Z2
    z%bb+xvHK1v{D2b2g;-sR1qqwEu06ea+Mi`VN)|Tm2D#4En;u9s1;`gQ*O>!tk11BL
    zIrW8i1}s#KpvJe7wAJ3GX3;g22S{UqQ_*RehdH6Lf^KiW&*Cq9OVdmsUknZ938Q4f
    zT*%A0>syI_qEeTOUi!2wN>}##$hsJVFoIQbNjEVy%EHgH>$b6C$bkT}zZ%uNatvak
    zoRXHiHnQ=>b2@rK>U}38&r-=e**Wmdv7`W1;i>4|Q`;Up0_v6dt_R1tR
    z<*U%%-cqjQB^25zgs)k}_fH&`4XNBH1<7e{Lt@lCW^T`lj!I>~bhCrv@6P+Z;t$U}nS?E}f_qsH*94*3*7J
    zfEpyE6?JsEO0eZO!rNMrEp_>{j)fa_zXy^ZY=o^!dW016_=Zu7TH?eO=LdO&?1soO
    z{vlfMWoC%e(caJ`EzdyNiLon{`Iy8#$D9~&>gnQ6slbtapc?^&vY4k!LLVZEA@9=Q
    z`qy&ooiDfn{p|U&rU6%?SnDW4v>>@Mc^
    z3iMyesf4co@nIB@8n7q9vnpx-E{q?#^qMU7BdCc(p5wBzOD``skfP4QZ)&vb1<
    z6uEkLk$eCs7?@NS96R4ZQ@y2PbFV{K*wWrXXb0flXE0z2K58(JN9@2x5!_$J&EV($|5
    z$pBJw@=t56rm`?F%j0$n-~~?5;{f<#%bK?yp(;Ig^0&+M4)*Y}M{
    z)s|c1@-$C{zP|$_i0uI3mDOHD$OcZukf+sM##L|gT#P&N5R4ed{zQ+(GV?VvHd-q@
    zGFH2#``@;cUK&g>NqDF%TB)?(^(W#ZC$=a>@VxO5aocgl#yrW|Nn)yVMQCEPO3%vI
    z$gNjk|FQt&S!1}G665DLNcXWGXrNgXUl!D6Sat5wj$<_Ae^&tt4%?+LPo54WxwkN!
    z#NveDZ)S7Lccuj}?Rt{>KfW75AdQ2s8vZ})i*^#h`rEkyNPAPFD-Dw$#9Sb2f(Z6-
    zeKnAXppeJPyTwg)k%-^eqM=K3T1G-TcfqRj{=?$C?p(JCNo3Wi>!z}l
    z`v%UA@QkIorJsdNWg#^Yxgrvgw{mkdu|a0QkOe`s?FL1
    zGG`lX)+9lEip4WN#`uvd%&F$brN56ujI30?Q!h%~@iHD<7^{*}gksZ?C(3&k%2UHKE-5uf}nxvnyci$uUd{XCV!HjdCZj8
    ziQM(fCAGI%%Hpbf3h3#|G2$g3x^k=`4;7^%nL^@++I~s9N1sKJ#?n9Hnu+|bJd!RK1(d5@W;H=W{KJ6k)lpsRv^T_>rAC`JT&jbbnalas*9N{
    z#ffoIUI#PYtQc~9r%HTx^KvUA-LED$CBo|7^Eka$Wq{?ZaCpY_bHw
    zo4Hi|pP#)peJif%af)4mQN{Wc11hn?;R{*ZrYFwZDwu*S5DdH)yPUeUn>
    zgAKxYR{HV6@Rn?UFI)|hsUW+CmGZ{@K6g&KM4odYqqmIgT}ZbW3&-v@Y>kHYIJux4
    zDWVq=;PLHEO;8C^(%;v=wnAo|O90)7J{Y9|&9>_GC*rKs2WdrAN_S*>wXCMU-Ar{L
    z!*8FilzjTr#~pCg9KJ0nNUiM>Bx7E-9_uPbZIu45rLbWwyq}5HU_tQ+Kj_AHf4#n}
    z$ouGvq2sZdBiPLO9&T_F>5F1%|AHDx`~2FtnzUtSry>oqlESeze@71oPhG=~6-5VP
    z+M>GMQ=Q-63L_FfQ@4uxC&ZB9L_#>R6-27$5qkk1WD&z3mM!+)!Cn@d24y`VagUXA
    ziQwn0e0^a1{0oXV&vWE~r)APe6pyWfT|+zyA1AYdk#GtI>NIb2SrXh*36utqu8mO1
    z(k{UjrV%+AN3|{&DBuPM@@%@|=|z00NS*34?K&bizHQD&F{Oa#Z{m!W>vAXUr6M-%
    zjI{_I$e3}-FAg_2HeSzN#;7;A{p`J;W~Zbnu7=0nUcY1DmVv=uV)ji>@Wy#%eFFTR(Ej<@gG$f0+
    zJ(CseWt3+u%n}#r4ixE#k;VK<1kF3tJJPOQ!!TW)-x(5w8Pe$Z^$^-Tk6P#?j-%87
    zH;a8<`t8)__*N8S0Yum
    z=&`Y9KRmWs>}Mqpu=4CWz3$YXK!yov)*85Wf_g(en8=1+{I;P!blZhX?YHL~wxn*)
    zOehJ#QZJC-6J1Q>;?f$5y1NJ7hG-Tfdn2@;+k`w-AUHIq3k?w6+WzlNqjTP)>svDu
    zz?k!?_@N@@*0y7Gm`O3Xj8m^O_u$T@F1v6Gq-ryms5XhQO6(K~LgkI`(>H~JVPoMi
    zeVV_^Xyq}uUk=PT=Z(}qKw`RQ9-u;m6x4fTs_^UMHDc5q
    z_P$M6(JJA#zmRmlmpe5K8aNsXCOM_CLKh#SLg`X-F7qfD@RV-p9bP|qjw`4?N6gH<
    zRb2P$tM5ywj+@*@w?sw&FP{exf=_H7Y&1vw?n!a}&W}+u=%j2xFEW~`gS~^RbTnRV
    zJE#e%Eu1W+B=spo6c0}KuwH5FtG+ILOAH5lZyfdcs8{df1jC){@66R9fQd&A*=T-W
    z$-00%=b?X|c_#!3DKGz)>#&umm60?%+Vj=GCPXRfUAYZ+XUG1OY{=;S7$)vF3pr>Z
    z%=;g%A0d#C#VwHxv0I}kHJcFOE=8M=b5V<~ZEa{8lhV+BXZL_PR7$#QU|J!gqCy--
    z@gM;lQ&v&&?!&us`_U;(KoGpKA&`x0U$8PcmyV(~+OCWH&7rJikCNRGnkSZsGbWWT
    z;~FVhks3*1CilXFg($Pg?3*#$f|O6`|4h6f8*mtJzlQV*)81v&0G;dfzQl~*G2
    zd`J2HIp%dH)7Gsuf|IyX8u6!idMl`~-Y=(H6rw7Hhqgd-IMdJAueGx`PxRh+l4@4D
    zxU}tiKHo^;RV1*DB`GsE=4OoO>A=uLf0LoTwG2vUn`+!?&(L4jLN$amdsh;DV7pHD
    zGW98croNU+Z+m*#PV&lwin}K6S+C^5}GRChDC3F
    z=rigr+FcIhlyJ#&69bVDwVO5%adf=%p(HG95&WVN;pF1PHHF+;*8fRZnD>02GbSQH
    zcKi=PDJX*GVB)2>op#S8s{PU-!!Dm}0$jO~-%9BD5)veB*Ot4Zs{NEiR;f)ejZgy*
    z^o1SOH-355F*B9Q5?hYdZmz0yxwyXvSXR>w(Uf+zB{1&1TUgkcE2BxS=GU*|u|!jG
    zuY-%P5L|r8ZekAnm*;0u-`FLsaY!*EqatCWzd#%+@ioxg9g|Ws!K4ETrm``9ROb^Z
    z$2~l-me?xUuA*hKN6Hov@xf4*6Al8kE9gRc9^EfG`>yw`y%=bF7WTH+?*kBc$nsjc
    zcdP3Yl}~<9w)o^m+ilbQ!;K`iQ?vn}uB^1J!zzQ!9>_y@@oGPe%4j+=BeCb}iUzZ+A_$7%i_64H!JF}=KhPuY`tZZvg
    zL-8N1OCcg56c{KWaq|HPKHiLJE)~kv%Zxf<+PD13
    zckUaMhNUVfpbv*@_ScZ=pilN2Uutq2(dYLj8D=$I%OGJ2#QiDk1BV}X^#oH>!HZ`3
    z<}Pom@gT;zBRg$svs#{E3sH-u^~K2dJYiTH7L!%9;%DXI9*nk+uORn7(ULJ<^u6mX
    z8oV3y^nHsgw)g7?8!vGE<79Pnd)AX@1|Xd5tdh<`oajJqS)$D7mrCEocj=jxAN4gw
    zW(z#zChJ20hfR^_IZXXg&dMd({Wdw+2!#t6HU%$G0*bmBNz>n6{uMG1JbM;?p~DHi
    zI%{t*Zo2&S7F-}>v`tv^@h&tQuJslS6Qo>S`<#FNsCe)>P_47QY{
    z%;*I*Ey?n^s5x}z0VlvfXa#k1bHi)7GOR^FcLyPFBKB3*qn{V79fC8VuBqwLmlW&Gp#0dxJ>ZC#bqt*r2+
    z1}>RTOeiIgM!9dZxXhK>>|r-uYf{|UyT$MQ9
    zoC|DaZ5=)^7f*!Ut&?n-ZXDlV+MDzo+|P_j&7)=v=&vdjKsB
    zT+!`;z5lEs&}>vo#RSJvVAI56$LWdgtr=t)Ztq2QMDB3`0;RRhj~^H{$B))F1HXNH
    zkwZKqxAOXtR{R>!gU@=d?V&0ABaE8cX5JBR?q=#1+GMe*vsCIW8in)d>Kx3(ew5Y9
    zWBZ~R_!wdx8-{N^q?J3kFnUfDL}4u)B|IpfZ1Io~utD*1V0S+*2EXgCSFqAYprvVX
    zyj23LA02{h8_0paowB8aFXbkV#0tWDHjPG6-B%YU$q#tJlNs7W)lq%=_x_|(NczvJ
    zxtds>qzm>+qShXQNBsM!YvHd1{ll%49*E|V!bP>tPqwkaR{2(3|G|CE9H
    zU+cA(1FC%+dSKpZ6~shVzZrftaMb~iCYH`l-u^Ch|2bHFeQw%t-g5|ne9YLMyQ{GI
    zYQlAQhW8s7WzMCcsi&h}@P@7n@5rnz%xP7E7^Ok-QMMqVGz)RdA>T@M3vpz-?-^l)M*-jQF@2=Rv0baOAv0muW#xt)6z%XlwBb2
    z8h|bn0*ODaC0i=H+E^eYsw{4iOWpk615F(Uq9=epI{H&~JP|w=a|s7}3YWgVm*!{G
    zfWqHcgL5FP&>Toh{m`9zVqM)tUgWrbZ$EqVbhL~Zz~WLvznacMG(ZD_?(DRSA4$V?
    zc~P+3M7&ISF;%z@WM+(UYkCn
    zHL!f+$(uyfm-gZG@~NYFNxuGlCePp^4BI+}%Qgmq^v>tcoEMLA#c()n_NCXOaj0s~!l$SMEEhVNFY%wqIplTvZ6b<>rjmURJ(I
    zi@#a!FaJ^DQ3@}bM)z}Dg}f4#j<@POwmZk-921OTa@DlbBg9-Cqv?tJG+Xh3LmE(;
    zslA~=lbk;g*Rt9<-ag~=NPC7k#q|2D+#?IW;*IgAvR3O^0}(H4>_sgzl?&`wC3%SX
    zYePqv-pDPu1tRRac&(_8fzjL*kVmMhSm47)uA1d)EoZfC)?DRYa)0baao2GA|5_R5+zAk_(G!$0RZGU@kf`?BHo!i-fa`u)Zf3my$>&T6fyb4S|
    z4nL8YjV~=(smu#a!A*1fdPxk4AqgF#P>eEPFRG`ACpc+6Zdk!!@T2gEx++_y8|jWykAhbdxBp?KiIl;bpm`_94rhr9YCJ7
    z^dX88P16ZD*y0L`^Al~LG)0N|(CK1(Ivw25&ZTzJ(24JMak2(L#DM+p1nKE|N9*-m
    zP7SX0Z83)`EY9R>V8kU9OubU!U4vsTBo~jCI4(EYO4;9I%6|Fc8ECSFzEUsavJ&_9
    zWJAYy5AqvHn1CrD}V=sAvbT
    zWxU%=Zj`CWM?5Hd1gU&ojs2Fj*@j!@Z1b!8tJ8pO?JioNKr{6cYs72B918v$9EIp@
    zLZM5yi{p&@((7dw?X%!)N(r}~`@MRR<0!kWim!Q#(c3eUTASPQ@Agv)!U!t3x0*7-
    zaVQs$Ml=Sq&!rL9imfbGowx?oo0Wlbw*#*4*54*yt3T5FgYg%-NoM!Xl%hr{z~zy+
    z?xS)s3An8L^c9-vQ{sMJ%(u0TI~_A@LS)k
    z2~*A7QpM4&{aKr-)=d;0e{0lIz*?Cia~*)i=?d{SuJ3uY|9)PUFL!;A&!BuW_(`Xc
    z&h1L*1&T3yaj;KcZ`Px=JV%Ao%VwbFX=}zRU+|3Ua(U?OdAyGKt>XL?@EDlj;l_BY
    ztt~+`YGW}(NGo@Wxy17fB30`?M~_Z_$+n~+G@D&!Sn-|6ZqB1s$f0U6k&?M?&<~Ik
    zpLdn1v#&ca@bZDVi@c-S*Ewr5M@G*U
    z**9GOOetzy)ypwU-QKNU8!)t|ciZ|VetBMz0(L5Xkox_Wb!m_(7k8ia9Gv{2SH#@D
    zt=%6U#10Cat#2ylJUVw8ky1y>05LFK#t_8lP;GsfWT-5SvfG}N&W+|7?11W(B8Jwc
    z)&$j`VV2i!)Zh@>kD%-pv(ukCja@ka3}4HzcNF-)`>$^_WyoC}z!X8_oXr0Kbx=dD8ITN?#?&M-=K|KI@H^Q1WA?2Fl4=@ZqPi8$4`yRGE)>{Su2UTO3lgqLCacIkECi5#L*=$;bs~z&O>Nb_u%OBdAOaMZ@
    zpHllObw3-gdM?k3*rZ437IKi|TI@ZSm8RY{*Koti*~h2Ygr(A(M+5M$6A!=X>%K#T
    zjnUNo_H6eTPuT;IXD{F9h*K&-Fsg
    zaMdaycEBTij=h{^$^vA|%TG!WfGvMN60u#EKg*|c(qk>O0q*iBzT@MsB$sN3A;(<4
    z>9r|^qx*PMB|G3;Xy1K2^GTNIU!~SUQYf{fkSW=zl8c?o&+sdMB*-)jy
    z5YKB@kY#+#SDW{503#8B*^4zrl6Cg`qGlzcx|u8bl&7u!ID^)A0TL1=*20N4VK%R_
    zyARti9BR-T)1)C&D$}<0Flw=aoYl#i
    z*ol&xrqf>%kJB&r**Jc5|HOBg?&5DUKJ(pwQ)bXV@~p6-H~1#!50#Zln;hLc+Pkk>
    z)0vfKT5O<`a3Bs}0a3&^Svt9oHK3#RNb3Sb?%z}RIb9`n?3?Ozq{Y`2SviXaH
    zYZpF`i;h?dU16is)9PybIp_CgY;*NT69nQucz*kKemHvJhJZp(M9|=Q%x;Ju6-H`B
    z&@Da5eeT8bieEYBn@|=3_g(mOjoY0%bSwPo*Pvz{P=r5~)e~BNkV9R|pjidJ3Y*A3
    z;zRftEnA)FToJTQmzuBc?!XcD_2Iaq=#Hidq)la(lIuVA*&uBT9Ng({bX0R(dx6ir#tWr_iJelvh7)P9qrQ7TBCw{lr?H%+%|kIV&OfkwC3k!)u^cqctPpk?xQB
    zg&&SpZeN1sLJS-nKE&=zojwj|MXuw_8~-Q*3;a-4CFN&M=hqUhr{rl&4a`zwj;Gq#
    zvW5mfx3&>)Sdv4>cir~Q*rX+8L$oFr$2~uh|J=_~wklX0Y)+`8GzkHJNaYm&Y~+B6
    z#1KkyQYK{4AY^9-kfqRx@~UQN5Y|Kp;4%D&+Lrvq*|%#fox1(AvV6x*dL$6zo9T5B
    z$o_|r$VBctI<(sSz)y5z87wS6=tV1sKv<p&37^f7ty?(UmZ^$dl%wE
    z@vC!}1VUahp_N2f%dr6x=1UCn$D6IDb9sw{i>G<05yS||T_|Dvm
    zfVkO`-NbcLp0a_$`U}n)PNG*m+rr&S5;V?m_e;%?eKYs
    zV}MBhvl@2wv%vcbi*?F9nQM$yX6(KlLCtwR`gb^D(X$JjxLPPFJKjuv-Dk5}Bdk23
    zE>Q#nUo&B&Pa56V8PS1L*kyu5{Pe};W{`!5j9w#f(CkQj<7L%L=(le_#`r=`UY(Af
    zuYT>>A|?h5KFmBSLZe@V{Na`_yYAGI0ih~}Kh)1Fe9jKTrewa=eQ`smu2kv0136Cc
    zErA>$DtmQRifrhL+Q*~udTug~glue+b(ljx39$$Fk&KT`Dcm9kQnx`3rYv9^#dK%~
    ze;2^1U}=XQLnHQ_iqLPQq=%S2o0pncW?7=sg5@+3AVzYr049GH=SNRv0ew=^1s5XH
    z!pQ{%Zlt3>+;`_>ZMwJPTe;ma8BuMKjP8P#MB+MZ{{ozU1WWIXl6)c$9WfpCU`TgH
    zbn)OXQMRhwcksA9LRVEIRh$Hx1JXPTu(lP&K=fwMynQZ}Xuk9C%7v(LQz
    zp8B$zR6XLqjEs*1?lflOl*gEctHd)Is^BJp4Ph8Q3FtR7!U!n>cE0{MA%|VCUZN>C
    zh>PDb?9ZL(*$pwF%?HQdHbZrwF9Hg;y8Ytb=YjX+kbbnDGV~>MYV3iP(WQ3&+yLH>
    z5=?Ql6)G$(mrkFOkfnp-r;XJklJ7+R=5L!;)q$oMFi}Zw3)lJUDFjDkSVE_oviX-2
    zh~P`pO%W-_OgydEd=KrhuA+HD^WGP83CEp+=3RaSy3M?B{87%6cL&BIMUB_fKO%M!
    zj=C>Vc6$wSIg41ZIKhAiPQPt*>)s9a8G-pZs#wTJI?0mF+&|p@4GP~9~DROuR^yAz%ZY+1`%8D1&e?9MLfcyW*`s=8wzNmW~rdyPd?(PsSAl-cl
    zQMwzhbmyg8KtkZsQi@kvq`O;?l<ZBU`o+;LiC;W>7i@Qu?(825So`7xj*4FC$mXg}BCR0)s2~0Z|>HxCn64
    zXHl~t(##6E&kuKxQ|$qtH8XcNrD!zJe9D9S|3+U0K%v_G7c;N2RM?UKiyq)|@b>`J
    zX9R+_c+)qlOv|`S5LjYJ5R>~a!zNWc>+_c)kPvrsT|FML5T?~)*pE(91R^u=P6&<3
    z)cMq^**3y~-`1;axto11Ta%;BX&1Zq?|-WVR6;AArr{aMcNcqNcB=%F@31p7r+8J5
    z7MryIs|;eW?lr!ar0ztW%UZlgCOs5gV(G2XJ6@pRAT}2I|54moJl{K4JHjMSLTS8R}=
    zL0zC8?>|&;@Kl3dSsb9@V(-pSAXO-W&PHGw_-cB(4J3}^4wjz(Vk#ipumJBMea7fq
    z5;#PZMtI=iEaPG*+QF^js@6UV?Y8QEm7QinNt?cbvSFz1EC0hBdHPF
    zH!9fWDt>R?OA>@n7b3R-_-{}0qVP}5_IaZm6MC~QEQY5NZOJ{%%k{K4JbkuC?f9{}IQ<(9e5dJK{F9<^`g6hR
    znmjr8b~;O-2j-5jNe8qSv&*ZWcTX3>?WWdIATY}<7*~AcXv!Ndxpo*7=-^B;veOAo
    zhvD^-M^eoHWdl1{o;Nqva2tnq%(EY16@jh>mMEQR`Ch?5m}zXeY<9bbrdvGBwjKPb
    z!?BTB?@Zh0QRO4;(0@DL8Zc)SrI^A%c>DopPj_iggO3?VluE%`YT|lp#UbWklG2FG
    z^BU{_*;zpE9|~p#T4+cq0N`7SV1Q$Mt!kN)5uS%x!b)AA6H=MpA66`u*D9IW7UuB&66_;t(2X;((lmX?KjI_5``L7{Qw-WTMZ=
    zqZ6$kz(k+Gbxxj=+J}MipO$3_Bqx@j8|+Wlt&h_ZPZJV$XX=;S30U{JPiN}08Bng@
    z(5F#}C%47nrKg9br%s9c&>nDj4~$2@zM_jGzXYQ<8^Tt%7x1BH`jlN@akfWkK*T6L
    z7WzNz${v7SN&3GsO$~E6X0`^^Mpbtom7rO&tL75b2on8TSq@nC@D~GKnQF)pTUzT;
    z!97mTQs`O(OXi#K1ol{V|5pRI->qa-&D$}tgkB+VTuCHfQ#L3bLK;qJ85Q$t6+8Oy!-GB3)9DjUEE~~Z4EnmIcxXGUn~m{*
    zn@`2fTl-J<$q9S*I$ZE{L9>qQxw)4B@7POt0U#?UduTQ&bilIA@F#Ut4YNXc$d_TZ
    zCY?J-M5+PTU)^VeBcA4K-5M9CZZ_%ShpNJlDIks|XP;7$RGbsTs`D*){R{s)X)DX|S0fW-}dLg^i*EnBWLkvxU!
    zA~V;Kb11fQH|B>gy$~r9RtYW;mU;#WKB*Z{1JGl5^i;4&CI8kxY(kRu3Otqcr<^*6
    zJ{>(hctNkcmPGd8hUfe$6ND_PsDYQzWcHT3?4#w>b}h9>0p+NC&2+dD6TygPIu5gaF2mv
    zlVs%d>YGP>VPu+$D=&DK;i@JY-ygrpX+H=GF*oFPK&NGV?{16uE%6V)#HC(453)4^
    z1RWl0UOQ(!+q!-)eJJ05;(EZ`1>galF=iVnRWZ4{a$sH~p?<*|vdLu*RO5>KcwF^a
    zaw{&QpMgBTdjvK{N3rvy=c!+1@4HacFP&qXWE%BZla@HLpTj#9%{X8{EujmC;#iDh
    zBvenUOy}rRpe75DH8KP|k6sonIow)AwBkbj43HZ?lRyi#`wZDNWZ{@vkt@YW84}+~
    z{w;HuPLPNOJn_KrpQ*bOH%nu=jum#h;f7fRmylM^}QI_YDx)84Vc$_Da!UbBq+U53Kh!Ve^gjN*^H+A*+mn7y@*_l4H$^B??p|?5I+C+
    zFaeW8jDrC9e3zzkzLXR$3Czt(NxG#mMilu}Y{&Yw`$t>6iTS@MbzI
    zQfV|`OiWWXj|p3%$W&O%?w>!yy!fb_92p;Sdj`k*!ndwdfF|w2G9bB%jL;K~M*vnC!u2LHe5Gv27@^ANzl3CcpHq5$*<8?Exg8Sp=bxbVtEts5+dSb>Eze%NYOJOeA_3y+gAbvTTup#b
    zNpAAt79f|&|-SLNlnY?
    z+A^WOd-yQ@AnI>Zomebs#6^EGY
    zb|3_$yNO=H&jC5iiS9PGjdP8
    z4q>8Cm-~)i%F8oFywn^Hb^%XRf&IA%n$*F?rv)(!5wAl)F!OhU$LrPc*dIz>l#VMZ
    zx`<|gv%$F(Aes5m=yJC?OuoB2#bKxm4W`=IalwpCp?nEYr}P&~R0ML<{j9NGXbi@s
    z>`&a`ax*(S5&G;2q=Qy4l8aSqkOfnZ5h_bPPyizBX?#3~QAKN1un<6;ar=LEp3vVp
    zM-t}d@w9pqKvHay)v*3!+88M4hMcvs3POEczR__Rb}k=518~-UINNjq;}TXs3{pA4
    zrQOV|3ar4cGDwR89SQSA|5=nCS>Kp1mFe*@UmZ=`j2AMOej^eP{BDsBT)53j<}Uaj9OhBNnMxe-(NYC={_4L6`Sb=ys@%?
    z{43`xX*Qh2`C$peA{vwIk82|y#Pb)HpLc5Ds)hH6N*iTdpQ`?4GmOPSIZg+Z!hg;2
    zf5wxKGFJtD*%6a*VWZwpvwp=)bpR
    zFjy8O0wqF0PY-OF(;opsHr6^?7~KQo8oA3U+Qxd(1$a^pq=P_Efp9pc2rRL)JtSNc
    z!9b4JkF1e@pTZEJp*rkBB4TDIgbKeONITf;;27Qn>UC#|m8M{#mx|+wyXTFc0WYKkhZT@nZ2f3vt=&;KIM|{`9vyl5@&6(ppG3
    zG>)YTHSe7rA!OF2hI*&vQ7h{koh;-Pj_
    z6oWI%nIR!!ImTwdNHr2DWE|fAQ{9!xrBLM(#5VQ2)x=3;y6Z!zUI+U>cEg7Vkc&z3
    zd%|=-G%Qo*KfacEX=*=fYJ|4Nt}m+9y_zpJ;fEz&+59IbS4)|#0cYsv_=7)NF20xh
    zZ?HGF<}$jkWb|I@G1NCWeH
    zAixwW45A3o3iD>=k6u#zEQX9nZM`tClTx@&5IbXZM-jG3{23Tah3v+SD)i-=i2E1V
    zZzO2$8}Km9`B04_o2MnjRsrA%r(
    zhK$pTx!z?J8C@Ov&4{R=R24_~I1L{zQo?tluhLqwxgg5Gy`Uy&bT&cVJEp^ltwp1N
    z#b5z$^!#m(ivl6z|FU$tD`!{96bHV4<=gQv>b^14n-m@U1;d5lQ?D+`ny^+jUK(8=
    zOGe`g6Pv|Ed50niQ-zPa|
    z>D~djLX=tOSaQXw0Q78gSbS@ruMM_naC=$HKMKl^^n*s?=Jgxrv5!|ebr&P`>uPnz
    z3s)2aT(nZa;?@+bFb?#N05|8abiH8R82){#V2h`^L3h0knJk=o(N35ww<3jC=l`XG
    z>u-TGME2PA9^mjzv{0I@Qf7gaE_ijacsX2%p$&!_N2p-kxf2u}<&*m3&YmW~+iIDK
    zWZonBgA~@^$r|r!Dw!M^hmur*7!)N=(-OYrV(#mIq}6+_1%KO$QN_22I{wR7=fIzp06{9e~l6lxnV1{2KP5E>3P#~HA$&QkQz==(uWj?uSYF2&=>Sy
    zX*q>RGi6Hg1TnqlJihE$Lnr1_WUglMbwkJF+0sx_mF2OZ-qUZrg}MVBh1+=Z>s2*o
    z-ga7IZp9&zcCXOt*bt%i8K+Ur7uAG~K%h~3ee>sw;<4hdHTD_Yv97BqD-5@G`q6-l
    zdH*y5J22UGC~-L-D*3cay<#%b*r3Za>O>>0*u*X=rdblBoY(*Q>e-^6@7wy{anKbsIi$3g
    zv)#$x7(Z24T3=Qbn=j$%-q7nvRx>TNqy!hZTjQV)ksyjK?RAsNM6
    z5-buXJ0BOa^%p(M8!+_Ftch&T=X`S8JpS3#C7B2?`419-VkszV!q2*|pJx5eSiY4)
    zjP9wQc&?rVdzzh^t^zIA<(myfG$c_o6}e3dT|olT(RiUp_MgQGczYs9#!ZIbS%jKP
    zru0DLry^Pu8cIKxAQVM@CX>W!PpTSNy?O_}wx60Cp0yF&+IJfzCc_IDsm#thVpXQpyG6HQ^$
    zg-TCna$CzhI`(?=bTNv{om{4DDBcpLyl}7bW$@IIbh)wVve1RIX3N{xE9)bXK~8_B
    zM0u^u^OtryOQ+dv@M`E8Zz*innk?+!ax}$!^O{_g=gZqGXeDxVO)cfD!G~7hSK#+4
    zBb|31f2&u}e;=FG!uvw+S=RR~6-*wkBze*=*W9-;I1uTji*i4GkH#f3G+TT#=?I3G
    zViuLfh0{!OG7_)htn6-ieEmYGK^)H*ULQrOi-0rF&KCTrW}2*i$9NzoLHhEB%1FO}
    z*4K7DbtTMu05b@SU$ykK42jSeQ|t3{C?X&Y%7}Lj^Um16xTUhVr0;ZvjhQWO@vsHu
    zsF76KmD`l_x)}4aOXUJxxe=$8D!M#gg)i7>Ff{Qhvx4AC4bO3&A(Gt2x84`Mn*Sn|
    zWE9~E&$GkL=Ocn(RnD^vD-7dS
    zMD|iqFFtv_q|9;y_C^TTIi|W_J`Oosu$3Fq!q8*g?<)A6T1y~r;d$)6X
    zvFh+l(@iCYTh)HuI@TzeS`RqN-yic71Z1P@m3ey3TDg%>U$i(Ef6lME45pREx?S50
    z(hsA%LKwLN0giQ8gHZIfCZ@)`3Vdf}Nei$zS?VMwS9!>t8!Si{-I;2i>2^8v`8ub-
    zefJ~XgP71)r}vL8`r2lxJh*tZF6US+Y~g~}o7|Kat0{pjU37#V3@d}F;Nh!f5%<#z
    z$wvqKIj>vy4-jFW&-VREC&=i=q8AS~g792zKU>~!lx5`#1JG+q;gZK0eD+|v#2`~3
    z-da^4k#+A(OkQ{l3OHm5Slqfv+|52SsP#Vv52|_mOipb-v<>ZxGFweQQ9<*Z;h<-!
    z)TUkQmmY}{%>KF4Uy)u_1BrN@SU@(
    zl?|D-W}pEPG9Bb=$>Ts-FpUs>*6y_(_#~+gr#|7V*tT0=aA+jtn0kv6n^)MFS6N)W
    zi_RFAB8jfl)X?h2BZ}#OB}tH=LN_1wObt%cWhTPG8iKPWwS#>-*g~G0CLKP@-YAgc
    zOQE8_W}>AvVV3~yO_&_X6)aFl7-VYVwZ13~hfdxJkiF!bN~x*WyWL3vmVjP9Zu>pn
    z@Q+D@!D+6H>!}$4Y1Y=}xGTP~+`^*N0nGX$7+3L$ZcAJ!BR#OSRrwo+QU&lDs~W}N+%yTYj7eXG5YS9Gc@b}xgx=}ra8(>D_S`{YLn81sZ(MaT(BtU&}=c*_sU^a2)a(bvJj%c_!vo`jzN)UkC
    zZG?pSaZb6bl*avfmCTLlZ3gy;gqHrzcq+Inil=OK2{@*y9YdI9Q&K}^bqXGU-HjE1
    zR(Wp#M7Bab;E@No7dM1YiuBBslLccz-QtI?V<)3%#xA$+#PIWMc7=k%_LHMCdJwtb
    zTeTd~$|~34G9!`Qy~_e+E4iC*+^z2YjKsNaBd;Is44*m;oTLVHNT5!Cz||W>`1IJrD~=r}N|
    zI2-YI3&+R<%TT4O^^3*LmGYMgZB2(sd&S|IU5bI1?)UVm=*Fx2j*=r%C#AmW@+Yg@
    zp`$}X0W!u52L+|{rxsy7k$}1NVB|Zsbe`hR{&tK#Xjm_N_u5Ct5!G;yy8OW4K
    z>@}_8wfhIG+2gPuqm@Y1{fb!$g%b3*M8BfkOCJopuVe^?=#A1#xKTqQ?G2`vqUbY^
    zbK=!FzpF$2inL550?%h;AcK+GIsn&nM#e+{V6Z)>4m^IYo={~Iy7dd+VZ!I{(U>+|
    zAlH^Gu(hMSnEa}cKneYFJJy`K=P2xXzq09+^VUm*RA1{%YYyil`eIAKnbmFNTWrCN
    z8O*K6JKWcYrns;_O&edMG#&9(i<7@G`0mOOJVH@u5sB~=`^K8DMa`WyB$31&d(FYX
    zRtrWW@+BYwa{0Q)2^AtvSkMNKb@K_IOiLf2OL?vFyZ*gR^z*4O%17XD*WMI4XI^%~
    z9I+BWD`I0Wbdyav%&pMo&)dqm3S8h{p<{QoZK8Ib%GxR@VD{W?C*L6NU!^
    z^%h@F!(alG#hn$ltMMQu`y(ldP-zF~a=i^a#QG8-`-|RzTLO{l0l1xc3uYqXY84Ydgs9~eqeNvW{8K53(U^KOKcK|(DYlI;
    zZ;ZGv70XOL)kb)92*HX`Z#qDF|e+gRQ
    zj}`<(c{b0NSmqhk4_{w(LSX|P7GS^*vFv-z&9*QUZ76r7qfMB#5-jS7f{Bq>
    zMF(M5NDJ~58GEM_^7qIle>0zv=0x;;&AKiRf&T2#kf(BuKRnJBn;UoSpMM@085QrWC%DHo@|E@-
    zRGMR;(Ipr`i|mMU1^zsO&SA+IwP>!c=X}bYR|N8b0_y1A;$zy8-1*rB
    zUF3lxL_u2l0jZcrG()L<#|{;@nYTfd;5PXeIYqtSh+ixR~*irrmP2hApf
    zrADb6@|4n+d^eL@j`=*jw74SCZ1~Nv85c%kE-2B(Z3UnlQSGv{
    zX-|Z^ih?rr^_>7BZ++C)liyCIz>O5;2z(2;7FGkGy*VasZqrFt%krl+U(K(R)UYy3
    z{Us@lpg>F2-zQ(n*2cHIjOtEcObjIz9Jla?wpo#I?^Ac~#R!7}#0oYtpBql3nX~{d
    zT_(o9P#@=whNZ(lR~?n3Pwn=1R|lE5i;cjEv^A(5iv09HDM?6A&w|Z?xwTAZ-NW5e
    zOW?yqGSgDv^0LY>=fvpZD=_@z+gBj!L^@D?J#uGB?#bq#PvINY5_Tq-3hwtz)T(Xe_JNa
    zamG~{*vN!(aioeb4G5hr36_}(Pew0OR8v|zBWn)cROo7L7b)zBeyJ?>yntwRL}9WJ
    zBvE|8&SEI23`2Y+tOb!G3{?Ek|_cO1YC{;izL;)
    zlO4MOVOcct9B=|ChPn|jU)`14u1!*~%
    zJ7=Q*-AJL-3(PVZGE`_CVus>)@A5?d`eT-J1xg_*2jb2o$A+pht5e_KK&)IJQyko!
    z)D2_MUN_0D#CX%|O_5+~pZN2`vEV0$l5$=6SNAF9e7{m4xpi0P*ZZ7JBS|n69N)b)
    zE!=4z1tQ%ja%QdS-g`Y0-1Cl;FHl!YX5rq4&+oEn$Q+!10PPmnO~F6zfbHVGTe-t%
    zgP}dA($K4fgH+&{nW85jkPlMMLq4i>P*_&<LmYY`;=Y$N+
    z_o~l>^ef7Pz}Gd#&Oc>4fUp8mrR(a7lbEV8eS|}en|*7|!0f~n^N=gh?16zclEc5{
    zfYiQA`3V3n;}E%<@%Mlf&m_5y3|o-bJ&YZjivDF*0het=B`7=(kq?_#?bt_x1^ofc
    ztn+$X2)4W$GW^~6j3N?^G!EBEDKXJ+?bIx~Db+P1GzyUoT*KVjOw?F$rZ(V^s3Hwr
    zNk&ALV$gZSCWDOUNY&I_jg<*u&a)fweqm45dnE;o{}x=E9ZMsE#Gpp9qS8g1gFoLN
    zBOJd(2w#@WQc9uVo(Cr3QaI5`5?msCGk)cHoMk_x7}Hv2s##^g&K!6^bJam7Dbrwx
    zFwTQqt0;ajCN2q?p2QixY%0!fe7uCt%^a;X6$36-Pxsqzz-WbV}_VA57g>r-3out}>zGVd!P=3$|7OzOKJnKcGn_G0!R
    zh-(9UUAYh0kP*5Z%G}QA^s6&SXO%PMk~Z4<;Vfg9
    ztE`3;6>eErh>1oe9OYVj7z%pLUh+K*79E!*9eS3cmV3CGnnOBEFj;}sbED!v)v6_2
    zwnmQ!l%=j^Oz)$=q2NQiVPATDBdqdA+UzU7V#b0FM^E|@Oxq(6dHKOz848WgT90Vy
    z9gSro)HtL_HpugY(}LB3CtARehQ`hkcVK+dFZ=X{NKPj@lR_M}r
    zMuqR#)dB90Zs=nfY!?Z_ZfaS{Nqa0#W-nn0Jl=k`_cJXa_XMiUu~Vc}E-JCI@zu>b
    z1YWa&g4`e4CC%gcX`QLSWDgk^&Ht6)0g*Dha18suy|YP1dsVE-!UjVVU+ads4<$z|
    z)}JEKD2~IU#cG`nv7#_lmYIJ1DKLgp{S
    z-8JNDoE#7d9eHU{8BT}z0o483k7m5tq__O${NRxkL1Ct1J(Qaim>8BXo^#3^+jz_;
    zh5sq|Mjw+b2mQU}{@eYRDA~13`PGj3{EWcaoB5zZFqD>D>72Y`eNdDtIgY=5?J5bc
    zhjmUaQ*6d&D{QPX$q(6oM0v8z>E*_z?U
    zl72nWY1yPpfR^1_UR07$met1vd@VtuCgZfQOxenS3MNH_cAKY_w8w*t!x>&wS@uuo
    zw`Y{V!{}<+mE|B^>RW&-x2d9*Q3VSn9(BC7Yt_nn!lj!&!d{LscrtTO`23Q6U6Q&?
    zswxS+@lbw|w)v@fB3x-b8Wb=HXbl5H%g-4S`QVs6*yNGP?Tg*>47V?^1vLo;EEp4!
    zF-?*2o%3het$UIx3NY<1B2LjCg$>iwEPhs|Zd+PN6o}w6tOrVQMkY5_Svu>&fTIf}
    zX5GuLfv*O`qAr_ej0gG9s+4U6Wgf41L$~>oo=YVwEqX^y(a=q-%E{C)-HO*o-Ogngk5$g
    z82K|LD{A{DkB*b0nU69X07>WZ3QDXE*S+6~=ZxID${%3
    ziWr#jYKH}S-yLX>XqH9LqkHYoRM}6S>X-yr^~Mk5et@v&N&8x@QwqMP``~I!U-bC5X@9Bi;>7`cJ$-G^eD=Lx4v9u5LdJbos5>G2T
    zO90AP&^&`B#|o5<&H_U4Ao*a`HJyZxH@K7yUY$Kpw%4nF%d+2I9#oz-x^(XeoF@3{
    zhArfKC+8ybvUt=C6Z
    z?EYxogVX1Ff-0C)Db6
    *D2J4)WjfLA{9A)Ksk%7&K2)QY}SxG1sR+1XZ^;Go~B zoYh~9`BTX1OKs|%!!QRlPG?GS%HZUWCsrWKLIy7M?TLmbPPmT_6z+64-vig<`mJ5ae0L3c1CE!jU{*^^Xp4U^kqWD*VLhifFDJ_%zcAJ)^oL4RHXU0x{sHo*S ziuvsgJ#lh!mMtehxw?8p-wPu}$%>g&I!fLND$ku$>n28IqCh(6$Bu)Z>>;@I=HLSb zty++je*})@P+LB23jTCh2F6WXLYG0XOH?9=fhpYo$a*)0N_WUUL#5^G*M1UiV=4)4 z5wDT+!-d8U9k^a|g)j9;)9(2w;Cm%MSXGFnh!g@0!2p1>WU(yAvhR!fEuJS`KYMT+ z?fM!9HkSBv_YL#cN-HaW*~L|inZz~331kl9gs|TdT=P@v^SLIwMwk&f#!TmyWWc7L z$%s{%XSR!OflIZt-3wiX>+u)ka+IN+4|0NL5UKUGIom|^>^QsUFTz5q<9US}qT|}} z4Rg!N&uF0JayD81#r3j-JpTp2?pQ}$JMC)et?zw+N3W+#I@PD5HfTB#m;c&>PxfK} z5uA0IxQfI<80e3QN2$o5%`@l{)P@|pOyhi7q0B#+&FY3>*~%qLYZG+9Ou5@&=PgW9 z!Lm;u#KJ;A4l~z1`MbzA7s8!SnF8;GDw&}CQf~50VXed5^Ibj~Leuy%i`ygnsYdEq z{_Q&~_x}T6xgRY$bdXzUmjlqtPM{}ecsxrhhYx^Xf!?+KVOFCMvFr;Ph_RYA2On#a zISHx?p{JpYt{AwxJFFB_U`YG`+kQ_hA75@mpEXKI6f#Y^6|zd1_+(k3iUFNIad%}5 zpxq1|{YbNNmqeQ+%Km1&XPb@7Az!{g3N32iYVr#^^P6o7%KW%i+r68uhC4Tq-N43| z9t9c{&88^fwN7VYCp|c8D@ocm`J**|oBOVMwDU`mDZ&?j)YY1NK?-&Kx>IN@hPp5dnF>_?O@jZgR6IZirO(CW1q2f{5z@bTgZ{E*Yo2N)lXO1=r z_m+=iIET^8kgGp1dDG3GpYn-GVg=zOX{W=z)tGg*k%F0MTu(u}^cTeR$?rPoUl2cv z^HpsD)s02j75awdk8oHR;_R2Gg{SgkCXZv9sAZJb{4p(-AQpI>S?Y<$?oe=Z0{zXm zXKZkj32g;kB&aND>_}p>bieI1%;a|wzkyBM_Y%PEF9&~xARi-AsbNUBdnw>VN?S%= z(X392ApwcuS|$&Z)M5g)vKSdX#Ia$f(&8HdBuPCbL?!W z=6V||DRreG30Rb(Hj^xDx++a&I5Ng*|hD{ed=={Y1pOfa=erKXtV zBUtEYSH2zEBOh@6(SVgAJ5IEu6luOZ4vO^niD@o*$yn>!mr6A?B1MR=E0*Vt>>BBp ziY^u9R{%D7Ov8ywYUnGL?*95y5t&s|Q{_&G?!@E6U9)zJd_6nBzuM-rcc13Ttf^T< z@MgQdKQx&Lj4XRGE#kAHSHW~IxA&-=I=N8L>x`o3xs(r>X&l((dSnS$&!o8QKx06! z!8k3bdmK+;$tX3v%EnZLy{Obh#Ev5aE~&?=p-1OOy3g%bvsga16P;H+dE8ur(}rO) z^uGEH%VaD;V&tOS0=DGCjR1zc>)L*^%+LVB45zA1YAsEX{8lemIJyePL9CfP> zgq#Bq$hKyRneybe?2e*w&c*<7LGHh;I-P7}OxPx{OOL3Nr?flRh9`SUM>ok2NAXes z{+BT!K3iI6186?X!WhU2$}+KoK>@V^p@0okalhy~4QBQ8WhMsby(2zvu;OIxVpPV{ zR_lE=@Ipu5(o^{IDw(n@W2sl^nO-0cgn1C*Pm+`FHu2Hl!R9K8)vMcJg|y6|PkEXn z9FL^UEE5TC!D!SQ`jM$?17qyM*=$~7H&=}F3yz^>VV{9KVPndERz(r$4`SI!wdaO> zV9xG~yVO6ou7hHuZCBOg%GQTxvSh4|bfJ=AEYkh{tilm)*lt{QIQ{IXg|-G4k?S?J z6!pdj0f!uYck?7$!Xwyg>gPI0Z)l=guF)-E&uqlQ3)U=O4Kq4dxQ`S?U#|b0CTz(; zoPhHbb!HNe6%O(#hkj#-xGO-?Jka@?gkgpx4at9^W*MT3mO;2S-vuK<>fRIP2gz$Yh97# z_|D@(io2|7@fA-44Q)f4cx97skl=!N#?k^cB#CmwmJm;^HkZ*=?z1FHAqwPlPSwhN z9`IKAg=b&(>?I`sa_GNYwr9xqmR;M59hw(t#~|CvQZks`+S=%u$P|HN!D(qYXvE6! z7NgE?a`&mn&kZ5lFcTvfbTC^_+8WgMF{F+iY@~=ehDB#xp{cDM4ys`JlcdNX$Kb!<1 zx*L^@t#Qh1L-*BOqxC)|LbL9hT=KGzQ=sL^xQ+NejrMPOX09RKW!GA9sM{1Kfk&wq zjDGNAdsqWEQeN0QZ2mKlL&Ol){~*=D>~jI;8cT$y6Je54JQMbROFcb+q2Y6z$S(QO zffl1dAWZt^oetloM~jwY9hoci>B22-0WC0*h{GGXDkLw*_t2R6=@n&f6bSK>)fUc* zE{yEp5+9#NCKAap%qYCf@vjJ-ax;Wo6c&0PW*WX(4*1=hW6<|3I@{xb@bJyk+JG*+pDPbZ8A{^`?;kVcMNDtX zvHTz$R8o=VbYHD=KTZ9}NIw>a)dpjmdi0ae!{pqR`}FN1UxT~FaIaHZkC_HsUK^5D zEjNJ02qWOI#;cl|#93%~?AcaYZ1|z8CHbv#GDa0Ra500$6(^_JKN~vL>^e}Km(df| z>3C-({C~{uiZA+l~gjE>#Obq?@jIB z_Auh2^?J2ep{6wL4t@lWXlo$#9IKQi^ESyY--86u4WsiAcr}&#f|`9)a_$Fbs7Z{1 zUbeAtOfi@vN~hP1cz@-U?|t{-!-?`u(PjUCdr+*s$PoHYIZVu@;uV*%WHQ%tIwH!- zwpC|Vb)Qu9{ESRtg!l^eol29Xi^pnpYeQ`Aoa6g@AL!~1G?CVCxBL4i0S8*;zs>ww zLgg|M02+D{ud$#--iXW*A%G*7ayd_co-SMY=F)&%-ssOe9N7v(W<72LH_qu;?q(JX zE1rH~=&@0mhwkehoVnC8JJ`63q=W8|Fg?^?Zcs=e`yWP{A@==lV_`Atcz13x7WZJO z%OI(8DPLt|jAMge<^K;F%@V4Gzu*3UGiV<9CJtom=98SVnY#}tGQ}@DA#xc@0Xbk! z`7Y>TXUPs~+GWvs@zqF2zmW&>L@CRG3Nf)x?PN4Mp9Ul4d|W> znrv&I0|FVCKM6tEqR-YrpXv_|W6|DJ_Wg_#{FF1CXWN{FqX0_A7XliFy{5n2=16}s zt1Vb7?FqNKo4ZK;ciXz{)|@Gl;V3jgzv0B7r8EtMp20hD;y_#*dgZ6fDFmg_SB?>j z&>fZJMsw`;(5t8NAl-?`iN$ z#r5DR3Ez1-Z$U`t5;EDgiZ^~tR0metcKQb{J2MQA`y^SUky`xJ%fcsCMFI-{n(w$c zza!>bV+Mw3a{{vTQVyU!tnjZr40^W#NmGRhdG;j+zkE4Z1!VMbA4;ftBcJ0qB~pwr z*tn)|Lmwk?Jp1s3@+hJ>UQ1=5l-n%hK|X!e3sj%$jDdsB-E(g&CwyR-r_Z_NDB37=t*WR31{hBp?keQHe5CL^ zD1UOjy7*ddig}r>@&po^Vz3=WhEh_61ttoIC7D*2X}nQhW^z$(g8}`Ic2dt<3-H<2 zaNTZc&i|qKo+cBV;a1;2{Rv}O4hKz6JAPwv>V%;^+c(f`sR3mMfXj4~32Z~YMKeYl zKobEf4%X^%EF2e?a=apXM1+>`TudI`k3ETpX#*@8XfriUgr}gQo>67~3Up&?GK0MN z8*7Ge)y=;Vom)tVdH%i1+)t658{WCC^h0lQ)U9Qc#VtnP{e8QhB%#V;)WbWNe+82c zXr#}rlntN?wUQ9qD8&M10$fc9jOEZ%=Xu|DWqBW=)e~{KVU+oEiN}lF(0vHf)cFDX z=vFA{JN->Uj?s@i^rpz~y27Tf*3V!133y9mC!5oKt7K|A!PC4t7K-1O??|wfEX(^t zdO?jAt6R0{40^Rth8+XCXnKi$w08Z#_q@mI!Y(v(8Gps^M8`|RA6r@>tN0L#L(%SYGHZ=e6vc}VlIq7R%QoZ|O?%g-A??BF6rSiR&kln&KC`reM@b&gGdZ^mb);p@A z?<}Jsxs&7rauU_uu;b+`sJmF><^b9umdlVhZ{U(y_o)>|HPDZR`hVA~0)eL1Z!A5iwFQ9NV2?tF{WFzJ$9cpizMArP;low9{%H_OZQN`# zf>r9VM7V0DxfLdY7?9Zf5qx&0Y%u+7@hz`y_h;jm?04@usOvlyp26!K28W+N+=~NW zum3g}|ETV7Lmvus^qmVIi>p0dEGBPhc`^o41Jbyg@R{6d=exVIw$6}kJFalgXP3?E zPX#^mlnkHIW7Qy)n5`6#QPnL|byX_*awm@<8@YHg1Ix6Ngj!~Eq*x#xXBPXhT^I0T z94`W_-}fP|a(oDQgFZ>=&Kt-R-Wgo79qRoUrzd_CP#OAyquZnppIy7;e{n@E|CG3< zyGB`1uDzi!1<%H-)f)9V1@z@sOWJ)FyEy=bQ~!E#`b`dXp@BGW{T)?vHAalBSKsm* zTi;X#CteCvJq-F+_fK38X+d`|u3fM8vAaJ4M$5U-0y^WSyvQAzz6PpHqBtlsnLRPr z^>vQlAv6cH_b{9}6&UDE%=UZg_gjaB@t;mh07=D0GIvkKpVF-Hh#tV#sQi}^S>nD- zMO)knIOvPqt&z6+UrSlSU}~gnk%Ms!Sas2UwGUt#2VH`?NudPx6cp-i;lFp=z2AYG zj)>$)Tp20S}c$MuIsF^nY zKD(n=vQUaT{=e2+a&`UK@qbKlKo33p<7lb1At~rtl;7S z{(pcxJVqyV(gbzxl^-7`N(@F9h0i3!rIIvt@O(}M1Gqd5pGg@gUmfM7T?K$U3Q3Ap zRm*s3{R#Klyy~Z14$7gleXasSR`M-O02QxpXkeU`1Bwacn0Ad5k_10l<(=N5kIsF_ z%c9a%(6-g|9v9!4zJN#HFxD|@-iUIko zqS+G1Au=K`lR4t|G}XmyobME=EY#ymnW5SoBj@b|x5Aijup_Go@y|)1GR&}g0kM)7 zg($*p(A#_i!kln5jG|ZGoldJWV=o7{0b-W8{XR$-E!nQ+w}n2;Rz1)U92(<@{aS;s z&bE%VPMLw`GQ8~RU(k`q?6k1(OwN7&N_(pPxjc2+X_StSe8`D*ALCTYNzwkTZ!BoG z+TY@nWJ5*q-b4tf{lj*58pIOgzx@gIsPa(2k*gS$lKA##)t%G=Naw=CKj=EHrAkWc zCL3=@|6oGl$8@2T=(HG<$eZr~&t(@gJWq+n%?&N$>)}ZJ=m4K68E$!wCv7&1h*7sE)NS%BvrPv&x>DbZSOGNMA@&vj(yoo8^t-YNwZl2wSobe zzS;5e9OKzf2ZN&`J`&3J;}ZpI1wG>P#mAp&ukJr{70n8RWkyq3e-oG~IUS!NZD|cQ zwWt4$8;+umhDe^F(6$6r=nL#D8N4M($cA)WW%>KBxi-D=(tAcP_TdLj&gmz&reJ z2H%b2kLhZ;L-4V9Mt>!o|8`C`-m5>fHe;X=E|9Us7Q7Mq)3gM2rCfEos_$dz4Kk-l zUM8wT#GkrLh_B=8^E59P9u;%tj^Z%nfARbC87a4p$|vKa?ssh)(U1flI@%<5)^cfw zrU+%(`g40O)7J`HEz}QfD%2q<5_iX=D7MPx?nsx6+>@pw6fNVjey4vRSSIQzKEJ}^ zK45+Nd}X})6BsfBEviOJ6G&_|Uhqz}*$*d*-$SQtVreTMN4uEceRn9we0d5Ct0&iM zl41Ug>upQ`aCj~l*Ot5rGkD-u%0MMbk3^)y=`+QhauDkF^0dZ9 zA8#988bE&r3fYW65*}_x9R64f3Yz(P2y)G2*UAb>8m?|Xs-V?&3n+?9CdapQykiy+bY!#=T*_A13{p)aKRY~*A zQhe`R8kNWITjF<9k?yHg^6kt0`k)~Vib7|uu)S36?y_2`XMvD1dQ zOX1OopkjA=(%#VU5jP2UqHDvB6uuAY%#8ZhG5f^?7MFSh`PgkA3=Q3l= ztlh~Bw+9cbRcE1=2(N)-2l6CdT&ChVizhvvBemZPF7YuW$K}~>WbRY=%{CAyJWy-z zeeb(aUHY#4Y=1!a3wa^XR&S%{SWNoxr2XAv84Q5!V-I~Z2wO?eiKMUA;8wA3&V$;Y zxjNq)I6j$BIOD+n*;Y)sYh8A-S6G6Q(i z`2PeBoz4*1Lis#fT`-?9Nt{@n1#asI}4v_!%zQ#2A^_A!3LOke( zdJ0NEgTOP_4)yhCxW+*+rpBW{H^31NkIofOZbjU*l6XGxwDij~$8(rs-QJnMIENwe z#Y1R^@ymZq?kQAd9L%lv^Op#ltXKl}h>{S!j|PfHmw|gfoDQPF-Z66eghzh%;il)P z&b+trz_QFL%coRYk%$WdgrOfld_BXu`#8m16uvlp6ju7&XZmcP_b#o4tLr_Krt4y1 zNZRr_>BEuX4NlHoLtK}XWd@4`$?XE|ry{E7q0>`@+kX*CW?#yy6c*`DLxy(d@^J~_ z%3*YsQ)A!R+v7nz3B_&} z-#4M7y))!RA*;Jc?dR_wo?E)ggd@1a@tLspkH9y|Dn9{WZ$JPnjxFr-4wwQCa@yml z4^ak!fH{)K(dUU)6_eHLwnewYT(2{YZzChc)E+EsG>$r)>^)Jj^s|Da`EICU0Jk^! zM;!+$f^J6=upv(*YoP#X4yWDCC2BG|)2aOjFJHB~{Ox|}J2@T6pq^dNnnL8ie$7q( z@KmJB?$x5t@HmN4+BpqAvxW0{j8IJq@1SWtg@wAE(<@P38y(tIr%XnJ`w2lcdaFA< zgFLBOlP9Oz2%>4Y55SNFy-(6?dvSMRl26Y68tVH}Dnv9$%Z|CvFsYAn*LlB2wSvJe zrDNlxif-O#5UnNCUJOKlH+1*+4=AGcbdTuNL^#Vn{~XING5BP?SW#XRe*#bI?Wy&}VpUF}16D$4yK&3l> zu7G18n^STusIKsEig%$!@4L{f2-r%pTLmxnL)NjiDI4ot79r_;cWwVeO9Ov#>AuU+ z!<4a=RUW-}%~>2;{VdrAm1k(fBQY$@i9QUi=dX1ktAuY zCA2X+nHyEqT-}(*dxA`6tT#&3W6{$c{cc}B42dW|E-Y{KB5lybTWpv|qdWT{5^X>aG zum*GZ?Y9*wvB&+^+{Gor=Ap#$J-Buoj7kWsA}Y)vKQvi@HuY6qiDFbS{KR*U_eOO- zh>VToI#Yw1e0Of+AcmSFfG35t64@HbS2pYHjVxWq2EN>NcC&- zgU&6^Az-c&t;nUzld~f{6`vV9@Y!`brl!pwTdnU8N;+m7wF6ZFR+wxbG+1&CN%fL9 z_frwh+Q?p9?c&gv`-*%NS}cEO$>~E$k9Zn* z9e3GRFi1+la@3@-{Z+5?IHspe+bgQ3LH)V?>1e{1dzEP;cpad%qDBh-ke@L3Qp`(9 zKD-lcIB@rTNaNW&Ro?P^Ts(qnk*qt8+7?M&LbSEaCR{(wI;ycR?hM(pQ{@PX-p}oU z(y+f)*gn}PXP9^cbOoOUxYMG}oR4<%iTYlYkxYHJULPEqg-PjD_ew0s=zR4j0I0wB zT&=~fqmMfmRN@$%dj?iZ{;$CeVP}3Fg7`cb#(Q>p*z5&C3>ZK?$?3bG&i2#`u+l2c z0dI$scgMuA@z=_=Sq7M=FOYS8@Vpoel(q|LSv8nQ!Kf((E&`E1xCI?L*JfyDA0r0Y z6Y_DOl`q{w>S0HhV8t=cF=qkU`PuUw1HJ&1$*-QKbF&1L+FjscecKO9Y2Hnf9V7mj z)4Z;(9>}};>%z{2-qKH?*}x3aUNcT!gB1{uJ@z9RJ82jvtzqjEFeUnEkE-LBg2sqR>4Tu09#ndmPS7 zhGHEbP(2RkK?vKSu{_QPR;C9U^-U?CVbZyMh`}O%F04iK{0Uyq$$mlcr9%SIY7&c0 z&M;JxD(jx@l*g9>c)N~k;INuUH&+QJWr?IM;NQrl*EmahLP;nxtl(lj|kL(S26v_(UExJ*Mep4+cv?l zl4bUU-@bL8bj7K;B1t56Hf(rtmi$CzwV@&4tR_TBYNz&JS-d{p(>BIBg$O$PN z_AcV1AyRL#NCc9S($am`!gG(J^@J^ofq5R!z0dR!C;iu!?@f~exx;I-g*4uqAKM6k zLksqu-hsN(1V{ARUdG?6P=G8Ina`rS?DFe(Oxy3JSYRgdk_I(nmem@m?e~&4$>mS4 z$F3=RfudPYJCxQ4cjE)F51?y#9yBk_e|EL>^S(pq{hHJ4&hBpCJXo(^@%C6~B`TT7 zgH$|!^{Ynl0Jsl!Hv?&lH9z+XBxQ{X;EBYCW#0!;c~dY1BzW+;iBBRFuHtg#rLb#( zD{+aN#-jvvv@RJ&$Z9ws$f|-N%K6VWI-D)w(kfDj4N)Ml4WveRjE(PsuAP$kEGGSH z@Kzb>o7wD!A-Kt9GxC?6Ru4dsMa$Lo(LxP3AMVtrbTE%(&EAHF5;2aIjZ_AbkB1r* zB4Z&Kpd8Ir5Z-3MfF+*maSXNblLMkwVl}Rj)Yo%~JD`~EQBZTihxSLEWe`9#^n{n{>XH;^ zR5)MhHhuj${t_#(^8ZTTgPyoEW!XKUQSsAHt;VBB^O8klUo$;F>Wvo>AKL5$=1|{| z_~0?ZOUr+v7&8munjM7sfD^cP53qSOip#AV%1pxEFpKtEpZ9myAT0OVH52;E8Y!!=)9}lX6|m{C-+0rw*u$I=_)ZSmQ1=$< zjs&(-xiiKtDrC13jVGQw56F~WFle-c7ZzPh7yBZ~BKCs@p7$upiHYw*Cu_`qXtsmG zxUtX=*A*qNKFph#DUQCegI*VK4s@P!(2=bI;tax815W#kEj0QL*$OQESX&CVs7UW* zb})zlRLaG!O(2-=XTa8Ieb)A-qmq~V$lk}$U*&nOW3TJR-0hF1ewx#(2;v>LLt91k zn@Y04SINF45_SQT(fm_^B>YQfd3Ogr1S2$~fbQ01Hp>CK0u4XVCAQfYO5sCf;Yq&aQfnGg;(Mq%Ursg@iF>j z!N_R~YzydXJ@D25?EiY*@oA58Kbv6bpN{G>uro`S(r05>{#m;rUh_MNW69flK1?2Y zL&H3?G*GI^|E+_ck|GKS@6y5-qdNAQc>mTH*!z5Qa}%AeI=1z{CPdTwFys1Fz^cz? z(G-$frG>Vr{vY>Y=9Bvnd8nu^Kl1Uz&!}t2IZz=`DX94aO1~X`-RUTevnD@Qp6RL^P6bDU{Fv%AbaZXn#tS|m*)jd10HD0 zl(tY&$9G3d+U?rKhCmGnMjp2-J(iaZfPN6jfQU~0lm8)l=BVI>k)ZYD{#TP#46ug+ zg&s}Zf)x!S>#K5#UPc#mPi_Y9?u;PC&y%Gg>~cF(V>mEzE>V7jl!ZDgq@UOqFr-V& zP9vrGohn{}I?O8##%T5W_3iJ+6%0%v#!`3mq_)AupMwEpy3eXp%`8rCYl38Vs<&8a zpnyeLfNv@D#@=w=VJJvZER@Gb?TiImNuZ6l#hAX#B}nf($q?#;RX3_0hYoBqj?UCK z13mpKURcx5A?5ECXx~;o{VN}vG??{AT|>ZMO5PdCHgM4zAHR4P>^PKB2(mq@%W`KTOP55P(#f5y5i5Lrnd%g034RUj5U=r^~ZGLXb}H zJZD@TS8l296)KtFi)|(^3w|3zLY-*mV71JcjH53%cU~EH?J5eIGy(h zz8j6z7J$^mhojDHz_T_iDG<&e$aWCI-eH{1hsr${`Q*ORq`xl*L1=N)8XiBAM-@2> zjT!H`7%4WoJ0JGk0Ux7GGv?`RD*vfQIspAwACJZtg)^o+cd7xlTNp_5<~g+ts{SV; z$RT@mQ6_q4P=REiw6X$1Zqsn<_KPkmec3G%z3o_&i9=12E@Y?%Qu=U>XKDvj%aJL` z2Dc(FPP75ljY@~37}f6fn6}PzdvBs?zFxxTL+4On$?MZ3My|>Lv>lY-UHa|RFX%<5 zoXbq<1&NmKeUgBixEUnt9T?YU5}xha*X3!;kmen!s1U*s4D$!2vAy(*;P3dD2lZ=W z6s%7p!HNF;>dKa>>rRSEp$;@L*rqfY9X8wRno3%K5)zE>BeIjt?-esp^f&h-rt?Y_ED7 zY+SKnpGOG>O_7$8G_fN%m-$=G8ykTqExE%)e-F8)TO8Dq`u~7?h5^;<8`$yKBa_(x z!f)mTs9-sAUN$9zoq?6v@UrrtcdsDCRLm zO_AO_{_)}yo@_}+RjiDWk@4JyHZ3gm%!I$!IL2B8j*M*IOYavNN%cTq;;uIpbYuGS zW=fa5@63Exd}Uy1xQn-Bx{aoT-*~w?S^CSK_on7mX=IM>jYoP!HQh%bGA%AcRYtyD zv0B>x$Dz;W{cHbsyt~2`d4;a?C>tVt+`HGzC`&6NQs26v^&gnAGUR1oOGDljy^hfq_}0l57g@@& zZP}SK?^TCh!J%zWNeZU3;JU_$&rQ%T1g91NCqj`suOzJ6pLNCN2!>k6Rt(MEK12E*c`|U)#g1+M<36p*mSX??m-G3DmsNEGWVWWWFL)TMzRY9D9Gm-a6 zI5N%SkjHW(h>I@wO!$r>jvi&8!~+i?jq^}3 zc&DHOoC39DIR@p+lsq~g!2vFe z?($>2dz!ohuGN}yiwI<6^VvPdhJSNBGFT|!8U^E_mF$mD#H^HbDbFl%5V%ojAkBxY zcQ`wIQG$#p*HnufLY4gPy%tw*wMt1f{C1Yi`Y3JgZ0L&Ym%wN?;d?z}$g<$oFOk_A zZ|sy}1O-{J&9Z9eqyxZ4!6o;WJ^z?XF6ed?67@K7+|xC(EL?cBCw!okLVa2e5kup# z`6@sp8$z9p<=8RFJC4Ay`UcA27m;UO{ZUaQYZ2D~=XaJ8Zmj9}P^oG;4eTQ1l5YZI zo_@T#_U@8uB3ql{?isvQ!Pd@4es^k`G2YN3<@5*T;6f`_ni=4Hxs4RIw;ZxLEBd^b zJ40%|1NY^w(t&O~JcBdz`1+(aZu2l|J8z_l zcauYp0!D+$(ttzHcef-P@cBvh9H)9lG1mV!7KADcc1v4xa=G!vGL@QAQ#X|VFt3dW zRv@@2^TY-iTi3*@EWNl-2~zAPh%u6HHigM1zc^7(FmzV$a*dDUGX;}m1Z{K2Ur+0( z;|&f_LR;RvA(iG~ry?xQ^9wRs(0x;iN=S!m1&7G7=KW%}gXL67X(ii@g3Y(EIjd4M zIws|MW^H#We?69!Iart;k~Rq+q1$z~f){E#FjfzYwmn(8AG~bFo+m+kZSDR*duovD zh~1AV1i>})ivHGkRpEbm#YV}0Y~A%uxryYgCi{P@z0&--4hevBkBd`>JtB6ZH@X>X zmQ86`vsi6_i|JMfG9d(puSlK4RV!$m`c z9uDpYb;$yFTvcs@pYfVxwX&a1uj4P;BA&%Lw4AvxiK^8*bILFZ&d>?Mj~X-`8G%Xg zNtvQ?&A&e04fp~g<%w#J@ttEQQn5r9ijAtnB{a&=OfNP9mw5;@RDW{Tncy5bX|hqZ zRCn@{y;1@-5I;Tm!qH&Fm|w=LKdtE-{O^r7^_mr>hp+izq4m#RLA&VjkPy|0kt0B} z^%IxSv^T)HJzxS+aj|zZ^k!+cJM7xT{(T}e6!@+O8Q9%vhW2X<%nBJ3dNYz8t2Sc2 zr{k^2Miq;v+lcD3EIaU7+dw}S=oJ-xVjh2|?t+KkUnxjJUuOL^1@Un8_%SZ6k?I^ zH6}oo_f^SaoQF7~EZTjb*qrSs;sF6YmESDIkM++ghNh0~hY<8ZC zzeb9pOShp4OjoJX)NDDvWh7E2Arpl79X1>;)MaoW&1~2SqL^9M&p$)g*6YxGQ7K_0 zhK%a?A%Fo#)R&E^h|UZX?U1aUtL>I!4=oNb<;BfaEoV8xyBX>b&?%*-n#|)~sC~`7 zS22zjguF}vk`fE^ZoQ$bfPE$_KK9C>JsNrqKD+xbM#}sA`3)}`xl9%r%kX2we1qg8 zDs!c#3B3V&M1FiH_JJA$jSN&;$!)WQeM@HiJ;Pp%4?X^77@uL@41D@3nUUhL1%7{- z=WtqJJ4_)FR8VLIicuT)G~6vw?+M)(mThz(T=Pq5-DifK^w{;&`$Akul)3wI`5UFZ zP@Dau7240RGr?bqrOI#0L}z$_rAWh;QZLueq9ScJ;cCj1IiY)yXS%_harqjI&|IE3 zw(qHt$dM+zO9_vB*@KinlX!5+iz-mT(B-!q`+`4-S_<|Vpp!Gs?3QY<^{eA~#Vrl% zqL9LihR8@}oS<6I<+a=GHi>Nxf;pTB#M|4mn+6XYspHpBZNm>0Q{*^%rYBiHcgSCj zsZhsFLpX<*49N_GxH=?bydXr8LRLJ24vupWGe1}`0b}>p+}yC;d09lB zn07%)#6Zz4cDGCHSP!IvO<$`e@Zf{Sa*PO9%@5Byh2%}cZ9}H5)=Q@+)#V&vZZA#^ z8nM2ko`u!pn3m{Y@cAoUO9T*fHpojDbLimH$Um8z(nO+Q7U=!FEBE4+8J1iW%LN@bn^2fn>jCW{CNibG&yOX?+b>Y{FMq}YMo}-FDXTVTAQIn-Kux3 z$JvwyZ6@pIGl^-S$>|cYY3f~=@J!A%`8GZL!Uq(|KyDreABvb=y+-m5q*NGT7`#z? zGLi2wmv+Yik!a%xjwG9OVJ;f$v`JAp zFwmlG>Iq5>y*G1}%2f*@=nwTRSXs5Luv|HJx)F&36Pjfh=l2QV5}xgSA_9}ihDs}T zFn-~Z@?Pp>EyhRP`|sHmG>#BnxpIY6bdOLsic#R1<0hzX_+p+p zzF499mhJCW+y0fb9!|wd&zvy0miKgxr6vi0*BFOWr{GOi$ao8X3y*?Te}8!(J2E6u z9fhgkbd-BvKP(J&^F}v)nMzK9E7CPkxO1Z8P1IMZ2O50(pH&@xiG-JliT?k3&>h<@ z=CB(i!_MDBu}6OaTAkvUL`+Z5oU$Kspt=CTRg4le38 ziem{fY*ZgqXW8%yr>1>>=KmY{vhe^A$3&*}DjAO|p$c}3?O0nB$m|Z|Mg6`G645iu zrO6ZC$969n^rPg#taB}`Kwd=A%4xuSl@q}>ON30Ky%Tm1tr)dT&ba=*5V3(((99U( zLP{3`A8qk_jqySF@>vk(wgt$Sb|U`PiI1rzwt4X^s!d5mU9Xd#Wo{I#jR3GXvUCx~ z&C#OHei_oBxiMg!bjFpx$aG%ilNblX1&hCv&5+G8zg2f@81~_0Qd9Fdqtst(xr$L3P7{ zz&hXsVVYV<8-Jl3prJw12Zl(zVqM+XlR@3Q{^Dmd% zuri2l>#~?fb7Pl*Y|Qoaw@F0j0T!8J)EBw1wp>WLixu^4wuQWmTE)AxvlAx)u$a7g zQ&Z%5!eg6sUpfBW5~EMIM8n$xwg~v`R;jzUV&GavTqV9}lgAGH#ip92-GQJ$5YNhtR|H^z->D%d43ZJy6eb zKW=}RpSRJ_tvQVk{qP~Z2m0wlI6+Ggn0d7`iwz3Fh*5zwHLTM!;F&MPQ(8i`u59g} zk}>V_tFB1bn{dsd0^E-kP0y|Gh8+y2(SV9n2Usi|Z%ZQZ3759=fy)OH!7I&2ubAmX z9}9*~+I$tvIe~?)P|hbAL@=~YGYceb7og5|)VdMaCsbKeV6BQDMpvUNpfX} zz7Wl9bP+p{L~bI16Z>{i(fa!92fq_nFsQ@LshCH8JmW&vi60w(lP&_Ol8Rwe5?fZV zfBq0^w+(O0eDR9;j$9d`P_v&Y(!_z#+hu;UdDxl{?cRUPCS~pV-4|Fy6R-aJkoIGO;M)v>SjJ;%YD(4A@YSL-dxK?f&rAH zd5J@b(;h@^_s6X2Ue&Wzm>JO?nGApJtRAB)}6BC+tT z3||z^X0T>jgP9VOTkm%B)t{_UJsH7aQy<(hwy=3R1gei-?;!A)7##QvVzP9(mkUsk zl5Cp8JQ=O4g(lgV+H$%j11(qM-+()S!)+P70MHN0RK^!^*TNr0=w~*n3viMDoUC2cDrJP*ec9Cx)wdv|oe5q}n5rln=!OOc>v5VwBA(UkM!LEM zVq>zsO%=-uAt!P^bWxCy*fxPSR%d5D5^_z#E;x?+uRLr!9rZ zL5v|^{Wf@1(>0rWppy+s%vPno<$0ATI`~;ArVvA!JsVsfZ$i3jXZp`u5`xJ5oEvGy zowB=v=kCW@1sEm@jaQzFPE>CUM#f9_9na-KPE3{2jMsa=7SmSnN+0aOitANdhy)`5 zV8q*mj_)vJ86@vKm-b4HvZubO^vN~q3n9!}B*kb4?`JfPS5&KxZn^QB*Mp~vi}I&V zTx)-mT_Oqwv$t-r?sMWuEgR`+glLT%Z-1a}=F$q64O~w%bmxw>f*Lw($}!%jjRWJ+ zCv(ZOrPRSxvSMaE-JURB>d~<0XAkAF1& zsuK$S=6)1E6#=CGbH(q@4&#u!7;aS$c_&Xfpj38Hnxy)hMCD4uK)5(}7fZ6ZBVVT; z?DC`e&~u`G_x>WpEQcEO%oO6^h5>}Nr1_`RHx2v=69t^XqDzNjPS!L|?0Y$b4h~j9 zH}+Nel}Cz8cx=m?LjS>C%9U>Y*TlXA!ufHLSf=z58(_u)6xy8l60GAsoUgur{oYW- zdToEMQOl@hy5Q&KQyuqZ2{dAOeGX)x`Tw=;SPl+@TYTG|d}kK_O>O|d=!Tav#Ydn^ zDD&~Sc5IPmSBFV162X$+{n9VYJB*krNbwFd;KKQ#&aRRwk!_mGgi3}8O*$yZZV6CH z|B;(*FTp+H%tPcZkW5rzkBRlZ)L`0)wrZeHNQz!@cOmaYz1UGnDi<(@9J)2ubJ=z0 zW(ky%xgXbO2=ae`~3(KwrRfR4!Y00F57Ghl=FY^WS)G z8F(sk6NdRXDuGS@g(nn^^YiYjZzq&NSgjlB-0xVR;s66k}r_(UBpgzx|`Q65{ z-44;kH9;Pq3r4)52x-Ns%*W10l!a@(y?SMl8|Bq)FB+7Rmw@$$*#Fr!>*?Zfy*#B` zZuN*9bi)y&^`$@NguGm!uejU}3^#pMEQzc2KkO$%P>zS8^vQxXj2pDU`8?ujNzg#v z-NFuDM^K+S2dV5~(B!bp(ZmU+frr`GmxX)e^DeW-+y`(d{l?~AR?$oqi+4+9hx>rx z%>h_nCyW{XBxKdi&pz&glJ0E{fAf$Y8)9tb^*Ds~xPz5B>+=ZS+$lLWZF$9Zc-xyB ztHsMtr@8TrEh26^V&+d~x0LHqI1edeEplO*K%1pCMVfI!FFn)usq!N1fa)*BVAg^EQs*|~KPBDzP1~ke0 z@q0Nbce|~$8;+lJDu46J@X=rKfcceY}H;rW}=#Tx9x(ZygK-C(eo^#W(~l20B58IA-+&P{gw1 zkz?S?hUfLb8o50aq%dILifnsXL6w@HgGH3HBK)^+B40BA*6Vl|5lNVh%>Uk^y$dvj zoD%K+m2CCu!?&w=OtilO7vgl#lR<0@Jm%tYhsF1+9n5zYS_Xd-y(6wJN3c82CwX^X zJu1sp$r$tz6;VWZ{RMzjyc@i3)U|y92JINVw;%pQ7$Le?u_<>iqVcoMarwv9Qp?8Y zh(m9PJck-uTB|WcwE5nHDUxZnf)?2W4B7FSK0R4~tT5}_!e?xKnNXUU84wV!jTDMU zb=sXt|5B4wsU71A8L8MPV|s_4ie{tgP#UFpHzK6b+tu=X&mHK|b8M}Bcaa5!Php$U z*txX*6C?eU``Wdt%*vXmlzbN>4u1>lz#l(>c1R}ROW>*wjT5Vl^nX2Pm)bXs>84>lmmr2e z4Z?WY2oecHuU2)9MXoV$5G_z-jXq)EN`W~ClB6TG0)^NPh*pD4$cv5IhQTZJdpMg9 z`hTn<`jLSa9f)OvM^$e0?MC*aMhf^~*!|HPrtfUH1^hcdyBYK;Exr6F%q71%xCtN& z8q1Kv{R;NOl7r*PjE!k>F(n8@CgtZ!g>4y(>LaNxCr`yOog_(6q^L~7wS~i0^9B*E&K{Q$#M2g-Emg@ugv6D zgn)aFtg;osp@MbyaH1WjXYt&PXUnrsk#|L9t!Q9&e2b0AMJpIE$l|bH+k(yn%K?78 z?DW;?2IlxaFp}4DWUuF^Ddgp3)AJ*=sl*&J>RvfRq~{f>nTW!X(M3@%m6=`C!k}b% z>ZUFqEAf@?{3jD4y#!3W3}SD!F_}9s#|++H$rJ==(8n-5tW$mg<@hC~0H zPxlDfda+HZxgNFvS0b~(!tL(o%iq1AFh&YNXbwi(<=I(MguRuLM>(aYp(F1`c+$lF z;AV?K+96&W$#JiLR)_UJa*5Sj>_7zxJD*hx)a9KvQZQR;hdP)Y5yUDz$3Bslz zuXh}~(A4vJ{!3JBanIa>DIb;#J_FTNFv%+tE!HG*1905MJ=zvt#jzyaEz;74`BKYmkxf~0HdufuK3 zHe)glHSh+E!#d1sn|t~-*9(QZOd$Fe#IHN)kJHD)e~5`@>r_wdK0A5q(3`VgrAkYS zVZ}E16$t%3J&n=pWLZQ#dP9Zxdqm_l4~fOsTD19&#J?@gDFpu89Ec=Z7^M^zjdJN} zeU^y|uA^u5VHK(uTcVkcYIGQl+}^ZEsLdBKL*mx@V%imP6Rj#@mZ{;m)hffyw^HUZ zXcc37RrmKxKCZY^)z(wz;%I838C*kN0}C?1S1Kou_-_oIo43E52%lkr3w?81z5OW- zEwxf0eeIM*PAnI*g#Xlud8a;3zbP+B{?L2{Umu^Tij?d4C64>Q2lLDSg8K5Hbj(ha z8uI}RVxXdNZG5Fuq1*dBL|H7AL8*)Bsv$v^cvryICMFXeFPnTx<{Ka_@I7ujc!j|_ z@Q#3UhEDCHFrve!Xx{skMkS+H8*<@tX=p1(aO4bv8k2KL^3E)*^ zle04+)*uXbf(;%?WWp=f?4w?Kh@`9O59gL27xdW6Gzx3YUo=ra$ft^|_J%pBTV=>s z?aiMg5baU-|6B6_@Dhhv`^$M1vNp%5IYMA$!pkhD%e5Bo`(uZLX76>a;uek-M$}*w zVdBu3bI#fNM4^QXmWe9D-F=LO)2x*jpj^y@nWWZNluE!PmI&hznBno;<~9(1GS#B) z^qD~Zo%axHm#iCd_C`}p898{wo1e z#g|AYsLyz(7x_Gw!%JnO3k_qSyR4D}qp_cbj1bN4N35De5}2eoOJ4c`Y_?DOxE1dT zY1dH)%mPcCIAx-g#f365O#5w(91o&LB7Y?6K#tew)wWE#Q019;avu3hS9LC-THgey&DeKRt}|-x~^X^h9dqJH|)Des2;7shhy36CNn7ikVC8;_Hm{)N)GP zL5)t}%xz2*qQusL3q+CbrZ?T{v}i|e`5pjwNI`;k&O#)3kP!1J5RR9m*oSlFtirCc7u;eQob$>}sDrr2i$+FUR@GhfQFa^Rbd_m$ z84h!dDwcZ1UOaGUO}uYq(efilV$|GmnJ1sc0snJYuaBq z9}d8T{1M6~`-Q%A%7@@-8(W+2wGIMDK=WCv`|k$7(x%#-=_QYG1+k~Fl1lLP4jYw~ zYXSJ(e=5-vO%>X%>3e?5`6jQyI=^vYV9?m}X}ZLAaD?c&5k02_{CG8(qYs;gw9|!3 zWpPfZ2Fr=jQi`P-=^_&@Go0O0eYtIzGiRYytD~N!gD*=0kDP4zhM0;@6%}|L20JbP zUDZ8Em@v4)t3jeo4ChmlDro`CW#BoD7llNi_g{Vp`*rbyU!ygR@u8G*F6Be|W*fVOuP%VSEgjiVL?D zNLSEAYK_o}!7xbHZg^QH1jyy`as^+*U20pI5(OV9+?U0@KIUx<8~6~a^pB<|wGBjq zqYnQjhD_!To;p7k%AsfT%4n}2L(pqhsV32_IGD>q%AcXO^pa_7rUczp35grdU!U# zhl`jR_p#BGx@goSri3P!SnVB?iz5Rmbp;8_I})WagUB#BY~Y_T#e5h1hW0fSNy+K7 zmOMJxPs%@~JfF$%lAptMK5DjF9y7c54lSfAr5aK-6Rg5!B~!pCEqlJ$a1(Cp?}*N;$(7bRg0& z^28pUx5=@Jo*IOtCVSVwlDeavs9lnImEg1tp8RNO+ebl}Gcr>Ge`~P@ z*SxDe_Z~3VvQ)RK;P=W*bgs+$C)haoORl=kU(l2CzBtQtH(_8M33E@o)x+90Q3ro~ z1&q``pwNn;fE>dpMO<>=YOlKy7zWVKXGzYS)T)+B3Wdmf#ady%{ZSFiK!46QlklM! zHHaxFH*~B}QG{L}O9e3TWkGKHqEs4qmM#T>QilVTr@G&K12IGnyY+ApbQVuMgfw*E@4((WgG)qSi~_rDtUAFQYHS}(RFa=gzTaD}#y|IjGwRO94Z%cf(= zkwaohvl0T~p;BBcskJc}?Ds7`x|i+sRpcB z?W4t}qVG0Clevnq&Q|(*0gtE1o)vI`TI*fQ=ZF3EZxL9>jT*FAizVDBIqEGEKC8ki zN2LQl*^HHE0iSSNd=VYcwmp*0ceYnl=KHU!S}afw7FP|`ybD@3^k7I$8h@>;!R9GQ z{8I9lA3>)h^z6u0ZA~@Uz_2-VcTk^Dku%p?{}k$pNO-&a3W2zb#0$WKQbHz&etM zP6{I5IKZ|Fc`q(++7X$(PsoQQN{mc722SJ+aP_pWZ1_mDBf(^oVuMxs_`}_ zwC&%@Cup6j+c4n!Y%F$U8J+eax`Kg3sGAV+kk5@Ak>1?MO~5RlIP?WL(<)-A#n z(L@p8QlJ87(0L#@<7#B+#D@%x69s$kB&^~i*uHJPrZrRiI=jiY%yYEdhNO(x0Yy1- z1tWw1Lb0EEpj`72B`OL3;wxO#Ufu=m=Nd!KwnolQ@kUQA6p4hccst5EnI}fLB!QtB z+@)AeiuYuj2yt%w-4-vB+KU-@NC1usxK(CdrwVEC!G#7+V?L5%Y(0?GkR8Xc!Qw{E z6wH$Nfn%-Y&#=eM{WWeN#vE^L(2BkexKaawIl+L1?Q2M14xNZQ?9VB-F^lU{n zCLG(hE!8PYog2`rg;}1Au@wB?IWS(zg(AT!|Ec#TaV4)eN&4|SX7!#|L0D)csl4L!59xoC%OxD$`}rOY0nq`ll8*&&JGrMIz2 z^ctj(<(WZ9$-VcnAV2_Z4x;=sKN{_l(P!weW{y?s-I6lifnwSx!O28_pXi?K;{Q7Srg%Lo%{10P3u)wm-&e zYD8ioKoKv0mB7L`fW2SH4Ko^$#hwL6l>T0i5iKs(ud>~~ylK2IsIxIp9-7 zCDJ+2x)55ojP((BQ_$y{iom+-<`c+v9Q! zOCw434O~eSFZ+#75{+mJHy)f+oXd9C1KS2jPzDB4%J|&HJ`BvYypmZvK^j%1v8_Bh<4@HOltBc=vpM(0$Yo za*Q|XxuYU+WDbVfbP(>N{9;OS3j25v2NE#er3>+HBRfY~K6tfl|orQ6Md}H%S zUE)Dzf_kOZ3<9^18OyIMLi8<(ZKmbTgk~~3wnngP)G~|$SY`)y%{le$|2@8Va3tSf zFm)wUEjeH}7>t@u^QwPt+xlHAS(WTNj26#Z)FChEsc@V0gS-^!dyru^s<4v9yO&~p z5qd9%wG@m$CvX~`ulgJSDzc3N?|a?=-_krM&;wFHg0!8{!qnU;0@Gq4BJyHFut{E* zns(8=jC&FlZ0n+K8a-ZrN}M6Hv7LQ-sda0ptLuV~wM0}oQ$5AM z^r|~IRVY}}IUr+FKo04h?HuCrH!(zK3Ym`thP9OSvy`@c$3tmG(d-7UKNMGyYGfAo2UP?)0Lf^k3Dx4|U7Ct_ANj_II_PCiM(^zXIyL zB)SCJ-?v-0C&KEI)kzpbHotsPDwcmGi6+Cg1n+I>+UVX(ecTlWcqt}D0Pj$|ZjcZO32Eu>?i490$!~Mt&-;Au`SyMl&3^wLH2Hj_x5CQ1#H+e!A)?ES?S1dQK8peh68z^ zUactnLb?io8e=tDjfW||-qo2{Somg%quB^9MSMPNVH7cfA;2?VFY-d6KX?N>Uy~k) z+?*_f{JGCuUgRqc_bu)jDt}x4j?~`5Kbm^fr>M*7{r@CXy8ms4{=0Jcf0-OAng-)v zPc|xQ^C&ac>|JKVMi13r9wYyi9@wF1nk=8Kl*IQOIB|4RJ_hcx?Zan&F~x(-5zn+3 zTL?8iILJm*Jvm!4g1vL&J0?#5;o_3kZVPz{L{i5o@@ttLe$B#wVYnc}^vcw*^br8Ms#DBb26Ia;Y&;s1Np^x$mId)vxas|4fWv z*+-35d-wV^w5Gw)A*_VaXa&IO>~#s1`+FnlBp0vWanRv(;Xh^N-U{&Rn+0f8+>HAF zXtT%_C+JirrUszSoL@wYdT1uU_VF2Lq!C9+uF`+nKAiGAa7MD7_-#%MZN*ZcL|y7| zv|FsVAKvgH5k_G>EYYj;`mN~Z2b63cpSe9d!KUzW1({%TMYNw2e>bbZ zhCMcq=tO~*7tGIj8~TDW_gEm=(RO6-#OG3}v7lKu!z=ouwfz0^;sGtnFj5oFHA%gV z=WLYk$q#FY6gNB{F+5bloYd+SmF&iFiAX2Vg%b^g9*ZAd5}8s~8JOu}kC?xdN&op~ zQSq4_>BrRf1q%{Gpw{Jno$UD7thSoOR~M1W3o2Y7GRv}%OAhZF%d#uSU#Df6TE@$g za*`(FO|sg@hjVaf1^ad0oPc98jF!!4vW|j4H4+FlW&j6>JdQ`v;Na@xhOX&sg@L+< zQxwQg`W0_4ohJhd=-eD!AGxIru6o_Z1R~v58nl+wF*N(ZKz%Aupyj5O7ahUqLFNPr z0Q{XirQ!mgJKjA!S{@H~Rj^jJt^>YVEkA(Px2u1Bk6VwgR(w}8$Sy9*(E6zJ6D%l^ zTT~=IArTL0!bwkYKKfJ?@e*Ot@4E2~R(rVR* zll-~K(pHZ@le?Lf{s;W}#g}Co=P!bw(4#FHj82h-mP zoj|sI4=s74Zm)m)RhK;8*w=oE0Hp-vZPkz%E^<7?P&4{)HUcs%)ocqS32F|j+@o`!Og&?WMGKjlYvZ!Wq9SR3xH@rD?8n>ba1@Ew*p#$~W#`71bhPFe_{=eklXK45p?jh! z$HcAkT>eWR<>EN*(tJ96J=)<7K^cm2hzRQ%6NW3N_ht8Gp zW03oMaWp-5>X43W58@h$C0MHH+PM??*AFaF{f}iy)*-I-)8lF zu$oBh)G&V9X@0*bnkLII2_dud;JbC$*dpZAC@_kWB4+$6GSY~&bUu|MBA`8K2)K!6 zhLT5x9ax2M(0&9z0-bm@!F+c*x2Cbn%dGK)u`c{bVo+@ZkG|UMd)&v;PALk6@b{&2 zR%QhITF5i%daB_k7YRh6a;W#6`uAs44OLXE%Tx#0^@zs%gPXGRmn%^NsJbcbF$YFU z2}sk{9*;duJoR``Z*&R?-3UtpCkncGC4ZvLmhszH}h>0kKgQQHVc6 zj7se+|Io$OC7s1HNOd4SF(AJW7BQ!-OabnC=j3H*%;AytxV2UxbRdtNY)U0We-1Ky z71ILfB_EP3KU<|3&*&=TVKoOEcH?o58`RP9j2Lkh@8IkbE_~b0Qt59j%nUQZEO@)H zA3g~d71njOhWHXDuQxEs6pAjGn0!M#(q^fo1G=4}Y6<*IPe?fz-VyB5F_{>*uQ~Tz zTX)CnzWAeC!SXv*XrD;C2hM@ncB3`kqp$`9(T8<*xZ~QGVhHD%6=t$iqRAf`S zmWGqrlxF{MU;)CjYy!O4_e^ffOpQbBhJs6iVZcZIK8R zx&0RI6#TLs3$5R?{p&k)R?tq#)B_s;H|l0wBgx|MJVTEn{a{(zlZi@Hhs)a*=VFIM zfsyo~JV%QBawkbxtDoDKVVHJ&9pi7g;2%#S?otxSHgGemuo;?hjGQwO6V6_JW;4_b z;9N0*rPrqRYs-QGG;DkV9ANNU{AmD@ctUc?tQrScIr(!D7hQy9iy!Putr3;_Y3J zWtRy|+Zxe9OcEF-bdKhXMeS{_oKp8V%Kf`bb*WHi8Kz0G-%wv-J)Q8tq=;SiwK>jA zw?X9e4tVG=fqk$a)V%H^1$C0eZ{0x($}_Iw(WToz5rHWhes3MEUGAEFM!r1$@YWda zI#TDBpsbI$Qi){8_dJbKXBzS&tA8Coj(=tS$JTql{}yPse>n3h30D#w$sHiM<8;p> z0|oDyVb5!<;viX6p9%~`fCt2^0AnZ0=;#A_RGtV#erkTErenm{vZ3)s8560*;{kj8 zXD2TIn*+NDN}?)+Yxio66Y{RVs{Kolb}WkF*$2Ug^Ic`s?c{zf(6>1NI!@(I{P7f6 z=ewf*;qtbAn_4y2s%~Xke*UnO@sZZ4NG=EM%u{Qr! zj9Ct0;9;pyp6GFQdfF>isSZ~gKRzA^Y_p1e;lSz)f5*6={z|^Ma7o(?<>WfeW!i*dP1D#5U#C^b`4 zJGR`5oq6jm=DR=aBV+@gE&S01x_YTUD~Hsl{h6 z`X;u+C8pnAwhDE9EOWC|jkWy3!uAr&L73D{ zz7sDtM%j+t85t{lgf0#eb}EHS?NeT2I%fiVit}?mNGlh8`@S<0sYbNn5b(>>ja>HATv1~i#c-fu|b2BghIHvQu z9m1y#DcM)$P&v|X{9uoN+T5DzOmBK~?Z<6$Akeii=(K+W&SJX7aOPaA?#jWmVOII= zh%uMY-NBD4uq-x3Z}S9wolhEqG4_Hb^)dTp+T#`KrOZIP6bdgZCk%33;}4cfX2krY2_ z?2N3mQ`!V>i{`_GF8l_YxqH{R%se8K235z)V-V*HC8)Tns=$SW%_EAT0Ab z)-SXYqV?*-f-AzzR2z`pcMC_z+^9%&Z_S`3!U?(cx%rX&FgHist&H?8r1;aUFM zKd9X9MB$@j%a=(v*B`QS#U;PgOT}6JiGUgpM}?6KKmHVUFQ9(>3$*30R-`9k%n~M3 z&6OomRF;88dZ{`!1cF59x}S zj)1ODF^Y)y61+(Z@q;h}w6Ej&Z-WP9q@DO|2#sNf)F@>NzRIKmV%tgW7No@}Ch){A zPqGwjP|1jpf=m9jI8nBB7Fsms+12h+-FkVHw7<+v&}f}-SV zIJwooIb~pVWZRi8&*z1{`7V=_?~? zQ`Jbl67t{BxcZ_h9{~OF$U1 z>1VbF3qQC~qN6JZBE^YiZZH3^v#{_x8MXQJm6}exj)TF@7GL@K+(ior(nH=l2O_Cx z$ZHL{dB0eslw~+g>E3;}lf5@6x~c5|b;=-@9ZgcjPs6(A zOH%E?7a6SDW2nATSdKkcbS34OO)qYEg!rQZn6~EE(nf6)Bga4C))59#A!1eYd3bpIV_pQ&M}q z=OO|zFwbnfbd9#`!xq#1(h|4hoZwu*dDbx(z85L7t@lBxD`=RAE+S5Z1)}lvgpfw- zuGHpjj=nuwr{s?%oYlqzH&SlT;E8dJf#G4MTadTw2(m)6RNThRvorR8aJfN6{x<=V zZ*G~j0eq1TRHk{8a)qJb>@x_@x{=eSHa?pqmSdxsbF%Him!Rl!GAFfRJ$52B*uQ@Z z-3`@tExQpkH^;e1GgGHo4i%rjp>HA&H?4kxfEeiK8MAWB(x(of(YeqJjTp3pajx|b zYq|823?m`!}Zyb&?}J z3DQ-oUtr>1Ufav~tB+!MXEsrUwiAHW$a`=@T(e4hZRP#Cf2c#pC+8NTc7$yMJ~4pq z0>x{G#No|ELNCi!3&DSgP5-(;&5pp93wrm2=i=O$NMuD(d(g0j zzalkA5gX<2e7RJBC|4F!zMULK@13T9$13gMe>IP{gWMbqE#VY-AAa)2=kqPpMspULa_n4HG z2`TyDgwb9yDe$aBJL!QKBd7ahQ$t7DY|=FQOnOrpxO{NTQK zILiV{C0+)T`V{aoHwNI?3e6Bm)_N@Y0w0S^n3_4V{T4R@HNgUZ--{qT#c#->)Z3q1ZiQ*1-7$xMwY@Be^Y2*&0g* zAF29gID*CV9wiF8A0&@G04{UAWN>S&c=!b*A)!iL?+{)?^)f9<AO*X*}M9?LlqP~j^pZaY>rjow`t z`OW(u1*pI(@UoYuP)HYLl$*9tXskbLHaUIAx==0-yh1F6unzc1w3A#0Vs;OP!A1#N5JZ?=YhBgg^S;w0#(ot>S z^(G-ZIW;CD3>-EEhcGoT@l!gRNOyw|6Z?!=4I_5+XA+PUM2+)P#QpGhEQKInd@7%z z%VtZFY|<}P$-X_%C1#aPiY*rr6NNXrXpf8p_G2;fLnmW5M$a91TfH@z`N!lwYU67W z0`Q{15R?jpqR3!{!9dDIgvN=$z!It9>BxMcM(Z2YDOK2F)lx@H6k9``$#D2~`z1xt zZrds97xT?mz+Pku%Q~Zm8`)29LLX>a6>$vK_c8=T+8;0jZS5Bc!be~5U__QyWtisLde}GNM*WcedP`Vj?$1Is!ow; zg@Wh8oCAgI&y|Q+u{xpz(Dr85n%;|}Djp9@?FWVgJ>f(Fd6))xe~dZWb=v;`<@qTA zDd2c>g>I0w0({=+G7`HAgYAV)_ow|}p0JeS%#9lfur~RoowQ4ht`Re zp!&wRCV5P?CV2k8s)5zWU&b%=zVd~(T5@*vV25iUdLn3Q4N};jzDnaRDO{*Cr)jJ1VrVk zcEIl9?zWeEp`p!uCpzMHn-n_ zKBaT7fF!Bgg*y4s|27zJjanQ`yL|{Bcpf5y1l6Mqe(o6S2>9mk#pD~le)wAzdVnxB z`EbXx#@3P9&%`IL^m~_vBog=1_ypwK8ZCPpq#GgytIvVdk5#Q#VXUNfo-lpnh z3X@LkhD#NIQG*o&W&d~Hv7+x#w0&dFL)6mp1G9lIW%6yAt?ESYkPOeKFb8U2mt%OG z&i9wXrJYl=_r%l_LP6?}hi)qLTY~O!2Dm-j`ps+Xz7-v!PM0eIM&P6qSE^ODfyJzL}aFwJ|Cyp>Bjlh9g+cRhg^*db4#U8 zYJMjjn+>?e{jxWb#MD(R#TW3z-1C*SPna~^8vo5-Kvu2nZB|p%hl6x*Xe$>1^^#I! zk$%?2u37A;o>s{6&kE0|M1~poWQE+Eua6y7 zmVycI-sCpqc$k9hT>A-o)N583q5p*^j203p2}ON{d4@X7?2e?cWaR+Pk8G4JRo3I3 zUKE1gK^>Ci>GGc?tc!>7y(X}PKYf}*Hdxoju-(xVpw4((nhN%NhTZYsnWS+I<2B@G zKC(Mj)Gwu#YIAL#tb+VPc`4=m5DX%L3a&NV_Z@hny?Y!jFRZCaJ2x9-_)1bof9J9{ zEEdpX`m@bQeCZzg-q;KntmADOd*FArpjUnGB#$&w;x?wk$KL6*L3B%)B5L`SJgnJ3 z8lDq~fST78^CB5U$8CjmxmX>NV!TM2uGO`$1cG=?tqY|2gP=dOc(VO(to8qRM1P7U zFKyg`+tSg=A4R^XW|WXta7IDtVsDgK7hf%@RM_O{Xwu`N3oZdlDG-G>i_1TwE2O@D z^=`|trl`W;p+MU6KP3-mz^g$Y(MQj45BeYM@*x?GC%Nm?;)~7-~-U4 z@9;@gw~Z-vOKa@FL#F{{S3yre;wD3(Km%^bWS89Eu|U*mb+0`d==2vKG+DWUOHt74 z{a%;%a<$bIFxp@7IZa6>Ac%W77!P|qZF}@+u3`~(x76)t#sWp(bNvLhI}*x%rX6l{ z42X+{^&c)@)K<-`{&XB|RaFdXlF)QCEW?tcR74=W>BE5a81;yrTrjv24H*^FmIZgh zHHip2kX{})cD`7?*rFiHn$qPty&tsx2Y)mY$NRDY;D4%7=EC&fJMfA+;UY~&+r0@<+^&JbeLh)ZqunhzIOl`bxX!y&ylD%oBH3=8Frb%Eam03@>83t`iDO( zJ!=kF>2M>K{o?(-qZ&-~Qu9RwjP@Ylx8G!2^*%}p^SJ`~3eF7any%5|Cn)>#+A8Z9 zq8VgO&;5GS?N4}bmyjR#ow&|9gZ=i-gFkbmjlT$dd8tVL(i9wGT8a_m*N zYHeM>ME9HD&;ORk9Z|=C`!x0H{VSo!wxm#-sX}9yqp6x!$q;$b&mun!+YCZ%)3QW? zqlv<(M`y)wA147hCu~;#Nno!H-eIQ7xG?hRnQ4tg=QlJQKN*0g80G4l3|ix*vjWxk z4_wlr-DSPXX$TM$jw04Gr||+Vt-nMyMXyC&N=6=SK`rucDrCR7&v+qGQ1dDp-TqCh zci=pSjEKY}_r;nq2dJ7LCM4cqb3uCblkuvEvEz%fA{7I;*r&`f-hYBeQR->bq%0-v z8hr@oWXcpnYyw~(oSeTd5LYv*y!MgXK8Zy(D|vSy6fn0|h;i)H)(CaDJp6Ed;2FDU zql00#vefe)EL$lA(JY#*@E>WrqaSGGq)6vqLXK&=76~_l;sS)Z$Uq-Z7t86^}0&DWJoyl|$X6a&U zR%M4k#guCv)`G6UVCU&-r=%3thc7_P==)zzW@;v5DI=%kZL?B*;lJ^p=~T!{22Laq z4R3Ov?LoOPF}V^b*Io6%Xds!+>*>)4QF+5DTJrGiM_=23Py8f2p#q+)l<~jNH;MLNXV4&H~KGFd*=;gf)ZU z)&Zxe@t5GuDXkW|-(!db*RtIHEc74Q4qRR+2K7+|K*3V|)fa7i%F{Kxh(TIswQEdl z`K4FXN*RULE-K>Rj2`rE`GA&~%;K&3BYEwlF2`qnAxh%x;KYQPw=Qrfq&P!2j&$V! z?1narYpRwb*Db-xd^pyE6qz0p>&(klcremR+{v|39QSYBZE)uZR4V$1R%Po@fqvB2 zO3&mSSMEyZ&?~96xl6O0l`4ZK$Z*F#v!(euMQPh}g70~$@h3NI0CCgsbd3CzK^jGT z(9;Eu`BfbrDF;`;6gsof=iZZRBEsri1)KejHaD$j;k=n|J`j*IlY-(CG9y+;bF+r zY@Ng*f&1ya0}gUIWM2mQFnJ8WN^Q|YnSw3XFnW1~R=TVxF)I2N_lbyGu1-^`BCTjo z>IjLy%>^Ds5^5Nub=d02nNr6_o4Kn=GvQIvyC4Drz zFmU~CBc<)9fYnu2X`N-Ne$y7uo4GF_p`wfTG!j_?iapq)B_Ze#W{PXusk^J*h)(>| z+rP1Ilf-Uv@!CtKp*V#YMTmp~9q44CH&O^Ml0@I&B?C23Y~lvMq9)65`T03Lw>ELW zfe{;t9rMdquQZt57^KP9-no)aV>Y>Jn*$UvPuctg7d1ZPpW!`crX35>aCxoL3>HmT zJGlztl#xC{d@-dGpLZ)<`4h71Gi3G7!)JegjrjX?>Mhg%O$+Avbh+j-RL)*Vb9aK( z618pD$35Y6j%dh$Y4kc6hq$bNxMeW@=x^HSw4@WubW2mS!%RXyF$>`0C>9pn9D$&^9Ij!nTd!Y` z?wW>&Ln_$JIY{Wp{REr2tz&LrvB43E+F4)a;jIP(wj7vM^3iqEH*G;8BFH<`w|-&y zlfMNi718HMt5RHE-ly6+rb_z8J1nI}FJjX_L3fav;ns`Yo;O^ss79Os{oAB9ywXAe zs-5+s_<>ib-;hbXxs{3s${lc6ICM66_!u;crSmWd(t75iK}0a`vIHPsfp4x(cLfb< zwL~lRy4W~8`=%*I629JWX#cK)g}YGoy?)pPsj?P27N+qll%q={c+5v(1`f$uO^5jL zNAa*Hv`35qM6v%f-4h?dKGX^LFn<27#an!R`$SR@bw~Nj4F)MP?iVA~e9WQX32wm6 zVBoMk zgS~$Bc()6D199p$%zk@p)){0^WYO+kg>RRTF=Qw0OK8WUC*$1fR(v#aO4T~oUWSGX z>jk#{JxVC-Hj(qK%%mrEhzf%kYyj!OD4b`V!0ruJs~sT0Ug|$dp;-L&M&JdC_T(vk zRr73ahxX*%zgmzsC~SYwSZA9`{&jBWvoVNGE&g)w*)4{EGMSgneqaY~F_Jl#FTD%3 zP0cLF2!lef2rD99qy^iUbTUiqNPlq%-{e88X1rmr*h3hvELLL(ToivUEF$UI$7c7Q zg`wmX18|nY@dVC-pMre+Gg1|TRBk8C;n`UzW3qE!S3fohf(SYko@j3&M^L?3260PK zO?O7?Zr`8m{PyWLE_N0NGh4%99#Qt|H9V z%t!yu+(j%@7|+0r>XOV&k2VeKMxUUlQ!4}JnA4<=y~|MDdG^D z)cz$}gX}$gn-qx~IQBP_fvZv64g6ow(1y_oBl(Vw)U-)iH$ z-7#E-Dg}bA-!U?*)k#4an~_;^W`j20%dn(ok3roZ8)VtdJI; z2H`-FtgEQ#D^BZ4gGfPQ(l9?m*ietWk*)n75Yj|HLudd}&TEUqcjA)NIyPm3w^@b) zUT0P2=53E#lm3ehq8|(%!c2P&=*=a2uHQJeT92Z{SxD4t)<4}>>_3Yg&quN!HyN5e zn=3Et=%lk&Yp?c4cUD#0_i*am9KqN1`!_iEaS5pM7*Lc58}88|kq>Wvm+AgDgz8w` zCu|oPlnOpgPK7HXnMs#9h~YblWJQSl-D$3B|GR%L(~fyhY`sTgZrq2KBy4j2si{+1 zKyp_Jv5D)0)0+jyEpVl!tuZbApo$m_Ab*CmQ)G8i-~8AV)*phEqaVPcvD{FFnSz7C z?xLdTiE{W|JLv zkl*!s#RgVdHX%;Q=RF3QkQfckGKrMT#2?X8ZkWXtd3c;~n|EnOa0mk=H!TznkwjMf zJj<3g3Wsn$Uk4iJN3De(rCid`Ga#P0?7FKYm3Y>c)|R!2s6z`eX$ct+5+K%D@uh7i zCu14@Ffr8j>B2J;z+M+xmk%NjsVVSiRDPA|PfTt#BDl$1W3`)72jMz?i`ja?T%LGz zZF~hv|A43$wA>C_?VL(OREl(b&#FIi;+(|C!|2WORnwI5^hAMquL0uC$*f@_70_qDc_H{_62=S_d8`KCmv9xAh#C;@i?vrQqx}(p%aP1E zElaO{mhh!*z!Y3Rm1l5Ye*A6=(($seC~b1bKSyXhv3h`{grT5}ymaFdou^d9VI!7a z-=wpi$w0ytJV%`*R#~rl8KSZ0f>@?(L5}lqy{0Ke`S0I0(uE^_^(~yV_LbF;pH>Yc z9m=+6sG{h{oH$ypP4{P*f7dLRv^JBg-9RlXGIZ+YtmnxaM|09)S9A~`dJGP!+e2(J zXgD;N0Chv?9$H0sHtK&kOc)Vs&{7~3z@etd!{ z7edY%bwvV|>C_cU+)EHqB8mTQcpoVyi;tUzSMRMkIr;bwOetJZbo)+8xlvEuOjIb< z&dV%ha5D(A#Tm@lc#=XlQYh>wJ-@1na!LU+waLG`Rpo5Q5p*G&^iFe4UnvN&L4nj? zE#4D{&7kp@^=tCC6qbj%9DD&dijB`cGB_o@H$62iw63M!%wzmy9fY1L_PLB6+BW%P zY4al+O@(ZcJZO54S#~q$x*O4Lxhe?ww^$1J-CWo+w2=x{PVWr2vAur0_vkgGpML-* zCgy7`zE~Dx^uHT5Hy^cMy6!{e7U(b=`9kHgpE%hq zG>X#8Z)fr~n&D1}co4k>H+`MKLPlKlm;4)U2&F1vsH?-_i(LAtl$pZ8bANiHIJ1r( ztJUQ%*V_2o!JJm%-*WYIJd%5-GW-Fz=O}OD(;^|oHsM^SrK`b(3UMgpj?S>Zv*+ia zWC%&pcS9w3fEbEC`6Hy8-#uakRRFyA*aBv$p+`Jtd&89wFNlLqcsAz=`FZ@R8yBxB$aA1%YiO|A97zqizyW zd52T{#F`h6eX5yWK7;Xs$<*hm#)Xe$Kl6h%sN*&hZ_5?Z=I*ay7wVwlE*wtUmUFdu zFrXxwK3xPGw4VG$K)@la^K}q>Y$@E|373}tT?dDPlReTEa3Q9Ps zE+?uCs{l92!T*anGl7y0Azk|E!cAP^Z#IY<+lMI>K>j&sGoza5W9Wzd{LW zouPks?!Y)lH-c`F^0aJphCFuP2_cX~tvKXUSe!!Ps--6_#JIG z7MJrM z{3k2=_KA8kd;;0QJ+2}0kM!`MO@9U-ll#w?S;%!CuEK0+Jj!=8Ys>@sSsBv5hiGYn zIuA%w0SaG!A8(y@W&3m8W(9f-XYyN%pAI<29wPRym$4SKw6v60HkH{HFOrTXc3kpQ zfN#3@T}?0N6L(FkwaW88GHyqqH%F|fvT_ExcYqjLq6f-mEW_ejr+5m{iD|~Ze@JwW zNe#B{njDD!HBES{4FFQBmD2Ibc@L?>tmpg{8zX2gLIpt-up_b;(NOl!qPwZOI*MP; zRu-W0vE&QhUZuV?psz^vj_JOOIFg9=WIF!pAuB7}wb4zmL2DC1rM@=@{fz6`d0(|Q zzCI-F&)Fn>D*XYkr!(TYsl)3RU+LS$dU>_PR8A)4FbR61o#bGz;9UN!1%cEZ{znab-}I85Z;M;QrUOq%=s4|H}$c5q{I6q zxJYOQ~$ z6aY29cEZAaZ8US4F^<>>9g)YhBZfJPm{*v{apQ$TY@Y}YgDzJCD(t0YWgjcBPA{e+ zo=*7*_x^t%t&gVQ(&g*_2hy55|Fo^q_OrFRMc{uJYe?RzVTG2IqwXInWU-_n%@Dw3 z!%=%~Dss{g{jD=hA{&3rn11QmlVZ5;KM2TOJ{Sne9<`r6N`0!EYHtQ3gEA;QW=voa z3vlzSny0$SCia-!pv`V4`sz(rrNPDak0z&Ecy%i`+e|lZETvJ$r>kzqDZv;FPAEqN zKKN0(bZB+Pq9awt>g4#v`G91XNI>fxb$H{w9$ z6Y_Jky<7V57_~e88=5qx~?H>9{NC zG1ZBKorpM?wyTB6$oztgV6O=4!b3n%1Vopj_4~{~z(afTdeQONx~2$iCGIyGfo;=? z$?sRZ^0QP(&D#!VY1Eojwqn6?Os)Y?ihJ)GxXnmK>3{m`d-w68Vbg5jC*a>PxY(d1 zqzX+l^F%B$+6VB!mhD@q&V1q|$d2c$Lf2GQQ}ce>Sm3|-x6(SPRps@kC+&!BUZm!7 zC{jKxlhOTbN^Tl6p*J}8FMMlAV47fTOPKqd(g{uMUGze0Rq3>FDbDOY3J~+KdMhn-G96>1U1CW#L&=5G;!pQLdAdR1=saGb_UB+f_cycWg2lOe>K6gf}|7aI4>0nPihB>E7)c zW?(3-Y*%xsl^x+MzmzV+B7@0=yr;eI-0kikW+A3^SJ4?5y}MgH@qOQ{tAj)8WSKby zt(v_030$?x;;(|Ffw|li{&QD8PWLD%=oR21WuYyLXkmXnRJ}yXB#cBRrG|-4T=;nc zbLaIn`JEgdzU_fc4Wmz|0zKBXDGdK+!4D=*$FXfT-9AkS#&5*ZR5zvvOTfFbv0Y?O zVrOaXt_=oP#~24|`qt~AuiAZ}(sYtIH`^|tF!Qc9!{H_jxDNYW*@_}Y`i7a4L^$uF zZ=L<(51jF}#t91pUlDpN;!}yw;*48uatDs{B^WznI^vMyuU*e&Oo(4{3E&}unE*E= z{u$AFPC$u<8Cv{vdlhxuTK425(E*nFWHt3&t;~ABK-74S87orbt=_~|IYAyNb#$dPA`fJDj%Xgy=jCOdFYZE*6Sa6g6Uk7jp zWy?8*hG5^`Xy<7Ztrm(oh-QL3w1CGdu{J+f##z1I6xR`Osw}ZEAykDvI<^iW>0ND@ zCWs;eF1zvLfV9z%+DBfyXU7>@^Ag? z+&eyb(MbvYI4uW}hhhrxk-snXq^%-lhD5}}8b6IB;dJ6!i7}GS6ja7dZOdl*)*dw& z@qo%x_zDvP@%|uhM2%eVtfKq|A`l#asZ6@=;+H*$9ef_Ggtl{{dZ&e%j^A#4LI

    r(<4hBg_=#U<|!1opX>qso@JncKwp=Dlj9NWp7=FhxSd$laIvEwCF=OPpKAz9tX zaI=-S7;nuh)W?x;Jy-O=0jc=Zy6TiXf0}JEgc@mC$22Xr7$Su%fLXelHuv-+`=gk& z+hPbeuwy3WzR$OF)Mpr?>nmwqr0yZ?=8U6_e6L;6|Av*=wd069?c+oF!8bOLVo`KR zaRTxYfn(zTGLxL}+6X?rn+xhS4uH}aXR*&u@mL6`x@|tOxdIsp^FvP!XKpfORO}>a=cC)z&?_sgwx! zT^CMqg?_E;YE~8Np#0%a##o3Mcw)rUXTRdL?g`=vrGn-YWkm(d>Lo{V`Pa6{W(+`9 zwc+CvNCR#i^hK;b2l!=_B^paT(lR!Sb6W*yD?y#5_Ki*hJTPML$40JAamd@lI4DT4 zFSfXzI+IE{JTCih<~$5e_@C^l6|Y^`cuP4b2;S&HShYW_-2R`LW}ABbV_<8u|K;?} z;?*JfcVN{o3>%YXy9gHd2+lLXF~qXi<`nqU0u&1kK?LNb2lCC#f}CcLE4S{)#NVyO zML%N>{2tL)q!*W}d*8pg_YjnF!Srk#y}il#VDQ`a>t^AA#gl#UJ@U^qpzQ-e?NdGR z`h*39Tdku?u=D9?IKj4trXFL*DZmb6`#h{sqBoZHr9zo9w?M_5;LJee5LhL5$}ewYXcRf&XpNr7dky~lURY#1DlhoSg%?ySOx=0Vcvc^ z)SklJ{Prklc` zB#QL+QzpBMM&1;u;jZ`nXUN$yu6nF79lil!FGZzBQ926r#ROb)H*)rdW!{BH3*%u! zR9-R93-V$;Fk8AX6NOGxmeKOEYp8xh4CFLE)@Jz2jkxdR`eyT5KZpWy=yi4u8n!s} zyw7>_$ceh1Y^MZNu;i&Pa>=v$RagW2Go@<3?(aHoi(nKDWt6t8_1gGhnP5mq$UEx| z|EVe~2I)ReJ&)8KTQdYC$$sn@zbi7>|R+&gPHUG2Y_|f7;va2+> zQ-p}(+g^y4B{yjy`|ASj&q$+n5_euy0Hq2*Kj#ymI3>S9azwVYaUC&B@ecoKQZ}7} zu`_BteXzrlw5r=|A*(UJGbq1}!4Jw{*gK>G7B=muwgPH?X1z_Fcl(pSE{>+ZR&$E7 zJ(avN6{;GWSl}DzHW^4$U7(WWZ36EsTRw%(Xq!o*c^!0%xek(;yD*mC4dO77prWq?#)W zO~XD^^s~hlbiu&$pH};mRK4LX82nmr}=lvZ>rsr}28H}pt z*fRwj&&@ajmaV1e{sT@(;X&<5p@^8Hu_dXB3FKKF_Zl|B|1t=*`$K!+g#IE8`inE5 z#xyAXltzHcKI3YM0xuw7xqqrs6@6=M@0$?W$eP^-k%W9IIe?{~jHolgUt$~;hPy=Y zg_eRx6RJEu>Uwmgf*sze5qNT4d*S{W;|aJD?QrFUWhUL1Wqml$;y?06esrTKZc?Mr zoDlrZ(OJ%}T7Wq^CCX8piCVHF+`yaO4ZlHDO}gB>uOS16rDutNeXBsI!87uy;l) zyt~=nW1Ka&DZV>Xz1sIV-I0p}Ic%w6yU7Avz$&GnYW(9r3Xk(IP?wJ?#_#7JhFLqn zHV>qG$bX%Sq)-F-3Kz$#RGK-&J*8lmwR}B&017}axs2*dh78&$QK?lLBwYB0Vpnat z3W@JtQGd;@DgGhUEJV>u@Tdh+j`}`D)gt9;{;N zF;z$0RX8#w5hygzA14Gk)0%8tXkB)Ifj2PL?rx!KSi>DkO%Z}R6I>m%hc_;q=!Iib z&fRpJU!h_(*&azxYJ!;YGah5|rkD|7K9@QPH|76Z`j`8U(eNcm~se{D!CEZcJ@W^Un+m;YLwi) z(TIpG9DBSq-y6Pbe8L9%I8`PIt>=Rck98i0{&i&=zAe<#Yx2Zwxp3^sBRrk_#_$A; zSq8nO>}n9!cv|gpn>7gPG|K3d=S@ds#vnY0%x{Fe6}`R%ioyrbYLPSCgAB1w;_=>X zsNE6g)1ezF_wC7`o!2m)sHYjZj*OwC2Ng#?Dr2%(PQojgg}!jJMxIG})_p~3V}TtLWK-YOuzn|pFl_|VdO=DteWY8-O?q^020#DFmy|&G}1_SmvpCe?;C&5|9IcM zzw?2)?kmJaTHGH^kde-N=13aAELcUSL|hfbU-1I` z8!H=%%Ud8^p^rMBzhZ^gza+C7LOTmcMbncIzvosR0RcYd@y-Hj1XML zYG?G$@J}EXlaz}`VBwc1sQ$b-yyk^Ls&=ad?72^^CNJv{aW93OBj9FL&K#U`FB71_jNGmfDz9W%q*uG+fB|H`Tp!*rNL$7}`d z#>sdxKx+R44JNRm(&6$`W=j)#jzHmsv4kzyoPXV&Mz17lvMKCxD~}(aKngG6CNU1l z;|c;%;&4*o-n|x)`K{Cf<+^cvo7@ADDoXk~C zmtrJB2CD_DNhL9fV#vSsh7FbrHUK)n2jXrA)D@)$puA=X`xEBy9SNAn8s*mhcZpM$ z|NkU728_6pa4?#x044;XG6CcJZndm9vf0U%e7s(SbHwsQwmBty%WVl=UV*LYp%4}sr#7l9T4R^ z396;+HvO)gP!SGVbSb%}24!Emc8Re2{D+7rn&9`%5m6rycxNE+za@?O5W5*H^x{hf zIe+5Y$P@<}Z_x`qw!QT$M5+iI2<8%{7W~2yNrh|gj*tmtp!0V~7@^{^B=fsYKu66b z70-Lys$37u;Y%`ppu>NonSPj4c?26UjcfXqJ6LrJY5E8+(Rjis6sF*@-X(PSfUn;) z!--9ru%n?RzH5Y(xJXxGJ^*H^_Z&p&bJ|2AluShVk@SUW@oDNi<^VJY$|vC-B9;5Mu`|d<@YbU zk5<8{z;-~g;r5&OE1tE;eRMt|1IN$ZxF^3MD!;sSeB9S|&YN5hguJe%)-Rcv2J6nK z^xMq-NFlWaj%#~<*CTozZg+5d^*jaDQ=kV!567_n}@Giu;!`e~^7 zm08eZjLbfhM7Wc8jj7K;nT!hMv@ppTbyWjvm9pni#R=%H{Rl{9XO}dw2c}0Lu?g`t z0t3^yDGR7|`Tb!F=h!cMI13L`KVecyBSnj?Ju1ouHq~&z{qx)^A-ga(f2+U)B?qRR z?~(aWH3CV6Tz5 z8u{h4BW=f)eY^YeBrgk2A&S>RGn`cnZFW;&cc(HQ-Cszr zY=f#zfq={Iss{0&9xL}_mtE!g*nCJVnnqx1`}-R{zAkz%Iowss$5o6uANT&g8 z0qb3+OQL3lnMzW#FG@~!pf6u0m<Xy1s_GiIY(2nTQ3*n+q~m;feW9+fQoI3v#tX*?t{} z-)cO9x59AcpKg9XYuE19l<9;=ldaI>qb9OhO>9a5xfdH+Y{2wsLvXa{6#>FfP|W%A zi+{*Q5Q$FMFIsWt(WGk|2j$!!qs)OUnr2A)1kfLG}^Ll6=MaA1o}>#MvH-`b(9 zhRUBd9lHbf7z&X@3Lz=x10}CjlC=PqG8qq;TqYPj2EbGXcdI^rG~4YD>&CN&1+L z>Ov$|jXN+0`444YuD2eUoPwjb6H^)=sk2&|oQ0Ri^c`hX2sAa@Nu_d1n`PM5_! zX8FXlEjxvP>ekS)F7(ZmANh>S!$JDNEUq_)k9U1}`b?=l7M^uL5JIL_VY%$%616d0 zgKB*~V!R*O&j*6yt&70*bXXyp53kW)o4dfHcYYs_@kVQhimirX%JSc4vAS9ngbhfk zf3jQS_qjnsECt0oTfd+Z)_qt&I~BT1ddrMb zU&+;-jeqbdU5dmGbH5mU_+|TtaRdgGv>zEdZFc{LIZ1(ZSa!eZL*`Ac+4#&;imZT= z*vjL(v=bYkgyT|6@NDhLlgmy|jR(NmGC9lfs|B10m5lgps=7#w6xH6^zd-9A z05`CjLpS+BrHZapc|BU#!F_w&Gi$FJ}-etrlz`;9rBrrcczr=%sLeLm%ec>LIbM>G zNwQ=!S0j!WT4Ibs+=;~|RKoCca`em4s9J*vv5W<7t>m!VNwbdT=H%g8jW62)Sk5gY zYc92bgOqe)4lifw|Tb=*fNd^;zfQDJUmB#Lct zxOllfxPG!{h#x`UB>*zPy5Jto$|1JvI%idMlEvl#DlaPJAnjcgvE*2zIjLj+1cs20 z!q8HrLl^EyKggUl1Ny@Nn}WQFlccWET@4<|B2R7#R$UhhdAi5l@AG&)8*ALxLPEWD z0`%2|ukc7hlal@4O*N`p)Jg-xU)UXMH(>D6>x?Jzw7BmkxQvZ>di(+@zl+qz$FDzb zKKAi7^#2R#5qANK28;CA>9QYyk1eJOkquS}&<*7pfTwDO z@AaPtQYS_vd}sU5ixnx;g?Ql9iT^3}IRGg1(_%HQNcDf3nf8uv@BKsXk&Ovh3cN^( z>Cx&WCEKA6UsO3};Gc?T&76VSpC-xS^+?J%<}HT+*6dL)1ezB}B35wsMVh}co5VB? zeYc5{JDVov533MzJ3Nm~z`xbC%%fE2Fv{T01Vqu+A*t(G0!pG|O7Z5vc4k$7zEL4; z+398@2UUy;V{u#2zh!E{T#tyF$Az6o55@#WUgOX-0Qt;+~3b<^|om0^J7Brr|> zt}D<(NYy%Mm45z!FIJq|18E{GV3sH&C>HpV%;1ZDT?TR$1KfP>)o0m5Xwudv3kZGA z2&x+3uzE%BmRuM-X5A-E%%QGruQ_Xapj7NYTQ2!eBd|2>iXdva`nJK)ks})op=JW0 zlgEW8FlkK}(5po64~50zK_3|ZRjtuT6>^eWn^FbihG12Mw;a@NODr=y z7FVTVf4|<#Umq^~G`ZYQcrnB?GYc0#c)$u)e`RNd2A>lOI$F?DDZAECsUF6D@uYjrs_#&f`TY_AD)FJ4c&3Obf`f@56d3P@ z20pAx-1xX?hQN`rkBS)#g_G3ei88MBr%}5}L%H(y@F>tfu<{^^MQ{>o-G zG@P$&9OE3Oc;i}8;n6OUyp>FI@(N4O0B5xd7*q;})CxqRU*MZauq>}ZF4Qq2W7$xT zjOPbKLaS-9KNn^AccVE?LUPe?)3wu9$10FC!#uyLbtC7-%hQN*TE5TA<499@+%L#Z z>&!FYzH2q$qbbHZ{AYHId_hZ4pRDlJEmtT;`begf!2LQzPh&(Ws{27um)*U}Q1 z&j(P8Fne$(jKz$wNCWP2I@GaQ1ok~(7{U8cYV~L3NcSUx#g~%>pt$reE48ewh<%dI zGyZ`Ml;j#4lOKcr3B)M!FhitZKNJ>+Q!|fAP_}F`(CZ9HiTt3omDR%!@{ zmnJCw3sJ6I>I2N!7YuYW7eikk1EU#Bfgm|*u#DMe6!CB9FOe3LNsYlI@7sQp=*M+? z`veUJuf~dy`^c^6mXb&2)m;%b!MK^v8W(2gsRIHr6AT5u49=>~Q}x9iK+alpanu7Y z0+!t*S2nedi79HoM;3e#E=~3GL94+;M@=M0fW%u{^`1iUN$Bp<7?dvbv-)51ALO|C z!S!H;=+@@iY|vo-r}eT-B0x=PQ&Zi-`?%J2S>zV1YyHhWPY>=J0z8f8 zTYm~&+L_v1)41*G5e)0~UvjvRK`e_-W%_mrarZt6ixH;N{LVn2907-dik6gLqh!CE z3)3E11r!o$YJmWhO~t^fh`Rv@DX0Z`eSSKv?Kndo52RPzHxiZwXD7My5UoA0m&4gf zOq(&e!tvg5%mjMLCFR_u@Lda->D@tUEvx8ow`7#aJ}2cV@-m)i7eFu_pi%~}3X|1I z31HP?Ch7PGn?YiWYsLd-gHg?LL~>Jol*q$xj{d0kD*2gTH22@j*!3Ynq*U*gR8$7g z_JKgKmTnTOi*KJ|L(lnZHKYTNftEg4ugz5QfN93X=w=$DGg&qb7abYD#L>HxiiFnX z6JumgwAf3%hldS<*w)v=*atgd-zR*#IwsbQ1~u{yQ7>GeK18W&`2I3fJec8C%D6aQ z02w5bJCy4K)*{?@)VxXbsPc`w^0GvGdS<99E+2Cp>k1>R#eT&fLrGb z&;42Z77@?cC|4H=t4?UIuJ;jaC%{6@B?)uOGZ zFw@ao-sm^W1C$eynRRy7A(p^U@ZKbv#^1_7ZcrKv*{^Sz?TGC6+rbi!CpTJujA9X% zMUEKe%w?snlhdpO1*}g?cF{DkkK=#;efJh(-5H%-rcs;QpT8NrfvX}=@0gpVx^P|wici!;tZqUZQ# zT-H;U+g}XN_w{W)>py4pSALa!%b*n2oJ@9GF%6I5MtgcgdV)`i+VZv)tpYmyO$pmA zg!c5t5w)5*MX#K$rT>`K;${QKrBfb)io`FUCuOznkB$&q(eDh^Kt^5N5WEo z+A)5+Y?i_tkmSTKAQwLi)`bj_@#|`-RJ(Qr-0IZZFfG>?RE7lG^EC9#{!wtW7I8O#Oy&fgFZ{GdE4BJx zYeVXvvpj1$P|A{dI01AvYCfijb=*KkO1Vyb3n2Xmn5oM1u}DxTkj;a>`MTfn9^M!% z=w|1vS@K825bS_@d_7h)w1>Q=fVAxAw*?q2u()z^e@8`wvh}KigucK3fQ!4P`irX} zzkMqEEs*o%_My!t2&mrVPuithn2B*m8ZWF!tch+7A>&Hs>9ZHka6s91(pyy!H|_P# zUiWOikX%I2=>{ z$#h-H{?(3Lw2nkvHo{g&iWglWdjydmcB!c$cy40rpWNY}KI4W?R#G3xOh+D@<>uxU z7l%2ca2G17dGlBhQ5ltz&*E-tkS}-0dw6&NsCPN7(p^4osnyhvk4eG9jQ|zAj{ksB zpwzB(`~PRRJlNkSp`JWH_^qma)zn5P^eQ&u7GUK%B}g)Tu8!~4El$NCAvi>#Mdh_b@Z;{%jnv--<(G~9Wau1)AUxmLT?OWGMLNG`OJWB6g z$EvFhWeQst0KKTaUtN#F7=ShZ69P=7Ki&hn`;&{Jq`^T*o7Fk{pUk-{!+GbOk@Yo9 z`;CBQe%3SH(O579Ka<*=<-LZV`x|{3JpXR+k+KXv6TIa|_gKG=^MUhjMkwtclq(POc?-7r z`}(BgyYk7u>io^VdI$`8Llu#Edhsm&N<@4V2$p2?q4NVlfHd?~{s<81VvXzMfM@C6 z{8~kXukS(P&xo-Hz*ug1!>{AR$Gh-7@p=qkApZc5y~6gPHJ18VYdai`#gmJcWsfnK zsWc{|rQ!O6y(7=yL{U!)kFXf_F%l70L%%I%Eq?IZsCmHXQ((!Uu)qVig|q}e%;Ao- zNE)mYQ2-(M&Vhn5I2o;vfKge18?L>|@NpC*hzi{E$R)8PaUF=(+5km&*6QUJ9s$yG z@9Mj}`KV8Ni;rH|+F(K8(fDDfLM3?E`u|Q?rQTQ}eoB?a8wYHCm!Ocn^+GStFYWC> zoYWxaLEZBBi&o<$GxH%!b>(rihPh8?vS!3@OE& z|4y?CxgG%MnP`_JW=5n6w^q!1_ADtR^6vsGli*YToZ%qxnV(%?0g1ur?IH-`9dhO@ zoxV`-&YngF>f847SdXIP6XH)yZ-P@1-R;|>oUez0kgap;goKcR0rW$_S!4413P^e& z6>@(i4|ls^+z}H4CqQzh8K~$#3P_@pJE0Ub1X6FQvzab99AF8AWNTsT){Ra>w?2On z7DKd}{E9?7i;|Ez_6Rl}LV_sWe8Ch+cR;a&heK|V{^`bpCxr=oE?PyNKdVrqAJ}!N z5t=`A5NIL_I{#keCfzWJ02iP&phuE*)-vi>dr422EQ~yvEDQm%?Apwn?En=?rqURr z1~uMDh9lQ7TTA1FF}T=j&U@np;_s=V9&KWj!0g8L#bb@8+<@@?*3iFue!#o`%wJj1 z_UoaIklb_NtGH+k!2^e%=_$44n+*XeX{;_k`dn(Oc<^^Wf*3$W&*F0CPD4#Tj*S2M zja`3;95%Y$8s%4{4f>W`w^iy38@1oW7O-e8;Im(ktB;!$E8}Odu6=O+wCzfzhu7K+V~wZwgBOqDVj9D&v}673|;l_hQf1P_b`YLeYun`DWA|@N!HZ!z!zd=p!F|~kAP_MZBS54@ch!A3jUsSBUf1v zuZrN?=wPpzV6h9#CzOoD!DbTTjEhbj1h?L=3G1>*D8CF{V&8yiM-hfBwlt7G_vM=D+x=FC3c*5> znmS+0Ty5k}K{|b-mx@|Z4@cYICp}}Pi{n7Z;H?~l%4^lfDwL}%y0-Tm^ve5#`#YvI z?py3wRSYWc(?a5D!m+BxKMoU&&&!XGrl^oiK%QBk*4EYrAt}mIK@UxKYwM_@gMmh5 z2^a zD`I_g2r~r#J;2>om6PNK%2>|DSS-RD75yz%Lxk&qwLoooZgy{?58OEy%jUhk0<9dxMlOLC3(e8qB2*<^W58I zyhmQU)pq@HzW%SRiFh0+5F*}`=Z0V$FQ`{%H|%ai?@(4|pdY@A%w! zJQlPoTW|EH|Dg%zonQsnA#YF{V?v zO<7+8J6La#U@W_fnu096f$PYp=&fHg5;@rG%*RU;{jmBQSRMZK<0!*L%ujG5 zuiT^F=fvyJ{lOrqLj!YMJLsX=Z{^TAC$d#ugDOcKny1&iJ45#O?QDF6t?9zh7f z9b@>;@B6S7HUN2EsB*sP404Fh{d};ZQc>(Nm)?bSFmf44?9z>e`37=$Sie?rQC0Bl zI@#t&#@v8p3q1ag;}M#>R^y2mn6CMfw?uv*50vd~>H{t|l$R1)7N^gP66JcT*dp5| z`ZrRCF?p(f2GKjsAm*;^=etxRSnDj-wZnPKfzF{Ah}^~AHDlMrNpW)vSSe0ZHFLxk zvhXv=d>uN%3S@IP3c4R-$tSS(`1tZSn9MomOqdwN9oBi;4%BoKzO@{{j8%l4ZdipX zfXdLxSk`_=>V4N^6#k~{ROT?}tj+0afxSg-%!`vIUW#n&uu|whCh(2%BW{*a_6^l_ zdV-S!I58c0Q;M#Gkn;z^)SCo}VR+P1eY1w1roO&ba~?pW(?8hi7t84;rU&qY(9-DXu9-i`ctB9k8`(bVaqJw0+$l5~GGuQc6%)aL^H=ux;UA~hz)*HWO5+_`x#4JflpMoJ! zC%)77t$iO7T6*xBqBAQp8bs(p#*~|P_i+VHtwL}z=Nx>uSEo;kcKnYm)5*w_zggM> zoaC!xuXrzQe9H=-$635xKeWYy!E2na^1{QtHiNR0P^Mvo;Kv17t0^{S7#;D86*Tf*bJPiq#fI8O4urtO?7!0)@?K zzAJ!-Zc06`g%%?5T2Zm46cB=|_>>EuW%u)^y~0RK~59h{V)0hp!<4v#FzfJgjo&&aHH60mcB$n*)G;0%+z{L zuUD%8pzaEGSWaWOZrN4{$Vcy|55VNr7MV1=9tEN)?uY{=7FDiu!JvS8T=J1OMtK5XmO^DySJ@oyGAQ!a>9eW z)S&HC-8+wWG(l^53+7_Q>)%yCt@m`IdfBK>Vew){H$onFgu}I#;}vg={|O8Vi96ci z)NCKH-k&HXjz}H`R?Qa7M}z7)JYvz>uD=kr3n_`v&cJ&HYuNS*60|FC@@&1GaurCN z0~Fp^ek!k&8dGn0TqWS7qKf3X%!x>>13ncAgCt5eZA$c~fdx)WdMmAN zx#GztGZ_qe&(SNiZyS~d_$bPgFy>(RwVcht%kxyD7f1D|ytZWbzjy9;fIKvx`|d7v z@|ZVDuWun%MMQL$CK6V98+PW%b%ek~vK*tTN~Wsr>pE?H`ZATFYX7vD`X6 z&Ddu8XEVJy$ISEGNw23uc9P7`m&ddD^K0On+AivijMMWkY~8MZfPGE znA@mJXk_Emi%UCJ|9fZX*)c&s06#F}@ug6h0%3eo)xT*`GkR>*W zq!YF`D@8$mU>owxH!CDxMYAhxlI-XeC0w)&t*Z(yx>Q?I8!#P@O&0c@X2Sgz2{hRK zDT*-(7pN_0^&{zOYGbkL`^AO>N!21W3CQVMDYnYSMX~6Ut5u`leM>pwcG)w4)R^jp z0}(DlKQ1bYcR+hLrUGb00nqU(gO@^f*<_-iZ1S;^N0YY*GrYK@&*Oa|&>p&8S-*al z^MaS#eDK9}6-q9Av4g3}9RZQ)Qd5$=3ErfMMqm=F{mKrB&vsd|a+UM4`SISK zl?9e6DIL%U%*slYM=NiL@JygIx^O**x`u{{N##QV>C*YU#rcZY^%488}Uj$Avq{VQW-J%rbuYs8Io|SZtBJL$qW96)8z9C2!7)>RR2m+Nhc_2YwDv`2r z_`}N>3Q;&9ftQ@-Y@cA|+xZ%vP#p2Q?4q2eWCSioO1qa;=?b>#hGtQXB)Z>kDbqAza#lwGVyT#sZzrtU(rlF})lXfZ795_cUSUAUa`Q_R8- zgpRB;qMXN-On4UeFQqO81zV#4yfdW);Xuefhlo?iyD$nLc)dXigQ|dDsn3n zGx2RMF@JsmEBGP~J#RaWV|r!%cPhaU45-fbLqRZR>%Aq9`8tIPWcOnd5(NsrZd-qY zn%&9;HQc6*!BG|moyXR(nDY4kXVEQQGW2Te4iHO6?d(BVrBV-d>ftbNtb+@ezj-^G zwOBiL1=)62KvLfsXNRrBSDMXJ)oS;zePzj{uHDc8vo9Axn5Yunn|OIP))z==zb4>9 zgw)i018+GMJ2^1{TQEf}@Zg-@b?OHJ;yh$WwKRWv*ACO)u+(Xo zAaj9M(j9=(8G)%kr7o8pp{*D*>B+ptQ10LG39ZaAJ`h0mbtGc#GYRYSoQF)_4@1zC z+(aLvA(K7Or@cG!bAInwt1Tys{L)aDXOg^Ivxl}T&}fJoh{R8_PV|*9(bhC)KKFCS|MNr z1!h~J%aM!DhOWq*{=tigsXSfKq`hwp7(uyuthX2*j#{XY(&D8NYSPlL;i}-dEng>! zUW$CcHO=^X$0|6fas`M^=r7n#*lNWfbyo%_gpmD}H@hdFYd)|bp1GcHHCcUXy-qkH z7astpl08r7V(660{x)R+AHP`K|0P{Ssa@vl#RDXUJn+|J1!#|eC?R_5IO6ly*x%!i z+;sK3OZof{Wd2FYD`Kw6&l`7dHL;lyn!)uPFk}EgVdn|~sUe?KFtulg!T6Spzf$|% z=K&;QXKp0Xjh;huWX+JQx#$u^W?T%P-x-1>Lf&ME*e)@JgIX^lh%Ljuf{fY+iBuK* z{L?-onV$zXMAr7KqWuNH^g~aB5a2t^rHmhDP3+7hSJ9=D7qZOc@h5iMQjzFY{RrBD z>ams<7em$+^~@Fj30iCImj9lTox29zPD{I;s_5$jcfFInmT8@I04S0Ov_DbF@3ud; zlGFJDdG307DYb0hKioPevFSY+XlN9o%a01Zn}tE%`v(vRgkDjJ@{J^)b&O1msPxVI z^BliPlIghN=qGq*N)3SXYk&#ouA&H1>dR$P$d&yjZU5Z$ zSPF=3kW~kG+y8H3L|Ap%ssBs4+-7icd4Tet>z!9fdZY4#H!#w|vtyC^L*3GQc(0S? zt?kUEn_R8j%uC&dqM*!(+jCp+Q-TOKVSW7@2ISN4c-AOm5FIGHtGpZsC9mg|4mgVucgXaBq?a2ok$}uWb<+pTqaRh3 z<`qcH2*4ftDlVsK3I%IJ1V&QtxY9bgtcEn>0!e&{u;~q}=tLj+1|;+N=%1!z zDN2hR0z= z#RA((!ggaQQbX9z2NumZFNDxf>-80kym|j`8^g#UW63AqD!1#q8h#i3$tCvf4(@jP zTB|D)+;wyyvkRV1#$M4!=k#tB;0ZQ#|5m%m!7Y8z$(47(K+QD>2=#+utxj+MREjQf|R!^uC1{{7uH zEwV<&0~qJO0=pKRyN?K(Cco4WB9m4D8$C07#`{YpNNXH9`S_ zlHZU8l)3T66QR6NP$a1r;;wdX2iRunh!RjHOxmZG9rLro&cRd%W?G3ny1cpKTN~#W z%|h;6jj4w&P>z469o5bl9m~!p`Obs`{I7TSZY%RA8y@7VgiSup;m*j`u{9eUSH{NR zu9H^1B%=)&TnM&_lj*;mlD#+tge83y!mdT>3r8^xF4zGSVQOqe_BhBRrlnawX5 zxk5*}~N6XCYLH(h^Y?^C8J8En&87O$X`wymTjV`RU znQdl#h*rd^2>7PBZtBg(+_X;EWXL@8f&)Q>&4DARNTx$tnWpymb@Pk`gUspT;r?oF zE#P7F{<_U)J3=_!E=Q}0t^a4EC=F0Rng~`skTNZ{x}OF7dHQk_%f5qOVbBOUnUO%O-x>1S z1%h})*pGkF8G;RAb;5z0P}h+b{nM);@kk!9@r(Uh*7*m``(BXzHU$%x;hCQ}A!hOtnA)7EJpQTQ(9mvV541*72S>OlI%r3kVo-b3#Ycege~hCD z07f}=dHq~-y?v&QW3g~5s+g#?!k&_H;zR%Igco9}zd?}uZ9QYRFG_6c17`|6i0ykr zRxc~HRBi(e8zE=|kBf>afC%3K@l7~Nu-$^wqL)I; zk7**KjJ}W7`$fMF%A1eN?~98M)Z8lUb?aUB)~zP>4H_Ie!f*#?%k*Byxc%|iDLAM= zO`6$)(FQ_;IJaR45is76eCfeVQr?DPdc9|1;1!Sr*@jVr%yJ8&wXUC%U(dHq>})IC z8f182Rbmzw`vxnL?xjBJWXhEgndCEZQO=F$ajFb)tA2ky)rmEo=eQs#a4zW?iR)y; z6@oiTJp0MG(_`q$`jEL^V2%QjDZ63JvP51+&GbsxE%Fnt2)czNuKLe2iO&+(9gyq15bqXAl0@p9JtKB4DshP_KiLVe5^5X!UTe3$rz5x%_N zB4ND4Org9e|2{#$XaH_5pzGnQ$TnOIVt}G5l4OOZz|>am+~F z5JL8c!dDk#?&@;GLBfN+O*vG8<6D(;6;Yy`j72t~1)bWGQLm4gVrbCNo*V)-mQyf{!NDs^Z%p-?mYGe#3RUy*b#D;OZ*b`c^iHOBU%1kCj61 zr|+-RV78329nT7kn8E|c%^f2Ib+E& zz0ftuV>Fp%ocx4e;0y~g=N4fN7Pinf=>qb*!ba0O`Z$+WsCNKu-&6_W-)-nOhzYVg zQVw!0#w^ht3oL~(#%!&N(UKG$^KhT#^R4CuaXzLcxy(G3}s$JlzLCpu0A1afb#syov+U4BPVEKOO zla6#UNZuef^;wHWs91ZVI|K#tI+i5}pL?CpdY7`__12)QRsB$=AFs2x`S`H2cm1I^ zkj=(-{yd(M-n0`$>UHta;9(t~ob2}PI_Z7*=OyCClW&o)r@xF7!YLg8nBZxkW5}+H zNBcO%{6m$gHVpO4m~g4Q`U8$BrD9c%+jzq&e+*86^ z$L%Ao#sVHaw(3%dGnFk2!3<7j&W>EBVfNa`^i{_GJ(Rjg7{fC=LIuxB@--iD ziu5Ptxu}6Okp}=iL%f?a8Q53s@&O2jB zZ!me9mV(B@54vpirTdl!N{3|T1!7F_dHq9CK-iR8kkst_)+m;I_Z*U!48K;5wy z^_lUNFpqu$6c6uRWbhzvEr9Agg{BXc@pSgWRn7PBWC>lZS*Ji&4Xm{KsdQd1o>q6C zWV$lw6C6A1dJJ-=quU-S+|e zTchZz9?3FMD&32_qnq0H{Xp6T30U*Z7!MHHh7yp>gzhL~dE_-R_MAl&&_12R4{P$I zP6U4Ny_(%-EMkIeUh#ysgMQ|kDK0{xlee^2gLy%Zc51w~0-bSouqci|2!8qe=C%DWRNe6&O4Uf`OsozoK4G3Vk3vBo zxoL`(5}=HdHJ#`OqWTe;n0)bFbUf!(Pmz`0%=+nVmem@rr>=cHKGd^Gf&34 zO8$cPrX&0MgMps!Ds;hNe&Sd0NVK&$AW=bLDtjt@dyX6jPdJ*KkZj0$GNxGBxG#UP zmI9~Tyb3zR8xPF9`RlY495S3b6A9T$>1uZIb>Do1WIXLd6RsA6uNUpx*de!rdeQ8y zN30|raHPM1&xwFWRO@zls9SG7K13KNEiBMt@JBz1^aMr#qW5xM(0WsDsCUtI<61Pn z!yjWJEL9_RyPQna2yE&PeA51uRaPqAYW9c#Q|0lbi;ZVIyW~0y_{#`?v%39xSp1^_ zS$bGyKf53iE4&&VtFkxgA||UPdAi5?lkoRXAoA#W0@!ZK&x<#car@IyA_n1`cU3X3 zUu%ieXc$2uBo~@g8FZ0WwJG7p?V#z5cjK@$=w~yf!g@N8;ljbmrHczQf1%nIFaa(m z7zt+d2O_QAPa?BM7LtOiUgD*5E4x{M-pZ{5S#aEE>;!@JBw$t9Cu-aredw7DGu z7VOS@sKAJJ(S9OSSC!5OL+<92L&rB{7CQm-bLKDz%gaKyv(Ao{|3H4~yr68LD`i-| zH0PS-F?y(xCU?E*3ps{3-<6cW&EhcpT=mDp=mvK9EL#T1dEkfi^H{Tn8_k#eRp{GQ zf`gwkVz8paKa`%((~0+x`I$9-5#N#uaA;?x);5Q-BZwYNsG3RvM?qUr~$h9SlqO=}y97Ea}AjjOoPQXrw!|#FDaFi-tcPSpJ;z z1E0!l(V-&>Sn3PZYCmp&YbJ`>KrjRh6i`$cd6P>AHiO0FGZ|`>v$c?uukEhVz169= z69*>PPz;=DhD?fWkD_5=)yv&If3u+CyUXM=v3Akr*1i~?C*kE=7_vWNQa zDZd7n@71LLQJ6eXOU$e~nBCwQSsbpJKLQ=zDJ`lk$+`EIcJtWwfofT)AvJfh5Wq*D zZ#5fw?8%*C4dpXhs`^c)wGJ%+>;7D4wT?gnzMtA*NbdlgqwZv|t9&2m@^BuPIE`rw z`Zn9!f{RY$4TL7pONK!dour|nAMJcIO%&Y37;7!gnw5IGqdk;!hN%0%6H51>ASMG1 z<35vS7EGef@l)1=UH=B5B4*|suHI!xW2|7zzvzp@afrmwRn03IR#;)-pKsZW+kO?c z-efJ3KQMqZ$pp1^J=v@?RK<4BR!1vCoY=#NSkSznolTvb{#3sA ziDK96Jam4B=IDPjMb3L*d@0K##oyz3eng#}lC#zvW;q;PK(CRQpXpoe;YUC7|@b%cwgI~?JapX3C zIF(}$W(~Y2FK2x&nGJw=r5;JSz6ukJ@78xC_0f_z3=Ggz$ld%%O`Xl-wQD5(a4O_x zn^n}tPGq#9Nh1q%L}Zh6bPl5paV43DMQHzAO;M5~Xv`>kQ{+W2qwto^OO{*z;+Q;I_LXn!`FTC&@X4E-S)y)_eqVH&FkZ1d{#gozb>PtoN zTgNdV-_X0te|l_$gyW3>>fMLSS%Z-7I$KCp6N#_X7e#Jt7vReXDgemsMVKxM+q_Er36Vqp;Fd6Q5o)X*^d*$pVKI4? z*;S~PSx36jT$3O9lUA&VXg_E<^ZXax9LaBxe{l67jv~_!R{wa>WsoaT(7xy{vgSlD zsHXB(Wbl+~@U5mpZ={Sf`C>7P7j;HpG0d{dd^F;i-e2{6-MBF8j)X(r9;jU$R=+Ox z)Btx@D&#NS`Ujp4p<$BPR6LLAp7OL_)u|CPYJJDAqJE#t36d;rY+UM#eVbg5xRpd_ zN;K+2q(wNqw&TIXNwRbf;3Kk z$MR**8yjYhdTO_G$sw2HjkBb%@NeZ-eWGt_a3H}S50%r;ulDj%;iY3b0JFaDnnVU>_U20mXzl2Xl|9ea2a52O8gGia_xO^nLMGZQwm+4YUcRtU zogXc$r&f;i&36AsnVXw1)=n*dlh1wBM<(j|mvv6y>&GMzed)SIC%20^pJpklCBvZk za(o&I^Bg9PHiFuP>i3|Gw+#ggH7Y0&3H&prrf6G+A%8CWCfN_zLItw&B(s5t=WE{= zD}-Qa-k`Tc1R*P9^-`kNc*(U%5+>O8VSd?Kx?&;O;E|Lhh+{AOef?&lhC`F_(KR{E|?k$MXY z&z=ee~V2wP>q5Dbh*FRzK1ULtR4S3 zo%&QnV2{_7sXjJYoCZM{GuF=U{j^ikAf>fXeYfX<$XpzyJGuLttjC&C^(mF#M0?3? zS3mg__37gT6;!NiX1Tee>mK@9j*k<;@e5`}j+mu{(VGq`^~z@CE}w0(Kw!jpmjINc zA9P8!gv?&|*-nJwuV1mhaP(<*YI~&gXXS$E(XEs;vV!pQh-ipyc|?H@6m0d4bNLvr zlqw`cb`*GO9K~0mT)LhvBdiB`{^@S)gu8_nis#wJQHint{~zy$_SUeTxOj4@YM0kYjuMDLaolWHaXcdjSnn*}*S>n&}bs z*NA-94K_&XO#Y6h>7>$Ua)AJ+lZ?x#@!r9X{sICH@kMM^Ktpw#br-zcxH zWF!qI_iyJQ3v{i)p1Np$3H3xC+LH!B`nyZ$Mj=Q1rudNua_a4cktoIeH(NQ#Lz+CU zcTVLjxyMh=11)_R`wX4in{z;KE(Uud{{)zB^{-3HT)R?5S+;)!vP5q3XerPPZS`NU z&PO)kA~d2;=rq@Ux{Aq%wzR#H;eOJl&zUN25#$CO=`48aRk#5uCi;1WTG@aVtfh4N zdGf@Jg78evZ85YU?_H6~1$JgdA)z9|^HA)Ek0=nSj$=jA z5UQuZ(L}k0+Vkf<61a&MaV&tDTM=bHyyw zB(~}765Xpd-c3op&{b#k3@90lleh!}DP=G(!>Z+kxYaaaxDaK}e(VtjnWvobAz?Yq zERZ*H%q6|PS!*RMD+=uQd+RM3{Rw+->M_>`;(<1PykQw4TgX2B7guWxey2m4iqTJp zq6|o#KdQ?S_eI?&#}}L`d57G5I|6L!2G+C<7EOsP);ZgH3%Ag;Bz#8il5h8S06tcq z{g19flV&j_M4=c^;?a{3*1mpZKR<__B?Up0ZP?T|)>tL6N;!-`+F))m!%-v+F~ZG^ z=fJqxnbIRp)h!ZzPaqA(=;PkshD1WUPltY`%>|$7^un=U;_OXvRDko8@@&UStTx#F z%&c-jw^$jp41f%47PD|REe|$s5)+ZD|d@)qIUG#9Y zt@ivazBo}mLV|ZsD~E%L98cyz2n#A(=NPtyKE~tjowr88b|vjUSy?uro&Hfj!Ec|U zu(3ZsV5HOX17Tbx?v}>!BGK)KRybYF(T;KD#bYZRJ{z#`w0h36YDi3u=zIE2a|z{4$?K# z?g)q5CdLGK(of8jI=TB+SV>HKE&tMs0Ny6v1mfl>4T?A{xvFNKmH*7du;caID1nps z7s9T5LyvXI;7gtCl|_ERzW7A>V)KuL>f|2k1uX`N)wC&zYHU@-*+Re4?wW!4VZSQ) z5I3hht{#a{QkJohVo=|%wg%@FizI@A(JO}I%TB(A+_rCMlxuUS;``eT;Jy1Ul8gMB z9XrJeO72FO+~@u-Do2O=t{yeSQdvpNR|>i7){oBe^PeuBSkX6<=A$DtSM z|1*Z!O9O&!N}~oU=D<(gE_bVsw(1jWsRZxEi`V#Zm zPBoT=*-Exddct!sAq8}wtgKzb9R$Vv> zYhiWhY+kjdu0)iR%nSv4NszO+HCZL}Ng}SBYE}?}rwkJF#0LS&o6&Kt`D2%7R!Hw^ zDo9%bO);s8nSy6YH}Tfx2{4>uD^klX&TfEy)F#73CAC1PD{Il}{e*dAafp5_K(&?h zMPDh|I6%}~_$#Se_*%)N^7z|f?J}mEhbI6-_Vb1RyEotVgB}qEjd(A?Cc%1V9V~`L zrD?pML>lWZ+j>4+pEoS(ABWs~9rd``rra+FNb=g&YZ+QjHJ^<~Dmdx@6g{te2eDECRigk{P6@5`q6KmAYSYk?7ZuT6}R(tC&(G_2T!b(g(wxikEww$1orH3+qT15+9GH!p4m5?ow zI!_|(=%m7V=*KNqhJ@=1i=krZkst<6Nz3B7BZ7F`msr1~i8mYwLiW(~MH(7BU5g3= zGr^OQG;oxAjT4}Ph;cWaU1^3T#X7uNgBg7x7(JaEduMB1QH0W!rG@uedAH#A*cewL za)Vm+^;j3X08wfiy+*SYjtP#jv8w+~8Uuc9PMQ13nV6TZ3SAgJD(xzIJYO0D0p{aA zR2&qzrXfMlrI#g}3IzuE9^c9O;@W7@{LpAQi9I407;42Z{Jn+{ZRwDiiOL^n_J}GH z83Ton!2ZZ*e$~|}j0}Y$GX%tS>g{2oZsW@kIpx2GPa-J2R<9s5jWYkHX z&7qta&`MXav!)>RiG&_Q4gDi>5JtN(N4`eprMG2ovK(`vQd7w}x_*uA8r`GTm*EN) z)FgqlG&nKB@z8ZxuSNRj8Dy`yHu;g#3hJw z?6^UI8Y?x&MtGq}^u7YbGjsA7OP!Nd*l@t@Dm^>$3!01156OKDbz;}HfUwc}M8z^M zM(o=P@MC~`eNKEl(g*4oUS$M1KN2pMLsn-WhrZ7M8(+OwD4&y$j;TiwrkX`(+}?$rCgq0LeZan(k&Ei*;{apuXfbUp(v!`X-@S5Bq9gDYcQU? z9XZKgAcPaV=z*jDZ6w^jwWk-+qwy>q(B_;KWRtS2IEoi_ISPoFaspCNHjrB!gikNP6}THPMem&Qk_=D8ij!QmLR<=SXz zf~)Z2*UblMAI)BQlq$?yeQS=GQsw&DN+bn!DC! zR`ZJqjVwWh5|@i|OBN3HdXSoePkPSf@*#q{1$k_ilc_v6e>jdFVM@jM56N&&c;5) zu{U_`&+dv|uJID+RBhFu9W((&VV^tLcZNw=tOVl_RT^NaBBm?WYpQplHk#*ygr%XP z#pU+XgE<}pg(f8Src#DuC7@3W*fx-QZZx7oq_uwJKtJcv$%^eO|B+0}4v((O@2<~Q zY3TOE#kEkZ$B|^?2>ecR`{Tq;R#Xi~Y12PQX`jjBq9~E0=(+}Ab2ALOUitxm!)+eL zqU4$j#$ifh-~UYZT44)HGgi)j*H$v#-<^a3R9(&s<86N5wali#M=v|rDxx$$~C z)2N4-{^nElQ`XHeSoPkwIaT(F)&u0SSC#30E0gp>!hdc~|13j2`+V`Q8(t)go>IY% zqJ&T7vCT(ITX+Z^4_m?6x}Tl=Rv4yYa{3aDNl7dy8a7tr8L*||RQ>Bi^eAk032k+AV>H<~3DW#TQR5oqPJAg6 zj+fiZ^$H<~5SwgiEMDeU;_7Ux6Ki~{{`XIypD8SqvLkaQEpz6i7?-SSR1+1p?tpFZ zSy*O-?jcvHbA~l~iE?=wNru!Z3rNB4)XMHDIjC)6N?nZ>IXcY&6TRf#E}R@p)*qAL zfPi=nttHON05Tq}3*jSn7aghIgbLhhC)&af9+DxnTP$!=Qv2u{ACpHBN8kITx=*@7ln|XVC|p1r^xlj;dgvbyq8%v7nW8l=t0!# zk;`NI0Lt0*1kg&D{Gdmlx4rpF%;#ZJT&4=BQ{&%lhh{2s)O#Nw`H8K9x*68`)7c9Q z1Y?uxyeU{p^>?AA#d=N&SfYeEF*RFZRfR8|JRp{)aq0kkc+m#*G`BM=V3(7+h3M0$ zB!a3mHhW{?&;QD#uVLEViS<}#l5=%G?wHcmwqBrA6@+=1=#D-4Es@3dbLRv_uy+0@ zN&hE^im8<751JkcBa{**JIGJrf+Y=a@13FaAl;n6M0?-B*fa&+3Ca<_q+P>!209pu z@dO&5Hq_hF{euLt%Z%ANHxJK2(?{0ZE2rIA(^Rh_jZz!jaL@PW{Y*(b?9mEKu>Ofx zGScoqt6yf&@zEtoFU`gaI@!;pD0HWEf7et#XkoKZeXYEE4}n0`hn%1`vqB_8;ktIG z)Sqe7d=aXz^{%qJ1*$N#0%P!;{ylc+KC-3Cy9~)zumSz9yU2qgu{R=3`S!0OL9=Hm zz{dGp!bJL1jBILry3BR5pJ2)lpD3@kupQWE-1$4(9RE5|XJK!I{4wsr9)ugOml~n< z6~kcv6Z2>SUO(sY4&2%$+vC}BKhMNvnk?g3^^eIN>FFlYaWn7^2|9xZ_X?C}fUCBm zDIiYy!~TC-!BX`C{2*R!FBPbg#CKXP*$s^lbHe)Mb~$!s#AanO*A-MIOW#A7PvYh%GGzeWcmOeQuS3OZJ!mK5bzTR&Uw#|S*!40I-tFQFPaYuPeT7tIQvwI zM;JFqlq;Wy*3WCKMT#>Fy2>%*TIndZe)7#u%3CW7@)0TgIx}J?aD}43i%BVb8SKs{ z8XjzC8ibKJry@?|gbKge6+Wk}=vBdBB=|cgI z&^Ke$OgbU3{*m_Rpy}3*EL?OHARGf4!lZ41qRt^9gGy>~h~NN0+q=hBO%Z|R8FZ3M z<21C#d*dQjBCE7OPvZIT5Lw?RJ8sPJ+j4{VtLl@7fd7oLG~*AL{HDp4TC)t}(*L-n z^?(5nG7F+_lnZ2lYow^k*pc+{x7L*1N_*Yy7#S%E zu6EUhrb5A=+gVYdFmsSJ_;r#-6YSMcvg{X>2AjNaLuNI-1rd?A|YZ=e*6{) z(csCzA83m;Hjet`bxT6?NjdOb?HEnG-V?+KvA%~MH;J0A9w+PX&sx@s6w@LJOR>Cl@0II zI3Uc#vk)VOBKXAD5+fSEpzxrp&DjQcgoTCcRM_$3`S_Nz?2_*Ig>#~?CGW6RpnBw& z8ypuUW`BYRdnCVzmwSo`g;EH08VAJqD#p%x8E><^H4f*?2r58@mPT(eK{N2+^x!m*EF>gvOA)zasWs4fAvHbxBrJ?gbQgko$Xv`5~ik_$M1j76^!5 zCO#N{6RiXGezI6-C;7SjQeUhqihb`PYQ=?RwA>BB0yaf$4m1XVF!;MP&|3? zO4|5|KqhS__GL+4dJtqh^pi?P65el2&v_LVZyi?J5VzcMWCNha)yC8foZ-f8$`i$G zwK-#79C#LFnro!}bx}5wHVT)xTUb>BY#r2o%?px0O4e9L;;v91B&;is`x;M7EF|PC zVF{4M#;T~Nh6l-5@|21{e<&~Jj`kI#Mw(Jx-NKq6>_h_ap{$sF%XbFRMg9gAE$%?t z*Q{#_!squXR;i96wkHRL%Yo3beUeN(_YJ7^XIMR5*>l+CI_b)F)5rCk69+F2OJ>BA6J3rDi6mnw!oFMlwU=(V4Nlh8W=hAN_>Q4SB8U~)v9QeC6Mu36s) zSOmFeayG{@XO61^VNZ`)ar_Hly#v{W6y}kkvF{_1{pd(Lo0tUVw zYe5IP94(?LW;-sEi6$LXcuY8}pvN!ymA>xmBTyFIwSE zgWStc>$XrGS*<$)1%5}0 zrwMsw0@Zfs9(eJ~jGYaaG~yPOy0V1Z956IekFY-f>Q%|QsWI~JynMvH z1=H(aUAE!>(PeXVzS~^yRVogZFs=!|#l8Afiq`Z0D6~X#jA@=vfliM%0hZ*l-#mT= zRZ)qafO5^Jr6<|6oXC7SO!{=kIF(vvvcIkQ`~8lfp_QqT#d;LM<$vnDNrGwWWMHBi z4Nw4h{e0KAg(E;yuPWw2b1Q+7Ju|9wS?JiV6Kk-lN-0itA1MO7D63FBod*|&Ng^A9 z{j10y@(Pu#C4FncY*$$Uh0sg#THl3Mb zY-}58b?+FK^wQb60-ik~5j8B}kVUd@jFAOl+*k3=^#8qi=!bmYf!CZGRInY61Q--3 zjx-*>r%mhB$d1%ilE{$)ZNF3P1TRZf*SZLpJ#Kai!mfh8IJrF5Fu;42!@vv+=-FGL z)BW426&0=DVCs&I$6tSNJ1ChMNCtR7jiFYs|MzDa@Km}T#Q6GrcdEgn1S9F}h%V)K zu?`*tun*mhGPlZtRQ^So``iPv?0wsqi$^uz%0a1yZ=imEz}cHDK3~pv;WrdY2^s%9 zP4-a=*goVrDQ@2xcyA<2f=rkxVZ5HUYB?nW_N!v7YKbEeF$8x0S-gq8yEyIvzD^&*LF&ndpw|a16 z48|1lK*>5ML5{Y3AI+i}aRc;3CnDIgeUBS^YP`~Ps;o5sw!~?6_yqU`kGm;%etp;Q z(gn482Z|rvw+L(B^X#_=Duw}kc9EVV*lYv;ldqD@5KU&^;W9HWELZiV>J zbTaxsx0I;wkNbR1D!p{$P{eh*^ir7Bl2Hq7o2LrtN_ZLz*pmXr=Ex4RKYnMOjRT#DbGf|-6 zx@x<-EnJ+cI?mKMHoYC=5J9Hy$ZLZ>w%92;n8w4|NVJXM+aHa_7eu`0wqN_3`&)+J zj3uvCoJ}uz>(y9O?jUvn{e6)s#c#*cZcD~cN)Oj~NlN#vX5L&yxQ9y5@F6lIeAmr| z#QwLv_pyZnz~uJo942hJvnlxffURtEs>b@)+sjMY5MGqAaKeH1rtY z;0gS58u5Nvv7S~ieTPFWi4dNUk_Rq&1>#f;8=Fe}EcY6HXn6Huhkr4CA&(Vkr2sqp zgJMec)ao=wmGWA)LM?+5eLBRc)tODc&qiB&ZgC7aAksITPB;*=jo z@o%W@SoiLN>xa=YgBI9}gFFz9#vng`e$<<<&`i0|iu9dh7Dr z*EXcTcx!;h0n+5*j5bwOIIRoH(Ueey6vX0fiT7tG@d*PCd&Al@tnzG3;{pEE=bRYt zy=1(5Dm$~#DqlSnAVFZmEisx`ytz(m+FXQsz#AL3L#}HR^O_s$TYOI zdu3cQ3}Jc^z~RCo%!p!Q^BvI1YOSv)G?`)7M}_i|KhMk+4T#8_C3CZ1$#en@7ibW# zr{MbA;>s~Q2=r(V*3a@WBD4B)9B#e#+ji)`!meKAEg=Rdue>xLFzwYEPqrA9n6LQR zcau5(3p7)$pRwhSHs`d0Q{D}D46UrNtbNDArE`RY3!QifWruMv0AdxjL|v(Dr@TZK z)?Qcw-E^9;^7Q2z#;jT zYc(|7F+Y^}uF@GU95R6e9FN3~#9j(h^(ZTuTIRk-dldVw62NLIcG@}Y1GJ5+WOyQ< zOuu}Q5qQoyri;77{=!?{H07eWN7*JP8|}|q5NfrIBjeUoPf1~yZ0&S5M$oUkGm{Qd z*X)kgROwb|fE}wo3+$xP?6^E(8LcE=kJWK@QYPB6{a2&PM z5+R2e@Mg@YBEet2d5vV&!m0+to$yYV@4G0Uuu$#?3P?jqxL^&G$7!`YzCU5c_VMX^UGUU0E68C<+&9C!9kp<76 zJ78baA^z>v0nx?FOOCIF9w@V6DN`_mK<@W;KYb*h6^r083a#zQoYF_~M7|*4jcq58 z#e|R%orx!Hk)tcQ!INdalyb%leqrYXBz98C@h zx~MlBFg*2aBv-|4#95?Yfo#$wf-u0{s;MA`ypkAK~w>$tOVR7}X)`HUs2-i>~%xI*YEK17Y(nqVcM$_MZMqMZayVy-PsFJG!@z)xb z9zBcX%JWy@hsje!*E0^*?0+U?mdW6$Q2|Jeeljz&Ow%t7DtDNX0VwP<#_4QM@o+|Je+(+ADBiKi z^Rj8#p$9TJ3S>3BXXqV;eAl1pRX4Zzc050xS=FiFixvB^Tpk1^81Sh8Ynm}|WGldj zr8fEj4ab6Bx!YZ#gvq$nF@!~-Dy+;1PYbptUmNTP7njGrG)guE*IYc2{h`_SQ$#fA zDd5mumBEF`AASA_rtc2f$U}@aa?|~-i_DbAX4sj+DNa?QoVeK@h|!*5smioI@f=PO zjz7eu8LW8uvP#?~E=VCm zjc`524)~>k-Mt{ochoW$YaKiTp#eO8qyagHq z_Y5Jw3U1*0aATd9O_zePy4`=a$$lI5suW!}`WXrJyghuL#Du$Um7|1n6onxt^ysVH z(HN!X3h?cdrhTS)EuF?HhFQC+{7^m@j9bJY`$hN}PlS0AdDBfm3$kyRD(T;UMUQg> z4uBiEV4Um$u0{lLy(D)O1{JAE)qJjIJE_e;gxdmhB1Z{CUN|62LPNBPjTvglcCU-wRh4FyhUMX z493@$Dv&qBX-y;;EHN=YiPD1Nv$yel4`iZE0?sDJu;eq|Xa(jaE6sg6t0OBZ9nd9l z%bEj}S`uvl+}mNn5nKDC(AoZnPO&fC5M}&M1{r=V!w-~w&1=k$eu;mN#aVXaN#k2! z%nQr^$fPvFze}O>5+cdg&(IaK;3co9J7K<3ZrxW8g=b_F@fq#sx7&v}+)ERRfE_Vc zK6N%JgEg_kpWgxyUd_68%SQ7^#Fiz%2*$r6G(={>rnnhc(wOAKyz06{?HOyX%M(dw^C~28Z zHpINrD#Fb$3Qg{4XbY(fW~!xUm>M6wl}mZCjvzo1zO_QX{F&Sv*!2eT@(aJLWEGH= z)t!UKLYC4 z`$vznj-vj~ATk;KLj9ehqJH^ItFlzPrb6&fc^?H-JlVXs!A3dz34m+hRH_m_guqYR zL|%+6(mZMu2ninbu-N0#4{jY`cs#&`^`}5#&hN(xTJm1a5oY^!!bj|;paC-9As)%9OYZ=f&wB9Hq8&Zp+~XIW54&cj|rQ=tAL(ItI_IUsqNx&Z6HozhdZ}eU*1~g*>8s z6hcUH{re_vE&Anyw>7yRTvfdBf&lj1;Ha^$_~DCg zP-gF!mYkGM0jQcaV&+Z;4;}<}?w%~Hn}QVV(e`MJqB5r7;}oPIh7$wbTq`>^Wg`tn zJ|gps3g~Mqy5Tcte0FAUsaCV4Y2}lTE%e|M9hhRcUp2CG{He*|Rf79(mC%0vUwUAv zN8-ZaywQ)^Gx44|%KH!AXe~8#UubckFm0lhBR$FRF8eLWKvezBm0ABuz$N9GOYk(p6 zFbt<-(4WFT%&B;oBpg&xkR4YT?RF|m(?29`2Q$|lYteHs51Y# zUC@#~?#-BEK$9A$Fm2Q(Hf@{`*fP*j{h#|4LH}^S!l+(;ePCJG0X>#C_$bUViMH_v z!_!P^Ts^F9LyEogr?Oz>j(|vUgEy*cN6ZA~h{bv_fK(15sFXJvC0YOrSyz2KPOsQ? zDLUpy;~7e`(Yx-4j)ni;nb=>B#O+VzHy-<8Tt5qJzW#JRlWRH!659MFUWD|2NKv=P zk?)%70UfpOAOAZq7#zD_i1+l**7B#JoyV_!^FM`n(jgb}7kzsjy5Fy0sCqICr}#h; z8^p7TOGy3KCihWDky~fb+opx#sGvI_%eO}0LOu!uPCWB(-|UdO=-km7k`zKEBIJsK z{TR~VS7JIoaMiBEz%x!yWzMfb-!^LeMm)7@+tmM90J>m}u$7 zV_X_H0=CG1piH>>ur_c_p#{o`!~}4>hXOtOo5>=5$PG+LZsCBh%{;N5I~+LQUo?%V z44N6oIJdq1@%bpSdG{ZR#@}P}GE<&AJ_QT9k*qofZ*d zG;-nK<|skmtl_nK3=)=J=j%5$N2IkmG0ULfsQ};~VR#>Q6mZNEN-*N**^92m9PET) znJSyEGSTB=oZ#!i-S(J_2F90}F3kSE9)9io;pak6rTi5}UjFfclClU(j7T`cdt{%J z5E@TGZIpZr{TsWN!9NOtY264M3UrF+Ff?Q>i7YF&Cwq_5M=gnfd5|K=}NGz`&F7SCv!BwK%5)iWyo&quiwhSt>!b}J!HEi#{kq~T~t%yfnjYPs$YAQli^p+{fm!8IO zyD|skbSUZla$j2VZ6>^YOui!XvL~Vb=*OY=uMs56oX;1SLtB-d+&Yzk_*@JAk1YcEA;g)@kb1Z zL{OqQb&Zh!ZLj9k(Mzc@c+9dS^*K*RqOqKN%w?WqzA&`t;Xyf(w|xCpZ;P-j298-0 zKGA~u+$wv(^tKl56TlNq!u4r@^i`u*Ts1aJTgRZJ04QG<^M|RLU|>eg9dN3+&!VKf zRK6w)W@z?CF0FUgZ-TNs<6VZw$$KD9N+MJFIfKRsVmV*xp6^;XV}w{rWq>~Iy z60u0;cbo;BBnQ8z7}<2L&cFPK#k+jJ-kY21xw7Poi+KoOrYA}R{R~rQnUr^U-U~}Cp^5T6J8tJ4!viT$BOr%-nppsy3 zvLNoo(%P@7267o_0mfago~%8j)@T%>kACliCJ>6& zeBsX#XtrZN`8E(G#B$Vu7N<9Aw#fW-=cIRC($9{93||9>ltZ;~^@(Sv9) z@el;|R_%2^&`Z5h)hs_tN{^x&ujg)m;E(OX%YR8S$xO!ZWR^f9FJnlM3R< z+9(93y*54ygXRMT`?hnBE^*rTSAYoaDDEFbWU5-hh&S8ej#m`KwK?Z~_v51u(UypU z+$&*^!{Smsjan!I5F#I8ZLK{mrN!+cp>um)6yy79M$7L^h7-P%Bsug+G&Ujqk^4K( z%$>XIR8p|Tcm?6SI7m%3x!nTnCDAcwjFuz-NmTd&m5a9YaR8KTk*l7HZs^TQL4eD> z;Gg75h}+HFr*(tC#P~ONHc&l@_C~)dH;kx_iJgKSs2Z{|&MIu-{Gab6hFhqVJx(?Vuk&61l=+nZqs+76zsr2QGqLsMUDh)6 zXRqQ|n*-YeD77+qMOUU!-#HI?PT0K1&(ce7Z z*BsO80WT!5@HxE0+IDMhT7MSNU=4p=l@J%zNjj{Mt=ngPpm9C zF|TEz#XXA32br5F|LA5bfqJoYufXgGoyN@X7kHO14*p!N)Slf$Px5fv$%dVRKD1xt~zXyV(R=njA+Cwc|`dsRf_s<|4#a%6EsI_KEvO(FLBts zhP|#H?cg?hTcJ{5j&=K06UKxVFS=+psF>@zqp77qCqCVAq&>)@R*T%I|L*f7c7sTb zXu?F@ZClacp4aKd8`WI`cQAX9nZ%P}ZDGmWJcQ4WUOuwqi)dBUlS}*j=1YxKDAl5L zogju06PNxG%_G(9CvTweZ_B+n%3)hZl*onzxaxSMf$(%r{QRcF@4qz;c=q=3aPc&$lcZhKM(O%rUkPqE{qs)N~I^Qq49bb=Gf_yIDvjc z%|5>MW!Tj4hZppN!vBU|K}Ie;@iDG4s97N;b+rKw+bp;q@5{XF zSwxC4`h}9URHS|w_{=-o+KP|8p;3Np zb7)(6Y_rnK!-M#pz&5lyfM>tnYbZM>hnJ!19pX$_{Lfti0!RaDNYyLnfdNP7>h05I z4-H=3_>X&<=IY;V2HxvgSmcKli45jx$47`cr^}jeHhrhWsdOfsNZ~J=ctdxj60byF zgIM5f;v6APXyW`r3?;hI7dIa?z+LD&^s@F;xs;O9Pz?>OKp+eHr{uuB8s~1N!Fg!j z^H=JQ9SiX06}g})`9T-pV*xIdGxn{kuM$%yRZ(GW-^CKjKAC&hyTQ5RnKWl#xniy> zNvR2~`Jp14zRCDMnuboI3ejSevHP2kG0p7ia^yPdXxtzoY=*DQl+=@gGJN=AI8WVF zoYZ2K9|&7>s4?R@fL1a83aHqT31^yOj7O0akmQvI9)kEz<&nFYC&L!WOn<~PpwEo> z_E6S#T=fba%1;j;npQtR)y+x%sHMpVVR{+>jaq$W7H*mb1=! zD8QJgcp!QPOFOo-6z#+J@2$kNo(^~6UY6gAW>`e@*@{Ce^wbAszU$-O^5)q>js&kw zs;BscD6|q4niu!>F_27_PB!+XSz6e5y`4kc!iml???JSX1I_JI71tBXrBTo4xW&FZ69L}my zD8cXy(@N`*ZAK1Nxmp)<7%nh-@`e; zEWA8>T>mwe(#z1xUu4z&^#hBd0sTLCcLltKTv4;Y5nwtC!{qsxDhfhnTF(ZIUP-Wj zGQn*22X~SZzBC=I$689ty;F-mVUt9+pNzO+Zb3PJ9VT7pHJGUaEIrXX6|LqL(I~-a zY8sWve*^FNfq}lO;gZ3nTIEhOD!1fECqYl4e2hJ=@7gU$9!G5+1A8LChnTvcpTVu* z^6na}lI`pG-xRu>0=+V?HnN(v z)q}fj7JUgSJ2dK*3Z7Tc?fungjm`Q#7ZEt=RgCfJ$v#D|;QTQ`i{@iF+iX1&W=$&k zs|J5lZ(u4Bw|am24w+-wVr(!Mu*?c3m9){no3F{n|7AOEQgM z>*JnT{=wL2Ho0Jq_BAZMz{p^G=e4E&SgsC<8-~XIj>xUb_UL&ytOk0M_?8?Nw4-XV zX{yry^|g|Zd^XaA^)Iu1O9>Boh*|k*WsYT)CDz?c-Rkk=B-HvEowsjpYJ8l#YF$}& z|Gb5jr?BHSt?sCrrGE4k66Okf66x2E2TwDV^WKntrRum-vOp~ORny~cq&Pv1uR+fK z?K*KH|9Y9AKCPf*e9*BlDmQ_Y%G?3)j2LkT7QJo6_L0{_lU-*~iB%3q)J2r&;j4cw z@HS446)zfq@=&9s>~2JXe+?rK8bl#n2^`C03Cww$NzruQY|j@FXyp(vz%9(>HtR+{ ziEGo*{k1{*lgUPrSm*v_CTS0^8){>;E@O`bD+^bL--A?9_rJs>zi_+9M|Ao%h$ z@jLWz^v+1#n?kb^2?`$N=j4pS`;a*sXBo`hf12~;Reu-z_wN@;%vJ_ID3LW`ZAcAE zv`((i1P8an7j-`gO^1JKIamD0j#H)2K&P4d9Sul9^SB&oZBtvLluG`_oPuuHFWUZw z9BlY?Lpq)`BFRNhLRZky&q8?`j6svO{xLiJ{#K~B->l539V$w>=cS)N)`BN#_suq? zEs0^2D7NLH{@Hn&oAX4$V&d8mqYgWVp1X$tCc8G@*b0n@!_(2F&787?4**{xn*1ah zT6P^@lKOWH45Ti`C2?VyVHV z9aJc9+OAqyuPEYGvg~_#*dj=ZGDSM^Em23L&Uqr(txw0P`Tp*C^o`bSo1!{v+Uek^ zGRyniE^yQRL8FT{NuYevcio)HHqqV51vKcjmc;<%x09%v1`?GV=!<=)3@1tFL|~a(73P61fVjq2G0~~Fg_HA%E?F~zS!19N zKDj66fSmvDH7sKs{P!AmOd9)?tYEiO5blPd4Qb+xD86J~QftrQs6)YcVY>#i`!N<@ zPX`EO+sX_W!0ZC|S8cd0?1A@{1{5-o-=A#^5<~8iF5vDJb30va4avSHC&X}B>1+dR z2}~)6P{a6NIzoj?Z58CCr2VjuzZ37zU&8k?-f31{Ot*s92S&#OyrL~FGzCBQO*W`d z6sm`t+lWL2m?mTq|5b$2(I>=~K7`sOWWJWb$EGBkufJKMvPvw&spKPM2nQE6v1TP$ zu*fCxj8Tx-){s2utm1v$a<-zv)=jBV4CSAqgT}EoIseJd-56?Vql1$0>Rz14E1vFj z1`X-xg4nlruKUnnfR8!RWp}g}_X}*|(@`6^wDIO~>0pXSn$~>qIuekAw1CUfbkbYN z9Jtf@z#V+r#l*a6pLu+%Ua^AQ) z)0Bu@pY$o}Of)qewJ_dpyT$kmn>d?o6sS!#3mo(B+?<9ALQc>oAn%>o2ENzkFh%RD zgGfj@ly;ZWz7sEG$;-#hSvWunNe4-BSM}NF1I=xPOw`e8qz606t9sJ5ZNr%6ed6Wx z5GR08@SwST`5wbbeZBlYRPzN97I0gZe|`hdNO(+t zZ_#qg73x>T@DkUi$LgKwIAyO>8(6mw@go=VRux=L`k}R_OGISYq_{<^aq6?&LQEh3 z%yHG%cWR~T zY2^!q7-%cg7bAt(>vz@;cb}@hEf>30QhHd8@6B+b>8IB}!dR>U;z6DA+4RU^Bul)cH3y?_=_h9mC%@(ts>hdbb@ln!Ova^7PMK9mD16 z!3mcQ*~bCwsnwkcmwC6sF7Q0xUVINF&mKt+tDY0=Cs_EV$Dhqvk8AIXddEvH<742R?&rI({h`me}8qQV{=KkQ(bV~apYmsvj1c8W&<^* zx`0&FYZ`iYPNaMLo2`YjP?BqtqjsxCC*a%o0I&X^o=oDzHQa)R{ThS3LZg1jl(z0z z)1RBo0bV^cuJ@ZBhtU0*YLxrNqjtb_`N}KbIhx^qZVk3EbWf2S?#XxhEx_hQAyS>H z!v^-$oaV_i2V2SZH~bDc-n{h%c!m?F6jKxvpJPyEJVE2!!kxV&)Qo`Xk7xPw8A zzz{GheuReQL=lom`I2a{n?HT;stIt$>gE0Gi~WE16~TS6fPEr7J0Evo4R{I`N?OzK zYwybG^t#gIjoVfJ+fVK;Y5X4?98XK;t!nrrJsJdzmXp+ zxKYsgtF`*6V(#+|*oa7DCW}KJU1^I|I8(;+I5BzW;!_Wob{jAo^GA!ef$g=NEQSD6 z?Zfl(ttI+aa>b59rX{a!^Md;Q7@^(nFEA{{jK zX7!mQ`_;CwXv@(_Ux3hk`}^pdME=5iDPruq%WPs@uNf(q#ZL`gU_Gbhba{@t^sLih zYN9EpZt`5*I@FFYH_Wi6379e??+*+I}iw;?_+&9E!!xcNirfJq4(tt%pNROE-=jO>3T8uYfAg?R8@=O73}1|NP<Mo$eeixbB;r` zTkUPxKJmEawK>$pw|l0df~GwYQKm&Z2hG=^l(%M&6q?RPu{H)9#DH?o(Q8%by22VRejPt~`O_&L${X9IS3w8&Vk%Uo@964M7%gb7h{ zm$u8zZ|rma0hG-@fr_vAvz^Fv<^Ln=Eu*sRqAgImk#3}0K)R&6ySuwPeF$kmy1TnU z>F$tzDGBLNkZ$gae&?Pu?)?X2z@NSLUNP64^MTXZ`R?@5E#48mf_*d>o|K(zn;pI{J9F^BbJA!9Or50+u&w9*gGXM=Yb| z#>A|qux1j?dUW}`kr2wsst#b!K0h9{QcX|gLE@xh%;%(KOyOUMVA8TLf>baM!Fz$e zN}m6=BS%Cj!phFi%yuO;*_Tvtph`{faI`+5YC8;krfx&DE))w?QxUD1rkG)@(PW22G98^w z-JcBDuJ++6323@`$k42AvszyRx!64G%?Uo9IVL_XUygp4O9gb1nDtru!G6qBJ_dZ% zH=LapQ_@GDbUS87b}|Tw!ke-E1D-o<-f4d3s(dgWOn2Mwkj!@as%|AH#%;yL{Hw+7 z^oEnkNDv9ugf_#tOBj)Hy3M2C6OU2D%2k211K2xRRx?3C2B+ODUZ*;;lcsgh<9641 z>P%OX>dZ8mtHmpzi zTS-X|T`CUcjJr{=`NNF3Q=DU)bq~3Vd!h`74HTJC^BkB$LGo=lm(b(0NI* zW|4NV41~5RB@jt-+zMK5v{K5vhRZR|7O;~%OcOA>eY!#Eyr)eyynK24H2HGTeZ7h| zEJS+Q{M2?5ZT8ZC^91?<{{5^u;AZtE?djzX#Qoc^-yMWk~f}m}0ueELE^6sp&lE_g%!$K3D+K-u&Gcu-kI;_G25%IX+ zSt0lPtcH4NjHL8tsf$g@C};YD$k5M>X+xaLsyDBgAeQ|3aD`%ZKdR`0rH6BS4*Dkm zY_@2WMEy+%%+Xo%-_DU!sGK1y$9t}lC1?`GM@=ubmqwO&st_Cvu4;e*($YHXv3vkEns z2jV4QI~~J#6X^f?z(4g0zMCc^nc48-iOW8-LSi7G^PxM2*az?qs~Hkkc&m;#${A!z z2qwCpUZ1M{UFa@$m87H^wt(NRho)C9!g;Dnl}#7MD{i9tPL(m=u!j+{5f)R=iz5EI}U znvprZ$xL#w=f%|u%Xoc514Q+4a{gM0whNvEV=Q}<=Tra`h^o{FtSMw|kZ_pPohK9r zlk^MOV)13zTTPYi41c}0=}cf1*%S+GU=Jj&%fF)slici^XE8%iCw3ke|2;msQ^LR0 z&sTGFZIVPEatz>=)FX^W2euSmCOkC#|8OP#3dZJ2tHSVO>|De?C)a|Wbl%fllykTd zeExFOChhz!i9NX|VSqpBG<|btZN8@|%1$-j2YOXJ|L#?=#WO$%zgylnG?rIiB5Y_@UMMuHV?bV6TJJ;oX&M6u{(R@XB}EpZm7` zlsTQ-3zrWE6T`r9TeAM03!)%G$(vKG_crFQ%S2OS22=-FPR|&wUwkvRA7MZoe8F}N zWwbY?XrNCOf2<8R8u_3yz2SArL;OYX9-zwsntm(x4~0a1 z=gfA~+3(?uC$#MkP;iFPBpFJK_*t*S2~8*0wQF?yRXyvQR_-_;@8N zGnV(vy3JX{Gde-?pBlXw8sZ7JhnlcKqG;gE#qDKYnKHb~D zM^w)^oB@dSlb5zI4>J-xnYZ((vS6*Ik8p1B`+aQR$*AezbXKTtj{`f)Pva>3p79TR zGm$XJ85Cfugsh(kg^sAe$*Z9(9o57dDlm7+UP7AmmxAy=L=75VIyvfpXQNW|2aGIF zSsH8?|5Z-?zhvBD;9R??oSgkN8<73W2AmWBlMVidhHQoU&gOTClFlo_^)n3rvxwzQ zApTV${Nm;`LfSMPNc4{V3rt54^qYBKrrwro@6lI;%e!T~gY!g!QJVC~f9(t379#dZ zQcuv0bu0XOw1oh|Xs$PX_`AUQd-}R%H)D<4wDk@4^QPoCtw~~O+d5&v zoc#8OnHsQoupRMBOyZ1azefk(GfSc#-5$F;?Gt`2G}8C!n83IXrU^ha$d?E$HR*6N= zv@Xb$g{VUR^Cbm?CGd+unW5g_%MC&5>H}a4eehJH z$G|*%v3S5lA^mMw2G6T+Tl%-le0jFM$qtzNt-hIYZfSEQ);-E8aZPRh@ugv`Ef?{# zuFC+dG|kmo6s`HqQoiLOU}s_p-OEzi^bmk|1ou$P)I4@C^f zk(jbz+AJkBH_P5)A0}soow*ffqr`E}!+vLQ zb@-!9F4-}d9v|(m#>gCl#(dTvkK`|{8sfb4Ix<(_ARs_~0#D6-STRuG#|bubkj`n9 zk@q#`Qg0oSLxUy5`XADw&&1q*6?fLF!e?dd--M9zEe-g)kt@^qdwT}!M~VNpOwYi@ z*dfYJ->@(az3r&Lm7rO>vDb52ZISI|h)qV++`=@U$g!s%-J!i4Hya&F>O>M~LvEOy zV`qW3Xlxi@!?CsbQYYtGQjXyT9}w~b+Nx6ZqO_w|+_l)~v}M0BB(Bu?OJkoTSV{gr zmnuJ6lEiYKV=lgLf@9D&?)8HLkexOf9{C2^H8v+pewPW<0YX!vjh|NYcMX?asKBX= zcxu1#)qo&XMGJ}ykh@W&NrcM=6Su~W$O0=SkDx#>xeytcd_bmA6s07;4_fC0DOp}i zT_ssodPpSvUveTixyhVv$)jexMdaYQ2_!)pu}7p*@&j`g#L2;(4u<@HB8x|@jk?dJ zd(M9ucqRXm{x5e{_J5quR|plz0Ar~C2VUS@iA#pG{8C_`}@H*8dmR4>UN0a3sdo$o=~|B0SQ@RlMk(P>8J zfMd?7jqoqW6Rhc>@pu)wgSDa(;}^lmAd*$ifxN;7t64bIF%2&*6paja^ z|2?@l5wRT&h8dl^WSXMh23h2<=B4SHDk00WvU4e@-|_`>WLo010E53MO-_Y{dUiSF zxZVW$aO_j96luVYQlevq0Pvj|JHp^krdc(+2hwk_iI2V9=blD3!Yfn&S-G6F zwtk||=+6wUkCzoSeHWGULn_;5?p7J!o zkcD~6)X$76B6C-TJ=8uXu1e@Tv!f>PCRr$L=Zgja{%f5D9euTy1si9M2OpQ&4DMOQ z!3?W2!vN6B_w{z~4Nnb^oEJ&ZK|^IYwY2oeLlAzBk1)pwB{>7aIYO7J=sN^#S#Bg# zEu^GCX+5;Q$|8|?nEHf#Drp!L8FXoMQFN5J9Z@QED)%I)#OL*moBP&{s^%L$@TTee z_Bznce$AufSJS$;-M*J$_si#ow|n^Llk-Ct@_e0|^rp6+5O@B(*a*Hy7-N;{-X*#V zA8p(_|LA3wGeK3_y*l#Y@TC>+oivf7`_IGeg4?4n*70=Ci91DJ83I^VFqSYa5fJpz zpE;^oJ*M;}1nK2kEtaj;(*X~d4sHjw`bJ=|u68*L#|yX5a8?~f=PiL;T$xo)00XY? zhCO_T_s)1UaLy6a`SM(N&QQUDyL!w2a+1ioBO!DFL5^u%>F>U8K5L~B^m#}TO7Wfo z2q&AfB6pXk_F9;My5J)$D#ZTy&~@=toANwQ30!yWG1WR()6)jK&V{`Ey7>^*Z zoYUG}9V4rSp22&+MVrU*!a>iEZPQ6+joG_2Zy=gAjwSaBO0xur(^oozgPV71_;jPl z=hhQqRT-T|E=GVS)b~L_x>#K8Y zMP4Dtga$lLS#l`l%o)BCV;jkp%*w&z*LIn?MIcM>wRsl*Dn4l@$#I6jH z__-LAZgNu)FwnoE4!2Dp-X?8bo?1LvAGGy)I$UUE6&EPwoCY^RLbuZ&nD0`LQAvG= z^Nvfois$jfy| zC7h(o!_N{&{xHV*)BT)0^d5JCrO6G$e&mlxLZVlt$gzvGwBcMtdj32Ea;1?%4J!i9mvR*0xWV!1v16p8iE>X zt4$&FW_6Jj;G9QR6|x75Gs;}m9&9X5eJUQ0^qJtvuT0md*wm6UkJdD##^dmbCdK$< z5$}Oe;sB@E{eUNDwd?CnP!hkJpQJGYRCaR-#pC(+EY$c`8WP-+25vO6S=i1cW$hJn z2A*Yvm6C+%OT)SE0(ZBMOmA^WyY3fi{r5_U9HWlWHlAwU)p}1_0GDy4{Er8K+Zfsb z-`)v)_NCeGRi2cfw8782S|{Iw1+AwY4-oLY5W~G*=VbuQ#c&@a?D&VvhSEIophapq z;--=`oYnuDQSHoXKhm9(crSRix>zEhWIFS9Klc-|m zy-+HoV)Lp-ge-P3O5f4ST?~WaQoe51Krxn@+X58OiGSeyx>PuS zxE>v@vals(YRVvc{H`c0#?YqW68eHn?)ENK01G2N;L8y`9fkzuZ{& zKeV{uq+}rpdMD`GYE+>z6N&Jcdgd4NkwkyXYZBFJwz(RvQk6cs6pbL!UC7j!TrTqw z!mW2SuAsLtyj!x=HE~R^?Q*0lL)azYw2M>)j1clO&z-d5WnTnG=ccAQ@9`I6rjTrL z%9u!KA?mTHI+|RFVrhz?UMOzDssU3D6CKF(ZJ{I zaz#wlk~J9*otW|kYSS_->vKn`c^oXNQ}I7dNN0WRnT|Z#e!v7`#diLd!BD?Kt`AhD z(+@c_i96zytY~S@0<kR#p`JjMUL!=M0gHPf6tbXjUhG6gq}Cvf{`D~vNd{^tNoFiU%qs3h z%`mXt0Xt$(YwIXbncsK*s}X5ITLW*3DJLyA6H+`|%WGgc>9PjWV7bMeJ~OnTC*(Q6 z66h89m|M;jk^kZY*I734DQl8h6>^d953r$n0&TcPZRt){Fq-0I;G)+gd5aNFWLHO( zT;+WkcP-e4YG&hRAD9~+AIcm@t0AcjBnu--<$lat6k|^P$h6$KBZ=B^tp@T^jCu~H zLJOc*GmS=0Xa0O9{B`<72H$&jenU!4L4FXmGonB#*^6%eAO^ctK6T8r<2}{DB`!L8 zq?8jBk&?&L3A>V}j|fz4t1Hm_OQYL$^SenzzB27yncsS}qHrG3M7jCLy+-&bAn5n~ zoX`jDvAQ;)_LIXhu@W|C-_)a%w}iXkm>}o+RJ5zBCVF-BP|EFyZW&alHIaee9+@!` zLHUQ9D(F+ra=U!KQTJXo;{wGLj`{L&H&E#mmb&-NakpCm&Yjza0h(C$dU78p_mtmt zua2~b1pmkfm04KP!9T)U7$3g_K99X|BWIcBh*dHO;na5r`UARZ{Aen&u8E)Ik!5H# zWde)dRt6HlX{zOFXxG%^nlddxh|wIaP%g!7hr|g^PKc5I*A_%#)w_NQV#84?h9wf0 zsy&#H5UK6_7xql?Kn)=lC1yJ!8(OTv2GPy$6OHw*y;TS>z-t>8(-_nMxg54;gz!Z& zga;Ia?!`47*9J2=46&-C9)!DILT6q(&)pMUMD4#>o|YQsPvIJd3#-HESp#j}h1!>wK1&H~^DO*4=i42HU$+TT zh>=^r_RDUjIUb{ZJ9^Tgn(_IR-8H}+y}yGa(zEbpE&2_F2H7Y&XPlUr_=3*_v^q$M1kyWV8t2iYb@y>Qk=3^ul$GrWGE^lN!9? zrDzFZT88+XN~&S|v5QbZPr~I2Ce`%Ol=tXQxFivD^(YEERqGN9D+~>|^z%_H&~UBG&XBLI-hjxPq&T&#BW;#9Mln1SJlW(v$8D3RdwN@E6A_8^_^HLON`2pp3j)7s!4{Et z7IPl{^>TxaC47C&ohT$ryLU|*^8g%|>KgznKkNXSI$}~3Q(%haXa$$exX&NeX1=vD zHig_(@**xaOs>qqkmovsXzJTZ!^Tr5x53m^tXGGa(0HTs=0sg1!p{9K`^4WX%-BvG z&FS20b|UfPh@ko~lpQ*4=dSmXA;kF2A`XKU%W20+xHA*5g?=3zgffnr?u{a$Rh z7Pa&i(1|>cpna1Ll6uc*mF{eBOhzQaTI6!lg)I#|vp>!U>xn$&!oAWFn{%cthSvf-d3K z<-ca=#(Uc{N)vyoB&;p3N{PQU?5B^ia8=HiL-iV^$J)*Kkpy{L1IN6-)D}a4TdCxI z#5|oiqz+Fzy(Jp_rFU^5GA&?+)^Y>`uwTuVR79N`>nK`H70jG_Lb)x14knBgJJ%M( z-V6T5KsKoBqT4m38{xzX2gkdxA9?_uIqSoFjf08Vr43B{w=6N>M)2Mu5(bak$m^;1 zXa5TW^uI7LfWz<+90sf@O_G=|&c$QT_9RBlTOIk15`Q&d7T$E=th1I@_YJKB%4&Q-TR7nMc?O$)Sm*Rd#7BnqIn` z6h83eV#BNgvUvrr2(VJ|>fpI~BTR|j;3_q+TyiodqW?0J<7?x{&<-WH+E(GoEPFS)~y_KJb z;D}`KW+XPvCKtfCZxZf-@dsaOc4IV@^GZ)hB>NE{zS)Moj%*m^XL;z3@Re!HVHx^c z@}oXG)ZUyHI`!`{1VIErla#_^6qE{f&^EM*{nj|?4zXtq-!<2?*lvm=@dYB~P}Q|{ zDKn=Kp!vl|B|jOFKAnldN3AsLVvRU&5|EI*Hi8!4nlQ483b)McGVx-6 zRdE87r<_a!4%`F?Dw#LI(OoRpfs62iYYJ z@;qEDSuuHToASgl5NUQl*{<`rxdQ%tuzrd}B|Ju!meO6L-5ia$D6YvlkR z>7m?@me3wmcI+fYmPtw?s$nP#F-S@S(>y>fFTMMj@iL?L_87}5I*24$5*Ou{9!-4^ zt&cF5xrK1WTy|Do(N|P$FQ;6MfXfwO}SkN-kf1Qe00xpM|8+X!ojHw(?~j`mFbntM}3EV0Mdt+UF{tlHOOttJy51IDOW z@~MlmCr}D^ttysf>vs{fDo{@4uvmRDQwhuJnj}55EKrTD*2;AgJJ7=(e$VEL@l{;- z?gIkag1#^9U$&jztv>y{xZ6LdJ#rtH@wLjADe#0O$lqe0Jv%FV%^Us2F`HCxQAzby z*GT-Pz65&|^v=Y*bD#)=u~Zgegu+iXhc>?oQVeP3cPwwxta%M zkLPH8%{_`%Ck%utCs%7nLKk2hC9)DEw=y_N$9a&z*EWlnodW&FH3Gffqz;CHAv`+vPf4M)`!Qxf7F|ag(Q69~ z?uC+?O9m8IMp4*TdVvXcDeq;gcfUXMe|Y(lGf@0G%l=q`yKWAhC1zh`Dz$FlJLbQK zW`6I#NACxKzI|17zfc&ioK}D2B&G=tdmiviNj|!^Gjct9uf{GL^Ft(z-jJu%^6$w* zOT?H_f=}LXwZpk;Ou@-}f7|_BD^ljAoE0wvH@Kw{MFNI@W<{Vkg8rfje1?mLIZ<(q1|V`fvaDIVqNqU-v`#c97Z9V<(b3*(_jJyjlx`4Cnt zx znzoW5?c^d0DZ)Szjt|N(Gg0o>J%H6PvEbUSRoVz9Ps1{>>|w#*G5&>&y%a~;NR71J z3lB$NMq)Q$IV!Fzi~jaOAy*kYcH(bdi6PGes84pm1BoW54ei`GIJMNasM_=PCG)9A zDVSW^zabcb8#6Q`S_0uBvA!(6WBBEoI8j+CRuCarG;K~wv|Fr=n-wO&7D@Pr{pGMcD+rvT4jK9T3 z>G}n&)~PCjFGqR&1Ntzb;6&CJQo>gkaNl*968(c~@iWn0UURH`HBt{+DW&l?nz|e| zb_tw$`s;!ub5X&Gr|5H>Bnvt}FDx%EoK!4MFGP{Kw8=XXQ78z=Ea3)o`w$Vq#oxj~ zsJspQ4$u@cTcZdV?3QwjP-@a`#`m8J&MX`!5GD}p;UKGxn9L2pv<#PdK>zckGg8L~w*XVeKDqa9E1+zh>^lGR{j3j(+V&R!G@X8QH=$@DStI zi!4@WATr0d${`OT&-q&=mFIc5z*Taqd9r_aDk~fkDwbe2wK9VLW?6)U1&tigLB7lC z^PN9Zycg}(rU8hF&<7>!lo3!qS2yO;HLNLFohdEFfm}sW?UyEz<=LKsyL}VaBT+E< z{1hh6VF6HJ&}OdvV1YG)le-H<95ZhAEpO^d*k?#o^0ayuBF$8Ei`rF0-Cp{wfjam4 zC4o3*o6(vg&@)31f5&fGS=tVgv`wnj9^J$yDo0d)9bo3?u>EQtY;ZHLh)lRib&TLI z@L8v2T}rYnxdhNW^WugDOC7W#^M@wTA0-H|1d>=|$?XmEf=XO>m=00Z-d%yNyS$!}dC5)Gy1@AL`&}SWu zFM5hPC0eq0dQt^sPTdwQzw#OZA4knJn!SfVtk>M!T;ecAp9=@owzBMgUH^GHEf83( zbSmt-A&wUmZ)uHi+?0cTtdNA1^Qpi%Q5#BIcOc#DFd+(jlS@JT72LQP=M&xjcn`mH zL>eHYG~}3+gosO3x2rsNN_i5nE6NjNTXxP z{hQE_B?BiU!6}6DLBcW_e98(AC2eeWGB6z?*nYhS%fxJPs7XJ@xOS#icjl@&2Q zLq`h*cBD&Aowlq1WOHd_ZI!Q?W4@3ET))D`s~l>E88nV=_p!`==&4N@%~_4Tp`od7-9Y;`g5Y@AAO2#SAWmRfLG zPNOV2-Q3B0A(52h!ruKfPa<@WByUgnU4KPuvSw)Kp+f}iYCNfFXRpj+_ck zzlM|5MZydeHDK`$|K?U+}+5ONi=19kz$qhW;{aMYydW>ZyqI*bk zIAAA>QCaQxsxE-~tmkw>$d+Xo<#WL&IZ6W2u-msGIJFw_N`KJltJos5_N> zw}P-Xp9Eg=B~}Qu5$2f;5=NJuHVg0%o+r4Npx$Ub@nqs=*F2nRW${;i6Q93YNhN-a zhFIa&2s%MYu@my>ER+C>RK@sP(987Zx?T34dlEg-(z(>JD{=LNTH?N@LM4Ki8{oiL zVy|4ClC9)lTGVvk9$WwlO}qE{40mL8))1jEipZ|{*5`UGsk;-~qD;4|B&KmplMVoG zAr)%WwAr;C`v5&$4IHf*t40NQ@lm)!HbdB2jA|6)f*k0(Kkt z8>B9!R;QpCh9HH8PxCd`lsO)C3>0uZ936Qoc6g;eNK*rVf`PPj+hu{YyMCR6I{`Xk zd2_8Iz4NA~5A+rRiA;D<7)A@Y?&eWvkJYo3)yKi-jd)1v<)(y8lF93*L#mlri^pdv z2%lxwhfb!M@Ks2p+HnczdXoob;)UY$Jzpzd!Ii8W_f z?9C;*d2|Wj@xy}eu>=)|gf1n({!lFQzi1}m?0z9>6sYf6HodRXm4=5O#qO&_)-Q8m z2y4ocA*RrufU6Tgiu>Vxnw+}Pa_VVNQMfm#mu(3Srd$L%RW9&|6+Mn|Xvqv2Tb@$F z?4oNUcvq1+e1=W!+h(+s5}&6+W@$h`wVZsp@)T^UVq@5wx7sHq@=HhhQDY7l!^ROp zf+_LJV(OE6p*!+hav81nYK*?3sBh)tlrvdlgLgRE(UbE=mkYR^+-9lMYl?_aS<*kj z=cOS-7c0H%v)=@$nBvQf+PU-#F$Zb*e7by*la z#^J`^SP&7y75SrWG)Ez^ICj?CjohXsu`gMJULm$2;H--|Hjnp1)Yq=$;TI#2cCY%dhJxY<%6* zl~R2P(8gil-f;iOkm|4xvG&iD>r!bFuOJrDz zupd(;cJ@?~6|Eea+Weu1gC(h5@aQU~HSH?^$dN#zZ@z-%o#qJjskn>3vSQ`?80nB< z;C*7E4*MuvqAf)e+GM8`q(h=jD{TxrZ3<$F1K z5>qc>7I*PGJRTDgq3~u^a%gpmv7JtKziJrbL~kO8Lhg_6HihnIEPYO{KtcSOuV$kN z_)_9mspJxPgBv{zewd=5+0tSY-X%=%7-Nhd;YKZEC6|4m;n?TpE(670Y)FNDZT9&P zpNtQq!9&HH`)`*=BNZqv1@1p!5Bb<Ag-zbr`&uYAIn7P zZaE!omXN?AdXG9i>bDXfR*mmZ3U>Bx87#W|VcJ29%(a+wz*Yi5zH3&& zgVpqz8~M95dTE5dyNrq_K>c?0o{)rYK>ZjC?EYVTLde>^MmGR+(rT4$PBi7G!i#)w z^R4vd+-*TFf7DG28GG;FOm)A1@yWEHl{S?v)@A`;l|# z+l~F~Xg6L&r;#&|QBtaxdMq#tIcRHMuZ}kT{jV2lLWnyC=khVyVk}zDtPscJRwF%oQQ2O zpy!tdl$QfF`18#rUPh1S7$61G)(Qi=1Y5lJ70;7h}@j@e^J!zsm|dh7?N63w9Hh;o8ZiH4$>*A2;_&F+Nj zN5h&E!YEj&-b!o}Vzw{J{l+6uN+K^|$Wpg%dmshYlY2eqVsbn7GAeJ3NY@`a_Kq?I z19mq)ZWVv`U6WDrTZRA|A{fR7)eHGHwYf+Bx?Ux{U+(r9PVM%u+p6zGyf2(q$t~-t(FL#-&k5lABdJu*Ga&2BDK_y9?5i8BuibBUQ8YQRCV`@Syh{vt6(F*WU~WG{NMq5aB`6hQ+;9x)DeU zz8=FKI5^t!FzaCyD7cOCq3nkvDwH7(3?$@0A_EHcfFzY4wk6ile1_w_!xwhNc zL}zkuE{bc4O1sm{iFdkoBNRW`8>nw>`+ope02V~3q7Q7dRHhhgpp2GhGNF9P^~?@6 zmO(oQXDVZ}2GrJ2P84IWxp;3;;-Sc&^l12v`Rn(XA*5W1jWadWX|p73Q`v}8qtZIS zNO~!2{<6kvg--xXR_W-Q5L!aTE#_Dea*C0iyl78Fe$M|fecLndOwiP z;kI0@gsl_JXz$kdsXJ9!<#-f$7E(DRMW4$Q80JKTwIZ%N>(c>8=k%$lA!SngH~ zSHQv2o?!Tm%#NBpbb1l{aqh)mUd0+y5Z3+cy7yBA{dAnu#?vHr@hFoe`8!}Kdz?Kc z6|ZgZLp$1YPXzJVs0s?{53*eCJ_j%T9%TNj_pMjuL%NfpCj)6k>Owitt1(klnwP!-*B8(!V=6qNG%yz$FK>W%{JftAS|K_anbTS`DQ_C?VSu9Pq6n^fIT+^rXJO!X8^j5@)OQ zgQa0-ozS-*h1z@*Ag7T&$DP92gvz+ z{ztR<$Ft*TBngv^$jvlQ>PdTqnLnoK|FnF_8TwM{@{xIIZxt0F+hp9)Rr>=c{byct zP1Ww_*%z>FkcFMXJwX|woq{jLh(=+knovtbnSQmLof?lZU{#1aA_gzFI(sRjRZ52y ztzW7_rlVcM{MiYCv2DrdgeFsc7MY08$*#U(I7>#%%<@J;BXw238eA3KP&s~zW(xuY6g-Z$KJSNiLe(4ME6gMBSsD(96xu53kxc+ z^QOW&q8h#YT02R%{JkoY_wW!eY}u&K(7irr$W!8q_ru&Pt#F83c$ShfCUK5CDk-PD z@QV+*fZNQX9K2K>q&-Zl7h_hl2EO-zv0MXcKkAu|#W4;J0fg_eHFkzR|r2MA1jZ zdD&^1jI7Qv4fv848aPs*z2=%{yACl#Y9psbs3^hoW5^$t16EVMby@>~95FZNsC zA?CzuRid_bk;+#Eufl1t&=qSFgxc9MPsgFNIhB$rJY-dLZExxH3M$AvdlIRe%*lyJ zv`V7AAFRbav8xHr5EMYO_Dy0YrESKGnhJ2n03}0x9fSSe+jIrB!Pt_0=OEKd9QR zc!7FdgVt0Ag(Fv%m0kdC)cY1*w>$e4Y5XYoGD7z=wSiV;#dae!-DCPPE-tvlgCwaKt_3=WZ4f@A&j zL@@^rT2MyRyd)mVQa4M2iLs}%gGoqzLs08nS&>=hL7G)2Y_tY|ebHc`g4~%y@CsPM zQ3xMVQlb*`#Km;7B@Xq}bL#zmv&!@qO#EC1xq`|{ZA7E`W@VQ@`+r!2;Nld}v3FT4 zdV6Udp{JR$A*b;FfF24DHgO;*lX{q>zNtxMXxQ zDf8DpG-DY!GF(GVr${~v>WXD>9(lZ9Xr|*X_VLIRkVujH;HNgb0Na_OtbF~Ed9Nqf zB0eD=>04C&k;Zx=!Jd|xII}|f2&+r&j^WB4GbrP-5Re&^<0Sf6TVBRl+^c2qbmU&` zsHVy?GC_uaUN>P+NE(Gg>=G?4+;B(0Ow{o1Fx2PhTpO&z>MdNY24!GF=zc-ouuCWp zl;P}msZ@Fh9p`@^sNsh*U&mO);sVEer&3WF_fJWPn@A6Cxpns+sGxb-Pl?Ck@X<4|DLxVJG8lu%C2rAHiMP!g4?D*icMhwep>}%{Oj4N>Dtpn8+Gcps4lFuXwZV>Wpb(Ba9xGF!+NNH8Z5k~`S}n} zKpu-OnA%Aq_l{5dP3UFx$H<7YvFh(a3-}I?g4bzj4}EdKAK?AEaP&DzY;9_v=k|v* z5O*Ae8WrBy@42$K)vjZ=@=)G}oYbjdJ$sW!lv5F15p0zuW3B{gLNkAR_Gtw6$ms%4b1? zQL(d4MwR_79w_Me&)d*M(sAa^1j&~J0M#!>*K)@{j_n0!rtC4D8yOGDM2`Hqr>>5Y zr@!qW(^Cx}Pkwy$OvJSvpGyaZzuZvCu+281*JD_B%&mC|r9PfxW=jbS;ZLxblwrD zu6Wo5#rU(|ENnN+H{Pg1N?AeR&o52hWSFPrG6`B2NVc`!|~B}Y1}~S z^kKy!pg1!lXMr5I%5vFm5egnRgOMM0@38O79Xw;zuY=NqA1KddIvaDE+glMZ1(JKD zymX28%)_lyQ4EJ=`~_H)yBNrT{fYZE#})3c0iWC82JK6R!BK`)O@!r1-Nett&rB*T z^AomY;J)h3ZI)U{Rgh!|B{OS?yqhJ9xz;SQu?I43lr4$co~{}xo7jQ8QN3pb@X5Ms zb5ur#55>0V6Sz2hS64q^@D7s#1GrS%4`*7+7k}% zRpI4*A~RpzVcA{&7sImL96gmy6L$W1pBJ3>AFncVU6;PK6j0uah?-h%`E|TI(zW2> zjr-gb)#S^V&hI8M$rYC{YV}Scgi@bAU5Gn6$c;Nz;q^I)ygmm9u!+GA-9f~-H?B|a&$$f1BbCoie{PNr3k{?x^ zHa9ay)9(x&WLz=`D%h;2~@R+Q+Af%la0J;k9+qZe{`?&;>I z2NgtUf)AVB&)dO-$Y_@3m$w(BWIK=se>_FToSJq&cycYxH#atyv@9==!yt0Z1jR-` zp_VLcqW2TK=%}@du*>$%P$PoJHfub!y!oeU@OU4*6E3LxytnSSn4vG2+-_|B@bsqkJN)qGVuO@QIisRRDR5Tb$%Et1_t*9{(j2V zoVRQG6+y(yDaJGce`GQx@bool+ZXPmc{;FLi$@$t+=AyQ&Ld-=sfdv@k4nCsp$ zBPnigqJSBH#g3hCijGtCNVTvt=8tvNo;W&wbc@mUyLSMfUA!@S2eFE@$Zw3A=V52|&_=$SsG5m5zsK#Bin`tG7skWk_$Jj62)X>NVz1vi{|`}L6_8c5bxU`5 zDBaTC-QC?ODc!L7XiysI?i7#`kVZnfkw%a%kp|&zJm>uPfyeKGxyBkZ)|g|{SK88| zKHz!H=#X6a?7NRtvshhnZBsj_JRI5D<(6#u`A_4dg=um>qI$OIp6;jjlQz?3GkIzD z21}C>m=JmvqI7rMiyn1;$f=J(%*)Vnj`Hg?D6o(iOaW-OrH_Y!TJcohcNVG8B;&{EJf4-p5B29T5PnZyXG; z27ha5`~ZIWXb-lv{A5VfkgDUYWjJvq$$O?V4g6#2vr2=ToK)5v7suZ?0FJf1c^{31 zt8rm=9#`r9QC)CTlBqZO3VR>a`}?O(LI^K!_MCS}{9s@AE4{DF)*5Z9EQ*(*jc)Hn zkVO%pu3X57ZFyB3nvjv(@}sCgg|TJ-VU8(qDIjccB3XM7aFbh1T!-!W+;El!l+_?Z zlb4t{9y0e`VqXm{s*3%A`{w7I!KaHa%NlTlQwO`|Hgs~I{ZVT^E3#Y72Js5LS+-W8 zQwhIrU{%i%#}Yi6`;jv5+L1fnStU`bN~w*qNUYMj(Vh!S4RMA z=THS~xhb{Zf~_}SPGNc?u})`>mp+yniWZSR4$ zg@Bf<{T;p9gLUA3UNFk(_-x9awo1LmT)rAF_A5?JO9#LGrA5TH^y{fOr0pgNc{J3G2#UnDoI)U-!V3X)r#%v|jYG#6`IW#@l z7>qhhG^(LEt!tiQ)St^L4PzHNNTecPQ3^{A2ITZ)CXFa83Ou8q1=jGwQx;3kP6Ycw ztmS1D*>vAEV%xn>zk%R>sj_p^YX92*2(8i0Nw5!uC=(eBSP~WlrDN;-(iv->N@P4lR>7mbX7O}3& z;Y-hC@TnL^B~Q|4xPsXTF|HG9K}E7=V%Bq1WO(|?kSp$_O0v7JunBQyGWa_praDee5-Wy$-^K#`*@s6z#=kaLr`(xsj{=LWa5LxL@&> z$kc7Q!!mUFi^}?Yk1ib^<^bmb?u9k`<$=RFmU{^1x0!QP1Y0{9VQdB&b&<$gns`8TcT|TN8a5ltg&2ey@H4{7mR z$jkWN#}x4BzSxbEqf7I0FuXZ*WM?2@`a=s8e)BG+dmtLDd&|Y1 zR-em9U^ui^#L}vH3@xUs#Y{}RjH->GBk?EvPs3S}ji=F{el2d*_zm?7o|7VGZ{-=< z2fo%XXcLN{Q2GU&iFLJVI991C?x4`3w9rFm9LSq|D;?0J$X7G9B~U}Dp^u>StQ;69 z7O6|pH_XLB&TCRpM4j-Q${7;10W4yV;Ms%}0GUX^b%&*wbv`*`z98w56X@sp_G>)> zQ=cpqn7A!;y3v#(wE!jv0nFT3G%@!STF6M+PN!5pJZx&ju0^D0=H0J!VcprP_mU~T z$IYu}kL~-gAsx?8Y-^V>*jfdY8(k0CjEX5M8Svs$VTHk!3J6CjXL+!6PVl-loRSSd zMnS}s%5Vrr7JQk}Marr9x!uH>wlFbrP&F)k3;T7bwqsRV03H~4yBSR}!&+C@glAKFf8ER`FyH@P<}k>h>+5-D z`M#aeAw*$X?^;`KhR~0u%EMdSw)PiY;xyX#CsifE80paCy%GnsUfzRT$w;g?Sk4u6 zy}fW}4VU}ljmPok#i#l8tA_6T>I4(u@6b)&WkUO@!EhtY>C)AHx2VNC@;#u=rG`YiCc44dGseJ2tv*yzpVO{5-!er|8 zWSSC)=h;`n>R%FG1g>rJB~$}Ew8@5%6g7kW`F@)QYR;-`x!LL0@Z*)AQW1`2q=etP zrIQ^3H*sgPSHF)if_uLU%ji1owf42}HtxL9ns1&ZxpvcuhcwfrtD%mf4&1wBvh`!s z@7Q6PZ}V1>yv;O?p$cuJCkZf5tPtgL5RCMS?^9Kiu=`erbk@9(75oe&7Yn22F8q9L zer-XUh|cET(~BEk;l)=kea*h8ndO;%s8$vrnV0iR)C8T3s$~=6lIg2AIYC1~t$UcE ztDx#%l)exf-wY=8S1oTGaI9@9K{Q~%SgV3)2qN#A3_h>Yd#Ep&?8?`i)Ruy_ewl$> z1iGNlA}CXiC-BYyqw!Xxx*R1VkP^;MCL4sbLb^F2`mJA_oe=fy zY7Gd6!K=k1%)&!^QG7f+JzZ02yWEalsXhPN6V(1{NWAhRQ5-+ZcyEruWIOP(J(1hq zpk<+iasoYB+?0h>S^}4?1X!r^B7y6_izlsiciPT!sbLPr*Zn-jC29}f z;2=beP6+Bhqelm=X&Mj_Vl1f$ci)Elj{!t`CdF%8Qek;|FY$Unmr!CHoE@xBJUo*Jqubm$KPIc}^b zoW66CZJI;BYoCAt#2k~WtpJEQ7#JEg5ObYmU6WN^JBG6>>(?!Jon>(!6Vf!LlP7~L zmO~gz=J)(o>WiS)w=ehkPShffhW7vuHgTJ<*IJ_Pp`2lenj)^-t!6=+8z|$&K`l^2 zt+z$)v+J3Sk1O6(hxT*txZOVOtLI2@gKw*T0>{Y0dqNv`?idDhPw;Uw zw5gnWM(#nHmMr~fUmbi?yF_*X!nnuxD8g^z2D@FgV&j7xhN^~e3VX453aq#~m*~jo z$=l~biXiQtZ$?mrPne%D2!GM>xO86Cfrg_9CT4%Xr+44a|4p}5WGf^#8mVhmium26 z>~?tbsr#~&Em#Jp>*AM?OZQg1(6!lHw?YN8BI#Xzd4)W0_58?aEU%t&VB8i;KmNOD<06!0{ZPC3%h=U{pIZkL zu96v*ROwrY@WSj+LWX`btwrK0cPvC)>B}FEO{fKx{gS=mzWvc&J@{sl{Ea z-{mftwTzA-=Q5c--4ESQzUK?J7EMv!?)5%3#D%fGUBwf$^I)>v@H0htJ8K}LbZR3Q zbYO_hjnP?oqc3Wqx--^Gr54rmzB3$>eGX9Ku(B4H8r(T39a!)-j3#^c{99=9siXVvQTN|RbhC4>KUb};L8tAL zXC{EhY;%6w!7`~B@V8;bC7>-iBwsl_cNPq$V#<=@W-jpTf%i+Zz@nk& zUEsD@R1fn)FYWLq~5m?BTCe<5v5KJj6ki~)nw!VE6>c1yatARnRQ?1s%x>16)vpdeTlA^09aM%I z8v9o_`sz$x;l|X~P5vE+oXk8&QjL6mB^SvT+l){(##sO<>4c&}tdE8(}7lyL{XAeBS)>TOd+mPN1 zw-j|d?=l&pyP45PMVT4g$)=6zc(Zo+oRiorf+BiWy$*lI{4HqS-%^|k^ zwzuJTiv%Zs)<*pyZ=4eL=#Q{n!fH@tbd_IIIwhd;=}uS}h5!>Tr@eQVl~mJe+(3&T zdG4BC29-rmj>WDLp27M8iXER*=?BGGMo?SIUj6|40rD`yat7ZjLZr1kUKvSX5W!`a zk-ZtglBfn(&>B*`JZUV_8A3t_o=lcMqPP&!x83UT7WHC}vOMQ2udk?NK^GcwuSL!U zAGUzCZbEv9;To&KvcLR?$lf+A)Ise#r z!NrL4!Z&pe_F^H#IFQc%c$30C#i`-!IGzx3C~{M@EwqL6yYKnP7jv<^v-&q5JWE<# zvVFetvkgO9B!YLlIhFc*bavDlEO^}yis__=x(AXS%+|rQ><0)#`O3e;g{94zGyx$m z9$%=IUf5(^NI4u%(pQv>Tq_OAdF2NFl=Z#J{exT=$lH!0L6O{;m-pKkD1+2ao&ddf zbzde45Ul-)HE=VcYNDaqL|VfvFPk7-6wKRmPpqn2! z)r)B{Bmu=+vS=8oCU2&tZz6d{q8M0n+7rcc{>3sDtVI#--1Rux)j)1FJJ`(*{Odp<|* zhmZYnSE!n7n(_I(DJ6lFRsB@HfUW}9DAU<1C-tz|2_eWZv`eI%Gaeuo2XtQJqUNcZ z^v9rAJIKW@jcO8gGj!GX!H~Zq0?Qol@%JWws{Q?q*x0=Ai#F?^d)XxK|b)LXn+?4pSLi@745jm#R z(&fVk<22R+SBD=B=XIeUdM$n?w$&$LzV^FNKuhUT-xMU;N#Aq%LVNoW(txpIn+fhO zb&_4lja|*vo$24vLT9QZCU{7=YYQfhVFvBoZPPHn`Ze%byY`^BoUHiUOsi&&HQA+< z5?X>_~ltd^*U+T}2EsRzTR2oeoQPbT!ywIO7+OrwE#4ZQNI}*ncTzm2jp+=k<*7Gq__UP-d3S zhtH$&qZ&sec`LvL2-+q;0#eR6u=lowHFM<6DLry3t}Af!zewBfTO6Nv!;f)J_E!;0+IM9F-reXF0G&nQ#8ZJ2<2+usn;6PVxmzzoq_nDV^IBJRPN=s zjLzDq*ulhk^9dGO)BhBJ0XwmB?g`SFQI{eUo`Yl2T%0s2#m^;-p3Mv;l8S$DWO(;+ zjAB{5@0t*Wpz9kcTk!Z5I<%5vkaxvRoUNJFhfB_2Y5NBolRu25cvxn5BDi!>s>N9^ z7ZVbR2Cu#MThe;~RVahV>*!JP5p+5t_}#LEs_*7uombGV zJ0=UELk#Ql@KhDA40*%Ecw!>BX`(G|FVeBnvp}N_8QXp)1SS;=$^oaT_f5wl%qul= zXS+^6Da4cQzJCC4vUw^#qx$~Efnt}}jw|H6YwfD#Bh9blBRyJ&;C&c~yfMDxAJJBv zR%0=cU->{8d%d2v`uvVTDq|e>tIQbv>k6K5B)B*HA`<;Nm^;?+3OpWF4GKd{JQ)kf ze$nm!3QnxdZQt|u_#y~Vyp>e0uuVHH(?N{4KfS+yEnrlz@~Og2eXQ6P4kG|=xFYkM z{?h*noi%PG^>e)8cjm@8s5{}-kemXZ=H^k?okR!kl+cOOMmnx#OD_Id9A-ojo>;bD z(;wHH=UI;DV`ZHRISytRhzJ!|(4>~f8Vss%`%B&pR*ACEv~nw)A%F4gDgUk_-_!d6 z%U_6;i5tM@yjh0`bf@^yo$|w2Bd=8YWJcr8=PFzK#s8r_();>`M^$@|;qPF4u#~>Z z=t7q8`ON6LaWuY(7y--uXHZwK5=|yo~-`k%6{eQLmW< z@<2RILQJ3Lw37W!>U7kLhmRxdQ&q1dZ%1x{lIq;Sv%dHOst;5KS8dwZf2@J%AG$ZSs#>-RCnEt>QlXsft6UYM}QR~ zy{Dc=k>UKP{92P3)`$|pKRMsil^_?MHuyuh-)oMMqBL1L?KGOT*%P&0Pf0RKR{Y9b z0335c31uM;#S{ro4$oehyiM&M`!Yw{iHSHp>$3*4=`B->`N`noLr>v*DDZ5b!cUuS ze%RpKh}!GP?5=xJh;vRpb|2HkZ!fBTuasK;p@JgVG0D$TEN78Tp-7s1+P%2Vq{Sgb z=TPH!?vf+ocUVvXelSE4chZf7p7^62FfM#T6RD6deZVBik4;H~xsx-d!x#!p&LzKp z;&h&d2Qxo>ud`Q?`6U|(kE8fZ|DbZ54ek6>)@)5*a1N?J`wnH$YqM5uTDfMrdFwRr zHHmG_u|O2HTUjsH4wM8Te$P{d6tRIXg<7BMSxps!gEXraKhwvn&G_2ZZ_O$Koc7w3 zk@_Dud)`rxNG{bN*zWa=x6b~h{1}H#z!`R7m|aFw?^QJlV^9yTJ4P*Md`(BLB|zOf zh0H8NY2(GRf^GU1N!I_%1#)&oC}L*bFIBG111EJXrG^th??K@y1>YHHgUg)vXp*-g z61lr^)Vqe}ydeB#$MTi;!-WR`BPPv%B^W46u!S&CB@aQrv7%A5^ioK5A$3=0s^j8J zS&xd`j|p*sxOEhfJWZH@%|0E>jt3Kpi_v`P42uL#qMI>w_YiP z`fNN;@BHc5wAax(ee})rCNd=xOj;9JM3;JB_1O40R0u_W9RsOmt)6;qiS5 z1~RcyBU#};1&nYFR9P0ZC>__Zn0@b)yl84QV5bCBD?$%&NsgIAU@@|!`*qAq1)4dI zVUXOC!Q?G2Ttb-Y)}*qJzwmMmoFYTW&UiQu&e7gkGibUvD5F5Lm2T2`DIi)*d$2mu z>vKqpV(|G=(xb{9W+=uf3PxAV*M_=H)*rlL?C!&CR=J7z-COMAI@N z+0QhSE(gT^;)}RVvG}*Xse+zf1)E_wDshMLF)>Pvk~-sCa9^CRl03)mdpkBooZT+y z!$pnYkZ!@2f)1x6VRu7ZX!(P3iP}~3-HgfG`)=TGas=)rDcfJK?72-&sHiHu zyNf{Z@AOHLBN}2hVbVQFsgr)1Ktk35PuK9*61F#h$|DWm&YyR`Uk%R>w`09|%RlE) zi|mV;fG}-pH;s0i>*f84$Il@{Zbr0v1-*s7%&StM6eWpGmH_AQkCC$uhjb>Q5bEer z2=k!Jg>Kz=#beQ9n<94Eq`5i{UR$HMUcVK<6BAk8qv+v);0?WlB#REMOcWi}bXcS( zB7<8SXXRNqGLxaTacGxCqm?|Z!!Axf!_YNwvQ}HSAl;-yU7(;e1JUzjAClzhiPh-$ z8H*rma43oPo2w`(=TQC?F5HIp0Je`%-jZYmrby=WQsn;EszjC^A~`yAwq>SH3X_0= ze4Wx_c`21`aQ{iwL+H>Vp;*Ttq z&{9m5mZ&1|A?RNA43j&%}*yDdA%Ouv4bSnRwfQ z;q{g`wtmWyX#SBcZ-?gU zj!W{xy(8*u7PRz*pif#!7`8bhyFtvq^N0hXJXhzbLvMBLacMW!sjOWN;Vb(Ehri< z3)0T}s%1&16i8i*TcGn&nu#gJXjO`X8lx&Q6CbD~ z@7}5ncn-Su5bHlXj~;qdqFteXd@N@5+q0sbg`vIs19%kL=ZfiPX|gMj!Y@D0s41!Wn-V3GYQQcD_)pldBcSZloWyD$W=|V4MR>JLGMi~&N>0bFXA#C+?Uz;D)2;a! z_ltehj6zWY*+>|{dQJLQlwpcz=_4<{D0_ld)L`6rO)XD`AA%}c%KCMXQK#RW-_&-3 zeFnsgRO*Yx7|7r3BoI_n{|TmUbkpb8?bF@pr(&c4eE^DCLBfOcxnaT1B0Vi95o7xj z$r89utVkG9`DPuX;X6}(;gVLeXq(>~f4&pfT_vO)5OKEZ(vEwStr<33wg~ZGhA%lj z3S+|lX0J74Jc-Kls%hRviX(qI_;|R)O5&-TX)P{`EspO=fiJ?L<{yWjtcRwm8w+J| zYOMgw^eUp4y_3unW_fw5hB^)x?s)?wv8AWCXYdG=Lj04A#PG`WHnlUq-cu6_x}WUwrCtQax!E;)i%4nue$erhUmMGnaTlIY?A?!UsYQeSq{XT9ze1j9 z%mraxPPH+R2=TSgV*-px1M<^tWAnCRRJHb2RkU@IN#bvu^=a>jC~Rw3_ET0`Vga+1 z1e?#{;-}0Ce92GYaw%_7zTD|1F3Ytk>i?aY%EC#ymu;Ko3h0wl271B&l7spB-v11LQ?}p%P2YdHu?vitRMBlOL_FFh}}DDjZj8`o}ZQy1RS~UFlC<5_**Q4wk$eZ zl}81;w0ZNNbUR7ED?9h{KIVaQm}m)@;nAA# z_vdHOzm6n%BOPZX#B4Np$9CUO}7G>a{BUXviAGP6FFRy2t$?F#fDuA&mp zE?c;GakyceAYyYFh^fIR^2f@ISY9@mD#v!f z1yaR6mi?{361peI)Qo~oPV;28Ki^rJ9y~r4-<5wM$xG?VURd>A!ij4`DP@ZqtAycj zi0_iYmo!dhYIIi`%7Z@QOd!T@#zMV#5XGK)A-=}aJ(JD;MY_svgL^T2tS#}M)<}|! z0=&kP2Kp^=&Nvkn$siEW>M-c_H&E4MRYJaUh$#JNhTL~1+?MrcXrs%ZW;K7tK zps##jV9x|SD!>PM=Dn_+ZYsR1^@i9UWy0z?<=uvDEDAk4K-JVnlKxfoT6nJfZUY#~ zt$;VZw$x$|ZKxZ!kvI1iTz--|=a>^(+E@)%Y6W*E_&{J>o|LgD+Jt#|0Tf6uo&gWh%$l8iu!dEdt?OGoPQ*s;qWKqV-%8uREb6z`zk%6w6fV=jL~G-9)vLt;Z=Td0 znFe@WI<4Zh zWepLWW>l>6nO$T+W(KY&T19?l+T~X@C|+d-D}2Sd73%gFbcH-mzRQpA>62UoS=F6{ zH1_pY3VJkiy7vMTf@UHeAXBlhRAMC+Mn8n19fZ`tAq3V%IVJRpsD2@%#o;Z}o6+y# z{&oD~!Zk3(lJczjGRxhyy!FGCAH<1cvSS21JMW9Sb<2R3*?guKp9>WBUX)Cw+Sdl~ z6l1B{G_MhOGHQ_hQifi%GtvsNGaho0Ufgt%fopp=3hEY8kX&fvB+GY1i%y6Orw_SvU_J6AIAJUyI| z`UailKktzGknIVltRN~}>}IQeeSXqIPi+~xc2Mx}V))b67}tK<{k;Eh_B?9?_Y^v= zB{&nT5uy(2KOEos-2X~hi;~uR&jK_tf0apXD$pxVj)z9id)1+SKsnSihXh%5OU`3J z--71A#M_LNu~LzUPN;+vKpW_PD~vB@f4#6`PL;q36Tu})x2v$2@JHQr(@~2@H@bEa zquVNkmCqV~m|f*i@r6pXa4#;$wf~E8iWiKFw|=ix{E8SaTYeoQyY3M>@B-FB^h#=-O&@yItNC6EA#t* zIXUr1z(+shBmEOPCq5J+a7NkX+G=CJ)0L7ICcCjrd3%M_h<7Y}Aus7*ywtKyC~{Y` z;s5I>$`J@$1AI|Q2&?qdC_yg4@Sq_|>8g3m1nUA>b1S4<@lT$GiW4S2YhKTr&!?R? zN+gnbBellFJuqio52w8sr`>ybY!vKV^|aP-ynhQ;Sc>Pjgdcax~|?7Gf=ZPD2}DD!d+_OHq!;C77U_{)a0tIyVu-a)dlBwUwydu zf#A^Y${!|Ud~je_0qJ}0|I@d5D*7-vVN{$3=MpI#>+JBRT3!iZGHGv!K0q?utJOXy zUuR=|!LGhMTi-RpBz-_2LoJQZI@t=6%NrQ$O-t&G?;9`VBCvRME_aaW!2~B?l^F$+ z3s2nmnsnJ`c)ZJq09GT-6E^jV>Ai^g*Fl2~d-*}1^MVj2LixY3HO#Ejw@ECD+rKh( z(JVYj&kOEagRY2tENuraDk`;m^g}*^+bZn;>;$~ApzGfL#d|5o0#4ha6yqCJg5xWA zPVmd((`b`Z$_dGFWaqN374766_zDh{^+7 zoWN^qhsenoN(J^>^omlxob8F!OX#6A`V>X4AUVY56ablQLAgP{lXgO4V>~4>Bv*gr zqGS}IGx-uyJqa8cvFWraJw6;`TI_DCg8}kA$G@j4mN+)t_2yJqQP$0*hX@ZPuB=4JHEyS?7>id zOpQ++<<0w1uN*ed-Ekx6;tby!m7AV@gdJ63<-Q|ygV!tXnI2f35{ObF`9npXB5F7- z?D_&{E+k)#>b^gfN2;BBD}cabE#-U`aQV7@BjAKFS>5b;uUkx}6Z~IkY=cfd)h%51 z+2!4LJ_K7=-ejGE>c!y8NwxKHL#^GhRl?*vA#HNC89rS0?75lNZ5k1c?`!1=a-PQW zWGzbZU*!LAeB{DGdnJj*Utb7D_Y&h(hfB+c9?_cQcoBcv15DO{_4WKVvF)z_q3FmZ z$(x=0ryN+s+DWYHwRlRTuecHmJXQc$KT6$q}woADneXH|QkWcYW z4IiqoLPQ1WctQ(hiAHW$Hb)|ycq5epNEZ0qkjvaZU$DEEDT`HC^%Rud~CSbg_MG(of?-=WqX z&c63yn{^fjnBYcy@N^0eUX;nT ze&|@}AC8%CW$}#37PluVoOzQ5>C?VuCq;jRdGph_oCH%F6obi??D1t`5by~LvH<*l&=Ng~ybBv{Cd(e4mp9kd z5=31Hm9w0|FQdGM``T{e*nX6)R~gFvC^ip@Zm-Ijw&oUT>%Off?TaGUS-A3;BEcw4Y2(|>mfLQUVh zdcMYj_pk&Ha_Q1K9i=k^-MH4nQ%^PbFd+jHrO0m!Q|l3J;m<5OQ!gWdObdOw9cVsq zM8$i1bUL3C3ZQ|C3k6`u12Zoe%tx2MEI^_e+(8T+q2 zGI1Ob%5Aug1bB7cbf(uBtuX^HrAH5{adX*UHb$1a{K)-k=aK@a{k2hSm@8bh&(iJ} zmp`?sA@>+-$q1(_AZK#g?XIU@4%8>mA4KWaqc^nt--T6+)FQ_$N9uLFlLxLNtJPN6 z+KGuc2iOK2YHV{T4XKH+Bw_7uRl&QfE=P#&MVy~S?>su9 zm?*QzGBd@H=^*tkdim$^7*J&gw-5z&mqyE5`|0KSis}8nXJTsH5rq@f`o)CX-p~NX zG7L|~2^v0#>)~^%ViIdDMl0ga^V2tE9f^w3BoDyz?wOhB=DVl9wmVsualW**dvtP*3&BLI+SzZv$q)9reJ{nteZWH# zr%86sVN^8SSyb&)sh53;-zL=UT?3yyW>b$Fzn|hJ2W(H$s7`{i5Sdj64@=66DN;!6FAErPQ9uffBGa{u|LCRRnK4BJtTtUXbZ!(%`zs{slgeGVy<~ zuK`^eQ-qU$RQ3&N2a(t{0^cAS5U^pW$AFd<5r@kaGl=UrA+kk?<+j zWSwy_E^x5@_H*w0MbK&+hXnK*f}!*lgh;tAD9_;g!kE0QS&*o3*JToITj#MuF^d>9$i8iQ@InZwTy?Ly6EtEtWFze#ccd|uFY z*5w!p2IVP7y4J-6wD=3tYOBc=xS~Plqw%Z!M!Rdc9R0`@lQV{M+gu}u;326oIx!LZ zi1CqYQc&aDt8UTDC!f5TFWM*A*(fS6U59wJ8cE)UDx~W9i)o3Orep-XCSeu4gRHho za{IDmdLvDt*5-)aX_4hvuLXejZ+JW`zXv zn`t5;_*e^;xFmfkDc}~r9}SmI2`viF+TrX{pMvoIipTMGl@JGf7LT>VU?FvHr#yia zhQ;v&ueAWhrU~yk9xqjXa|$cHqJkP+WKT15!UqNXCN0%4xw7RWWWWzfk(WZO;lvTu z0evg^m4ZluUA2W#@or%HrRBja2#0uHD@$IogZ*+O&dGlP>b%?O%M@* z)A!wkfvFe|nH%A|1)G&QF9J$KC2p+Y{=*JhCVfN?XuVj#sqt{}Ue`v% zhw(5y_+&tdO-y1D)zn*aMxsltfT*Sk>lS!ZCp-d^|2rp)cN89uX=kR-Q@4EyFBt=y z(gh1Ayg|0~ya+uzl3GhxK@C4hSb)$MA%twiW&+w!XlvO{3fafNLLv z1AE|Qg=9Q>QG#B{`imP>TZcJIl4*v6NtJSKaUb=Hq+JvlzVmuNDo({F&zx-6{+CMj8rL-gH)*BTa(8)UZ+GF!>fTnu{e+0zGEwFr4~h^ z_aIYe^F!vyEciVmY?EC^xH2pm=H4_<^zb~;M}?%FyPkI*&sVbmT#>oZFg&N}R9h@m znq)U;`lb3WC6l011i2^6acaRkdopTE(|qey6E294v_%)la@+sl#m4z9Q7U<2Nw%M$ z$nAC9GEJLV0FWeGCTA}5s6^pBqLAutexJ;%{H>Tahv_XS@dT@zQLwss4>A*sQR_p~ zc0B2UHcLsFxxz&Jk9+O~TozSK%3H_0KGikWZ?mmqv{4_sP}Eez(Ucq;v_x(7By;s? zI}rlQ#*khyAtge+g=&_SCt)mjuW$ON|I`n}SSE)>#li|gSO<+) zGX!}9%kJt8>KHi=<|U-ZZa6dE-yd;fnV5e()|SbpW4$%rC4QTo5mA`PtyuC4ZSh{B z44^cg;Y1jghpQsVZKR_T{wx=1pfux5Vh_AqmMiS8!n%DQ>o4nq$gLU$q z$eirge@Y+{RFeP3`((%M@^ChpSW>uP8tnYs@sKY$r#g}Fq%af&tybbb@FCY_$}|xY zwP+Vl(uD8K=?D0yQs9*tN&=ia;ycwzFG0V`{$J1=!E}%S23@2O9)2|8emedK+t{1I z>ov~UAB;~;C}?l>!ek)SmW?PsyLT0kUD&|b&(Ow32;(Q9y{Y-8-Im!Iq>*|ldTw|B zp(mbN9LF|B#nJU8KiA0&ea>}M$;B_c!p3YgO!=t}WRsBQNWNz&D8St4)r&cia&&ST z@N)szH)c!74cA{IFC@#8ZskTvsfIYaVmY>e6R+cDa$q`OBVfel`L9ecI%Xzwt11Le zGvANl(5ik3ZBA8Y*nX*>m2S5sF=AyuyhxBmKseefkvV6mWgCfx5!*Z$_8Vqc3xXx{ z0q8%|slG~4gjKrLEa=aJqG74zuXwtx;9vVoz1$j#J;ogrO3q;A8P|ewrYC%Ns7R*L z-r*~0jrTjzqtHMq)i#G!7Jp_SQEIBMhZ3E;YI>+n|Ks`2tM!&Ktvt7!F8ci)U_^-A zu_%JZvZ!1RS#oB&D^Vf`S*bh`TNnn8^fQq3Z_gD8EQewf(T7{p2I&j)J)q{Ju?^jRkVK>w}5e9v(9{<~ssGG@17iloK^Nk9%z^q<%d=^>v< z**Kl{8E$5vCmWXhH!0K1qy&GxG3YOIpydCBA$*dUFJf$V9oSC`lpNK*3mV;f7nBpT zYyZmgo9?jNxT2(|tFu^(K79T_2_q;xR-4~W_|;=&68AbW#4b8wUrX%C>L*tDfIuO` z2LWc%!IbbZKtl2oyDeht0}Bi_$Fb6rLaX1CwU2z!GEoAng1n5wEN$=(-X-43P|Bs` zHw)L%Dr~&5f=5OFBJOZGD~U2S)e9_1P^>RXhC>G%gLvt%kXR-kGJ$nNrHCd#bMQU! zd)#-Ys>2jY>jPPCg`D**Y&J1q?16byCLH{8O(9!6R+2 zo6}C8Gtq|}lf8pI;rX=Q{Y-o2_M;*}*#hdBe3LUPSdM-gZc#TwDc~Kfb3Wiw@&G7Vz1y zv8XSRQp|AIrk?qhoTeW&G;dr&EgLdaWU|3tBqGF~Y6Y5Rvgowgy$jg?na_M1QLp7|iq1U2MmwG!Us$ia-gm^G zMlyvVi{6%|Gle06p}~|EKZOAn+MS6TC?c5;RjsCahfmPS^Z@l?Mk7G*Fef=Bo^M~s z$5AfL4)AoEAuD(>-jvx4C2$qsq+Ly6C;@aHLk0qAQ=uTa9Sl^cGbV-P;z1w$?yK( zZ_0im;;6{-(BX}Z?`9akA9!Mi%AeVF(2%QkQrq%y%LjLU(-hgJ}nrmp$0q|IjbBAA6WIr`)8OonpL~k9Qd*KP08GlWwK%W zA;MgVv-=tt&ZL9pjEkDibGDI=@(1F(9Bjiea%@u>e)&^p4Q6_s&CPFvDRny8crZE) z8`ua+(XD4b3|pTKb=aM~c$)typODAA@@v*O4<6yGxwf~JRg1wPV^0z25COo-e_w+K zLO#z`ufwIvW@mCNaMQ$5)>Y8(slYz}B|*N!M4p4J>3n~IPa36W>S9yRge}9%b@mq} zzR!hTwwSSf)em{E6>Yb5Y7%##5aw*BJuy;HdK>vZ#qtY1__@eH#J!8QCd58)UIP=E zGJpsEYsIt$KUNVJJv?xEb@(Gqd=`TYT`vtXi@_t4HTA`Prz^#7t=Xa$RaP+CIQGOR zvl26%s)=%&?rtcF$s@&(5wYJx4+wg;#YsT1`Q%8=U|O>}PSKi&3r8XLZCcYT1{aVj z`{{|{LKW>V_~3*NV+;|M!w03f-jZ#-=x-Z&HmSJ}7UdSNjS@A?Sa3~-JyTyGua{|< z^K<3xCieqm%PYQ1%{R68`9TbsH7t3u9TrYP0AuV*vU<31eiT}V4@loLl7_k8%C5SR z8vZMCV(Tk&u`%IHid9MWOv*FzMrwe-%k0%E=EuaBu?wrY0ZCc0rZ*Lk5|;(Potww= zy;6dyu5ykB?H#^R<)6L%JL~Wgg8a%iOvq5mHZDSJ-Bk_Q*TSU9Th?VGWMq4EHEJGn zHK7$5l21NUM1HaB6Fh^M9gs!Yf-zZ(#T;5^IEua#s9de)G1k;eIaLP19O!|{6s~I} zX{N%V@0Qh!A;L3t=dSb1+A@c&O>uM)a^T#2){$b`ZV@!0R2ex-GH|Z8-jUQ}S0OS! zU|P&ipHs8k02n9D-(taM&=*5y>EeSoxPJB75CobRM4552_B9Qe%gGjJB6bb4(~D>^ zD>mz=YWNw(1)f*^jx7tAln3sSK|TuhDmM;YWv13~&<)^i1BX!LH?U$UvmP-cFLfx) zt5G+h<))oQ(f8@78eP~{A$8O@5NZ_PZ0QTdC%JETeDNpHP;OKu+Dos;UYmN~cu!cv z>#e2U=LRsDe9^3$rG{B10gn4H-#*Dr(^Cmyu3f$it;dPzYIKc_ZQy)DsmdUba)#e< zK2>N;y&@l+IXjV95vGC}$WO2?qEigY-0CbLtFO6r)A~#y!KpYJp{>`Z-)bfM?{P*h ze<^`=;zl&k|2120<5EU?BAjDFdXv-~lSjY2sCj1cCSB4^5M(b9s#JfhV9C{LPWB@& zJ14xxRWZXd@089X5fFXe*@+X1vpLh!GcRy9{cCjRlfg4uJ!8e)`ES2LNt{yCx@^+W zX;w%E9pu>_Ya$sw6hE8GSz}9b(o6w489A#YOAmIbridCmCmH#8>dBp@e){ zw6o6yX`vV27XKVJ5%AQqq8LRsdp6S!Y4>v&AhFBC&=T1Nj3U>R{9*;m>Ds+l@GzA9 z+kKshOIKf{4ziN^hdbKEft9~GXKxtxJb9IDFDlBYX3sF~{X7OY4MBe6#im`!U`S24 zR^i)JEs?2lEHN>!gFk5{^BB*885|R(6n#)UJ;9MAqFB#}Cxa;@rzEF5k2WQ+ZR-+h z@l_Nf%PKYIeRlRoAy%7-5$^np25%Fw%4OnQ8mrHL@4_|e#b9UL`r7k9?3zlH+K2{F zD*m)Mg`@FV6GvvUGU6xWLs3Z3r@KZ?sdD4IeL~|s1&&#+vUf$g<0zEPabTy*xY{n2 z^hK*mnT~YM@)^c`06IR8yyCm0maP&wFl5~41P|n^#~WcwzG?ST_*>Y-M9ZQAo&iqQ z)5zMe#ClzRA9)3%Yo5_6syul64*|r}dkNL?r1F6JnG?Hrzxxa&_=Ro_z6DKpsr z_Y8`WL0Qe2xVM&UF>LVM6} zjC^!XyUlj;^3cTU2$7go({Z77dIQ43dJny|Ih8H$+p|?auhN0>N7Z* zs|>K-A(bY{#HFUWTSGj;--^rYrBiA$sFPug6A%B$c&z6V^C^<{Q7w!b!qiu1G$~P4 z(2IZ(?>dN??gQ~{NCVf zI=nX3aW->qH(|^G4y~Cf7Bi`-59=weueJZ%sr%MakU-_6KsmPKce5IVz3QNP%ep>6 z-`8hyZ<5vv@8RqVqs1=A?HBZ~H_CbTWc=dqXM)+wgfyS?QOfy{Me|WLpnQT~ez^?= z%TOt0KEPAS^X&$C%)bBh09WQ?SN*kVv;%RD?ZF?2&qY!HkC*TwW%lY7lR##DN`VI`-9f;~Olg^^AS2z&z@ zM{DbrDWy=|nLuKrv-;&gdmJJ=iiR-DzRn~I;5`lf0VOPw!vf_q4p}4Z83Y*pHO^sz z);aTUNfPZd-nL_Ut?Hq(b=aNs^minP9_RkSy2voWB@_qw$Py^Vt!vU=Rbt|nM9+t& zV)hNHCGbSZv%HVM^xnGhkJl|gw`OE|1LqZ{npEDpsSd#@6T;1ZtwNPr0Hf74T7i%)^GU?en|qk)B77tgy#Zif*=UBFLx4knw2gCEK%N_-j(v? z+wct*(LW+yTsb_#sVldQsNRGY*8WZ))Ayu9h>)1WCpAz;Itl6g;m;_4ydE=AvOxZr z-3o0^<=NA_oI7iSV{b;J5`F6XOafDDKDAd$6&kqbMh;4N*xW%ve9vvI*?v2`Q7jrl zhV~|yj#6Wh%@#=V^k1rge(MP(P}X+XiK=$5Jd30*TTka6E8WWhm4B?zgr_-ASD&%%=D(^YNP}7u(vB?~+SuxGHCNrlGiX@WA3ckU1h!4Znu;*XK+dW?!!_O(nu zpUpIFbYvK+xvpD9b$@Flk8mTkP|R*=i8n$n^)BFr7Y91soMWJ5PkgK)ZLh_GQ>L_# zYZ3VM^xOp5#w(=Zt={gmaO3oFAS9-IoBrdKcU?N43CUoj*nYulM>8PO?ZsAl2(Hmc zk*1QWSyZB!sX~4VegZ+>bx)GH*I!1#P|EaP)RpJ&jZTKz>8_W=W$9_XpnqyF;(I~! zz#(>K<*;1ANF?=#NGcVK7!6A0JB#D&fl`7HA;#U)5 zggmqfsOxvfjPs6DAUj~t3c*;z$)m#;Ngv(W6G=T%fQsGojP6!z37cOcS}QW;37J_) znUL`kv{CHJ!sEB3#-zWv}YKWr`_u&Rk!Lfju74-_=4pCfRa4WE}X zP+>p+GD@SIm3t^+vSF7%0nv{>3E^{tGgsJutoKW_MxmnmT_8Q+Vof^z;&XhxyULBx z!i*~aa${Q#&W7mk3vUo?fmns0z|>UOZ4$JYlx<3;QT_8S({zxRjvRO6CE=vh!^S{F zKzj?8Nd;3x#p@=7n=dc|`tezY(Ut3#PL2*`4Xm`7Z2sxy3>j z>vF6^3DP>7gMg{6iqKsL82njRm3$!>s>_%|n4TN7REQp%cOA_azd^G!&=Z=7BK`hj zQg_^c=B%3ga6C%u)>OEG@%Zy5o&51#Lo;#ihf$4jXqJO=*@(HRrlizyLU^%~F ztCzBF!6p5}+rToTce=5Wx&2SM!k$ZTQvOIxlJ&9>A>fg0+-^fiZq`1c;p5PE{Jf5= zl=h!$itaNGP2Y;yV9zynt!dqs*qp^&4q^M-QTP zs5Z7TQ?R6twKEeGKiy9ym(wnEm@$@K3BmcKg()uTI9>uq1-N`Hnn7i`Cs18l?&;k9 zAlT4)9y+!`i-pUp()P9~=}aMhi6JFIVw9-gY_xW0v4&31!CpxugnSS`NoaW%i$KfzV6iFB zC(!#PN%u-z;EGyS)KE4#ovJm~>cbE5ACh7M&GVrO*!Dg~Bd}!WW6O%+p4>@!LJwZpS9xOU z%poKjHN70DNrol7xU9Dz43C$SXzKO(506m2Z#?SmZV=aM2*UIg?m|o;RBvF2RY^|Y z*swbpTUQX7Qhb@Vg@fGqu7v1VusZ}MF;89Sl&3Z}Iz31lU)r*7YL6Ik9{zQQ1WyFg zM>JrGCA|OBZ5*2}THzVmFIqwLuiRPSt-=->G3|~K5f-9UW<-6N{5C%)J_Ql_=yQ{m z?Rk*kJN{<;O&(6DXx=lXLqT6Uf3zaSN+Ss@W#G%5s6QgtwqwOVRDC!ztMo2T88vEoCzTl z(4yht#LU;FlZv;pjCA(j(CYy@>UXBMGIK~&Q!x89oJ9Yf)u#8%8lSMt_QUPBE~?hi z=p8eN^*2`4p`aX(CC1=MtU5q;-D4b(dt25J?}- zq-3WsW)-kvPiqbJH@3qv|Dw;CM)$Hx{(fCC6SvDaOD4*D zs-ynEagYIq;?r^&VK$YcSZ3gOeYR;=_H=`s_c}=Ih1CcWS2m$Qt&1d^lMZDQ$AlR`;sz1lNKQialQt0# z(GdDH-`fGcc51{ya0}3TK7q}+LmULZmedBh_pVgY2TNsSb=Z=rEp2rEce-%86=xW3 zlxp$WCw$qxqj5|EOpT3s6??e!UU>x$|GI4-UW<(=#a@>y zadSqZb*sHDi3Zx{Y<31X9mV3yl{}mrvIMrKO-6JuksBnUzM(Lk{p1dG8?z3-1Sh7A zKq^&BMdC%XKC4EQ+Fjs-_$X|bD@63v(aBLWlOhukG)Y&4Cdc?tGu}ol zVssw?Z30_t>9-Lc^cs|?BY{bLK@6l1=FtmcRZeDoqA|JjRG@C)N?G+Y2SJCPth)M3 z``K+urhN45tGf(U0@fMHK@5J)ScU~Fd zfzJM5CTdZgilg7A8t;hrZBVZZutZ9=@FRhWJ0jDyz2_U_P4cVh&ucVSKY86n$WkD< zm5z^af5@C|b8h(IDib~g!6qB6|KtiGA~|+NfGqKe9~S;l<#wJ?;)jmfkjD=4X8pk^ z6CA|gyy>K+sjgK40hNgiX5%Y|rxT&HyV-0HC|_mcP;z{Lft)6=WS*}14(q`2vIU4s zil0LKbiatQT|}rrEpM@zi)vG+j*hET{@aiB&nZe)Xy&B4bjSjK1bjhdz$&#T%Z02` zQ|zm2hnS5?j#%7{*$Uhb@}&+DS(9n~;gJH@B4YJ!lxa$-V)}-_x7AKD2zFW--#EMq zuO})K%S4fSdWW3-;nGV<#n=1q;e+yD2*t2oa}!#EFj-}i9wTLRHf*fNrBAWt;v(Hn zty69^p1?-y%#+6i#b&oDaJBLVz4f+LA6BYpun~h3%*Cki#wZ!u;{O)2QQCoiBJ{#z zk*=wzYcMAX8wXKZr5K`BC6yMphrx)xlvcTiLGvUky=OO`mDANh7c*^Q4}*u}@bIwl zc;M3fFEYk;7JTh?=LsPW*WH5hC`s)r_v}#FoOm^eOs6sLV=!Pc5J#oS>|;60E)*_Wp|GFmCpMRkcN8vV5NFXGQDJv0nvoWLG7GkZahD;iRq6wJ z==IOirf!6Q(-}{Q#$ZNT<~{~DFnjYXnKIbAIikU?onztiQ#G?FnbkPV(5c*@qRGGurTW}( zGRU;J3xxfZZ0zt$7YJk~O3pGEhn~pJ!ZsAecA6t;G|p4mVwdc#(gwa#U@FzCE0x-EFI23OZ#V5@Q*`NC&HRxAxQ(2jC7%QuLY$yGPWG&t0c zi~n|)0wPTw0DtqLc{U6z3%M!0kF%P(dpsKxIeFbS-Z^V116IK>xg|$Prb9A>uiY|L zzQ&*Y;K1z$Ep5YvKP=jbo{_0v?v4?GErcC^ued|v=sP`f=3_SU_~Juc)g5VYw!dVf zI`F+|NFHr+RZz>&Q&z;-mwr_$opyVG!7ihR$-#Y2*+1<=Lg5;*H>%O zEw8PWX1O<=uYxzYFA{%Mw=A+?F#!50VY+Ta1!Qo%kXppxtcHMR8fr)BfVYIO&~bT0 zsZa1@h1k8lkxJ+6Jwvm+`lW@$Jbsev8!sT@SHB@6_X9JIfd;*DOF+3s69*?fN=k3q zI{L4m$sv()Uv5o2Bh&v{IyI5k%Ewt%f9$=WMw7vOU5K6)pYldXyB`I0qNrbsT{wwgDsu*4u z{Fkg}6>FDE`&{}Jrc4nlVl&o!Ahzkj5-(cRLhuN(^aE43Y?CeY+QA2TkHAKq3aUt;YcK@~FhJW}{+ki3-W%^_|I2Cbcx~JSPl%n=w$Q6sSa7%AHSt$eRqgZ# z2j_$JhuFt@-G(+dNHpch5b|6E2)J6sjf5r-Mf}paX6tG$%CzJ=k!XoRebR|bUloIa zCXQhDw@yseW8il}j~!t4Q)QI+wOI;o%Wyu0+R1(mg6$nrB!5BagFjd_^wU3dBD>JE z<_bg4`Vp+_$GazKzX>RN!2-R?!z?b4O?ODT;cuLV1`Qm6vJSfIo#qOh zLo;CT##ZrDXYjQYYLA%qZCxOt*e8?K=DM^@Z|Tz1PB54NV^vIXB4+y3v)#-x5f{kN z%=v}<3Vga;j>Rr_PAFF8k}#h#w@0?_Wz8-RhkMK*T4l*CL;NM$^0#n6He!hgSrlOgDk#&&DukRR=hA z418^_ZHZTb$!W3P?gmNbc%2h%Ki@dI4ex1jVKbNdj)6>Re9t|$-Q57VLQ4MNKTy-| zyBw>2Zuvyf3oabwL_uwAgvvc1o3T8m_}%lTsjYb`LKUxatId;>gntT=HWg9g(|&{h z)WZ~w{hJOGvovuJ1ngx{$9@Dg{JwplMATtYkh2x?lwI{ z_5b%C+v1~nz(^raka|vM zmzOvOK>`0)OATe#fj)~CVFbSOH-$5t&Ux`V0N*zx?Wai7hu?1nuB?X~GNf<8C*fVj z(yRnrBE*GST+k>%YFs^iMwutN&;mT{D6GDUhJ>dJw35F31==PBF}Bt z?6RwhjU+Df+S#OOBSy|AMbzSdp06&>=&r)Q@S8(t0?&FQSy$odWhI&QSOSlnR3|0| za$j94Vdk|T4)ZRNFFu&p+5H>BZaqGHuvU-2+q!Rv?yPV!splaNNdT8qA)us(Oc*4r zshjUk7J;w#rD{rwPSNxFe9$&WGuoo87=3=|Pq&Ap-nuYWGO-g%78YA>YC+=$tA{*= zIx?REPgt62(1JdnVdMEv8}(bAJ@$7+e^bV{;j_Kz#M=xP;$+}!Rh^KdQmj6!sGsY8sMEu-%??=Sp}=5_GlBQsS}*F*#!FtA01(_*qcrk5;X%7z}f4Oe_8La zdG}6jo6~ZB@CiRgo!fP5q1sl^kIlU}Eg*!RUX;MdV(p`X*>D=aa<}}m_~BM7ABx)M zh&Drw3MSG92L%eomWYNwdDZVdsU!Mdj-34AddJ{6%umKatBi7gV)m0y#7eiW&$hJ* z8Ef|Q$->-8IVBJC9`@(GQ91^p2HeHz9vNalADY+-ls7=k{JxLS5k0pWYHO z??^GFudvF+d)}*&L_Is(P^HD7*eU&ml{vcaLuKGr@51%b`4dVGqOXDm{|w%q0oR%> z6D)X*+JpHkjUNwbRokr2Y`cG2z#IT2v>1UuA%}MOjkL-JRZ$ykRy{64Dj0&&=d-Be z{6J)e7sZ`Hg`t&z{GZsIKzs6GD?#{U)Mhj)Ju^VngD&RqpnZ(n=*CUrMFL}><~tio z4XvP1w>^%RTi!vzu8$P8;&Sq~c!ymUuCTcTRF;O6Xs0A(V2YI&UNAd<54>x27_4I2 zm1ED7^}q5{ZkiNDWpTLM^Koo+?JedPOMf*rAKt%Rf4tMPVt#h87)C|LESaG!!aP3K z%c4^5!gO8U!tdc$aWVbXk&F{RJ+l9r^9bKnZ`015ld;s0?VH4!${cev6<_OPo51BC z-|au%fBcZ?8QFfPmd;)5>43yh%Viw#2>H4o2#>~n8=@)6XxYpG&4NzdU;gnqIy$0u z-;VWOa_wG^f1MJ3egFFVa=^lliSv&OZ)@kXB56|O`IR-vqWhQ=YB=;iBBZ(JHiHPe zhEw*(JM>eQd{j&6ho$A^ufaYEpL*ZLMTOerz&@?Hg1ncW?MEa@Py>6PH#oCD9=F}f zM~KAJxF=hX{ots`_sc&|ij;b4BK@hsf7GZrre!ZUs^3}h&O9p7w)q<)S90yidQOaL zfXHk-y~RXc*h469m&Yf(K1fgN-RW84m+F8GG?ACaO=x1`PC*0~4&>I=50Dz|4~9)H zL1W;cPKU&Q&OiTt$*2Opik+F}z~F+L4a<)YUVoQ9t~*yT`ESN}7vD;fQNQ4&VRq7g zQG9#89nP)Gq5EEyPGTcgx2P4Bkh5?x-ecDg^xUJ9LJGmQ&WY6z*ogb=<9C+h63Hq_ z-Y}ps4P2-7-%u!08h|A7S&ZH6=aV@g=RY`lSD2~2Cx`isV_X18-TTqca4%ckPhx!@ zIVo8 z$mCs>-`=27rzmu4O(A-%^+P{8s^#~MwA7gmS@<|r7?_?6_v6|L7rhu%m!6R|X8L|m z^xTsKMoPCHF%G;`_eKl~1Fj+GE6YbU{fxe=alE{Z{%HBXr1^{gv0stH@jU*h1)O1$ z#H2pwcID={U>JdrECSwzZ$6<^WH~k12aP0hVV>ynk3{%laxkBaN${hm$g&@V<^5fq z=A_J`-xOG6$z^6|KY*2bGf}*2zD`rs>?7?cQ_L7x1u#tZip_Z%Hz}Kg1K|5>7-q=t z>=QmH*HK5nx^6-=c0SJO30&pNMP;3lxM<)e7!_k}sPMO2U?LCZUil;N2^;qD0#^i;*{46&b-?Wh2fn}SS-c{O z0ldGKi07}D9HmSxz+C@?yosau_DS(3ELY`o5!VgFa!oA?3B_6m4JFc}fGwTdK+RJk z(Vn>147VGV8k84^pV+zu-(Q4aDcW;k|HkqL-p2j>TWpy}VdUOrOXsmsiqDZzfo**I z@Jmc7qgvg~CQWto39{Z}a7~S$!DoVhto^u)G+!~>cby3Ni5ww^g5Yj*h50YrcJ9p0 zJ}#ALvwmn5K(BM$O&aEJKVFLlTv7j#n}!G!!MbJ!!KSXg{QN&{Q0Z=TiN~!BB~HK% z(*E$T*8u9ex|!x0b94CCV4z+hs&XIxp>4N$`EDzTR}9g5-n@@c&zxWMSO?p95B5@N zm7%_wlpi4yfkIwt&v0Foke1BnJS@80JM)u2i;dFTuqa_oLfO%?e^FtX5~soLSjl~0 z%iJhpm&s1w|0+^`l&+(iXKoO{XNy)<=$q!(6x1*dr!=UWjH8k2!wcNvQE+DT9lgZL=E%DyddMHM`_XPhpQ&@$2}H{-(l;A--~ac zQ&0F~9!IOJ_aC<=%Tdcmjg>k5QM8={To}~UnYx|u5B#nCUc_dReG6C@Pboe~yRJQv zLY^;%N}GAj4gC6)C2`Wb?T@fOg;jY7<^7g3JBT}3$ZrU4RN|C5>whYgkU5$&zv+rL zs?bz~Onj1_uf3~lrA$Yoo@@~^sp|D`Gg3d`qAp?Hj zHXPA(mnLdLDDgPR2{4`c6wKAVf4DKAD*t!X{>aEw=XYJJv9C1x_$}h^Kc9kk!(XiY zqxeQ2mwfvv8o_xoy@Z+KAJ(7bC5m#)--11wvYmod9|53XlaG2P(%zuw-e z=Hzpid1swh(5c@w-iE~xLYVbY+fUZ>-g|2+L*I==T=9^{&xx!OvL&HXIViK1TBxYh zAt$@j2D1ZISGel%b|!~RUh#bj#BO2)_cb=dpV@&N&8(XulxS}TLi90%cQxkY1LEG$ zf*(s5Ce+CUur`{0cw#+%FVd)yOmcx>UHn4LEbLI@ht#9M-}79@vmBvac2uNU|A)+5 zVFEvzL;X&!fgAGkreW5f4>vgytpbd9k~CppfJ$yBpPKe=y?VBn6(1>N-RH6JdF| z;Yp=un=rmwZf;Vu$32c(>!)29mqPj>)P!egg3UxtPwBjqu(|GJBN>9+NqEyBK zZr0mwYte`bn{n3)wosUHqvN2i=Iit6WD=?C9!z?2bH7I-9w#3K8XmIVM$k3y$p z&ha}gl#bQhNX&ld7JY3Nu=_)3f4k zcbjS1kjw|k$3zy{4t z1C*D;X)UeNqn>?NYo}9y-?s`k!?mVILm6t&Zape6KiL|4M_cH&LD&3!83nfvSlYwKPm{0=Bxl0_K){gZJv}v%#b;sMt&Sh@kI$2Y(^KnjEY72Ye zGmPwh1d)A}H1#?IO9*86a$hqLXUwmxjD@VpL2&wGYZ;NrlWPqC@!>#Jh5vm8+N z)?-hm*u+iAU-WAX(Ckg!d&s2^8_2<*?EeK-K!<-`nf|8vBU{QF@UGDT9uM(1)ASB- zX?KMDHElI%QGX;y8Bc^BOv1iA%yDz|UOzEuAb3Q_EH6I|YS#(5T3u5^&-MDM39dU z3QP^9@-F%8r+P2_6@5RNx#tccEh0buwNUj7{!d?gYsTJLssDg_3cR`pMG74r8nARo zRA~U)WA5+Uvj)KE>BJWEIm=lO^ON5e(x%hXifv|A`S%A6os4*otofid~#e zyRTII*+(cuyVmS2+Oei^*73h=w^eZf3zG|I#+a#9gQU>3e>4X#!4I!!ev2QPtn0?J z?+*DRP$f>S7bS~I#V@|Q|88#D^gL)xJYwn`|INregO+^jL_lk6w5k?RW*h%b|B_R_I0>L{SlB{jl`#S}c>^(WI8lTJuZU?bIElIM zqs3#NAtGbd7xaJq71zI}+hClWrL>%Nsespc&18bh$u3B6QFH4K%ePyXFU)*1+9N;o zkfN{ry=iclcFehyxy_SD;N#QHZ#&<(OxV(;`CSaUIp2^E+B;`_UkwS%kTSZNTh@1) ztL1QYsPh757CoKZrR{PqPnxmmko>AW6-HtrFVB3RBdb)Fq8gnxePQ}K`z3#224jh( zv3t-a4p5og`E%;857QmsRb_{J6tuyQI6PdK{}H@9bF-MFVze-HZG6f#@ugbk!$R40 z;y($7=cIM-XS$RX%3T95K{Xci2>a18g+1SpCxjHe{Z}~?7e5LS zJE-&btzHv{$!Q5edEV=vEzWroNqa78sez{`C(7aV>U0v_WA|6x3ONs+*UR54mg?xwf=Dh-UlRE6@Sw>k?-s`fI4J(#}4?18E;V>_EH9AqEb-Py&HnAcp3<9A&zC zXZ`11f4QN_d_H<%1wT8nQ8xlW#7GM4K|_VfJ7hik0TD3=Bi%?Z7uI6~Oy8JMWfmM{ zguGz9_oTl|`(W5Uj{@Z^DC&QH$d%p6mZpItYJ|FFH|>8XL9utUW@hihiua}ioeoB;^Hj>n|9`N`;uDfw zVx?8yfOAFG4Ji*7d1x^rA~lyNoOfAM-;)a~;W`3o#@ZIQdaL$(*kfO6M$C#ZFQl`c z_z#)X6-k|)CbMPA^-HqZ^mST%{1>Hr{VcW8RAsPlZPmGRjw|+*K>#Qkwx0quj?hU#x7{HFHR&YQD1bK+4-$rY zfIxInrNW|5O>7j{ztplSF8sb1nw7rEWX2NjKX)s8mtg-Qp7YDydc1VO1~GDDniz#J zD^0%7I=lgSnUY6gTUWLV{2KmCv=sDB+Zm;o8N&YZKJ+8=4KS;HfM?VkG?JwNaJm{s z2aLtF)?Yi%*LSYMT~0;Mf2q3;np?bVs zu71%eU2_dvz-47DgeFsFgkq@#7u)IlD3T}i4w|zlua@kjRJW@Bb81BYdwnGyn_M76 z1aQn~w-B3LA~NdwZ4)Y0UkTy2l?{GO@Bc9KhHq=&N;85u z{Q+ZtS@Ki_>I&eE0mk0+L&_55pi%{-3ve@ILm!?*aK zh~x9;-j)6=HZtoj6UI5ZbdKxQUNSMe1P{R|;?c|J^X2_X&*>{44OhI0QN?P{6J>$X z02!T~{jTvfxB1r{!8lQqfegZS3;e1dU%R2IHN>QPvM-BsUhA~5iRXT5Ws#&4(t_il z%3lPzLZsc!eDP*BI(>DYI*51q`W%C+qS8nRF|^LmM8VR~PU& zgurkPgu*L>No0 zL3nC1Q+J)7LY2iTJs4-C6`Q=ng zcnC_G{Hj9Ct&9i;PFp6y z)L~)h3$v|eljHwUo@|G0%SK(UOT{~g&Fu_VjP3Bt2(Tn zSN7su=8?NJ(eBL+s~KfG7~sks)IqINok>BlsPb{q#go8GQccD^(M0s<1+lr*~E z(C^aebiOeWbMqrKXtutxY>MkrPUO;zsZlG)V~S61!KtHj?u{+Mdv%Z-L|{!nkoq^> zNeV}{um87c6L);PB_mKw{nb%bMgFnPGm}>k#+3l8jr;cJo*k)uA7D6T7rC*@-!@sO z{(>5bpnGq6OKL1}jvu~y+2}N8EM{>|UY1Fn$tLq_{;|PLT)=ywwbAL;TrEB@au|xa z<)%bz4tHGG8i)kVUR#fB{u!PMOB^hEx+PYr`9juMqxxSaAp%f|;uI{zKREkix-eUp z-ot(U2P%1)q-Q(y~dfvtS_5~tU5x15h+{!eMr)_>XN-{Itg;Wq}7@j zneT*%M_yYdU$YBh5C`y|IPN|J?Q3X^FEt*<*q=!($>$FKvRL4&4si{(sW@z@h@K9Q z#4Kgqt}U?eHM(qd=&TmDUl3OMWvXEoNi8Z)$6_@?^9LAqN2ZsHgKEo0_oVY@B2aWc zPlw#WNDhbM5Y4vh%DP%fjZ2;^@Z&eBPZGXUEkmedy*R^F)7cG@`&*Ti)JDt>YZeZ} zJi`EbZat3HtB*$71@uXT=#^8S2UGVmUQUv5B2+QN z?WXPeoS#(gSy``^ur$AtM!-lQvw1OY$^^$&Le#cjyOAieAe7G|^zd)|-QQ04_hYq+ zV(S&U75}vHiix)(q#xRafqsw_7K(21^%5rC&rMabBmY zJuVYnqMI@%j2aR2UV$;eq4pFZ!GlwI>{v5TZBg-)=*e1u4yez*du(ZY%cLdsZdK{% zaZr=k-C^583tkmu{@_+HgGS98Dil8**jef)rXk8hkW3wy*-9pmGCpexdSrOyl5~n~ z1giX_s`~?6yb#J2Timl?$jEn*j~5~yUEs*u-R7cvK=8AcvzkVm#xd2ViMn>Da6J8~ zXT?}4Q=CKhaSHh?nVddj4AafUYe#A0uY$2wr5%RBhHJ=!1 zI=P+Mdh|c#777`YyhKH@kyiFwDl?@lB7sxaCXTEkSGBVSOg6MnJGr!hsMHg|Y-e`N z{dqH+4QXVZPn?YQpq9gn zUVgt$u_n9cA+WyZjd_`jJzB!WYi1o zXKRL#y1xehA%amneT!cYQ_4bOIp%`*`_L*J?;(Wb_cFInUZd|*KyEf5G4oW2Iebg{ z>T^iLJ_%G;A%`Ro<=A;YbL>$!6*1aGM2(7*AccH?l_E`VtLdw8J;i;@Khcjaq9&o| z(I}_3={1P&TY;zWa5LA z;UQI6ioqif^&M{kc0D?sg1fQ3kOx+;Cbj=V*n7uQ{l@>pm5_uY4YQ&ngp8BDA}fbP zh=Z~@_TKMAgzOQ=igb)PM)o>pMm9NS_9lCeb6-Bc@BO=f_v3Lt9`|1!iQ|1;@7MJj z&)4huyjoagL7sfNO#sRf-2|Gm;K4e{vl+=V9N{RxLlAeCEZ%%;+9<5*$TW&`+L@1^ z%NPfdQ`cW$E})6HSRP-aO&BjliMm@q%MbHo?S-}t`kZsOXyxg z4DS!;$=JNi2vax45gFdW#f!z7`S^#L+Zx4Kiw@fsJ!k3WZ#mA0%g`qb&)G4~h(Iz> z`{%jRw&cmD`jHdsg&&$KFBEcJ8vIi6zZ->=J$8 zs`$bC(*lY9&>GxfsNo7z25)b~UD6zI2~*N0gfQx4wXir7&>k&TT;X8%Zn~(jz3;6& z6EtQRDz4E;2+E_Hy1x24mt^m7Ua&v{D$Y%t7^OF|NKLN^*LlKwzwpw`zk+V4#)A+_ z8w9&xFfzA2IVWv^X`%_b<|X$0<Y@#zwe3VN7u)DP{O(3t#}YrpoGyf)^ZhL^Z4i-OFK*yV4o6hz2(VraiMnMpe2cu;>Jl)5ULHV%28k z{Tda58vG*k4kRx`6y__}vV~(M%?!VfLn5xUwjcSIXEbPkI7)3e=q(Tx(SI?FrK4$iP)lRD%109Vml#bennkG*@LK1y0b2n>0 zf-ug8aIrwi7ClCkOLB^kcAoo8x9V^HVX>)#8h7K=1L<)#Sz^noI?uGnlW3AvsEB`% zFesQ#OF8vOlSrE&S-U~Z@ZLXOYUVSAge4=}ebMEf;6J3S^#gs1C`h#NGX`7i&4VPS*9wC+BzHi6)=4PE8OXxsL$c= z&@7(__Ik(;@MBAHKl-UkSF;%jqMK>L&eY`?L$Xw+7?-2r+vcRKj!6Pvj3~OBv;s26 zt>;$K6Ql$VC3=K97p)ZLXRb?hgneWRT37_M|FB2#`cANQk<3yz|CP5;;>suDd9#bx z+9dEz&p8L*{qW-Y13gbHU_E#Uqx6wk8(61ekaXAbOxoU-M&5q!-EKw?ip}{uLkOWhm5g)^C*GeBK6g%zH3i^tvlKT~dg$fC9lfsvs$msF)Vh*fg_4A~b`}8Hp zjWiMYNSY*>1WSwL>H;5YV4h!}LW<_w%-)S+ZlyP`{&F@T^Z{WcJG(Bx)GGKY!wS zvfU&KSUm>RM}(5wB#KAPX0Ej!O-yWz)qxT#%7Tyamji4U!FcSiP)RPlf9dAc|F*5> zdEoVvCy*dbC@7Tg2Cj$f?k>b9mr#sw$Gg^<09R&@x!neLL{uU#E=yq&HmUme&-tl= z8N1Nf$+7*_t&`~efzoxSQ@Ycp)A!)#(zzF%rqh@=4nm;>f3 zq}aAf0|minL?TKVHKIJX=p*&i_&{c0rkz~}r~UKjLlPhS>(BcMQKE!Xt}8bumH#?x z6JJOuy}B1CfhCJIs(>Ztt3Q)3@675F=)Wwi?`2X~xtCmK#aMaf7i1lK2I!VhuC-`W zAEL`irUHiT7e8MHkxC%y*}}BNvguuw2ZIYZJ95DVUAzF$vp%z%_^0#~jK5yL0VET$ zc5mqO<5|xEr`98eL`l)SSN-At_=$*foz%fPttYGwckK|Rhq#@sFQEN&-qf==dnPFS zlWGnfCc$qFmvI}klij%m_hl$+Y%oVe3KODX}f>crow=eH2Rn_BHBD^KKzH2U=We9BSJ_@ z8?O;=&nclx1*V({{oTkt2hhd59nMhe(QGkt(q9hqD650N7BDz{kFEfOs|Qj{>55O@ z7uNP5AYW9@#D`slE6C_Q!~1IGBpy7y{v7Ai!4o^da*z6oE5a9cO z>p!_$tgxMVd(!400IRKg+;<6Y?3o(D{gS8#ZT^hP7j+e>?%R3)(^a}FbghGR?B8lT z*N+PEBBVIC)@(n??HqFy#!^0!Z*umHJY6OLDH$~npnxvs2e;gs&mErVaG;`_$8;~V z^_T%eo!~94uXI98o(DGWPr3=Nf8AfCY!T~V$>=z8Q5-#kLFpV02p|Lzx=VJ6#z2@B zvQvJ#mwgc+AoPab{tfO}OX9Y%GZtX`sv!FteR+E5XXIp+vOy-o| zn*!*q55vjv>5&coON4C&Y$yPnJ(EQNVcH6eSUqm!uYtbG;XmUF*grr4?6iEY2S|PE z{!>b)bmmXfEt+$_Ew(bb&yFml;DngkG|uu0|Fh(?fa|Q6DK1mY_Fh0^=X&av)!hd{kbHoOElP^2@VHYd&>%#%D(urE|JTydlg8q z8rORm6V2;IcuXA;fKw69)}dP@ssAodlOFHi2#9AYjkH^CB>2m{mvP2WUN}DzTK5?| zD$22*VH2!AS`HCTBs6?%1H%uob0EbAy|t$HyU+N_F&5jd?z53AxkMX9Z91s}@#w7i zG_l1`(*slJJrURmrJjso?U4+ipRrxjS{{&6zkyKZbyLSkD^v4DwP8&s(MQ+tJB>ei zCW-C}Cn4yIyzh-sK)_ZW$rH&gq4MvVh4UKy5+dqKFNe+6p%8BWro$zU{4K~oM-OZc z(STLK?dlTh;c0|G=Grcu)SX#;w~JRkU~TA=PyIAgjZ^&Lby;M`(~}gdm`M_SV5L6L}Agx6jQwhh7kcIc^svKAEB{lt}WMr5=RCFE)I!dq8v(A_w8Mn)pKl7WIsB zxc7YKmD6E%+wRH+NGjfeOoZO6&PQiH;?YZ@yU2e31#WwW_nd=A8EK!j|ClDaqzF`r ziXi*@d6;O43ButayY4gZH~drpNr`C`vnaTo1KL&-cWXs>WdxUDz9{@U?%dVZE`PUY>l));gCHKe& z+GhAt(^ESHvC2b^;Py%MNgJ%I&Z}Q1)d?K!|20nk*FCWf^AoFxc^b{uO)U)Eka^X! z3t*l_a=7UtLn&aoC_d|q=k5LkC>t7|>^ZMOZIft$d!yWSWM_bZAYry`rWf4}kG$>s z@iRzBdX1Ye^#S>}6(bfAVtPV|4xY6WYPh_&8FB{xH#fFFqXfj^@6< zGoN4g20ffPGyhZfl5YNF%3XCRdRWqHB}?-#^Fb-0$ij?uMuQ*FCO*iwtG7aRaN$}; zmEH@#=Y@x|e3>69ijpMvl%y7LSA4mzltRcc>wLr|iuF_TB8M4YHlN|QSO^7qM<0np z&9nyzK1OJ~?qZTeC0N(sOAtzo%fNb0UR&4hhD@WIhQUJ??edpnVkRf7O+u#7%R2as zG#D6U5|w&=PSOpThS0`JphS$yn^5jSHCl{%3$lFBUn zFXJGFj6Wg-XVF56iJsUFu!Ud-yYy1jYh{5oX;L{!M}l9yr^7W-^RJGr&Sx*Ke?2W4 zM2C87kH>6Z@H+aQx#fl)csLW@Mnk2Tjt54XFsZbrUHny96b zp&w)(Pge07T?PDpC~C){1Q=u4Pu<&KXbsx3>VL;eiT7tPWzdG2+ZX&9D8ZA=T(Cg) zCulK#$V*N`Zxd5!(qP+ko~n*aawllDpr+Zz*k49mD_oAey*cTpCP**?E3STPgfmIV>ZR5%oedw^}}z8LCa=Y&qik z4WF{@N&IXoyg1(whZsq}yZ3wE!aQIvWnraZo)w)TH_#CF)*3xxk$39vfap*@t@@)6 z4td*2R3~6{{;5PU|9VjsU``znXz<8rzx5BNO7iBQ#oo}#u$@gFYU1!Dngw?C!6z6p zPsd+EWPVb5_93n&L_3ijvgo|hp-8%Zk@qz(OB|Bg!E+-^lgfQ#NAzUlI`1116AaVL zFV|zn2mV*^8QY&ug2Zq#fhVB1E)$qMjHEf<=bM>ye%h~`OO-cUMUi`BK-#B@yl(+5 z%lGp$7>XE}4%+}+@Fuspc=A4m)%@@Sedz|tzu+-{9K&kFS*hrpn^7ZtcoNk3|?6 z@rK4Bt-@P>p%3PCo}Ene5*|-PS^hPkPN!V`{!F?Z98pbA)vKu~50LeR}p&+`Lti!twV z<;H+_ed5|YPi6G7(O5li{hVe8Z)m#9$LW9wLg@2c#cTKx_3S|>KhDB-ZvdL}H6!@6 zCJ8=6vrFOa5nV1%4bJxTe||+b0oA^-Y{!{Nf=)6|zqRvn_44%N?f`R#TZ>1#*=L$4k@6I6Sm6yLe9^BNotGd`zW zUles-y0%#d;2wUie>YWj6`a4b%0t~Jbt;W*LVBeq5+$|UBaiG59}|Ui-#*31yZtvX0gA>tPU#-KAQ>@nBWBF)bd3JLAuSGMko#ZkwpILz#gN zZ?{%2E>q`k1U=x0?FD(rRc0y8hXp>^ zAi4V4$h-0?mF!RoO4i`Fk}Xp?CTI1vQ28S)`@$AUl0Of3KGO~F#Lq-RmqB@Vwam7( z?x+G*`y+Nf_0IBX$oqw^F+?J+|2xPINA*8WWv#Cqn=8OCY>$ zvL($ANZH;;J7~59D!!k_LBA`g2_Ig`3WVNugfel-+3$fH=ffHQ1Wl-!LM~5^$DO{U zYlFo|8s@P!%-r3lAT+&|=tu4N{t{pkQ7`@MaLY4l5Q1in^2G9P${fIq=%~N$DWu3##1Er)4Y#6&PEF zBEeh2n^r#FEJsymDY^r4v@`;c2+L?)a&a}XC*VHX0S(z}F1hSJSQadsX}cR(>-ng>DqxU?BSGiZeKifeof842?3GRATA zqm<=z&Pc5%cMTZ8_TRn!bf*}M@Puhsw-UlL-#`)T#@_MkPv7A%0qD`&059sB7|aDo`aMv0RLOR*q?M(HjM7D)51^+6{1qu5mf3Ti+^E1*#E(FJ+*7 z@cHXG4YAiKhAu*CV#;zZa}$P>InFx^F!&dFc_n|afY=Ui;AKp4YtB?7d#J!$Pm`lj z=q*!K2gF@8{=)O`Xh*$hJ$DnhDq3MNg6J;X+2(#+OLncJ_{_~G;dZQNO`tZR6RCFc z$2Y>?8UCWTL=y<*ZhDiCKNB>XYXY7W`gq)ubOM{dRxoP?h`Bn{KejR7ohl4 zjGvlFf1M(bZlu@Wxj&H;THFD0fa6rLcrVa;Z_)pl%7JIq9CSvQ(CKZz!YYp0_7sRW zR$>~sY=H7b8?&!Jrv=^DFZdvtR5tV#B@2(NDUm)6=l`l^3W)nAQ`@(Tp=zbg7X8t( zV9LBRzyUD|O5H6sc^&UOmOo23uh~@zaJd+K?vu);d;D^ zpVfvkdx3IC&fs(1TefUM!;Yc)IS(+BB3vAC=FjfC%md#Iu;{+0eY4G)aenjjO^-$^ zJ&Exdg^xtlB=D@pYA)G3Sm!&+Uy~K4E)JT;NCM)mT=Ec*#X_?Qf%cY5ms zH%-EPfS19PCO!cl2v1W};*0_>jJZtEKp@pctwqquh^Z>Je=EGq)EQc(kXUVzX#*F) zHvXM#c{*@621TulTF|XsYTHat6x}1ay+67V4-y-ryorShSd!(WeQ#VwSN*QXuS&6% zE3+ELgDg)Mu2dLn|G0q&z1Lr9kB}ty^wZ_Ynz8^{WyeFo)CfIcx_c)a$I@Zf5z&~{ z?tB>sP?+b(_?oubLnn$v+ozOzEc+;>!+?KO`)joe5ofQu#0c5sxa$7JncQfXZuL7M zH$H~bcWcui&SCmkRL+9yVsS{mWZE(O z;{-^EX`FDd!sLH=4IDcv;_Lr>^T<0MRdh`e&B^z)bl`do74wbl`*PK7{w)+vFkTOeRuK;j|vbEql{m@A?rgMTg zUD2;2B>x(2XMR27Ba5dvr~y5J8j}*GrrS@G+vOP3p9%?cOVMAP_=sT8UZ3nebTA)s z5`Ar7-G$E%@w~#G|2OEo4pz2a_9r}cEqn-?j3r|l=Md*5i^69>BqKI|K47wFzDoh@ zbjNwF(LUfpGyhr$--MP6v<&D^;X7UY49f=)utrhfBRuOwPqL3i%iqbe(5jE{wmD{z zaq{!J2PhgaLhfTv3QL@7b8R>#c4o6CdV-GE9G@KoaC^yU?F#bnoWYtHd<6l_=GTfRf!?W9y~bksgGvo1rHWU-&LYquU9zJDPH*BUDy9VwNm zHbGc-Rbtr1H$|Ns&GsbsT8Os7YqLW7N#WBwg`}i&JW=-wFQxMGtsCtv^mrR$p2eLt zJm6@~AbVIcK+{Kk{#xSh|L@oG5kT;+3&t3x)<^ z)^9+&Frf8SrQ(Z+bF(yD5UwuRBIVo!N`T(P|Cm6th-1G}bZa-nK6UpC!^O2aj@zdk zhzr8|B7d+^{h43rm# zV*R@ooyQ}+-Mx?3%0|Lx28+4=g3NcdSRH2>&ggowJW*z)sg`;+cbcu9X)d>+(}UpK z`tqQD)Vw~UIO*wj6x->Nosw?rXDK#1MATa*)`06ou`lIUF1%zm`N@@+Yyh;$GREPR zciN!{HaV>t;QB3tvgyiU4^jx4A0MjHxsltGj8nBcAmT>ayPDlg==Ml#7bih+Lh;&m zo17;JrBl0gXLJJ&{5#BvWDY=sC%QY7Y$@ZwVgx53&dfRHO2LCOHY(VDy}bWK8fsNb zq!51I$ ztYB1@rqQkBm5LG=N2R%>>5XBQDW(ckCefL3ntZT^6=o26B26YsGsnhK0@8OSOf85g z23cE)KYM9crnopepISe*+5Ji>m9Axn5aRfApRO@+xKUGf`4yMF1`!10kRBy+#bU@Z z=~h_NGz%VP>U-3rTwcMvjRQAGhM}-N5q_5^UM%yK21kKFGoK^?Vvc1M*)~TK>VtY; zDbJ1f1mQ1mAV~Aj^OFN&%5_m`&@0-Q4cR{>&&a{zyCLd?F#O^W+`9tux2NDGK1~im z>8Jycbje^4u>^I&r3LHZ6aaPMib9O`x;1awwO!!SZ99yMPTpm<)S>K^{iE@t{NjLo zoAHYfGvK})=(PA}4YM8S9jq(glw$&0gl%Qq6w@YpOdj=Tzn~aXD+w1us>=<}#!HOa{SOct*JmbVN*`|5-|K_Plzm$pc=SF}T&8l=0;` z8?cpo5g8X3FA^TxpP&$Nq*{9|u z$mm9kI=4mh>LK5J4qLtJ>nn}W z(Mg%}7-5++01hSLTPJwZ>@`@&4?Aqor~4ZG|%Q5p-EZ*4~#jfdh#fbyG3cueM|j4!5nF+w`fH%s>Vrsy<)NlCf2f|GNwI&vY1J2V%FgyZxy;z$ zZ?m0RTi|Dl=bhpf)>I;NScC$N#GjwCG*|y`94i-P*#H}6c^(DvtWKH%vESwDpvj#` zc_zMdsJKj6{|;*`Ln>~$}GWLRFUjUG5{p(`f4H-X#KxWvGCzs9|5B5&~ZQ* zUlO#Ha!UE>gPoi=7yfd&KO`eUd%I23YSdpp|jM$ zd!3BwJ16uNTIcL5&3MQ8BNt%{2w7_Uj?S;M7k~b4?W48;CIwvL+gA-qE9lF}d4N6b z*4V!lhJ*MZ>|ry41YP7}413yJU^LQgok<`@p@&66xpmj4(I-6B2OJd30kO~2F|H?j zmkku{#P%ld5jsn%u-W&p7TX4RQJf4&J63NwcZOCezKch1_A;a%li@RD`~NnI?rmJu z1ot8!_TE3Ezp?;z_8kpXVqo{tPvimS{^=_uGZ{K(ph=I&}A>DXu(b-h#xY zlPzp*pFsJzvypY5{VGx>2K$3D7g4wTiw0-Ex(r5b7sM$i9xhyrmj|PHU;eqQ*WhZl zyF|6}^-Y?f$sIbNedx}Gq!HH(2wkm&V9u+3)ZW>|OME`PdxdOFN2;mEV=klC_(gjy zR6DRWP)48_%VDpdlfzG+oK9*hn>m)qmRR7 zo=lzd7}+1$LP4XOZ>9T@XancfA3c+`BLC(*%io~T0}W0TG(f@D_(=z|CUP@S&)vRn ze@-Kl+I^3NP;d{sWSd&~lLVy88CIL!KPfYdt@s~mIk`k^Ue*1P5Gl1)d{|Ze^w3O; zuqPcR`mfxtILh`e)lpdtD(9y{?d(>57XPR2f{e5iKJ&0R)Q6)-zHiCiWRYCLXZYNq z-fH;3mB>&T1bwxpdb8U^PTtDw5iL#nPw7rJ2ZxgF!@Hd+F7dU;1r-s4pZ(3FJ{S4X zvOHW9ZC3@kuKzpt)DBPXIFe?K0ERsp7qp?27$!e@Y`GM_p4uT42*Rp;xBn?5TRCSmr;)c>UE zGt?z9^|s=nJ6Uf`ru@Cy-GSbaYkGxQU3TCgxAU81-344D^lQ8z(>0{}M1hfY2{=#I z9xMC+QpoO(FA50W!P+j~5o!Nzh*{%v$Jb}5%s#BYEIq-pQp?aK=)~;(vcm@fT7vlN zaj0|!1Br5ae$yAak`&W4hz6n1)E>y1iu2EFxo2$hHE5LO({!@)>WM*zofpsyO#}`H ztG}=D8W~yBe|Imr&x!qUL>2oEfA9O;obdqq)>5Pn_~8I)qfUTZWt;xl4J8Foo8YRp zE*G%Wl?_|oULgxvP=fwXMr5nJ4w75H0D0Sw4T4T332=h?5pFDY_6gEOw6 zn@&k6Mx$-nfC|kqBd%KJjE>mYhhj$r8+0REMsV1-6620QG6D5CS3R25I4`fXImH(P zssfv8DOeK+>c`fG(OEgaHj1U$E!XGJ=m_(M%OYjZYk)xM1D1jE5C8yhTq(X1FO@dM z%_Y$fS=|p|y`$O8M;32?vz@hdMaA##UA6eX^v<5y-C%%&pk3%=Jc(Y$zsrvU-D`d8 zS`qU6|B`nR`0xwn+t{UzZ?mFhL4&^T$dD`6nc2Neb60~moRXbLH z8?Ho%e7lVe+I?P`732?Op=PMaj{>@8AoM*jaFp@gAy}uirEUaLsmrYWe<_Fn~6gg*oPh|4k zi9{qriGn<%Crf_v3`PBAq`MRLllU{w?tF@&%!Z33tOxum%;nCb{mD^Jy-#{Ystjln z;nD(n2aV$&cdkDRB0O&COTX3X3+742@+>>@d5*phy%ryxd0QfZw&h4C>pa&&B<5H! zw4Fr{ZQxqyH5_kAK|YQ@g?|tFeV=Ay16K_3;I7u!t9aK#TSMncXrK8X0z3RKvH5=j z?RhREkSzFGt^r=ip6=ACvXGdG(1wGFL2{Vf_UdOtBD(2kbWPL{)_(e3KOv9>)oWdzsLTpTX~0r#S8EZ!;sJxLF*t77RrmEq_9kZa)-JV#>Q{F9VFkLKSj*WPv`3bg2oL0aQ=C*Qq=uLo%pn^tK zR*<1N@e0qOer8Pl&uk0ycE50m6#$Ihe0AVHn>1U{-GBK_!1{rH?m^ujTxb{`Mku!U z{l=%hr-RXTj7eYyrT^yv)P7fT>Wc&nc@D5*NGKlDGy|AljVsTQ*A9_qgu-Fk;fzkx ze>-@5PJXDHG{ENzb%nVI@lVT)Z0&q_7({ntyjkD@ZJ0 zCSI?nuH|v20NF;`gUGkdd^CasSF>t5ayjD=7so3w+rOu@pK+)A>UYA4`=sb^ko|5T z?#wd1$7+2NXmhn)ZO)k0shl$&bOwxawF#i5{3pLrpX)wo!jE`4-_ozZfNU!nz4|>o z@D%NlJ$04c#Q==(-4S^vT_+@+@}zU03cKQf`CMo|ofjN+IKsVI|4Yx`#?YZ&R+$pR zB!4zrKv%>G#9r2XPuK&uv*XM!sn)l%C;kCl5RZt1WoIN!iVRHv?|Z3uzSFD`aJq1{ zF_dVXw{_XL%g1Zj57*_viFdf! zX9=)MOL)lW5x`X~zY+I?e@TBs#U4Mw51{>)MNoN2^-c$WbB;h1m=3$>r)5mTb-JZS zy;{{=&vgNg7hLfB4|P@1QoG|+9}->5{0a8@6YPVj25r=&UgPe55w9Dmq+-Lx;#GEt zH7c~G@{%TXS2y>zV+YWE1|2h?wM~od`}+`>Y|U@|<~Yp?jCc6at<=CImtH#n-_0M} z&J~}`=%EnyzVcrJ0R2RP;tg+Wq7e}y& zjeekeZ<9V)pzLe@YK7*6(Vl?W)op~9%G!#&2IEjYZoz~~Of224g;>-;f|AMO&sCswC z9gk!l9Co}KiB+c@{$@Wh2qG@2FlZ3Lp;>mEe^Z2S%lb9O$W5Kldl+8nGHS#z85yBc z^XmO5H8~fGxylmOyy&{zxHr$X&%k>gyE@SWcPa+m$bg)(!}B0}XgyF)4d%}6E7u@e zP+AXgg5LBq@D1l*f88x}X12xNhS-0?0EFEA6XrgFziuj~ubh&6MvFLH%v4Y}KfMpk zef2|RcuifK)&k@;iG-Bp)r;jwS^4gqe~KGiSU+d6xY46)>`EGVBwD? zIEd0S%IA&T|NSJ;`J(_}AK-Kj(jZ;~obKU_v+9gZ4X?PD41VbQ<1ik8Qjs$jW>+t! zk=rB%@w2W7I!*f+5V}&Pfc&d|a|(EHv%n_!EOvc(TkB+U?q{j= z3(jwMj$`{a!iC);9L=S!ch_4VSRHJzcA@u^8{&xTk0PXcnzZ;J@Dq;YZ>a8216=0uCYUWD zp>U8WcdWX?xq3sc`k}=iR_NQH5Fh-Nor@GK3GL>}_^RbJYev>zO z4*gYP>kG%d6+EbJPcQ>TTK;ze&7<~etw&XKODXkgrU&lYCYy$Lrfv>8XF9JzqBP0@ zi~N|mob}6RcjlwWH^#4Jqp#D&*>DV&gHK51%XtGdf`gss z^|=r_54cVN1e-^AYp6SK03gZlA=p5hr~>sr=vS8_uOq-^Ge(W_RHpMZV^l?N{;+jmK22L zG|-@jr-f_5^OYZet^u!oq(iM$9W>>!L+uvRe*Wxe_vhfX++Tz}V{l`N8A??5Mc?o( ze$dN$q4Sa7i|zzZ@`mCN+3nK(-Z0h2h6HfFds&n@fh@5mJy$#>Qk|Sm??>)=-YD`1 zAg}(YX&L9cNkYs8U&IX6un;?#D->24x`ynZWL^tRta-9@JVC#^7Im6;+a#07Las|$ z|6r@3%K)RCuob`G^886h>@0sMGr>#nu4$${VvIIDC@8ioTr9hnIPx*^1+u@HiL2(g zN8&4$7=bB`(1unue#HH7198Lf^x)7W5E8Azj+jA3;hQq{-qtiWiTmM*I!_1TPg}}R;3wHW>0jHqNxC%Z)=FjL! z18`p_Pc4yT;H%L1-qkiB%S>x(`SQLe013@K+}qEn#GQ86z%a)UvyaF8>(k%Nn7v>I z=f|D5?^5lOj|EBEu69P3+5d8=F2dAO zlw#&(IRMd0_L2KyKqT8>%P4fAeI%eg*rK7zN+(6~+xvkoUO#iC)$k;(9|TFybvm0j zYU7?z|E#XVmXFd_%7U;kn#S+8lx(#5<;xYHF2T}+?%fuzCwC&hQY^5{tN*gjD5UQz zq;|35+t{_>OB@_{o5f%M0&0797h3_! zVZPe8Uw7a+%eZ-+DiOTXRuI8%k4;sQFs7R1d~|YgtrMfVI$(!jW7ubMPu<#ax0GT* zQ)1hyZ7)hO-HFJMU7y(6bnLE( zBCoV)+bYXUcEl1FCwGZgixEz?>%%>__ky_SZO)b}#__^}5WI?8WMN(pzhCR3-$onq z9X5#;k{a$uJV1XChyH!C)YzLv%hJH#v9V?}=l4FuW(UdBl_HSpp%qUV5m0@NAZRZ(V2q^6=hR}9{K~kL5mLffb^7_0 zmucG^`f0A$B-*jd%0XKB^(^`&*|<~g#zNICE*>oV{yn+O^dPz-8d%`JkyjG-2nzAO z4EJgD$kiFe$ZxXmW}|9?z3%8@gLqaD6nNREarK>Nvr=wpE;3nUy^uc)UXFQhUgXE- zFVoD3M+P7+;%}zHA7AKm|Ecs=RRn+e&)a{L`tG%b?Hdb1a>#yznzh}>6fG%jkjL7I zo?Y|DYQ{5XLe6(g-NhDW+4aDaPG50|zWUK&W;b04=a|DLh7p9_xGWRv4TvKj+l? zo@%vRNV~9k--pagtODlLn7GFD@REhdvw0ttPQ95==nd+@!P#OrJ|(2OW9C3yL^>O-oK^we_G9wgO_&&D7)bZW_%MriS?m?J)$HbeV&2_ zKcIOh9LFF5751qM@b>abBWavE4|GMfWK=KCReq(dY}=of^muuprzF4ob%_Bsd$u4U z#rjj(7wOInN5sRxPN%UeUdhKUeHEDWMi^;#6MW8NOiM)sudyX9la8+W7SbNw1$Xyh zf0Ri&8k~eZvY$jd2>Ln}Y+e23VfnJMgbTKW%vvCzBbjyh}*+e_C0q0|~YA{rp1B@U`td{I+8Iu>|inV`T{TO~>ez!4c)SG#i8L zx-I0nkoX%XqqTGT=F&ayo}T{W3!hOU)2%X{cpb06bq|k|CStQ;*Tu&tXUnz*T4#)v z>FeDiJE}EJZ_Z4we6jzLyn6H-+xb4c*nwW~edwXRkXX~ebL-cpj(?iwUqPdm|-WUt~0cS+RVN4+q;a-vP z1T4PIC=~q1v}+ak&}RfHJ;g26eq84M#l?NJ)p6PcimuCsb#bn^yW0+7PzgElmhA~; zk>dT&9%?woPIcQWPor~e?MZ!S1upkG{S(%@d|UEu?5N%}`mxb$kyO&X&n%X$a7Bf+ z{+Fv&O>p@A5W3P`AUrP7^j8tC{`R87hfrM&)SSR=g_@*GfeNTZUPL0=3`+!~X{z^Ba@6*c4xm*XV@wu^4I$e$%)K>xfrQP(D6;r5m zK^bhy&cpT{l-}#=)N)Mi)5<)*`{=K+m(tt*7CjHIdVy3Y!~0isL89KaM#1O;nrXD* zF&?XkRHq3Suv)2rF{q6OWlss|UijA#2jDlF?g z_35u@@l+6}6kaAAo$gNxP6Rjo0wYFVG{Zs($U+*eY}HpAAMZ&1WKB}VHCm_$xRYh| z^5C0NI53I#(A0mRmnLPx#7LUI;Y*ku1zp;8zXT_2(EX-`&K+HG+8Q3L1&(E+j#P&2 zWX5y%A?z53LLj4))kWH-YJ_j^8U`=lxM|sy z%~}@X5gP=?MZz?7YHZIgk<;tb;5B&Ox8Ppa!N=Y4f!&8Ev@XOGN-D_yp6aCSY82#*rw1|ux8CTYcRdlCO5*;&Z2YH^QrrE-&%qzCbBfJHoi;Xim-6O`!&QEKhzeB(p>H1CyYpS$UsKr z?fIV$tI5(Uapf3kUAK-oHb60F6nMEy zjn}CC&A2ljwSYb$t$$?%p6dv$3~J(~`ElFPA0*?f=}SX0dp4(R>eS$}E0l(+!ZbQY z3RCr!M{!Pq|65fMih7E_{L)>Xvx%#-*1OugH%a9MZcxE}@-4m|LxoGXfJ0o`v+X`l zjWUBUcR=i9cd?001OE(;#n48VV}eEh*4H~M!3ZyMYFC=z!)o9CQ4Pq84%%@hzI@vz7!CviyY?l=1GYQMxqs@Vi4~|3CK0oe zdynUnN&3qasP2#PS6LcMR;)$5=qRwB{t=@gt!ebH9(EaZc6)>j|E`-$OgUzA=eHf$ z>gdvvZyXykC1<4Iau>#llz!YQ+4;8_wLO?#|8-hj?MLi=R_C6{(AAs_!uW-auoSp+ z+B%;-gR;WwH#rm?tbm1}R=TMG&Nb4*0WlPjnRw8pR|ku&K3$a`B`hZ)m#7SaP{B)IeFs^wUv#vH zjIQl(;bUGZkLhfr;D3E-(;||612oVj!|1P5%2ywE&YK_S_y5K}W>n0X!s#VmIw7EL zP8t-hZ?zuLL3#Evuh6#I=0qW3C(8=}*B zw(grCbbT?^CgOqcRU(-w+bR?Erfu9pS;5RjFBH~*AsSvaH;1n$LIEEs@`ch%Y1A7P z%Pu&P@?HR1zYt?}O{yecz{i)*$?J^XL#Jn+$divX$4h3S2Q_;Ttu<+IBE-IB}cH(L}B{%?i@#_!8|A-r_gS#%@xidO19goc+p_p^yhIJM51Lf<2I&L>CH&ivj7=i9WtFoG_?$ymrwaL^7={M!>+bVkdUe!COB-gAS>v*z^A`oSpW zIBRlVgc!Z{*D!A%!oCoS!EGo}l=VbZl(67>zGQ-g?f91^12ZT0!~5}Pz7)HIu9@v$ zpKx3|B|#7A=BqyMNUhoVuFPF+``;E7A8ixuH64qMYZy6Mzkw{koh4`Mq-~PgUV+s& zD9SNknD>;byCq2#+a^e%HS>&j_X20b-4o9COwxf<5}y3w+QJ@0e1sj{Yd@9sVJL?= z$;jyW32}nNS*~?R*#-~7b&45=Uhx&*)lV&fDMLOAQoFOY-bV&LmkEwLiTjxQ#tP2I zCx>atEzyh6V%nT--ZMepH$NR*XV6E>S(QpNpRH5`3U}fi-AyV6-ge$_`Hd&KQd$*o z5+d{0?u4vm*^l-rm1J?S^((uQkJ47%wU;{bQ@r?I{`&ihN6q@(^`W2ncO07{1GmU9 zcv9sB{!Z7LE(YJ91vm>)7&5v!JXt6%->t{#r2i! zkNgeq=6IF~AM^i2M~}^pG*oQoqx53 z2q1f0Bzj&uhm-1NGUJ4$IZkfQW0a=qg$q>1VG3d?gyW5>=q7sR59Kz|3Ogm<%`}%k zj(mp7uFR4Up!WEQN@b9O+Z><)#F#%1@f?Qn1ZAXaug06lWqj6dY41u z-L>W>+S&(nXl|c6;G?5Hd|CAAK6rinD#~Q`xz)OfHG}R-dp^@QHOA?x;7~Qvty2Y` z{*y?bE57|(M3XaiWckcpZ!`d&ti<4KwGj=G^S~oLq}A!Io8e(nn#CZD*(h`l)Yt*jv}#iuQe&d3Anu1%Py95O z&udBy5_h|(Z&)M0k10G__<&k)6}vrz_=*;hny;%V4RRW|1f`l1`7<5)e1tZZn3D1Q zOu&X%bJn>Ml}AzwD4@6(lNGp`p6eE+2`BVLkfeJaK}F2a48ov57u9dgpiAH9c{poJ zT$xJMsmx-p?l&G?+mcIw5ri5`gYwlk*F$ zTV8sh2+ptRf2__m)BwO8uAZs)tB@N-wGX(`4qRW_1AcN$=5BHV8y!mdCw z!}A!j8>r@WY{33?nH)#Q#5EmoD9a;2w{Fy*cP3XRx}B}*(nm-Ak?}sjWt?>FS3~Tx zT3uL`peK?lSh|i6*a`*lb_H|7 zhxn?OQ^hdKLKhDFNxE5DC42be$Kqt%a*C8bo==eGvYCx&#!m(`)km7_IE8?Ffch#q;dU|oK5!fCouAQg^zEKpub%pX*_CVxzA%TP5Ibnbj9w#{trlO) zKzF}MuwNFahLIN!A6qK7MTa7iB?~N8gg``g>ZL3(Ez!PGcZyPR=Bh8GzAm+(8Imrw zQDHRzoVVU=J-8GV#xUx_oehPT-UfcRvNByf0188j$i{I8PA4a+?M1ohn363wYMJuU z>B^S{+%D2a3Ak*$?!+UQpB51qICBr(xvKbioK>T6oJ{&77W5{F%dL(rL_@N0ogP3+ zhD>LPJQjn=u(GI(n#IreEzZK;{LP}gpBaO9w@?^7O6;o6SmVA~_zDRWYc;an8Tyn` z0~o0{O=U)EB#pc@?d+F2Z~WUjp&! zwv#Q|FXZSi9};_detL87ma$LJPdrN$=VPQjvR(_+3wK%u7HWC>a6?B}Mdq?r_$ z4rF-QVP?gw5tifQl&wxL-2Kr7JGc`a12@Mh8TXOr9zL030kIRLO!7X)h8|YC-X%on zF$t4{pXke z+}-^huXXcFATjU8?OI|PXaZtSckGAk@nT{oe}I@#=4QBW7#C>!I!p0&n!N8P5Z$z% zJVlwXeR(Vql!Vz$3^xV>1IuPXNvifj^#;64(rMvZQSUp6D$F z_?2=qUF<#&d_22`O}*%&q3bRWv_8EwaA!AcW$_EL{1KO*r&-MOMjAfPgtS^|7+_!p zgBzw8JJ#8)4{tiz>SB9ee)G7=|89~L6RS%4merLn4u^{(7DBVLoIt2Ve0fDLIG)l3 zUS~S8#S$!kgsvwSNo&YzR4~saj2ysp5%HQ;!;{#)HUZk@S7ru^RUv}_PV8y$%~QfI z(vv4R3}!1>(v-SsBa@O7ZJF9if4bXH1j4C>&fA~!H}6c92KKtqh#qT^Iemft zDRVTFE|#!-ML8+#mP};~;4BU|ewa}qd$`-J_CpZIxcgNfH1)4LWjJT^UomJ+vo0Fp zn1)j`C;%}nb0IN#0O9I_zUj3lC}%qz2p5(2smJzNH7U#H7QWKAvK1&d?ueaFkhsR~ z(u?`ZIqa=jmNr6ld#DrMW9{nPQx(`F-wo%U;;SxsZbmM}(&xenbg3&L{8QO!402;T z-pcMf>X@SQgimhx>QB;(B_NgBp+9$fXR*R_a6U+Rh^ax4hbM_l;-mBMZoz@2; zY4^N*gQGjqlAeyp1UAxQ`?yutEs5-BIY+$g!gop@XJSG^rMEqkEkvF@9~Q z4=V>qRnRxAzv${QHvxv2RfWqx=q)1-!PcVW4Qukk13`u#4-d)Dzx;_}uoE^HA^3%iY1 zJQsqC-l#V%NYa0HO6WtEM|hku)NpW9VwDrwB=|Qml`I|bOn3=RyI(->j1X_Q+rdri z`hMN+67EL@RFMlwy>oJco^4caBS=lVG8~taNjNMcN)B5&Dv?pRWFC81SEz>?a4nOS}d(?WfaD2Aadb7?ee1Dvjm*Gj@DRP~n z@8j>$0=3w(Y(q7=j~kO7zs=)X$yDOb;&twBuw&8K|$Y%)amt)DY1NYDYAu7(FsBpjDM~08aW6k3iKOG z?~U&6&6 z3S9{8-h!Y_MMRfdUM0Dp9HJ_VrXnonW`^{a-nwCr%>KnNjm>vwE<1ETvjT7aWbC6T zTFWNI%Lh)yA4;Kdp_0zd*efxWRtW!2l5zKB=X(#1P=WEsTN_XhZu$c z1M7sTziTKH*34*@Ptg=+v81q@uXR_1#eRcx$2&58_gU($(d^F%&znPAaBe_3qV38o zgy#bys>w;8uBp#7m%cL7K6caxC(Bv#i>y8&)YaoQNL0h&$TKaagr3r@0kEH$ zWyI}aED@UbK=n_Tm%KDq$GM-}uwQp!)F#^MPMYWLL5Q8nrMgIkXKa9-D4?sl=uedg zwhUH)Kk8{`1b>r<=UCHS-<6?w?OLcU^|@$O@i9jM+VKjPfM})9De)`~ef(py#J#M6 z%Sc*&+rBGBs=cGTs$xnLq}6u3G|#>T`Y+!kidj(jby?19}*BiHb=3)7T=YyMkq3MZGBn1RIA;*G)N#hXN($1*h# z@NzSC_NN3Temq`hD0(++s;gl$-N4^@<8*DRbngU1GqEBd7HPxM8$0W&PCDlpt+LSx zOhuEpFq_{GqUWrNeFjbn*+CBY$otG$*(x#BpEu?VYxmbU!4E_%fxX{KPs5^ZaZTrk zc7EB6Wi@rfvvv8S3N3O+b01zN?&{L+DcmQeHqgsQmy1L*&Vo7M5a2ceoKnvv+;iWe z*RR&(9W;U7Ts2ViqVHi=teMqrDF?#!a_AC*LANL&PpAbA^im>Y>*S=j9}IGKuZ5uh64H z7mnO_-jA?tvrX}4Y=%^2K(`(0&CZLYv$>HF9xawE)spF;&^CJ9%C@CUv<3$WIXY*M z#3~@G$bVnf?8iPV1achj!BG=v#;F=ep$MjTdSjEtDf_kC{Q7+fbJWgo>i)UvZPsR+ z+WmCeIl$|DSMPZOS3Prrw2tS3(q8>}*Lgrg-s9uHV1atm$|EDabFND(mzzxo4I<7a zFT5Xnm)vRi#0N4&f9{VXtbtbP$>Ppye6)Fb=|ptK_$?DmWau#$9@eSyQ*a-U+w{Y@fe-7q zvzXzI@I+LD{TW~QBfUyHT6Q>RyxFbon=}NoNY;Xx`A%Fx5s_ z56rEr(EIpFdJZWZaka90{RI@)Y`R{Y-#k!=XOKWzt#a-C23W?LVs4%!hG|!tgwhAO z^M=2oUrzQdy)k%4@gIy<`}PmV#083X<$QR@#M^@O#=bn0hn>6S43qaKI>Y~s|3Ux8 z*z*lxvR8vvGXS0IaUG#}YE?Bz!9!N=I?y|Kvj1UcPz+t6A0`T1b+_^MWT4foOKX>b z=zSQ8)UQK$`Mqp=Ic;vW+kd(Z&b=EW0~h7TsM0;VSui-e2DI!g*T+2qJU8!`V0L&t$3Jd9O{b&2Rxh~B5!uz9x8(RdgeKTgU{U{rYdPQ82$)2> zN*=^ZWtWF46A%VizFh>9pINJCdE(9X`X5}z&V=K4Bx2~1R?8vMB{etOntF$)Y$X?+ z?=^jwajXA;-k(xH%+m~~8@h1QLgd6viL5(HNhr6)eDSPyXBK7PIrbH`;sh7CKXz+8 z-nBC5o*=>J)htJze3{F1thij)7T zjn2(JY%@?$IM!$1OW-lusP}T`V2Q-`Uvt_$;NDBXh5c8ba&2P9&Bdn#eLGADuoALe zQnM$Vux$9lY+&mX=!AQs>-(=!Pti1ojQ+uO!E0#9q5=4r#}z|tv{!p=F`Ze4RUci*)Bg-Ol zy7poxj7^2+hnSvVNc6iq!_!t4Ms6ryop(~2M`yo#usNQ{x9?*d0DSOjd&n@#es6d> zK;Q3XUuVvAY3Rd^Cn%pyhjQuT5cu#9)9C9acJ-%gNt+9@Ok`j{(>F?C@Cyy?^*A;t?_nD^t zibwle&Ct0}z~zZY*79wCxE2qY^TKbpt4$&%(5m2=#fG6Rd+;q)bf}6YvOe%wEWDpc zfJpW5%OfmQH}k~pO_5fYM>!NEy%sENE6FlG$*5MByvk)-U-t>*<^s1${M#{S_$F?T z1;^{BzruCOwASapZ05GZJS6@xjxxH8$evFo04p;=_%H@0eKJHv5QXK(9@kmk$eVxls#?MXKWwc^+_aGA4erspKP)fVcv> zl+uH-kYi#z`)V0iTAYz5hml;+U?tJjcBNZWu{BeRG;UxX*W4&CJ(gPcEpoFcIB!T8 z@7fz};K?(iaD-0H!AZX);eBBEAhc~g`Q%C5yUzwqE}JG^gJ1X6e)*Sr3dg2-4O*;! zOcl<${5M$zxe3k!PIt63_t)zezf~LyK3)g>Uedo+6g_457Yhh9-c3aK@Srtf5<9~e z>P{#L249h*oYP!qZgS-J!oY2atdHlc5j%?V&_G9q-Eh-PQvwDPsm!=D0+*(fvgCMv z=&4gTY|i(Z$nj@%lW`sKQBw81XV@An9UFWAZJm@;n}2}_UiiW#1Pnx|f&JfZ86Sjy zve#lmR1Xi1fvr+~f`hg^IM(D^@&;dK%2U(|brjg$1O{BX%dCFz7qs+mc>;%wJqo|- zS63>CEs_L7jc7*ssj2do<fM;#U>6a~(5AJ3*j*wR+;_jP zxSXW+>ChXyTnD0FFZmh$GV9xmtj(3@0)9i#y84Ui)d;~bC_ ?v2ubBqqxMvn8<>e;OC<6{}HIDqYwiYUE-(g{1gMqgi zd`4Lq=~?Gdm;d3Dq0+133VW)cgBhLoR*C;${ysD&NR~8FgMcvBvelBq2_7k0^>Lt0 z9t9v9fw|$m@73AS?sn4eQCy>umuW7FZ#Q9<}jZWps_c#}~j4rIMj0vKJdk5)V z#-AL5=;pgyxUQT1!|~?QDc=w}_2x-*&i10~X|F#ZU$#KE}Y|>5Iss#6z3hOVh~J8~%&B%BHDj3|dB3oaVPL3P0F_t7$b? z7e`cFS7Lg={_Pv+845MUYnI5|F#BxonyZRaz)0ry1(6#DOPK5xkHY!k`i(R|yXvK1 z4$^M?BE15F=JY{-7s^&g5)m^s#$P)*70yS@7uN>1VZuR|tqJEQWL=Dggx~$@R}Jwe zvwTZfe8HmJ+|%ie_{SBNjUHoli3p6qJ_p>~2yQU)o-TXhHl_Y{JXb-n8D_hPs@CK# z5yp`w0b`h%_I){VcT~Z%bKoyoz=!3K32yV*s^XPTpEQ>KTnB+ouAuIQK1r!)$5U18 z#RDIj{#3YHGM|El6ta=weX53TI@`av>*+s`wp9=HUwd0QKJew*r$4_Ro<3i0Sd)t; zeVZ-U=Cam}6l7EQCIhx&7&m|OWDQ)$jHGC7lcA?p-LPUk$A#W!ZU8#3N^K&nFoFA4 z_(?Q}YzSUWZY6?t#~qN`RWt!z#76|J%iw?#B2sIsuR)3MLq69^GL8QIw`g(Vb|`Ru zr-&Bbc38Yp-7@lr8ObgiqBnMRN@QigE7az~Jo}DeR2xBNM5Es%B!72qR<*@&_U}ey z_dfn+zZ6?QzMD=FRbkv#r#~C0_ywxq_EyY!OiJT3ySR(_9wzAgt;TXs4c7lf>M=Qu zmHPSiAQ=Es0+VoR+j?$Iy;JLyyHEtH65P}`fmZnTW}P#^&;y*{g)C-@__GdOsX3`iGL@FTq?|xM5bW-B*vl=$-z2(*Hhb>}jM`JWbWFQIx zJoakWO$5hCw~@ z_lI9A8uV^CzFOJ^g@<5{WzRLg0murP{Y(P)ZuMxj-6}~%D0m2#0_4! zRK7956_voa7Tf7R7Y2UHzop{s1bw|E zwL=j&E9S3B#r=wlxu*xC2cr}_duIxy(MPS*{X} z;5hp0wbJ$(Pz&pP*ocKveJ58Ry5n-I=LaDcMt|e z-bS!F!UG9*7zDL5|6sJyB@ed@VuT_e;c{3_J$gbnl1$$vu!hOw zel24!y@m;x`amxk>2l_aWHGlP5E z#_k9QRZeV1PhV*p;j?ERi*bDo%#&(t?ahE@`3{> z+M=LE=7aiMsczUIdyG#A(iy&#T$b?|@Gv}A`n&>&+c_+JCrBNI;B=RkGeo;|m|^K}0??&U45Zw))yGGKySa)u|)Il}{uC|0Lyz-(Es-Wc8095elQ zP~dXx1s7u`O0t&G8v`Z%^psq6mQzGk9e8vT;q4epQk`Gy3R+=tP#K@OC7`gLV-#M- zJez*v1(#S$8+F@|ik48#bDqYKU`mF5{P&AiEK*$wuy9U`)~IY>%{pbTW}KZ|~qscc!vfRDP`m;aG zjeEIUG@yHrvrmjE;k7gZlu7<)ac*)@MHv+6R90*LpairQE^>1z#lUQS9k)K@@5y+F zyUy#A?u%jgjObN~X}9Oj`zw1ml7xX(gh#yL2>zx-?Qb*ft1L{Y4#}8_m{4FkfTL^CqAGOliP;b?@vWVZaB6Cog+upzV~9AFJ{SoS+o% z_?~-wfB0~D)`q=yC5w*aBnBSjFQe!P2Wbe9`f-Fq1=~Oh%+CYaGs&*1b|a~=l$<^_ zPXRUpD*0-3wT|NlUOr*79qYfDz`eYjYq+8dJ@Z8|{hNaJoUA9}?+C~vtM(UEpYn6iYq^NeK>5a(! zILZ6)&2Nf1*M$*>;x-uPtz8?6{bNjd%pr#JjoVd8v?@Jf)y)C`mxwa`pWi#2Y*m31aXCZA)alI@oR@fH8{rhPDoJd@*^B zcMJ^8hAk_F`@bj%!1E1b(Nq)+VLG%A#C0NUBOC6oI)hg@^?y75?)yT~_y7d}nP~;! z`8Rnpy^s!UNt;V`DQOyib0TEoXgxSc)W#!J3}5|gmud&m`-9as4QM;s@a8H#p##AsUY@b$(=7sDu*|8faAOmw->_6kv{9FPIjf1IErhk1{Q9pG;aYr8Vg z+GZ2Mvj_5TeRxm|LptsknvU>PVX$=`b+5_5zsTiZ#wE7H2{iJ*BbYPy+S1uq6g;0h zoSI!|ZAs%z`EWmJ4DXGYWCkI=z`!8RiO{_3c`byxrulC>n6iOaa-&E7GXj&G5Adne z>Bm1}ETD<=A1UU)rcyRQt{*3mFcS!EqgFr*luKMMf~^tn~L2Qogm#>@bzEnZN2vixDgy*=Tfjk z#av=s3GObGqqu;X!+uJ@cisCAyC;~8vnuSqy2fO+R9z!#82S{{$G$BCPr`>;0x%`g z4J-eVr|_Q%U=>cF=BlL{cHmU#TJq!^!Ov`)U))DOXkPcFtFcG6jB%j#l z1tRDPr+Qfl+hK=Z@EI*9A-1K@0qe;oY#w5)j_^{SrvOS_a2ix7{Eht0hzuo9uE|ZG zoxy^XVRr`EjmBqkA@SnzzT|)2_H!$ED*)pIBa1IP;z3v7iigjSi~KvCUoa;tb2-9W zE|I+>x%fDxU?l^K=Ph%=mADI7Gg8~OKKPjF4auP^s2Qilx%$o2D4(+fat~A2n_x;O z8sL|9U+VT9V}Yvwvw7guiC)aw=3o3!1pO%Z^J~!LqY=7BR2#X8h^0Zw>KlT!P-ZV60zOu}CzQ%rNdg#k4M&~9?+^I?Fdpom z8`AX9fM^*A16A6-zZF*n&HP73!LkB%#fP@@o{~)@(nDNh6K5YiL5Z|s#b>Z$XSW9a zFa}!=#FQklTvZ3`HyF-#)l0r27aOhQd=<^ta?l^S@++vrM@0?$cswTUUVX6RFi++Y zOzxf{G;%o%dY5PE44fv*8HX${MH_rc zN3f1kVa~X;k%)39ijqlCM5R6rUkARdoP@QEI09&ykqK&GZrbP4sZMRu&e3qZ-=y=j zy2Wj;A9VvBa%Ld;WkuJ?I6I%HXF$Lg+;=HEj4VQP$;+e=x;gbU#8N1e@8^8O#sDU$IwOgOEeh0z{j+;X zD{Mg6!YwR`t>oq=`Qi1XtBZ9aJ5-t-sv$|tUtR8(K7=X}y{0`U&;z}x5dWnO`!pFg zB`<04z0Y67$3NX2B4xgBln80@0aSB4Eu$Kk-mE%oYcWE<`f@M$=(j0-IZ=s#-qj=| z@O?1308;kQd8U3u`#*>ZZC@k65DHY}6q}N}4Vq<}i`{>xu)A@(_Ni3+nDD4wYg6RL{V5ddf#j;E`Mr3`$<;XK`T|2Z*Gh)pGR^}Wjt5uEl8Z~ zjo}|X;1Lx0EOiyoyP=3MgA|XnWt?ttpPwg#Eq1rzTpq)_D z)%;3X3iRdIw@IINFPB(05&UbMEPeY(dPUjI!NAnb%eh^4}5-eo`d z-tZj+Gf@mZgh=4K-v(RrMiXI{k}gCH?dx@`XWfXb9mD>1qxnGLEvFO_+KS0@;M)tr`T#9OQNp~7*R(4K4Ke#{ z&1gSAYah&O%0J532fCcnug|kyl|OKvQlVaU_u1&Sek5Y;M&K94JPI<~_L-2Zl=Hzk z+Sx`T{FHOJGY$2~#)D{6qUQ}nc+i^B5Oe>axB}C^_#1;)_$z-UW7Gn`E2(tG7NoB2 z#T!GgdQ~9;Dt0;`?rD#Pj>FoSSBa~+^Tc#xPgRscD1HbAENXupY_KPNAeF#4DnV}K z7aF~r3Dx3}kychUpzS?{va@}#;-HVO@agRxo!LVVsT?&etI+s&{l9ox~n!GL9<$%5!!fjrs{A)&tZ?N=G*iMTtbNhmO^@*Quov~QnYB| zoXW>-d)>oW&HBicQdix?A1;zpC*$NDA%TK6|7k(4o{`cuB%fFM(++xk7iqpoL#9pB zMm_I1pvad^UT_jf?Xk-Vbs>TR?oCvI*iJ9nRpId!!fN?+Yul)yY>EK72&wJuLe!v< z+~~|YC)Swu^=HzRmE5SYyP^Iot)RmmS{1R=uM$V+dc=+w`yi@6U zcP<54vGJ#dL3j-;sH{;#sx(@fH!=Q=6DQRx_M8#=T+}A=JoNRtBs6?I9avV`Tncfu zJMSM}9aXE_5xnr{0d$l%tX9x6<`t3HH{RzfsAk_t5uek< zSVu2q=>WE>tCQ7eO$Sv1Eo3k&)nG)~OZY-M!m)};Wy}J-X=21K#`tPCzJxXx+a~`l z@iqypC|8p9`lBVwrrY(0o!1ev%W=9zl43c!jIhQMh$%D@h?*0*?+NqO-v%;EckAjCsKYwFPyfL;b-VG zcBUwE(r;9>@&=EJgQ9py49?;sqMzDnoTBx1V;x^Dn>Q9`)<38SQ606Lh6Kv>s2D~| zu>O);#Ztq&{0ux5d+8U2(Nav;)B&odI{1G0y(@A1r0qvRJqnXGLziMG$HFehi=J)O zdT?p*O@Yh6qSy6OJ+O)<%h7}Ihqb9C8)mPDzY^S6S+Jn`>_gZs*;}g!?G+7{5Rcz2 z@2j-?3$2&l)IescIPLE;!susSwPjbbOYzvs;>ka2hmf zM}Da-MYoIA>prz7aq6Y%`7JJL^wExqu;dUDz7#CW!seoiO)F;bbQqv+{MjS3&W>vL z#seOa_)=GF_AkNS`eqjQe_J7(!SIb)#_YsRETOFsEAH zQTmNX5~3U6$QHrfqZItXpHBC+SKyZ*Rl)EB^G=o{7-_DbIX$+xc94~UGO{XFyB&Z{ z6YY(E;FDnpBMVhE^p%&D0pW|s5aYt9dp*pMZB6hAt0-*6iigb((c_C7w2>`ScLzS&V`>Enbbj$1>hUf}8 zu1wFWZD*8NDdMOYGy+$pO^S;G?BI4Sx~gGJakXQlg=g)56B?n3ias8f%T}X~5AJ5- zS>z&X6h@HlzbTv@x`9r$mJz%z$K?m&%C>KvPQ~6v8?y{9FHoi|5ZAdR&6nQDB$BZ-aoW# zeYBMrY)?fT7flgZj^=2ombvjuJB!A@mVoN`ZGLFLntWK+SVNV!R{H~$p}Q&?F302Z zLDna_UhHLrlYv)1^K`A>149_jUl5~IbfX1Bc70E4kLz6f^Fqdq(VMtVDOGIUAJ7%E&R5-iERrVcbj2Rhp~z; zZ|!C)a@1eS6)m-d^k|zNPrl$gv-*+3Cwlv(=ioi9g22`QldbW$wd?4c5ge^y;d|2# z)t}6|82zuB-v3My$YE9Vo>Sp9e4ev|dUH9~3@)c(%3Z^e{aTSK$j948R{%5y(~KKwj(#`>t&jg-jzHT?Lw z6~WGSSJxT?&=hDwO+`Bw%~Y)Wy0-8ts+>OB>Rr$^xpA+W#BaEec<~HH@>&`m7hUkw z%J?#)9F6sl`Weh9ZKxtxSYDg!T3Fi#vqCR;6@{5Npx2~4`3SfecMxLF3}h%>RI8)s z_({9?GjL=eM0-72f&Tz>7X82ubMWbClB5lD6*`erGJj^BK`e5Z3gKUo{ z#1^fhPDPoq^^%!-Dfwk#eXW`iEj>YaTj;Ep9IjTAErAFGeBsdXT{Y+t+`8jW>EiKp z25Vkc=4NOIAK<{Ae`Qox8PO8n$kjtjdyLfbNDat1x_GIfLJW@=7k1}=e^pg8TM{_G zjAPo{MS1{b(;ZxaHNN}0#=^6_ce6#h0P}wjeO}mXqX%ko*Ceo5_ThZkW=*e5jK6lH z5IZDapg}P+s>;p-dbLAKZ;U$Vu(1OpMGZ?dUT|Gd1LHn@5s+FjS^pO8=C^#W6su0- z1%q$2E;Xt6B^s{VpS5jGSlYrC8Nms_TcR`V@ime*qLo%=jlaS{0pLuL%I^?ou)pAw z%tb8ms{yas@kf*OGf^27U^o$LkB65rRLO$BB`vJlhn(58;#!AsjVh1f(uFKa;8GKx zC@2mC@1iPvw|}R743hp_QjOfLGz?c*3|2m4bAK4FiiOSLK%zeM7)l+4iQvv~Izz;> zG+!_Cd+kR>zC4H(uO;z-4wK`NzB`o6O8*=4A`+eY+$4i!&jcFcd#saojBcxDm2EOXw;NUH|Y>HwUUQe6-?4BE=h9 z^~TL;od4YJxp=eXU9!e|NxI?YT3UJ;i#COJHX_|JXq@+>rG$6(+=eCoYA;(aJjwr1 zuyXj7CO5lah1f+|lRSNQ`sP^W_xmFYyqBd1KgZ>7tIW`^f8{T>ls5<-za5jbM(iHf z$^&kcq$Z1u4UZS+xL_?#%JkoKD^F2UkFr34yJI3iUsMuX#1YVhU$p>4> zn3@^;JH}1!>?48nppTMDew3YRqKp{VbvZd- zIUBqUxgH{nK0M?K;vtAR*&!p|@v7`pF#;&rOHH=adEM}*6W~G%-%XbXG{1Bj|E=lt z>dnye{(WwgS@l-tR7{RVS9JZ=qcy_R#f9pfU7_!V6WL_YD4d8*J_kcCUG4853Hf6m zxzJs{sODgaEuq<_{GbpNRXCo2QC6Ts{!t3La21pE?9Jc=!ziIDoAzs%^}Ci5xa$Yt z*o`s1%6A$TZcn+u(PbGItHJ87qWTuz!S}s)o$GcW>#X=BpjqCtiFH>sGkLTU)R9sb}v@r+l$5I z&l6xZzitcyY>3jQTSeUBZN)=f#DRgw%6U4`fHqfgkM5;7T=l({JSM0aLrz;P2GJ(b zaCw!vD>FaCKHR8C+4{wEW*AawyE63|CkuMnU=7OuC>l=-XJbd;4>@9zs}a=b@q`0* z*dqE^r|;zBm@uBOb$Fi?7&MKzT!enYPY6xI%)+S9P2fz%oWf$fZqiG@Pr(ESucdo; zkcn4WC<(SV&<3kk41J^-{>6S7J`%6aqNi?T_53S|3h^KMMUu+*J`$C2KUIVs;qMwD z%C`+2N+}K%4 z9VTV1+19O@tFKg}3be$9s5kpL!0)u%@#9m~khe{cqz;1bJE%in?v_T)FzVnpDTT)U z{i|yre&jyIW{RN##VA<%!rz@@D43xWTm4N`$2t6OQwAF6VEV}3sZ)4A2t2yMn=5_4 z?3L+F7qK0|c%6iCl#lB2YC-$+Y!u&Dmfj!N4MuGBlTjA3z~4yOHw1Jfwx))ksDm@# z3iCe_GrTNE<0drerKtCB;)Gse3Ae2Yt;>DO-yGS$^!be{0Ar}-U_kjSeV0r^mmHV(XfjAm zX8HxHZ&{h#y?~48$}+oXkz|4Z8i&oE?@xTp^BJtE)!l{=vQclyALWhym4K*!YY9-o zu|3Deal`F(=fYB4FE)%^T9tBsoMiHhp}qR+K1C6JC&?O?%b5k&s!qcN#%&&ycnL-F z6=|vRi%G{L7|3W3pv|I`6X zunLV%jw(JwNM0uHFR6=K?N6gRmVu`?ft2~4-`#rs2aB6*#U49rYXdz)lz{ruL% zl170Vs$QP3sky)JN5yvB&TV_rb|?or^g zk?(IVEr(zo+3Ht}O2V|U=cg2~VEXV9qRzb+U3Q0&2Rm;*|3!p%bK!GPBvWY32g$V2 zcVSy+SNC8HaJ+sTPfK$fRW4V{JeTb!?ZL>Gp`HQ|vBqacK)6;}G4nr#)+1E{L)irn zz}yY1jY3%B8)x{`4K1o$xVJwyS5)Z zVwG(-Io2LGZ3;2Vs;pJd23Y;PaE_H&$rARIw!c|R%Vm6cP9Fx zd_(}hGQ)xEj$w^Z3{2~Z!u5bRTCkpQj>rE2P$r9g@&DUh-uiEQ1L4wk;O3+dPMaL= zRrF0h-$f?wDzDbxS6+pu+?vFDR~p%BEg`ae`GzHtd@BAg5|-vxSd!rLAJjy=FZHVdWX+QEnalLRg05xUR!%!X2%T7QXsp7qeh56+U#EHW z-6dYd%8s^6q26=j64~$hd_g26?D_9{1@i6tv~|jVf!AQ2m8gQx6fZ%ABANN!w4R}4 zOg=|lekX=8aj~~a>x)yuJ60FsH}Y<_1HlXyHylRW4}4LbvOV$o1MXWuWR-z&NM@1z z8@6$G){Me98ybbzhW1%in&PsBMip;P-@ZiPhG~EhE6fphMXw&6_=8b9GMdeEpdO05 zY~Y=(LYfJJtdt{D&?7Pok5Ns1oD?)xKQ?(g3U{pdBVoaR#`+>51Jy<=d4l8LbfOWK zb?~O8`gA+jcAf$F6hOqg&uh$VW{sYzcBMI0*InCLOn(pU%604le^i zUOT^cBpLGr-tYynq_H#hIvKq}Rep$X8dD;t8nevLEIgWcV_p2PEWK+8@-O%Z61|=I z%onH5n;DfnWhDC*X0JN-V{HY?tN>I|(tXxxCyYSQ1Klv#7K_b*2T#F*(Tmjak9Obj zM?REi;s1}V_YP_@de+AUq&GEm5JWVHpaen@X##?R0i^d5dT&AL1Q0>GQbXuPr1v5< z^cp~V?-06_(CasT?!9yGZ|3*k$xJ4B-)HxneRj{5xop`YBXNN5gMWDOWJ1ifgP&ZNWHy;5O!P1`ac4Zcy_ioJ;*A?g+;|l=S`~)>s+YQabG4^aMUj zA#wj=(I=jEuRJqkF?eNnZ{^*)C#34t*&cuH|1%!8(m1*>@0!JXoTO)LI}JrJgY8)shT?QBrmQX zJ)`MH%n1*6-UM%cEqFJYuJ7e1C9@!lPq ze4|{hy|N$N>v_=V`)<@*?g{CN9iEU#d`YE`DpDh#P58Ph_>>rrD06muyG!x>fsdJo z*zmudXM;z!s?C4kRuo>Ft$~6wOg}epr*Ch}Mgr-qgtHreg^Fp9W@$=1?T)GO^j-{t~I%m7eXI&fBw>=Z#OJbLW(Hj%=%n0qTJ=x$q>vvnKzf zbec$IX{OVSQa|wE*s$?IU5NH^ckRbch4R|Zq7<_e&u>v-8)gR4fS|V{92V)Ok4GXoXSBw z{k%eAa{Aq9ob!N&GzQ@kLj(x7xGahw?~S5_rXpn<*Xf=I)fv}HtIHqdXcWe&gYHXL zatu=`h-l{dQV<7J$6NHkYo>pxe7rb5HqC|qP~exyO8QtA!k%3qOMdqKq-!kmUcNRP zgifAnOR%;ls!lq{A#kDBJmf6cxon#=x@V3ZBZ zckIAV{dm~-vqX5}^4sw8&)j|cm3kuX*0T%uk92{C79DXzO#Jtj;WlJ16Os7i-!n>6 zQ9oVocTRkGuhNnv-nmh1v|)<` zfe%_|4-P-{R$IeW+J1lJJu?-_Z}Iaf`t`K;mmV%R>!-7b0qH-G-uX}WR?-M$gl=^? zk;p#pxk0~0kslxTx(I@%3q9FmeOh;LEk!KR61bP)$<_<<4ho3aavoC3jNHOtMLGwqYV--#s;^?9zTBlDlp{`y)!# zzRizztm{FLQC4H(Cm(dH@L>_%OY6sP{(dJ6gOe``It~B8nYO31WJRWb6kh-Ny4p28 zP{ou(F~0aXrTSA(l5d7xnAPLJt1TeB46=U0{RS&7cK7(zCZWAcY4rOfy!p~$eQg@mXec3RLvxHfm85+f+TrpW_xHU#ymbGFY0NE&GJtoN!sh3=VZ zz~%F3>5rbaD=!%j(?(SCo>#T#KKf_Wn4-bgLTvXY-X_n`Y-pu1IJ)Gs(S3W|-!v<& zQkgKZ9;*6tylT4Qkzg_n`S(G4a8^;QYQchZ)VkA0!}Iun@tn+y0~Xn0%|rZo_FJ5^ z`khavdFAvpc>~MSE^+28jA_$m2wxYED?u@zfFAyYFeu$hm{7=3e7Pd6Hg?-mGL18i zVkJ0BZ;f!(7H7}o_m5Q{ZTx&e!K6T3_nm4DseEb>U){X(0_Oug0Z4w|S+_0>a@^j_ zkCRtZIw75^u^;j=q%?^(%-M|2Xn5M#$Kd<2bAtS1+2VTE9(j$)QmS;QN&3Yo6;0`= z`cL?FYTeT}vgF3Qk3`N0Gc&)dw0ul`GylC3 zXP#15So*yXt|2C*nxfL;jlLR|jc6j*{<+2iCxuBQ_&_iVM&7=k{!2bu5=dA2DG)T` zpx{yE85`-uvr!WJ!M`R4qH;~5v0Gy+g1-kZRZ)Q%l~m;rn3~!A%VmJFY2;S&Da>e45O4&X~lKy_cvzIwf91Fgwe(c~&M?Mfa!beA`m~Z)H z$C1d_FzGg1nocc{X(UgUo@yfXXx38ciVn4u8~77GKF{a5-|-?GpdIu+ep8oG1tr}8 z=rWTR{DshYF!3bP{MA=HXT>MpNlg9lcTkrrp)4^BY4)LxjzB`+cAq)XNP~Wxt6S!a zbe3YuxM-W>XAg@AuYyAVtQBplsY~kGUv3#RJ)-{pb##2fmbBRaK-7k}%hC_XzzhB_ z=sw>2|A$WIWqO0Yu>tl~jI&~b(TxX}DFa+`&b2_n-%!BGoAb+=XCCzHINt`>!wu=f zf(=PU20Fn+r1Me$9k1gvXZ)>!LB*0FysPQ0y$j85;nMOl)q_VYx|u<2o7oBGG9JBT z6h<;Q^mv<{$b8AV`242x(PP0MsXG}^gfTNh8rA0hufTT=pShXn*$Bj%_GGM1cEjNf z%SOowX|F%k^h(M^O&CdXGgJLCgh{dy|M0&Y3+-0n!9{UIL`D(l|Rmu#qRMB%1>JIeP;IP!OB$O|heSBZDD4PuoL#=mED{ zNzQx9Ai2E}SHjft8VaM&F+Xb8wh0+@TMD*B&0ntk(1t~2=m(s~2sh3mza)N1WCy9Y z)iC@~X4|Vu-x1B-$C%?u-;aV> z>-}`ys%c-5e1>{({!m@z`Yh@_Q!j_F!}OM8lWF)(Q5veL$bWat^y=@lDJaV|H{kv& zTHP`~3{a_se%gnSz32jy@{daVe~>iZ=IRungl8mq?}hg*FT86aR_EnVAkcCXI6d|) zR*4^)ZvB(uN^-%}qqp-0HP1A*JH2shmmZ_jDc2H4hXx*+ z-zmf;-vQB(h-!jmSf=#-^R5UEl_D_39@qz;qyGM z=70W)J3&dG;f#{OL))t~^Mdp+fo|#yK6`&+@uTw7o(zmtqi6VnDft_z)-nwdB931L zFUX(q-+$(|NdEAB-g?p8;jxW&{m1_@u3vFH6(1L(c!NiQ*CQ*{cI2no>&xmvd4J%W znT@2N&1u*hN*1;}QKJ%89M>RP`ziBh!fL^$HqU-OI*4RqgXRNbZi4)egA{x&!*Djm zNcGxap*O0}mBYPIl~LPyx#hT5*5>Tuv!a0JFhkA`5Sy7%LT`*9)R9lQ*;(hj#`cp> z*txIZdYdTyJk)RieQ$vT2&TCW{7tH1Re}|VIU?ofh(5aJWvS;{;W09PFzJl$yK8uT z?Ss#Gv)NLgNbi!OtrD@+YzP0mk*salEgl*#JY+zj8cWTXXEY#ZUg`V?Pwk!~omc~~ zSYDvdi44ba2XGyklgO)xGxJ6#?m~y}zqd)!>rR7A+2eAB>_hJ@e4q3+0ihw%74 zN|qr?c@0CiFEl|RXk^7qhdV@*y3GE=>YR-vhP}<`#yDE`Ce2^-EGBh594r0-bd_=w zA~W=vsQOAwd4IcpCOFfY9TeyJA)hjEmjgbHcXsJUA6|6L z{SXs;37@EW&`bu;NiFvuE(v(je0o{^p3*34^MY0{GRj`bjic84Zf|vNF#nni!;yjA zOKu$_ZcSF~)S!OrrxrpZe}{5~wpAP39!fuUb|mgj>)T=f*RtLt-64G6sr*-LjetG9 z&w;1X#Q<&_eijBkYpI`E%a6$3W(^w*{VuJRl_;ToVe}*){`vaVM!HDkLtF>Vq{O@l zCntRXKoMM!>R>bP=nnXY@#4a29Oy&Kiys!XnYr2ZzJidmo+`i3g1S4gve)zO0Ytfi zKi&hGvE#A4`Dw8kd@RgAxC$GcOQ-W$OBX)$6#?Isu&&IIy=f`A`Co*e2pVlTF$Gq_ zw~~Tg{}*B>%y`Ua1-rF>_!gWOnAT6eV8$=W2@>`aKbPWuV!KE(@eLO%^B?E)SIF|& z27FVND6(2>lOMrTyY%d(ENM16(VXMEw~#VtKFXAi+SVgY52(_B^ty)LW4EOV9etKx zG9;@4aBOxVr;)d+F${vs@&zpv?|TlLJ+>Xdf*yzAZZM!Ov_OlUV)hTefwEfS;_DXN z$^z$k1`ZK@ytn#BN<0ZdSM@@Qp-PcOqku|{2LbWw`$bRMm^xW%sgNrSxUByF@mueQ zeta#Iq$Ghs_zH?0q`cFfVZye?-yDYM|8U5c7N}~vE`alesl{aIyb0#n)sB@eD9Ml+ zsWy2>q2PhQcPxUc_{f%JeVrOt5tXrzT8Yin6F3;rNflJ_JZ4zXyhFA{&*7KRlib*# zQyA`ykgzw1-m@?Euxa|^%R^#4#;u9B=(ZU&kvlXOEscX%LJJusz?RmOI^^8rN0S}S z^Tyv;4ek?0NMX{5xM`Ty+tS??s78f)rPI%O(`y^c+tq((2Py|edh2g@a3}72OBeu!U%__ z-5GO-cyhn48mOaM_TK^^gx+6AOZU4|r1;APQSi<2wup^4-9?g{p2hM*Ps{Q?I^a<_ z#IKJj+xN=S|$c#P8j>qE`awcCH1<3;3j$Cz1$MCY{b$`1+WqI#b~bks9_xK_|Hw%yRJ2&0x$4)%~LUkh*3sSUbU)Zq?L(mU}$bUM1j|LKu;}@^{G=5hfsJerRUb`MWImXX5&fLd8S!V>x(>?l}30l#7lxv7=YPLvu039xZ$`OyW6NE*55_(E<5~2YbuPTTC?L`lsE<))vMb=| z$sng>S?R;M=rYyPdWbhWBnub0-17Vwn#5vDsd+OiiMOzAF9q`W5ZcMQiM>x2;R|hs7F(jSdrZ29dL8TvU_E9)9smq49oCd~^8xTuO1+onqZJnIOK58x#M^%vsV-u zaWE_U%$}gADs!~)Yl?mej-eKPV^dtMzMb@FZpp{pHv=7`yK4P z0?)M_xkp%-B0IQUxu4O> z|1J@@#(9IR_4cCg;}af@>*H$t?ct5d`Xd>ByRbI_gB^F{4mlLPwK3zw_FrnYbUk%j z>hs`cXedmg6xOz+~)p+-Ddx45Nn}FkCbuPKlE$3xpgQ3-! z!&<~2GuJP++m;cv^C%PXg(~43YHA~+;n^^SwPt(?5%(Fou>z05?9jXZoVoDk-!xjs zk;#?iRurwjgYH*mKmOQfPAQ^@q`;LFv!qK9z0H48R!he~HSzWh{}4%0YB<2JIv(s< z`6pkz)Z4K zZbml)^(;~cvj0ed$7Zr2waM%r4-j|06UNbAv4_&O4Zn!V7 zrT-+(Lz+S)=}2Zh<*s;2Pw*}j@o$iY^>$k&pgZE$e(#cp!*ER6}Ll(8HEr`GT_bcpPD_HaFw(!j2SH&R1vo28|KAjHdx8UfZIKy zj<=)dgpF}lzeLH4GJEt&=FJ{A&_0d%-c#-u5IXipK&$nrV9Sj1`FK3^Iauvu<)!DE zJ?$H!3WkQAwz=O~En^7HE%kM(qs^(jW|D&>NQA6RbwC#!WEOr;f1XN8Prlopp*u0X zhTK(Lud9op`usk%YeNa4#`KlA)gQO3I?)_zU% zM?5N+O_* z^)@-{NTs=CXI@nv|U4Q zye~8bUk#-C)RJu4;oP(63r+J~?DDEIidnSV#Q2FB$qC=wpWcgBQB5^x4cb)A9m;HY z>8$eFJjLRP#k1V+)r@g{Ik|;2IO``SN^ols2>97^nXS1QVnei4G%gxdqE1>J)|1VAGALDtJ?>Ib> zY`+~brEu1-^P!XAlqa;tUd4w88aX-{-9DT(s;<5tX0Y9PP^;T#zTFs0&H_hL%}J0X^G&*6-gEQ7|ROf-L;r%c9iVpRH?(U!jG@YOv{G2G%l(>y(Stkj!Wa(AMcd) z@0anOGEkBcb7&dt*7s_Yzg_Qh%ug14rzN% zvIP^AN|$7mf6vkuz=gZ9R;yRV%@H9=#i8-yupO2+v_JzgWHO5cZaAoQGB@i z^F^zl`aQU$x5x0C!~wF32ha0XH9H~{c5CCAltKwBM=T6LDRG?Fhp)NXHuHX^iP8=# z-e`s4LJnD0T8!bKijsJAj)SJLA+%$ z{Wv)DAaPb>)LI1Fo5a}nHMW}<^%IQjSs$y@Wa4q0AD?R`@#hbH$w>B8u%hqw5qJumt3F6W5+sJ*Z`w!x$nHP;3qp~VKsKc37a0u|I6@MP_BFIRu zI>kyvfgB>^IFor1!nza7l~%7$|(k z_QBcFIX|8^k96LbI+neReR54Enm+&TaXg%z`-9q|eJzs{*9lCx;l`wck4PwMOf)~i zP0Mw{a};`SPg@ZM(4n;cdz`SKXm?(~6(We{7dxW$zLi~47f22%o+xZlI3)R(Amqn7 zVzy}5l(4z&gPKP*89h|BVF^^E63_HImX&e|@SMN!{lf3|A#;ElWHotJ#AD2K2)8k1 zl%G9O#}x;n)FgxwI=8brdWkTc6~?~CBEJK=yqGRJypo$1b9t{%ycVT5U!JABJR%iH z$oRb`^Rh<5O7BQB_#MHjge7g|@m%82mG0)ALeCrN9=$!LFXx2c$~AORM;cG^|Cb~F zCjt-y)IR%3>?;1!3f`GKJ3-f05(52|Q{;lrzGSbbE-6TmhV(zv$T@e>8RYpgF2xMW z#LdV1k`?Fjbns!Ze9PX7NP1jAO?C8xv?t1%dh+kT*4p9i+D#E7AJVA!{z%~m@Yy`I zA+uw5;g##JY1^TfEr)mbx;rst;;!+t&TYk)9~R`5Md!bGaFx*ALSTOPue$|X0$(dZ z;$64pcV-C#t+L;J-{s(6Bx#;_#bVzn@9Z@-m~x5Nw{TFv@3W~6(E`Z?acvU_Vc$MKjPoMIHL(XvG$Qw ze-DxisYF4Hn< z$tI~~>@{9ySFLMW!l@5_1IBOi6u<;s*ih0 zL(Mj=;9L8?^9peASuR|Nk{^D1Sb34ohT*8jfi<{TeI>PbP}MR2625nEE-Qv6tu_eI ze2*y*D~61_vJ&fj=tgShytFrxA%G>kqHnI{K?MmZIe6qFN`IS;{ z4a@>Pa{yy$`U`%OmEbYX+(z^D0J=q%T`N$eW}v^D6$Z85wrqX-3y5$Q^`~icyjD() zx$Fm(AI4z9P{~`>ZO&=MCDDWQ=DFnA=hyb(~it$gF8J6%B zIv0LpJFp>PJ}~v_{f$je+xd!b5zBHsAMO=i&s@VO*~PmKWjD0Jt}!f3HLYM~u-XXG zdV`&p$q+d8it0?E^^K3_is;PTjtMI3y!v#vqvBBJb))-_-PvvoB(`hfr4Y(;DS3v# zqHa1>Zm$z&iSVf4W3Oo|dy$6qxLg{LQaelQYUG2c@EZ_L>c>Vhq--}8l!O1x!Nf)$?mjNPMS52x`R^hI{F^ved9OY>vW@VtP9FQNUp_0A z{~yg6=LBiF7g3-Sc4kBR2@{3w3KE?TqmlLI3m?FkPO+kPFZ)!EOz%r1v+)5O#%TrL7wJE&@_Z`urinNUY_*}VKB zY+!%TcN&~{DhfoiMB1Mx53jU^HBb#yvpCU^&pX+|~Dh+Iyp4B$n^qX|nXuSO0ecAZmITSV(Kd z{Z?Z6p*S~IdkRzjxk=Pz)*TX6yBS)%e#zHc<5(x+!9qdp+z+*W&IE^79Y)=@TmPZ? zV&z$g`NCp=mVdBS?vggPJByGe>PZG4us2~$e|xTp6cBe-9z6!1%Nk=|M3I7nP4y(k zS>C<&{N&e3Z_xlgAR7K0wAV0tF#$yD6B*sZv@3sy(Rd&xq=5b2BVtL3WZM=W z5EOdJFx2J2DjhO-M`z^XE`}`1_R-Coj-q|68Q-ZXjLT4rh8$GWiqc$QbIkSVe!cK8 z(XO2$@v?ZsCl#3K-LwOY3(2@Om+50k&$BX#%0IyJh+VaL!{f8EcE6de1rwmjQ_&Gz ztJz|{B1yC7ZOOx5*nL^EzzHp0hYd97hHXA5#>uWP%jQ6~)WoGzG{&A{bfn*wfol_*JGJAl0J~@nJzf_$x=}WAsX7>%;CYW*gS> z0k?O;d=0nMSH0J`bC|!z5D!F6qav~32C%y|Uxy1j1|$|i*gDzdAH0-^%53XtXl3|) zfK?Z^-KMT-MR$qR%|v!rdrNG7npm%b*(b6MpuiuLFr5ttF^A{ZdXkW!^Q9ba^f`;z zkkiG`>c5XF#+V44g4o%84fpUG*|kH7eMM8xOc$iBg9TfN20uF(HFlrV(?Kz25_jR4 zaZB&{femrrR52An&J+1_I}P}s_+{KSd16XQ5mZ-Sr}-tsRtY@Q<krM2zt0`Cltk;|uh{ zc~?E_E`k$wO1t;lBj?-UdGE-4D!?M}=jC4OLI11Mh?*}%;HXa1QYGIeTx`{o5X-!h z7|JGj%!YDbzaHRx?YV$MTufrGVfsp!Wl-{XB<<@IOjk0InRp-(4`3>Q-tkWq=9fVC zh^a$FV2ontz6X69;`}G6ATO72k3G{?3U+4{=h|2Q!mV~RD%CD4O-ecyZ=84O&n zt+0ol+o0hvwUFyZBi%zN%JPpuN@btJ6b5COZD7-=(Vdv-H+8WChB&`WH5HJUeXY9A zTv3a^;3+U~Gh|#HJt671)^HZn6qnV~PX5#v{aWMziwi*z-i+OxYIUrIx~?(<78paJGTY^L=GM%Dd}Y`Fd(fhB+;K8%wTde z7*dd)KMY8`G!BV%L{(#9B#Z!eRN`)&A}GUZ=EH%9QaB$pDCX>@S|8Eu{1qY825G+9 zkaUN)IF)Tp7H-0zO$R1XvP*%9&oLtgmGIvDYS52;Go!xVK`4fYEMFcWD9L3Mm>4#{ zGd?W?*-&)ekv6>vv;?RA%PUU5U1k1JuJKs$LCLocrkggo(ekfyWNodeQvE= zQ`Xoj006a)2v~M|GxqL) zw0#5mrUa>lnS#vOAGOX?=THmWp~JH8xWcB*EVEtyX;llagJR=KINekteWT5T70<%!TN z>w%S13^@Kh->(G;r{Qs;Dfo0-!hiAjrWT*PVq6H}^xR=Hq#WsoTVPV5$i%2C-=OpU z-8%ZT|I&O1V_4K-U*kL?x1~P6%grrc+Da*nuZG3FH1tp&r)hiL z$%o%|hAmr%XI-g2Js=)f8Pubyc0We#+%D974SA@071ES2{NTDefHYO|Dx`#^?P%Fz zxhAIia($9!?t&SD{l*vd0JfW=S9L9eBB?-Sr7YMTXDc)8*1S_55L~a%N>S>>8R8-o zDFeWwiF?IdxVRc0>xJ3_hZ*v8*R;;M_IWjV<743(j#n4rMUVxZz{IG}=wzu^98ybY z+S?@MyYywP4NN%;?juG&U7QCXVwAv}UsGsi&yngBYCH{+ z)Nt$#Eg~^Dxv)o6e%Y1^;e6zN9}F7=^-~~f4|jwCNsaF0Xz28UlP|0lO^GINh2$So zLxCqtreU-td&lI(`Weh66X4%K6VB13fju;7eLRB-+whlpZPA0jZ5fxqoztX2LN2k#bF7Xa5 zP5K`}FAu4G*+KNd)-<0H(tJ=8)02NT*Jse}b`jUd{NT)co9^Q3yCD61tp@iKy8?XR zi8ME8rr_amZ5ycHOUIv)dq#g8XM}MY#8%fb%M|msL2=0z3N$tpvSONqvt1RJ==&$u z|8TB~E95G^M}0LdXu0b>NsU_R|9WCA@m6vohPTsgZa>#+0*zTx^;uCsrjP`;0nE+WOQ zY(OiuV|!uL8_5_#1{#^C;0sE8#zuYFH;@QGFf@^R*HFXo2j8xlF*Y#EySh?=b6f#n z?0MaWJl8LCIvvS69Cmo`2Q;e!S z{=1+ED^}M8@BZ4=Xyzlv)5Hhptag^)IxfP~njp9O?ci-IDm6PCbuVj8Go)f^f`Y>W{=h%P~ay{6sEU11bzcz_rW{)Hu(Nd%~3+u9<2*5Az4 znF>H45R^w7emwel=tKY(E%-I7HNXS0)HaEZvIuq&EX^0L!M zsYv#wY?0ddzj(g0+c?b#udiLO`v7`b##_?2-RAQS>!V^i5Nw|A&P@IU9hKfR$vB_WwL=0<~Q5{sNlIDpU2u1~XYKpKJ7a&>Th3nR$ z5-BXcw-1(WJQTIWjL^`R1Y3+NArMP=04>B|>vAx00HYgINqF8<3A_GwHMlUC09dzu z2mIHQ99-2Ni~t8Gg~&4`M1(my!o8cwU}qz)MA%4qL1U9riymWE-_DWBv%B_5@7z}A zXtYwr2dEh#-cX8>B?}^S2NVfst_=}JVf;uxco|ny5EL>)_S+-uh<&bM`k;A!?ELz- z_F$2x7P}`h-jP8t!=jjQXs>a#F=yJB)lYsQKae-LUeTyz3k<+*6r_j%WQ#}+YOw<- z)_>bU)|uIpSn2!dgSmjs4Livcj2W5|zv9QetEZI=%5$)j zbzGyzjrg4+VL=s`s9br)Zfvr`4vCHd2BQ-TKz!d;lxo6uN#(EjjmH-*wV(r+joJ@D z+a+JR0z&jy+h~|K!<6Kfe9*H1;9p$N0c)QD*DrZ#Q-}wq1MM-}soa=b{1BFxP_iK+%7o^3O{c54$$x5xa}o8sY+uAwrKcFcKho@nm{dd_bG}!r{NK z)wPh2f8dG3jt642k|2XxC>=Pvy0X%L>y_F#ywWG{I+FJ`;9%v{cZGiEiZ3ZV?U;@mp z(c!qKM2YvX=|SI=S!;X2(sE4suTom{H+&l~eAzUOF(>V!X76>Gs{_!`#_Ngx)qn~d zBqb>Ke7rI2;Vu`;<=8YjBx0BMS0VwlQ?Z}hvA#fZ5E$GX*m(X3&7DTzeKFz~g(J$2 z3qGoXNwaJi%Nwld90=#~I%2cC&diMi6GfZR4>4hF?IQv;BU(`Dfso3nClV}&R(oI0 zJ64WI@qpv=VW1YFH3%4dFj?`(9*(MjA%KtuCS1pAK$xW%Rgtmg=lfpOEoCu`ZIxZ& z%osDPYSipNA|IrgLQ)UR7rf`>dhr8w*4LRFa=rgK92e|^D&46@iTRU?C}Pmsr>ywE zo6l2cUE#|MkG{dvT(1->VCN~K!8#AvI@|yF&Kp|(y<7dYb)A%Ppa(esUwLF3!ePq< zSP?h67&vgX1>0AKa$i-S`ugfC7&3Mi7m`8>Ih{EM$*@0-PX7KDebM!57EOi@SBpe8 zbA!Mw?zk?oSqRX9%m??J#1h{5qLnQ99ts`!+N?Nb=-#0oX_SBDlGI zHynDg=;$GjxZk3P@EL()ivDa~b%iAUJ}er+JV1Yq9H^Jz-6XR&oTj zZ~x3?^HmY*Vtj8iF!6_JLt$dt`Cu9WhnV6Fl=8ul(eaYsQM~MDoQhQ zqm2NGVZtc@q@C1PKL)P`kYZk0OOwN0l%3SaTV{IgjAP?J{K^&oaXot?dza(H9oH#><26UB85!rhp(THLs|JD@Xv2Gsn=-(`lnK z{~94L0?c^-{3|B-QiAWi3dlJ{7SX26jZ7@VdOIL~*SjMfQoukk9zc1642?}$@!fH3 zgo~!;?692XrTH#&BbV3NZbPW;7qa;Z*}=Xnv;q;=*l$UlzK>ou{RiC@RWrqP=kHak z*N)z1=Kp_5;U@x*lnZG?e@lWRDMldf4nD}B#8TI1FIA8u$QP! zzfoJUFL>bP98gvZOSET7b9-eisYNo61Nw7u@{eDPlt5j1i6hV70xHWyEh=nf8dc6N5Aosjj=yNKP z(Rg5e9|2ZGqentb2b>~BbpqMeSWaVZuYA~U&$>{TQ8&g{XO6emVbw5q2m;Z@SyO$} z_brJ>7;`)F%d3lgm@x=lAxPRGH()O4? zTQ^e4CF$MO8oW$rWY(AERX^ruXMz3g<{1^}a?sDsZwkJHZ&Cl=L9&J)d@8-LKi_uq zXtrmh5h9C|SexvZF&0;uU< z5BP_Q9r zM%;s&VPS*@;C|CH6SMUDIDx>WLGB!;=tfl-*^ey5+854WrnOH{0{^1(WxL&neasAVy{>At$Oex zXR9c-n%1i|wonw;T%6$CT`YD4^A#!~oaWlHBlb`aYO>K)IYkP{62VLs{1c@&@F7Ta z&@YV~29lp;0!^LGn*j~~CW)*t<-jMIcV=Z996*=K+-{%>HVhTAST#s8sWj5cII3vnD>Cbuh$t!vpa0__2~&t(vkyzg}=xvrI6JvxaIBoOy`1U z9k_im+o`MV?x4GPRlrnYE(Mq!9Lvf|sst8=$~6E$;|6y@oMDDnE|A5PnUzb5k%9hs zoUD3YTk5tntQ351q%tX?O=7D_Oo3T6ABjA|ZOEz6L8H3URX*a+5{mvu7tP^?*0&-K zkrO?^P@X4U)HnJu93SD&i);IlGZ8u~Kyk_<`LgT|A5# zVmYB~ql5KEP~>&Q#6oRg*wd9h3GiH`l4#96dV`2osNEH0TzyqKGM~EXU}zF#2X8oU zOgoJ;y8NpLhkNf)H*J4tS}xjdH|(x4rW!bH+M5$J8&zUhh;iqJc;5>8hlt!0zLZ5_ zu%D^ayr&Wb6%mNcjzX$YgqA5pv>E4dvVn_&Hal=ms>C|l7jYK0z?-T@M@|5|@xh8K zk6fazQ(yxPuOMPpD=MLBD{L8hu})7IrjLMx=VCC?Z^x{jO1LE;c7;*Q@X zQ^p3=?BIj&m6xDdC;(CGevFNy7S*7fx8|+wRP6Cn0k}w6+4P>bcn2sE-L7OEK6KL$ zHxy<##f{YXM>BkPC-f7+r-4f>ZspV=D@*RklcbQnORBh5p|g%CrKx2xEe!OPZ{$YX zk6fpIbo1W%pDq(mmdmypPx9m@&ija%pDtJYf#epMJskxv=sIx~j?n3EN?GLx9Mu z`Hn6ucGo7A<1DoC-RCddYg1U&1cI3JrH!L|7Wgh}c|Fs;#DNgq*cd?({GZE6 zGsUT+;=Udvza%oz!B+jV8~55_K<%*gxMmRUpNfoE-*!Od{_oNwE;n2<%LNzzNYeQt zLScG^@;6gVxMAz5k<%9%d5(iaW;`~fh{d8CS>CS^Ye}Gz&?Sc(IH|AUh8(N@_nbj_ zafyDhmexZbC2)bn%;dtn?yoEwW{MpYy!n-He~y*^AE`o3nNL5Fx5i%)D_?kaQ$ z_8>zU4b?kr)F3N`p@PrBfVHpowF-t=tE^!`gK)f+_v&U~Dh3f1Yr_AtRI^Z|A6%IL zP)|V$+<(!c{}yg8e>Zp;&df6(Bs<29=$qh~m-rO-A5jJ}{FhYk#E5?^JI!UIEE3u- zen||(whe8vqwi*)G>jIl5=aAI^x_^xzgtCiTwVFFFurh88$1Ue&)xZ}*}Fyv`#Dlr zM1gw(-InfCYZ=~Sd!T9GaV1m1H2XK==uah~7vynp!loVj!E zJ?9&H@3q!m=kP6}w>-#MqlpItyEMxZd5f?~ZB?L@K#PtAwMGn^HJ-3E>uit8%MPnv ztWqaBE%pedMOT)yw%l;^0np#$pqXA;r%4x!+Y=*KRtd%eI1>~!^k-r5`(Xl?N@p8B ziu%A4p^{ZeWpGbhB|v)cF5N~JHPYTZQonUnVOyvQ=%kmguc*HLi)8>e;VX2V_)i!f5nM*i3#?~5 z6TG>?xnxyVaIUMYG_1hsU3~q(i-%9ZH{N?NCAK!^EF5{&!SX^6cBOxx?4QgvaA~r` zxs1XpEsdS)IZl;__828k2Kejri#U2tTjei@j-Bs~LOpQ&V)BqduO=`owvtulKvPHE z8U9mnV%LPH2NlpIPCN3dD=kTI3|KwwTDQ*B(qUEO>mBc64FyCqvB8AE)6>BU#xQrY zzA@8ue19(+$*|tO=*_5|!`MYpBj;fUv>Os>!p>AHSBw?j)fp8QOSYPh?G@p|7ujHAV#0?{04$CsgaC0 z3Nf(tN|Q~%J(sFW_qH~_+o$uJt%Dl^2UN}W-~;fT2$wf~wCqN0QUTpgdilCkxfZ##=y$4zh^k>OJrnxO$P46TfYIE3Fq_t3Lr` zb=^@f&+VmYzBt^HWBBt4;9lWp9+Lq_ZUJt3f~m7jFOmJnXuHUXf1RAcKPLZ^?ELeb zZPx-09FobGc=Zf6BGw{QyMpgnLsFlA0VL5ZbSXtu#GoO>m(zlx@pHFGzO@XUmkVo|LfIkL++wc4FKOBNd*USh^xqFqe`ApokXZa;m4r~UH zRxD>)f1hm#&H=;REz+dofoyxirKSGqN&|mf^-CMzhD1O=l3xMc#M=&IaJaeA{uhzeqx zjW|U^T^7;9+EXjM7tjHcv3`CCeE+x|Tw6jiKM-f^5Q007<0840^fTpocP^Xi%Hl z@9M`t?&yE9p+OV@^r@n9*w+srfg5X#*evrFK|n2GTo2lx>&HbH8x7Pkk6>Wq^vsPF zKe4FT#?N$At8)8?5QgNe%h4P_2By+m67>UJ02sn6R*9;bmJY%6dhEP}$&d_c{`T&a z^pCJ+kMgZ~XF@2~pECHR&Ge6l*VD04)RiiqgWBCRMctCLs~ymBw!Yz9km9A~YF=8o z4Mi4(v-m(ZR5-VKseStEeKtZ3m3+7;E_#9%Rbyy&O%o66~wi{R}hnC^?(M(naygU7JCwPlKgnUd#5YOS81kvj=l)=T4ajXHJe1oT5 zJ)*9Vf^i4sqG0^m1;MCwckVXUprU{MfM0$t*`Kw!pN=3))wni!{tOK`xFjg+h8NHQ z6NZD}VB<-8WvaO7>*`|cc@@+@aau4m^I(7)oqgIIE5%U$m9wM-Xz#C(xU`g4)>9wBR}s2IRK?;U{&&NO)Z_lICk zJozmrrcYOzRa}6MKLf9USu;h?+rLxPmE#Ow zZUuG1r!j*o_O1Mk@hRmGfcuG6%+=|eI$bOp0-T8`iGMqt)=O65;S2%jOCeLkech44 z^=TeU{*d7Bwf1NA6##)`>=Vl@6)**Y{0Q8*m|uAx6@#O_S*@t^LsGg7>$1pk?Ug}D z3?@U!{h40cQGd6g&_Rm%BLM?IG6qgGzb0=FBtPWSz6FOI_Vk{eY)#%eXTBAL23}KQ z;DDlg`#vr855T%-Gfn!gvIY}!p&nR%THkf6Y(Jv=a-TE$93}E9K*;tPVEl{2X*=NJ zjJV|hXToO6#K2b)C9;wELFc&UCzOufo=gH;U5TwZIPbnykXe#SiMWw*TK!8VwN8uZ zNyGlle;38TdCdh2G?=K~j=E#HbJx)Sz}~)fU|stTWC?u~?PN={4E%6Gq8m^6Th4NA z()z`m^@pVP0FWK?68|?m;-@J7*OKxXv} zHArIStLcw5s8q+Q{LfJGXWM%LQWDScC4@0nfg3#yTBh=vH7J}>gY_UA011Ttic=^9 z55*zvBlJAfo5G;*!M3t}d2u0tip=lV0F@r$RwxLfE+H<=;y8n=Dv-oXHtmYQL6}^s zZ*D|Q`uR?{Dgy~{PEIe%kyPS0a9wZy7uNMB%Q`@>02wo_?s=7m=o5MAKx(r^XTk+a zw^05Il>XZ!UYgH8971qKqy2YMct(uDB*e=aPx5Dselc1Ib_D)&@CebWqD#+HKl)+DXDeXAjJn*bkB&{E~xtp z*!Uf2fE4^^p#%IaZ2AK&K0g=2NDMl^Gm_DdXN=^$2>t-z9623an9jAp(yX2^V^9r? znVOQWsn;uVNT|4A(!gJ2#y_P_2n&Ur>xvq8G32@MGqiEecz>vcsPa)O$dPB&;h&%~ z@Vlz;XXg7mY(B%v{|uGS;YJ>ftLoihhk{>GB7q-N$;-U=LS;D)fyjJgTxx&Ab@^_| z=CMc)|5RZ3DeL^5bOL{p9YA$u)pC)Q0z$|xSaz9D4n*1b2}r-R#=oZb{x0{P0}tR# z5r#;=psWPSh&`a3R@l=reFm^v#m{u5pU8+8D?Ai+E1$}OZ}>ZQ{`YkV0MWHbh$=*U z`jt8~)c;LHb~90Bk7OOq7rR zfZTs5fshqmlK@5}f@k05X)whVD2$O-vSWttnWz6c%m0BloRNHpmR0hAqY#i|czvtI zEOV$o7h9(4p%!;?+O4#(=6NjU`!`6z1gqoddh0_gXCHWJ5M{l&{~vI%v$D@KM|3Xk zd3WwFwD6Uep0U8wVCML-<;Tm=P5EpRS_{tEzg zzSYjRPk?hN*meE`q&P=D(WO?AT)qhF;{srSZliCmZXZDQ$B~tvU{`hmK_&-64z^_Ba z{|QnA->vbJ%==TQ_&-64zQMb(YRrF%6oE6X z?-GpoYpD1?L5ctbDmEN2+2Ay%s#IvOs;8IUwglNxnWHh-rAk)?yHx+6VEloHT(G^f zX2DsF@#iTl=i{q*^vNl-J4-2AVpqY0VvyLvyMXR4{K_`q@;VqK@f8|48cn_>kY>66 zjlXP`|5jLjXrV(sJ;P3ra?={wdwt_Uc8sdAMzX4R=MtpcG=Wd1T1GqUnS-I$ozEOL zloi*ej%}fAOx?emQ{HGx7JMy}4EVM9W@d#k6pmMy00*5M_2nHgg3Gk4*s|>SUy2eA8 zmZvk}g1(rAc>`cm8iZpFzbu&kO}YVj;G0bppv-e&L6ErPKN87x8Iu7Q zedr%R+5ZWX{iL8>sQ(bwR3Z8VuFPfM0!%yg;$~Arz`x8p5b;5mx92Q2N8JY}xC^;2 zI%en1=kXoIs>q8La9;WuWLS|(8H(g0viJ}62apbn(IuKeGC(q);YP%@V`j>y zf`cHG>Dh1E!_)IVd-|VjEtf#UziK>Rs9gYP{J7M34u#wb#13-nD#Tj=8M579b_g$_ zhW|`_0JR6;vK{UJxA*{R55N!E_);?diJ9iVEq`{PU=1?)z2RUPR<4)=I(NRO58y}F%6}ThXI_@ygZnI?Tf)Il z|H-uSqyGPYbyNKN{w(lYgBIe(`&BdEPnQ^kzfP6EbpZa}7WTa%JqG-wCjFa|1Zi8M z8$h7&Td=vv4rwWY9VMI}3{ihqlYpOz;=jst$i&aQa6%N2wxLsR%=Rxy;y(an;NKc% zAWMAFFuf?kXZ;6Aozi0$`g1HP5nUtFIf}43k^E5^x$toS|I*0uM*$PUa$Exjr1R62 z7~((#X~_riA%E{mM^nN4gMJ8HIR5@Qhn=aW7rhTq_ZpC9;3x#e%Lfm$AodYTi;KqW z{&rrsVFg{5ooXw7Onl(>KTx_${^vici7ou!ZMAE+G$9zWxdq~<1qDkZh~4ls6!g&a8Zke}OB|NHFf zQa%3(xq?^}0WP8KUJ_=%JNf1ndZmmH9*=g(2pfOy0o!EagV7&q zheFXc(JAmLij{8jihoc*Kn7_wB1gTfK4SLg=@u&H~kC>r=bc&C5fYy57K z{-Lo9dKDl>Q}EhL^j(m4t|5i8mQoS;mjQasHJiZ959v$^ca@c!(*Ecg$L6Tdc@OT< zZV|A&S}3#a+Q-!EbqU~H`wP?h55WuR;DkDVceR5pBcgUzh;cx{GHN039IF7GX6IHI z+E|i!h`|aXk6b(?0@|zSF9|>_mY}~1p9=I>dHlPiC~>B-bwJFJeV|XHHAS|l>$_JU zfY{~fgxJOI{J~coH!B9(tf+tT$ihGQG%nLw@LY&0d&%(n2Q~}*Zg@R!L0)vOe&$vD zrLqTUXMxN7t5!weR~{1idGKEP6x=}nB8(%DdGiFnHEqy>RS$raJ#nKym%X^>M?fQO z-R+u-$FV>)5e!_sX~?5lpt%VgV(%N@1 za?YixV6?v0rSHx%b=n8?G#0fyKvgjg=u`>fV4l&j zED6e+d&Hn37A7_%9VRxB)}FRm@l%MRaP|Q$s4?qifBz?P-;eXWtyu)H=b~|Lxm9{qkn|47uNpRxY(Xa~SnC z$Q^^`q;H=acX4q?qVreef;DQ|)AakbwN=0j1muBNUId>+1Fx)dX1XlNiE(Gd#{sY0 z%C(pWURia$#PmLRWo*c|iGTR^Uw*k0^e3KAF}<;i0@d41aIoO>V0=A#)Zh$rn4sR= z$(4V_RF?&4F{3GQM)UXLLqCr15mxO>>g@See0t2SE(a1SkkYuvHOUy0GMMz8eY5*v zmZ{|MgRbb(Zb9i`W$?u;Dr2zEx=;JUZguK>MDtwu+PHkMVvD64E0f5}Z5BCuMPz!7f1^ZNMsA#F?*N&%e!j)z*VzI|0 zmS){>vr;rF^sOnkiquwRn9))HTHu&afpMi$wzS)Pae@K)d4*h=D)j40DJS(p4|k*4 zvSG7f=_{k$oy_?$HpPq{g8RAvCV0B{*!ZZ|y5Uk4030lT@j0lRnM9yIQS~+K^_U?+ zKOHNG;z9s^pa-Ap{FZiRGu2#JBWQk98A4D(wLi3U3$+^ zX=GU!2XJFdNYXtG$0$9Il31=*589=-vMSr)3N0@@KRwZPQwnR19nnYqRwtm@lfSR#PG&nATS>h|!fvd(LYEIq!-C^tx5oB0N*ufXqz>K}+ zUl!l0$R?!jvm5g@a}2FRkU9$^pu4s#i>+&didILZHEiuBOJDfj8!KEmDP^n1n(2*J z2FtoD#`y>wngkPX;~<-+t!KDxzsz`vpkXym&m^*btD(xPTjudWR=orN(cu&RDlJ{ZCJ3#`I6xPdzlDb^u_#4A_`CJt_x?sB0PYy!HW) zK3!3uzf#LZP=@U~oD9mGQ^tZ93pXLF(2nj*!&;hmU>W&Nx-9dIksM(;M9hhE#6VmP9+gQ5k0iMWMy*~n}LOV}O zjq=0gLc*T$d6qNH5?&C1!xXLpP?36GGl4}1H|L0^^U2j}qEND5d= zR4w+Ja@)cC!1}#-K`{5>^^H_5~%Z2O=rQ<|$Mf zvCq5MAv0K#C|)?8Dr8pJDc)HA5LlF|T{GBJB=no4tfY#h+DJy$4{Qv~k=S@*KbgTY z!Eo@f#iH9FkprF){F2T0u>2a^s+0*=N$0{-`RD?Ic+9ay@6oC>jp#*=({q|hX=o9` zsWmmV&so^o4G%S`T!$qgwYHWEVkY03R4#bDCu}ctvU7U#ONw{p^AgMAQe;b-4Qg?N z!`+?&ogGmwvXvYf%lkUkti&Ygmak?4)K+Sh)>MY~oznrbnvd$&-)9QwEl$>NKC+#W z7R+|hv$V90A=w=bh5MMx+%K8z;SS|t|e1VrHflDrtEm= zYOyRXZ?20*dxW8C@g$Rn5E*2W=6gWZGq{AihA)Vu6#z0qFa)ZLaNj=7z^`} z>{JyYRDt?H>FABy#CvEh6eB^$Zr02mkz8#!bw$8Nvt5tg z9`pPNV0xx!h<$lscTJ8(I-v&6pwg{UPcRKFV zyjL!)-?SPFfg)Y6)4NKIrmm6?uXbfQX3gWWDhX;2W50ZKeV%)%KUPv=#$bGDLFqq{EJ@KutjPs(48bG^RgdL6854-9`j&OQ|S+`MC> zQ(xVfzma&jY3uA^rj}idUz3A+(#=sme)xd|&7VbnP5XHHa7t)cs9veBxK!zJa!ac# ze%LY3wKvjSCX1Ux+Q;iQ;_{2*;Yx^_ciU&dm+vfhno)l`fo)5zIow2Z>mTuNWMDpd zG2^f|>v6R=wR=~DC9nBrKW@Dbit=vqw@31!pB9mGs0B&ZMW0Cfr9Yoh;ZAr!ydHZZ zk4OkSzqV6maMS)x^kRd9IhTXq{WS!be;%Otwnt}zVi|OIh zkJzEuR(-D)*rWEE%S6UMWBT4*bKG>}v_%#;V93XR#hNPtj#P)WI~t zis~6ab}mu9p-`Do#QS#YwiBfhRB#Bh45oti0@?1OMWxrbgu<5_g@x+6VOWX8!Y5w` z`qw;)nSG{=&0O9uUtc*$u<l-dv~2Pq#eox+Tj-XwrkC`E&IsFa981q z5`b=($aLx=+rgv>L!qI09(|K<$~Tpq2^lOr%T)#TV-06UNYX7hPc=?6Oqy#7+f#E1 zcpcgf0inTD)l+#w$Cw^RcdvNDU~Lm2c?oW|S6u!}Ji{ammW*-Y%og#k8+ha=LATAg(_N}^d{E%O(93?~Q^aT4D2 z*r{g6+iiBChXfL@TV_eW)eR)FHgk<-r?izkYOC2mw{_@6q98X)8r;cgmCY5`w#zie z54GCPth5S??brTHL0xKG9ky5WQF~VItIlz5UG#cJk^4|GeKp5^Glf%xi+O$1pO^s(3)amG_?&n!e#4}qJ-;jI7s5!-$7q-b{;pds|T;AO9RcCENj^Rj* zeUppEVxqIY>r2Q@r+E`<>Q%zEm);rHcCLU`WnE7g#&+ID9 zFhHC>wMz!&_@=>rFrdpfC5gjL`>8A-n(&&4H{p#=OU+m9(!lld4(j1P-x?dq$akNz zu*M!&#@!W`Fi9pno=ed1GJi)i4EQc))!vq#%^8V<^Gt5t74~|c+Q?*{Bqwx7L~3T? zx%PGBBpP3oPY=0qW^eDQ#uPMBmxtVN7wb&orPfMT567Y8S-T~ifdBQXNOa4c55go~ z-`EzhN9IP!m*Tx?b(q5&DFdPz<(2e&+M)?%+eVq*rK=+?{eKqhN&*VKK=(w+DfxD8Z`QbBV z8^@1A;M3T@qJ8-Cc1R~9md=60;Bz=uA%XD~`IyIOBL%B?%3Z1nC3vCpaH?_()!qo1 z4|wEQ}dW_Hsb+*8B`B>GA=rzTp(0-*e30-WQYV(yB6 zrM26)mDqjyPVIhZB%Ig5)z-`_qJBFqCRuEcVhKG}i~>u9;FWRng7N5(;wg^R;;FwZ z=l9m|6TNL#R*I^oG05%3E$vf#KQx-<;+-`}-B-*L1TQ7Pc<0k1etY`r;TBLfr|EQG ziuiiE>$Hh_WXc0K1K5`_M8fu*_{^pDDD;awS1WE8aa#A{l^JD~gc`}VDIzIg1QVz6 zFqI}r;pxl13%Ji``qHY0_qJI;(msR872ZB7!HBp!6|eo?%JNOirQgXExpLbrh^Ac1 zp13$8zW?U6r=wJ(#+KyA4@KBFfcl3>F*{B8A9;!?pKUeJyo=DQfkNRoFEH#ctrYka z^$`y}l=r#c@U$Xsg6qq^9zFF}WNDPw65jZ5Kyz3yT)k`avJ$OioszpO?5)oDAj&${ zo0j3hD{%=aQ?K z3}=Ysk6*U+&rV=HUVCOMD(Sr54mibp*svpCRv=c? zHk-X!c5`H%EEdsAoHT8p3$n7V@_!&szffb9@#eOHO%p~y8LxItgv>#C>d2^$*e+FWii*aK63 zfv%0nWYTwUHuSPr4n?L*;@E>{+x!`+2L#u!+HCogR?&V=eKUrp-g#WaFJP^=Z0JB0#a7viL^I;UYB|!Q@8z?sMyp85M zFX#3-EnO~i1KrCgab~$wFe!pHbRNHn#AzqtlYK%cjK~6)+j9nfgCS#6eC<+X4uP?C zfpxQD^hux6!s=UTU!povR2^^7L}}whytXXOS=(QWQgeLI&lc^@<8M_d$Tg?k61z#= zLSxi~uTKPxFDgU44t<5J?Kbd&DJBTfXfoCjftI0`qDh!P00VC9t5&m`bOVAEToC&f zfm{szc3RY_G7)j$qLr!@&ayT~a-yMg?ThUP`aS}B(?~T^PP{w=k%TC21U)+|uiBgK zA5z|`8LUZUxoNxE)J(}s!6`on_p}7Hfvi@dp;b=a9u+?(RJ~S&=QT61*&9dxbzj5x z&bkCMFB+Tp{!`cc4!F8n8(q2>_c|+@hWt6$pCs3Xl|CrT>V7@gl^1ujX;9g>=FL1o zzckGgZ;&VJ`MvXInnkVgnfZKkl&q^FP|JP#%WNpD8FyYv)OI4JTvxdvIvs)Yyczx1P)(xQ>2k zj5Zz`l>L;JBHI@^FucZGcoVTZx8}-#jkn1ZF5EE!NH5EHH!%8Y~ z0>(8M0N+a-F60hPR`Yox0H&i0?eLn`!`RzFwmivC1-!!-$0XNtXlqFw;}+zO)J;>i z+i>-{%zbC4h;3MoY#ZeQqY^3D?of-geiHRe=u3cl%YB7OSgsLdA&^Bi#N|-H!!kQ?UFC8;M5mB;?a9*6mSY z7)p;6dTnn@5#@QTkL)^lPVLy{qgLV8z#(ZOONYY<;pL#x3l<`*7{Lh-`Fgu zzGUT>-}q8c2mQ_b5YyTg>4na_=0I1&sj-NP%Js=__6gIQ*J|O9d>=huV(2}1l=vvFnbTO}Ir<5jw`yGq+SMn#a7$$239034H3Ri|iXRV-2BBu<{#WM+%>(pNfBkB03&);&5K5mN>9d08U$#RpB*l6(ZDdv{ec7Sc+k z8j@1VUv*ec(+u5v;+D41Hq^Z?b>pb(vs1la(D;5=wrDS&L#U|VM}y%YMH*ZmI9@?g zK9l(|D)jrxyTYTkXijq9HcGgWa&6Uaua^Ul0KD?>t_j82`8DOa^r=_8b)ESqj7oJv zQ7k*?!*FI+65@KshduH#NIQ>|RTf@J^_H(DRE;5*nUkr61Z3N!6*h1yY3HdwY!BB> zbqd{_9(K~5NH-f?-xEl)P*qfCK+5G)UPWWVjp6cSb19VYKdM!f;r z0L0j)L-_JeeCsB3teY&VY#rT{YsA7#*d(wFhrXD=e!}5%tr2_D3gthCi@@$d zX^7verFxg`y`9>}*^&BURAYgh!0~XS&frNkTmn)q4Yu2>t0OPTh3?qp6{~FAHuneKY7b2yg5*QGawU4 ze%NL6R;=i*GBqEYZ2)Ct80>F^lDUf>c&8p*YWumxKeIy<@~hp(6G6xA4@2buWcA(y?Yhobil;M99VloQKd zd_dZOFo}9Jv|4rrONs&o|9L7CyZjTt9zhqgdGdKO-E7ymvSZ@XLlSfevC^2M07Au0 z?L&mz$R~>1r}qN$n;WYaZtSS%+r8Pzy1PoY`V}`GCi{)WL6409W}y0NG8Oytwcu#x zkT1H-(74d<9?SPh_O7c2zttYz*?nd@w0!@qS>PUuA7$+k?dM~Q(gYVtM;CY^A)v|2 zMIMS=D>`DP*$WC`^xCb3_{1wlCHyd?wI8VDJ2;-|=rq9R!+vmOdb``fg&_-HiXTr= zIXogE$?pGku$XEy5pG=ujyEB-+P6Ff=}}w-r|o2{#S$A^qZRBjVa))en7R$H>si4K_UK~1BzIs?kbsS2>)dTG^vgJf94qnw#GRAINm@0|# za7ps_w?g+{mM+Cl8&7v)Aykg6N0QFM!KB2HPOB=;R&+KdV?T@!K{DpV2xaX6D0F5| zWk4!vZ4hc#tgkux0`Y-#J>HA*Qsd`@^T%Uy`0|Qzeveto@HqR}itH*?h^mGY1SQg| z<@}-9fCtjVXkVx~?!^tW(zr6C4i%1LY)3dJuO4jf+*{DDT2kZiAx#+;-^M@4zA5BZ z1Ngi19Xb#o^|=ma(7YKmDb?j^Ri z+uOZ7%1+tW2M~7T?!W!QfEDpkD1~%q82eGMA=+nDRExJS>ayO~y{qJMgaM`-lDg}J z?x95^O+M0Ro7qq!3JPdMu?%`0n2K$vo<&R8OSdwHRre;!HB)3@*2we`7glK}19a7@ z@<$}2$Jz6=6`!eI^~j76nmr-r4nn3+zUx+|g{81mxkwzL^kB;teX=2( zcrwd_{MErLcx?Y-@to(F94Qm8N*gJP)CJ z{Z3^D!l$l+_*OFqyILnoxCUd`XtETA0=&>#AG8NwBE=%!(Nea+VZ0roqZ%)K$2Evl zWinAiOva`5Kr-6i04aJKO?o>$7I_mnFGq$#Ewhg@;>}|L8)`ER%PtpfAak|Tg=v^Q zN(Rn@;EHhN0F{35LM`97GMal~_92#UF?YzKjHphF7sz)AW}XCpKqmZ_Z`|v^e6KC2 zFZdeuEc+PLbMN@i%!=qNslizEa&gkRbFX1s92RWzOmRfS6`vcEieK;KpL*Z)Vz(62 zY4PLBn~fhns62NHry9N{u?7#Mee`!NG1XInEjI03$RBiLb7s8z@!`a1>q$T_4lzU3 ziA_802x{Xay(NKaP2E+2NnJDKbKe6IWYDWKN(412O= zIcKhXCaPai?vQop`LJwm_C$ogO?kjA8N(!Qe&tMgubg!avR0>ncc!8a0SM$HPa5^% z2gIw@z15yoh>sI=3Y1MtuD5;&(sqo}mhQVDWM0YgrDD&BnXtRkxLKj?wdL1bM6P!X z?>}HQJ@Vhu+w+V zzZSP`T9;b>))ifJFQA@sf(+MFEwj>7b^p0ts!Mbj+osfu0BIkt2&*MaxVO-64J<^1}zPtH0^pMV7)6Xb~JW`>>X+c7MnFkduHi@VG?os)hl*imJgI+npZ!-1dQN90LF$g)FM79>y^{o5q#h)m3Mgu1n?ORm3UA*lk=&I z2qWn?pyIFg=c)Ors^DqBl{h0bz^P$RIK!*OFcvncq&dA-`PxknCtl4}bM;PE!eWf#um5q?m-2o#NygW}x?7?RKw14Z)RS>_(Vtm0aCcbIwZa3YFMgt@J zeF8kFqjyW9)?Wu4S)R|2V%*JWWZThzs_&VxX1%d8ON@<7F8K5bed^xaAu#dzK-GF$ zKG+aCd#6-~j^Qzy+#5_YLN%p|EjReLnH*e4HgDGbKI@) zU8){>mKBn#dsjLob|-o;=TsJm-En@WZ`OaCC(cEr($n{GsS+!30@91>h3EJ|WEnmU z6ZyUJZ!2C_w_4zZxzttrw%(;6PBBb)eVyB2UB#EASczHAc!l1QT~ns}!;+up`%YZ9 zd1@nfXSaD4v^CBV0`*K`y;2$%-dj9(U-TG0gVXWzk3@2{Nv)T2V+M3E(LH2STZczc zI{J3S?mm2Uf)f$jVTwo$eA2@S(?cr22p@AA5;VyR$P#@?ipzGJNE*k?|K#in_ieRy}ex_2|Xoc{i|_APh)?)O@n z#q~OM`U!aJlg!@ZFzay!j#g_-PdGk!9<14k7`x1PFHPb->s%OD(!T?rbp5*hq$m`b zu*_F}OVu-D_67KnQuaMkG3~{=X4Zr5;xAu6KlUMV&oXQe6=1w( zyU+ct|J6YZlRwdBCtQPwqpBH z&8AV?b9>*aQFC_tZN5;!@X_*@lzaC#zMb|Cm{(~u7ASliUThn-`6^$%vq1H2e*^q_ zf!Dz>USmfu(xfu6pIsww@)v%?j70WQuT!(V{l@h)llQj)GR#kYJ9cGLVe`;N0i!~V zN9AsQAzL&LI_GX5PCz^Adh%nN-r7x^cb#D|12Y zdK+2f=XNPfkfDPDb=8eF59A-nJLJa1lq<&R*W{PJx+QBP+uATQw19qWD`*vJ*r;KT zEJYEx*X_?t;=nKGi^`H`DH0rJ%Y{mVQV&;Dda9dH1mIU~w9i+MZTO3k+1#&amJA=< z4$N2e6xK@=2b-UGak%kOG>LiqB+@MMaf02nC((l9CPfX@N^{v)ZwOe+CmtWbsxaH; zM`T0M^d3jg->%Xy=Bmw{ieu)vu4*AGhVhYzq$+>UW`5(U`8T`@AM`KY8ON0yH!E4W zBL$Zp-v{_^w$F}6HcM|)m|&NgQoL+aH|z{9D&ZlhF?4X`jyzepXXO?yAgLgiEfs5Z z|FO{+(LnRI0a>s^tRLGwf0kg!BEDqj#I5=lZ}u9;*=MF;2rT1gpfj$tD8HF^np~~K zRC0!8Cpp0q(N8-%*m;|h`f$th8WY~kbUzf4V{SUIW81dL%fi=Wve8)YA}{1>X*y0= z`uK4#u5-MCct0mjRqY-DfxxEFkP7zGkyx5udixX-+uc`3Dd?{izuqBPev!eqJFsG! zR>+56w!)-Un18pDnz_h3T_aGgF7iFw%(7cdi}x*OO^&gbGeq3&Yhw;XrX1db_#A!7 zdK^&`z;kYXMn@*zfW0d<&DD4>2e}qld%t-%E5XDI=|yjsR`jv(INzNuU}){;5!#7I z34NjYFp-jThl=6(G4zLzrq;eq)N+Z;66hy2h3+}|4Q3W6+8WhE8imu%_2~Aq1&DckxvrY2zx#Tfg&n{>$g*;vsFroZttzZoz{@jKc#39) zAxHk~o)1NRRgiZ*qeX*Wx2nz6vQ#lYc}vEaywW8~*a$%>DW@q!UPWIK;z+cRx$IAO zYss^B3u_d0Q(AEHgJx^eEl?aj^Tw~FVx(eAH{P@E)yEJmG?KKZ;x>Ah5vW&_e@9}? z=57=qB5=?_lSDS{8|WZWU`+Ueq?5^Q$h3w8?W^gSVPLXY+2DFRRERo`5~sxcReT*q z5?7EQ#?5Igi+W98wMp7m9acCCdJU+P#O9 zvrV`eWimmZkfL5;N%xj_Q*B6^Yx~}FhWdgO?=&(0l9%*!q`-Oa->nxc8w)J6Rh?#~AaQUtUrhn}t6Q zgMNz5N9EG*;b{S%>qPK{e<2yI&hH6EgZA6^+~POTB(>HeO0-{!YV3Aw-={gcv8YI^ za!ifG@@#RuH2Y&IQpvsjk7)v?iWENFDi4)_Z5Slc6|YG`9ISxA!lEneFXAw~M?R2B zl&*Rbwu%dLnF)pX_d>bDBa4SGOM4zt@!YB8O2rs6#Gsp2Rf^xWkAA8h+WTpc-BErn z3)_y+uNOyZ2M*mP)Buyk?^(;^%`ZqX!;?h!M^TQ^Eql`BMvsOu#tM+@)?B7Tj%!jY zfmJCM`7quB3eMFVy;sH+$6r$?Cvbnn5|v3xW#mPJieD*fMhvWGnuMuS!R#w_x4gMr z2>xNWbY!*TgX)S`rPV7h)!@nf?YNSz`m)Rvx$Q*U!D>|43h+!Cx>-Pwd8&0h=3FIL zH)K0mEIuLn`DFR?P-DRfztxcTr|C+M4`D#FtBt3|vL;T!XRDi|ochOUidq`kR5OmA z&*kG87;W&jiQIao=2u(^tDE7=aWp-Rpm3hkbfJdB%1WpD>p3e#CpwWj$s zfP2RtO?&|qX4&_`?h=n9GF4}V#t}}^ze6o9bF+pcwG|Pbi}!+`pe6Dn+J`}tY1#uM z;5J!4f2o!VNbckNX64R()MUPX)tZwdzO~b6gp0YHi(fWU^_sDGDH8H{f;Gy_CU^64 z8}+N>5UIv!J{K*zC(dOnJ!4yC?nlHmZ>=b(u4=N+wIm7T+^%Yk*MDy}si*X;p_lxr zy18?3+p7RogCH%=^)25B?sfQ^)}K#VfX`^n)g(<4*=?_=ot-zl3m%Sq3h6KwJ#m{e z9#wA7awjG&E@MV9U11zvgC`YJs>E$nFOfVFU##(bYm3lh?C#QtGC34=^(LWZRfXQ8 zeWL5C@2kDmo;}GI}6g<`tT6aK0_4i=x1t zgUlX}xUIY)7Aq6ZE0sdzD(-yA%a!HodudEog0$UX1Rr?}PKxiM2WeP!t>}pj?#9d| z#?Udg*;$RnXVNfP$p-r^mX^;yT9zFMTDH17W){;{U`2Hk>#D1^#6V2%7&1fN$K1Mb z--S90f%_9rVPT@ zJ1G49*K3Yeg>g`P99z{w4QfV*yPxFZwPO;mrNCI5^kgK5ttc+#VGj-DIjTJ8ZcWHm zSr3}1hK3PJV2ct_@yt?)e3S&ex(l@1UPFaq*KCuVtN3~?X_~-0CNN!{jDDa=aU#)P zHIbZ@-peon4l%l0%YS=TYvh@|)jp}0mW%z)Z6}}8++8RPQCS=4o z-jpiI4kC1Dm20*p-zB2XGmwaE!Mp(Fon%{Ge6>@^4o@$$`52EFA`-=3BC zlqpeB!^~>fjY#7?$vs-iZ1}jY%%GMh@3Xt+OQmwCtZv?QFq5g#;WiMe1r#_mtzidl z$O%gdF?Bw{D&}4uyKDQrujEnsRfpJHP7BeB&Y?Iw2k*7vG!J^!QFAFLOY_~u&G@w& zo|n0|9-NrEy7HtlHaCTba(U^*1o=SyK(HEg^V_Gs+-73b zT+<988}#7NN^27(cMX_vV6S%Sxw|NH`fLTSKy}}q51ui>u#O7N-34Mh&dA<~_SNFqr;K_5A&qIrqo=e7A{h z`6w&=pC-eVd*Nr#uWWSy@81=k9*~8;Gzk#43bq@SJbBkSGPUo~HeJFPg_`}KTSjqA zboyD^<_$Hxpa2(vxHUih$tzZ|gw(}Mwgn~YcK9nAMoUx)C$&@>v|}J~HS0g(%Z&V>r!;IlCdo#Jkxqlnv zs)Rh2)VxQ=Sa!Eq$8m!&gZb&}mnED&JOKs{yq{1zoBASybH#cm;h>E8MfIfL@#w8k zI(&%7rMN)TZ7|6y!HBfq3;29`jMhL{h6`mYWw(3VxH*>I0aVOZb8aenh8#KhjOx<8p@pQ z?o2tC3mgNlSA%-o`ZKeW>kFD(g(aOUlU#G!f^XCr7fBWnhCa5*`y%T!pT)cNf~FFu z`PubMtb+en*q1;<*}ng02{ZO3LY6FLvW+pTeGS>SL?Qcb5VAJ5vXvOiW2>y$BVn>8 z6iUcWAt@nih?4p}<$b@s>74(6&YUxkd+uwwKi75L&m8BvKhGt$DhN%l9A}~1HjCaF zU?fp%po3@#5wj5<50kkYDD;rv*eycwR7Ydn?k)v6`EEQ-wS)-fYD;os+_WpY#K8rY zW&gF#W3ol-`{ZH}vCyqrYwXkH3R6>L{K8jK%gL-EvDYW%Od7dQ7Z5BWT3bmMU9=ga zbr{Ent@r^0i3eKugkEubG=EA<%WOJ#;{{_^0xT_V@TleuT}H)s$v%-hB*3}B&pr`!tj^#Z2ASSdKJ+2$H#l-zsHPjNk@n`^Qa_UiDE@< z`pol-h?x$zMgthRJ6qi+hg zg_*ajH9pR*UU|}Umu^>i?iGvv6RoxPjFV)3rUetIYwSvz!OWINW>B2_R0K75XFL)fV$#gRRz z!1fI1_HuUiF+=0A)QxWfmo9I%q+12S>Ee5|pY;!Kee=|KJmlXRaczM-0g)wooOe|E zk~~lQj8WuTcOvJyqp}NS7KP6oLzO}2JqpWp|M8NI$^Cz+r_laEXm z-j`G$>pNBDG;+Oqt6XNJR_Wm#jl^D)lUqT4cB`{H&}-f}RNi4FO|CR+(7Tz&Jm&!1Pa$JwQWpJY^RnTh z(4iO?r?~Su-D@8PVA@O7vn5>TCfX&{#lNlEW;}k? zzvD|>bw}ZYY9;-aJhEH@Ht|WI?6mebxo0f_HhAXq&#pYWs8_C_*v9fkyXW?+o9Cd5 zJwe8b**ubv0i{$fn1k{s^H&jO6;9X%sHF8*S@knTthX;q`}ML%L<<($M0fJA(~#49 zIn)>2rHZ+|_j>X<`L4r((!FGl7APF z;0R+%aO}znsV$Xbt*On?d_?nxCKmEE=AwIw>07Eb0QC|t`S6}o0u zM{#!5r<)8KQuH}bUm*;8ns@m>WTGJP@)oPaz128(B@GFKNMeoF^rN^tD2y?5* zSUV?VnSEQw3~1Eis^`wsERSE;o)vFguA02=KcBbGKEGv#e#=xLCUbH`*xjHzmG6Pu zjXMcW3S~qF3FmA>=C^8{23}n4cP^ir(Ko$dv$}fsAusR3Dt8cpYF3({)Zyng;z1XF z0s4&$?`n}lovVe1DI8J|BsY#x@=`6oW^aLGipli$;Ey8lFd^d4=Nd#f9@a@Iz$?oi zR=0jqkmTF>#Us*H+=yJkkmGHCLa=_iZ`a!o2nuudnN!sTRVr!9ZhDP5#_^OQ0?s+kY01|RPJXSxv;;ujJ6OfM+w7;cdew{7#6Mm z-qH;0L)Th1t)#gt1mK>xgbH(JkR2bNKrCR9p>EV+wwGotNB~Ps;L=W8)nGw(3cnQKxW$k#e@P9jc|M zawW&ryU*sEfE^g2Ur7GZh4#3^kU`@_I?*1B7BW_DtF8NGyA9|P3wQqx*0z&Pu8(U6 z4hhkU(S`1P;!W%is~m)QPfR7yD7V3u>0p*hYLpUhwt1Pe;WQK9N?{a-gjK>)PJVEa zJAUG}ijK1*Gv;FPp%EdqFVr`wmnt+q(wu`JPAya2rWth#A=on=uHSi{b6P&8+UqtK zEaGK7pWjQb+=hXh^Y6>6W}c3=jj}%7BCC8F?78fNV(kNu-(C@m%>dQSSNK8iV>%JTo%3Zrn)T$l_vJ)4SEv)xclC|zE?!7# z=-t`-9CnE(edhM#ao@T_PH$Do9`smdcpe-7^xarVQYwAOiTlPiuFVH=6GI$QC70-r z47}tC63bq#Lt9)RbR>m8Mn8?ewbcA7q=($nYwJP%rQ6$=FA%Jmu6fCmQLnSU9?K<5 zTlaA(L*j!zCAHczi{biSrIh(6kLQxp8X z5?oKci$yr-^P3`-ZNghW-HIDgKV%$C68TIKB$us7sP7=u2DEj)i}9dbZ9Q6rG10(V z9l9 zqzYejY1X>={@7~Jf_Jm1Ye;R=kvIB5kD9zM-m=?^q<{25`s`-m4Ho z#m5Wtv589ycgGe#h`)J6Z8ARlUO%9Y%|8MOpaL;NA1@#6YL+jX=+9D;_YsGz26_)t zZ`rfmWE@syKT~~$Ira@*4DBJnyZ1GEMmzk;wZz-*-hG5f`^h%9k;)FJeakvCRm8%Z zln$2o_$Yiy1KNmK>SkCq3db3B4^e3};|8Z^Jk2J+ZeZa%a+CN;d1Pn|eCooIUabd%to>11g_ zf#Z87qat$iI+ai&WXElx+?4tBSW;@{WfrGn=5bL znJzyVqa~CLzJCpsFY0pVTTRMZAL*$dY_fQg8C+os6*(C+z_?+B2w&-9|5`|Qy<6id z`?NNpvZ%l#atRhd+j&e>(|s}AE@kC0M)zcDtVir=bIFE?q48nqXN-+1l%dvRYP7A# zhs(B~1)DRM#gocAB^UVdg4fpyES^UUS%iwqdh-)jYwF*9exQ&i%i%Dib@5r-Bkhd` zY|3^Pg<-r8FIRGi-whW>d(3g`p87WqZf(y zC@X0z3CDD{r?BL@i+O1woH5H1S{07As%Ngt7`N{6$kTlp{vv03(k2eVu(Ig*l`G=X zO$|ctSfa*vvM-f^n9F31!NIp%B97qAJ4fiO2AAbAY-u{bt|&>gGGU(gw!~XeW6VG9ayGa&*l71YMwOzAvefilfCIP%6cP1^4{TZYfW9!H0r*|^b~iOBI0!VomQ@jW{(3h&iKuMwv&P^cGu5&YWUQMJod6>LMc5Ps<3ayyLO4!DVtiN5&5ZB+qQTFB)`uG-R>a z;iOVXEVVS_BwiBgzgp|-qh`Pct4vTo`_hcSTEk{>i<&M|esRq6Vsfhggr1h5%bZ)5 z9diYs`%dD!g#3?_L*g?UmWGN;=h;JNopbL&%SCv)tnGtK;EO_%#ysQ3KCktJXN9{o zg*#1D^2I_#boRnu|`wNeKv4Xyx8YX7N7Ef#uo7BOtL>k zNP1ztvegWOE|PYLo`hDZq$juWV*SZjQ)#hllN9+movgwEcS?2L`X93$d9fWiN6q1N zDEw8)YvB>kQar2O$3SZ%5xQL?#ntjcJT&knWBAt zrb7w04c4mtTy@l`GopRMj%QxPH#Hes2^rOU&1{L9JykEAZAf1!*`*5JEY3`&m_GYx ztA*K~=JL)7L%FrNBHR3OK{^Kw9+ft!a+&Q{C(o8Cm^DkEgRK-f28_nvvmAVXCWb3LfR>~fsZ5`tcm9xF4__>8>B5o4ZYe(Cv zSf|tc>DVHq552m~eZFsuzi1rlO^rr!_MK?F?(aV1eZ1@%t5J<4U7t7koiKv10W-U5 znmZML)Pu|;R$f}^I`&Wty-Mqg8l%SQi`q0~TjGXHW4cGJG(~x?ofuGl^(rTilkvN| zEdgopQba5=u8}%jF=_tZaKGx3@HerX6O*vzXNjs7^;80Ti6ft+#?FqgI zYRq1kJ&!xg`R@5B-cT1!yw`Du^z-@TuGdbawm;%XG0Ago`6O*hj3SyxTG~~0=SBfT zq2`7&{UM=g3Xt(?mHS#&doY37TZla&a9b=Lt=?qpIoX||hrNWk6V^?TN$vVnq%d4) z@0ykIP;wT3--_fZO*Ry>WNv42d7E4Bh_j* z_B(HHxbKwbdJId#vN;_j)UsQi(JYKi2Xb+f!n#|u!d4!UTzXE=Up3kDC~{|s7>8YL zJT;Yzudxyw&Cw``GIB{J5GRK7*$0}QyAYmF**t-r&JT}7`!ghe8uB&iqVoztzw$3 zb=7=UwDHnp8zf!yyElsPg!jI=OvQ^5PonLk=Vo`cgE9k_wpcIG6@vej``A-{OO;;z zg>-{~w4PS&mk=eaPm^|px!2$<#YS`UERRisP`~z6Wh6@o&9)zI*KuxTdzPK=T1WC| zeejZK+D!*9f1-dhmRHjv^8#Pb_$)3sxT}*hBjM{YOG$Y*XV|VLffWHOEw|CCp3RqA zKa@0l-R*gUuFu^x)-5wkvEQj(QIw|ml)nFQ;SAz7#)x>^@utL++`^@kI-dgDIfKv4 zu>0RiD_R;pw{$YL$YqMyuG>9Ftj5HB;So5M)gesHCu78deI~5Vm~uWHepdjJ9hm+u zHno}~Hs!1Mg@90fCqnS}LruSL^{p-|W31gT^Igv}tmuvl&eqKcdLI=kERG88=2lgE zczEEZjv%0)i1;|)vLJ6ZO?NtOqlA;?bAv>S7SX-rRjsg3-pHfT&5r}b6szfhCdsce z0sQy1zRSrt`fbT?)xXO>;k#uR%d%za&nVjN)kZZHeNSeDsG5;Yo zDp(k{liXz3Xw;RE^-Zqa=?cA~bxw@g?E7V6@o{J}yK-)f)QlFlL_oHgP#d5wkg6__ zoPC{*q%`jHlFg>wF*Xx_vtT5Z0u`3%z8u;YSU<((dHy_iNwtU5UKcrFR6_6gdX^%n z4=Xv{q7(Kpzdw&45%sv{VXd3YXy|ZrHNWl1qc!`;>cKX_wqqCc1*QGp!_}(1jt@zW zB`>i=o>G}%EI077Iea}%TWEfu?Os-1*&E$2d|D^uNi~JnN&}M^)J;ZwHExyC!fsfY zY)apK(8Meq+pF@-#&ahn&#nMJWZPh!`4lGNV#86Di3x3)5O zgwCOF;qnh!V)l>bzuSb6zv%0>0mIg!pwt z9}0E^{p7Ha-aqM&{O8>Me_Mwz06Gbx$n^7@pNIS9| z%USR*p8ygE@DU-=tf+nMk|ualPZvA9y#qfA$f|?#l17OT88U!l4MI=iO@TZnCr817 znKZ}*%RXblZ|VaS0=O>^WhK1jp?Rfhz!>!3Wq;4LxOz9J$W)R7EB`a%c2MMj4H=@T62 zWPp|){?&Q~=*faAQW&8oqOAWkZv9q)hVQF5DhpL6N5e_yWuf+DAfLo99cyyXdsOIO zeOdrkA5_vN4|QPzX(PaIj{y9hP$9rU4|<&SAI66NXqEn{l@}oCL2r;rlhpO0Q)Fa_ zeWjoT3qbb~noWiN6*yqk6V!ad2>Om2aMy*f0DN>%5#WgzIBQ%6%D{&Hm%`zH;XtGJ zeNOd+Isy~1P&yJd9<&4USp4!D6s@G%PYvLC9F&ig;SEjT08Y65tJ@|PdK?H!fyw|) zv7nQasZc3^HV)bVbfrOsNsDn%6a!ApMAq`qj zjrj!*6gWqM@{m5JK~?!c)&{67;8F+W{~H#}FIYN(?nTgNJPEq_KTuNYp_MHAdHg$# zFv$Hha&CYoN&sB$e|Usg0D=Dt8q7b?L@s~}3;Yb4saSv>BLf;ff`lEvQtRs?XlWmv z|5(E8Tk8D?ErWvf5%d{qs{zMINx=~alm#4q5`4q>C3W%kYBs(O{32>H2slDo3h~#J z-zo!q92}u=ehdx}+=fb0Vi0HyaCRGtqrjqY0K*rk;9&#?jgi{FM8R<=%s-b{thDt0 zB?gWG+YS*sP(cC?Aq~=Sz<<8@J^7(OKM@!t;%9FBeEn|>i<3egoQIRb;ttNkVUZ{> zbbrX=5J-?QH_(ozTKfp8&zIc5DDE;J4fM&p1m zP8y9qup+b+28})7H0YqT^j~8bFy8cFaH%k0eqeuvRDghyLP`H6j=;cw^0EBsHwO2U z{pF7_1V-v-bLfFFY3zZBq7fJr`fph@h&ADWFqmKm4I{8p2ZMq};NX~p3Q@{_+JyjdPE--2J7T62eC-0zp@64#QwZT|6v#hrU8>t_O@r zVL==K-aY6s0*+tO2#@#WM}l(TZxBf>Pe(jI2DJ9;4>kVt{Bj68tS!O@V~cihu(Pqp yp&V=xj%a&F3<69tGzKND0R8_@;kVpxdbaWL{k4-~q;POJ1}c15SY7`#^#1_P#5lhI diff --git a/Documentation/GW4203BManual.pdf b/Documentation/GW4203BManual.pdf index ef925329610fe09116a3bceea2d34d844a2d2619..b081b3201d624b7adea5a3649ed55d9b3f232d84 100644 GIT binary patch delta 358457 zcmY(qWmuG5+ctcGC?F_EN+aD!cSsK@(nEI)AuV}=pvX|dkV8p#ccYXvLkta)LnGbr zaozX(KJWAWIk)+7p4+T-9LL&^So=QJs#4XeQhEIJr2y?)+7GncT59I*5L%uj9}he_ zJYFGQVSZs+ZUuWs4{NvQNoaTYWH8fbxWKUhnTYJfw)@DpUXN@?e6*;132a9}(h86^ z0h(-%SFb(s`6DUxkJM|EN^nK_8{?K61{)2`k{SP{R&_DnFta*zG z#evacDyRi>GJgCOVUoT3cP+Yl_s6p2Wqa6O*VF&~@_)bUw80+(Epv%o(gdtdm|nxB z9!?rsAu68u%@UaCTwG4Q@mZv>U`#?{Tzc9U1Gxnvzwwe6{L#<Vf^Z z1W$E@f`fkjAYo?W*$&R*Onc`+_J1w-KY#j9FddpiL^kt>)8ATZ8s8`X`rbP)GJR9f zy2v^ghA}WnF!gDv_F&61{c8e1L3%ux`h8Kr?*kCCWPn4_=jRX==^YDAzgoAl5hMdsSW^ISiH1?K{`X9{r@K@yvGV+U% zUO(IG7Ns%)mt4Q$}C?zmoUrk9(5buUZUo z8*!epFHzj1pS36~yn+OH*Jb}fuOZaGeokNX=3(<=`&<8NuNqxOs0^(AAOG`zG7!J8 z3l5mkR*nZBO!_+UjGC>c%pkq(xaHJu{Ezaxp3TqhLFYP6Kh9R2M?wRSjbL~UztaMd z=J0oMsgXlGqYuU%EyLJir%m_;c{Zu`3<1+khx5ye))4MN_0XJ3%|bgF?3Y$_DPGqV z%YKoY@E-wtgfMB6_q=B=BJ2+f3uua*rgq~-V_KNrC761^-zR#&!AV7OW1OQ^FxI<> zb%k@+cy;^K>vlXT*e?<;z}8PPTo6q@eyMMN?iVB2+%iD#umACmZJmMB)S{9N&XXJ- zWGrsA7sf_JxDBBd)T(ywZ7LlZ)tkE)N=Jk)PEMlbZ`lbrug5dwVjB&eMN9H5KDumR zugkzBg$g>98tJq~m*3aQ*?lPeribJ81naI-O>~R9LxS;*76s%t5zt?`M^we4WvB~M zt%oemdFPR*DFj9qa{7NAvs8$sCwlRI-V2Af?o~{|TG^d7x~}hxAJg5oKIKTLX^!; z_(Y*yTr|<5Uhx`Q zS)|bA*xu|jFuEEZO|Y~wHpJC==lPa#3b|>iv>TN8X$oqCO@X1h4?j0Y>?}w5Q1k!1 z!_#0IR3XT24ee~isOMXn+#RV{tFgw+>R*oJIFd5CWBARW%G5qNqHEplWYHhR@#6qO zk#j)L&$za073XgvlTY%*uswOQl7)s>KsYYd0|HqNg6iw~e2HDib#hqvyStrV7pMQx zi#xb0MvU#H1qwjqye_wrG4n#dTBiMW1R_Vrf1MOW#N3uTD(OyYzY;zwwi>FHX^}ME zOfoC#B!J~Os$Z(2G2Jt&@ZJ^YKe$v63lc2s|B2sZ--b~tXE&Z@DMp`SQh^oRn87mq zi0MNyK~lqYByO$Y(L_mWA-N!3*wZ!|aK0V)aKuxIS;| zh|(+~Cag{5i$_?TV<6p`Rk4{hpM(?BUHwSQzQsd_n+nS688{bXtHr&$g)$rD-6W+uv6H%iGvnzcnMa5cZ*!oC1 z1b!QXZ}yQ4MlhSHByPI2`XZ}(TELD7qkUI>YYQ5f2jUW)ciyBQbTu~70@Rn-6d31! z@|NzIZR7Nn*{sFLk0Y%miyrM)a-LIvVaw@z7pHgUL&=eT%G}%A(9yE{*Jn)qag?Z43D)+!?g6}vqf)xkNsv;D?6IicfIv%Xa*8~t65NTY>Z+rmaw zM@=gDxZXu_cH_&a5wO?o%;W~ch^oUksUPeAq>c!;T>V`flmXaPn~iDQ>s#UR44mSB z`y2;AwV0QaX}S{lA1zN3Oq`S&$60PtpA_Fq-EQova?qRe4zJ{sB>Yn))Gttg-?cLF zw|MVVrIvztL1B%`o=VR4Y|HFh$*D5?CoXJjL`$F0c>*2mIdvrnsOG24)qhXidJ&cN;e2;*Bk z6dK>e=W5|>NSOL3U-9CtlNbs5T`(*Ia=orIx>F=WhE&>=w^ zW*z46Bh@!(vX82bIA?1R^Sv+nQe=!lyJ`n?La*vXX0FcY=S-QOM}SF6i0clayTSL1&XkpzUD-~F|ZUk zO9aZ!*shd6Qt^vEk)Y z$!5v6ZRSARzBa$;qvofl*xigJd;zHR2Vgl(l>3=e=^XZR#4Yw zX}6y5$hiPdUT)u;vL>zdP=7*#I0trDC)y%gWsj|7GA4BL_!uQrU#uwbyL#651n?A>{_Z97QC_y!3)u!xhSFG?vrd*T&vDBGg z7Oo)@Zi&+j${C;#Z{%xMn8=;>2*FUrs^s~pt3o#-Qzjdu`jo9tvld)eV)3Z!7Gu&{Ng^AebrRJl@78oQ^;5zP(I*H362Yb7Xqf=bLhy z?S3koxxZ6K7@bsK73bZhThLn^9Rw$vd-Tg*hAaEdtHQ}TyW0GRzq{? zA#nSlnTL$9AogVA$?(v93Y9EObS8Eh$w+C~`fHgzGojuWXEHx1sK1*uyqtU8O)UL1 zj?Gs@DyRl8*l?^@m6>V3C62FD&{DK_C&gV#!zW=_y_=7Ic@C%*1y6WMPUdbGHIZq6 z5(DSV@j$#zznteCjsJp)NHG=5c-S_*uk&{vn+Ivj_AS;8l?qYS<(6dsv+N)Ci!}-F zvD^6RLG91=@9(@MI+KR=+YzThhOR(K`pQ2zb!>AVNE+bnSChu`E7KgG0!y6H z4t&JPrUX&W%K+h=rXsDg6w_oPt}n4hH}8I}&pa35;bz;30yEQ`M4a`RcXq77^X}l^ zcmi&nesFKTXwT6i!9?$x#I3_3J?(_-p9`ZieQCCCswGr)j=5F~R7wQRIwiYL;N5xR=8MYu+VOTBh=2)PD~eetI|A`WqD;njgW{{P!{IDNk#= z!El+7{N~1OqlZji!rCGYPkY;4gN_>MoeG%AUGy4S!eeJD6wY#WbFAFhm)|l!o#UQz zZjp8qI;wfFwkWuC3oKy1BEx&{BO5N&Zvfh81Ee*V-CvTw} z&|{_rtSv5>QH$tGdI{QdwMX`zcyP?Bqby?$VJGP(Q=%J!^CR6YpSSCjID(`@D?G&B zo>IrgXNHBjBEAhzS>!N5Ca>eTN$dU@K~>zQC?;9+9eU3*|#pHL5Za!*tkP zfQH}i6y)?9j*kb5IH?3C<>@1aCcOshz2+v=#XA+YK?Q76#l&skJAr%};9G zIXk+oJ9@WOkd?8W63_EKE>2Jzbl-?a%5C>1inNce*%!F$v1S@heJCpoxy7cNkUKAFEAbzu$B< zH`bSyb>%MRQrXgf+Gd>^Abi^hFkf%eN`-UKGLX!6bMQ^tR;lUe$&+k9Y}Rei(-lYa zm*??xEZ*s>#BvNiB0$h97OvxSH8tO~{@VQcb{|K{9{w`^)Y4`G-)(DcV;#w(@Qw+X zCe6(yO~+;=^LFBM{S|}|U;ne}HZ4zPF~atS$*-nj8vAeWlm+|i2!jQHcwu`R9eITz zGeqXOAC<8p_jNJ35RE z*zFN$Re6V}V|)RhR%+4#UT=$%TUEi486^;eMuOwV@3WyD0}VyRW!)*4yrN7(>#D7B z2PKu@m?_X`xHNU%zUz>p@|tk7%T?OhWq*k7%gaRu&0Q6fJVeAo3R%(yd3kFV7&JI) zYu8oP`teusJL%yK*VUdITr4A1iGU~odUKVh;lkuUYwBfonq;GCql3^sb+OAKF_+mY>* z#o`DGa?y0$KPFLg9-mPA5aj^q=z2ojVANlD)0N#OY|A?oi>oi<5zA_;i7kzmr|K_M zX0odFg#^p)Y%Kv;+M(OYn!=J?`?QmbD@+&qMTZIQgdbtJt1!Lblyc10liy|q(9LlW z)E{gG@g2GcgywIy;)I8}@zO;V%=La_4$iG#XlIW5sl#6P4piEor!e6xb!ax8y+=gl zj>Gon;h4l)Em~gKga_34ijmHNo201zg@TqcsyiN*77b8jQIru!Fm}(i`*;pTX#vM*%}+DOJVP}jpqMS27fx3WO4YskGB7mET+}zztz@*gUX*y0DYp-nB}85!8k+?*)x$R^Mi|0~ zu1F}(JQl#y1E0(pJ(!N!ES-8RW8ALj>Lm|70CCx#iA--1rq-KS&>AIC3#(XNJA6y;bfj&1x9`9O2ulv*c)o2rq(Vhm+Mi8ovuUEeAd1>RVQ0M{UL& z0h-mVrg3^VC61HocRvbo?LONH^TPvs4EAyiQWc{%eVvKt*#y}x#HBR4rqG;+8q7Bi8UL2Y55SC8HIeN+KT6 zOZCm#z%Xbm*Y!vz8Uv#~B}l_v?YZAPAW*b$;zv+Sk?H(_IKW`yao&-mn3^jLva9aP zUbx>9^HTB>sb5Y1fafZcTYXP%JcF`N>wbtDPO%_B13M-~>-#~cs^1CTLK4zhm zagCs5AZ|!DUwba;p|1^!U(Y8&l}jj&!DbJfUnDU^4mLS`@_J%-9~ym(Q6UEhY`e|LF(*5&X{CA zq&U?=-&yf%RJ%f7+BCV&kc&4ba{Pl)4M|AaV<`udj!)osrIZ|km%M@@< zHB#i@1^NQH0`168Vg0&Vx?B>oF3N9p7O#n@-tV$WA#B-6I1NQpoO_s{DN$p?hAD!~ zhjnhy>DqS24JTt|5{(4=QazuQ?tGKy>b6z({gEbt<)bQF9FA@QHIz=H=ZVNG?`GlDtb1kY7qpz#tQeR4K zzDqA#@A07hFrEF=CwEFNaBm>}O~e>40!(q&w_+JekI`=q-+`&MWK(a!}4*%zMkiq5`0 zm|_+!MQ^rJp6*_B+jAQY=ct!NG~S5w#Qumd>8S;0+Bx*1@5DD0Zmu5nfi-#uao9B@ zc$(rpDx*Y?vSxDh71T%v*HaKzTRx~zw~#7l&iyYD;rb;CIm|N_DbIkDtOA67{;L(Z zk%=4FSDx1D9RFL_{w?4~5S6uO-=NF%HMl8Obtv2A`D^2=7Fb_rxQmBuvgrW)?= ze!u;Fbya@&pdPa+*{Z0c+V8OJBC9+d^Q;k0RN$uC5UUN;nUwFWh~KIFSj(1&S*nXo zk#YaKVd-j$Ll0nWdYYS9#J%G|4_wAuis4;qI>UD-)aGKZ4}jAYZhzMpzj(5w&R?}m z*ajrfbQzc@Uu>#_>(cLrC$XcSEo3q&+Rov3P`*iCm&KY{~XHXFHS{;JQ6xYK0^ zs9|+w@*QKC?x>5mI1)MoxpIOmeCg~Z9Gm>>ZKy_e=)$>-Gf7Zf$obx%Q0RuR!|L#} zN=(ys$!OEPJ5W(*;Ed|Kb3!SI9=we}jam7z);P$g^b?Oht>Y|D)9`BAKe$}nvg&F~ ziW!;tYT%rTDwfu!uvz~o5Bwb-lpU8366 z|E#myF(()Po~G@_jn7_ds-7QrHVEoA*zlQ=EMDCONNG zrh6q4Q)a&W7l?LbaG&5rD%ds&JV%Rip`_A)aI8X&BZowyG;yDtC`xdO{#jznYE(`< z8nLI2I?aXfL3&p%$CF0)?IpM;lHa?rveJ;^d*-?dc`?pPYj(<(VN-H zJg(i7aJ-wla^rzMseA9khp-xUUI{cb6PP`p9-3XvPrg7jV1cINfu?-Yb6zNh%0_%v zMPuP-?>^*+l3Xb51?0%+{lYTXJI>hImL>=GV$GRT)H2noLx2_m>g4Qu!;<0Ky8aD2 zIBFj{^R3ri>nck&o^D6j>Sg6?GiUMeD8c4W9L4D*gv@POUUa_BKS{b+w{7ON_nkdV zolpVUD z#4*!|x-uF1zKMpErZP;^0{2C&nosZ*h;|iM>TxgulWEb8cL9jlg?(Hk-NI+DtUI-f zq)APlj6e6+6{9qJY{X%&zTKku2XaEQeQgoK3CFA=zbqS*lfB~3hqOqgubF>6OImoH z)!%1_rqw%7S4D!6t(^1sW2R-HDq~#B7ZJwnE}Xz-(DFY%y&^YDr&G2ncD@LTmsH4~ zjxCo@fDL}to)fbpv(*+gMskY5Ph57C`UDr`(LIKU{>RKgkk0B{-)5ze4GT(zD&9Qf z;g-^+J8wSu%iFkZMfpOLl01)INToqmb41Q#KRAL>3@kaX;pQ*w!)%6 zwoI1?y)-K#dg?Q0Vo?<@jm7emZ;WM~0!$JKK&Rlez(2vESKZRXwytTvo=~#r_9vmU zCu*?ce8Nj;{IRXe>2YGx@+DiUsaIglgRB0-ZryWK15Fa2ix|a8-v{ug07HMBioS$IjP?G7>*ER4%3UG6KIviwQG&UtRW@ zSie$le{(g}WdS^S3_;c|Y#(VFjOAS|)m%$lr-V(Go}rjA6`T+d%qgn|(*?J_veH8l zciYt_>6@w6pbdtW1#lIE)On%#0^|;;c<{9KXh8(%^f#@p_Trt92+_94=NDo!G! z_L_a>vIK^O^(S3h!|*Nu@lkHJ;o=j#ABOI6S26t?TsS$YEOWr0B@|4H1=JVBQK^R9nU2;H z9qC*A2MTez>t5pm#NT1_d6k$u42lQ z&-hoNKM0mB3Wgj#0M%a0?}owMGX7*#5#LHx(+qTZxe3c+sUsep^qEFc#Dy_4_vCev zIQ0T-A$aQ}0j!QJ>7tqE)uhML)@MKC({~|xpdG7Yxik;0Jog$W^cQ;**&}{D7SZW) z&;q?d$~#>4nz_L<@1Fswx`5I^YI+eh)3)~q#(o=4GDE$kABMD!)zH~oCezw=$j_t9v|#_Z}~-XxBl%qYIU*xsz!;e zmSp0Yd{3L?7=V}CWu2xATmXp+Lk;3=P$T`_R-fN(y6Ql2r$;{V#P8;Ff zD7#{;GBnc-u{({0Zy4E6dOSh^3$Mha{w8`C{v(kLI*NTkJ5sTdV*yBbSe*qZ&_j%@ zl%a4b;HN2*=e{*!6l~M=pr5D9p?{S|Bd$Sb9$uyysMSbWidgy6MSBQk3f@KNCoVUpzELK{5IhoPMnZEz^7*S?u zm^88wA<6LeRR>RWTRPq{`sKttY+U-?cejpA^X(9yAmRQw<-^6fF=TCd*V-7_$lPE% zxk-Sd;q-l)JVLvDb4@#(>t2D06P@kD#;XBw2@dNx|k z-L~a4myG>5uhrmogn#WCEFSZqTxnLnzBF6MclgpE{euGdk{Fd-b z)jEs)KJ%JLZ9Pt%f5IbK>t;W>ZtknWoigCnlrG^!`2Zn_`#QHc>KWJV7!u^n_~oqH zm7gVXl#@Z^%BKuLe+VGb1jxCMD7Pqi(D;JXny5*%o!fKp#C|J zN3}Vx-VG;%a2L5H50Go=3*JychD=X5MUm=*ZS{If1_U*Pzrz}-%&z20zRYs8ZvbTs z$Wny(&StK_#yv;g^ylv$@3o2qO;@w6_|)49jhkjeov4|8g0+^8{e63f@n83HAqkCE#$>|~iU)6K=C_J6i5b%6*_$-b~J<`gSB@@eMb*772cn^`k5RYPs ztP^H9PFDP=E<5#k9|67*1bVI00gE?! zg$|Ye0xf^EaN_A7noW0}+pj5X$m1YA?rbmeld_n8jZ9iZDZ3^@o8zrB_@?s{kyF}p z=K9n_PYUFS&%?@#kSdED{Z?YXS0~K*mOV4hsv1P_(u8Nh3oo1y6ly47!_STUCK9_w#IwSCuk*=%7K9zwl7rs%p0?%b;(d4LN!$yR-$3_LU5=77 zYVCE2p{U@Tl6SPGb7tC4jN=t0D~yITxoVx$7J8<2=~bPABRRgJFB976zm?CxBkMTw zRjJt0!>iA_T4O+0tcaBrWd0=|LQP*K4G;}s)*>>wO zZvP*M7fD^W=U=7cC;;U}?$2l5^)t)x@Zgo%qjd})GE`n#1m+_>xRhmiI`%WZj+U_s zM<6W%=jUp@Hww6$}4e=|x*V%|x8 zmw6*ey)$Q0JUr_-`hwai1(Z_ckL=@I+`N@x98l)TwQPUte``;rdAf8RWx0`hF*sP* zY&#;56_rQ*pme@J*W#ct-_*r_VZ|&Z&@EBvlp0~UG4oDnJdlM^_o(eiZmlYD9O>=O z(d+V()$^xf2Qpm~eKg&r@WYOtAC?=Q4r9S1f#PUwv4YX6gNNHK={H$KP@gO% zc~mJnui#1+afAT6Br;tvy%OP{^J~$WElG0pVDNOgr8e^6r@^v5pS>nqaWMeBHu#khH#~ z5q>5lp#yS)1emgITY~FCk8AMtBaylNt9;fZF2ldIvkJ$K^CQC1PHOG?_@-8o4uD$V z50cPqexj54l5V#zOj4#TNbOVIMFcV4W{*XkYQLJ-?iPwhhh6r5hwyJh<<}BP0dng{ zxV-9edU4}5*d-b6umKSz%Q ztgRGkCYlkZCeijPX<`d5Z&>Z~fw-Yw6-b+=*_w0-&IFq=cpS>#`utu3?5MN?j_V}T2a(y^aqjII23!aipK^iyonys-p=@* zu_bNtL(8+D{Us8PESVO`lq(+oLLcQ8&Az#QtgQ_@rVaPbNoOd-0?mv7tTu685!`i$ zQZEUaY!5B!e-T2$9EWdK_giT-9`RT~=FLLAaSp-YX^9J!_ zfruM`L*8Vvw@Z-Q^fk9Z)6-aUfJVp7Os_soxuKK4KqTmh5k!GW7woPy%$cFc{CZw7( zh30KUIAXL?%W2+&!Mnmn>W`mzWX9j9=JPx|wGc%I{hl5>H7GzP5ov|EY&dK+OD>@v z(vttvjlw&-TrTrF-_9&PP~b7|D=89Ri2M~8PZI1&3U`!qWa*oNpO$BpNUTa&&yh5? zn4#a3Anm4^lsbGZ&Bvk>MZQ3Xz<+mb3%cS$R3YP-GE|?*QXD2$Jvm*s54xaK z4~_{Q*>9b|Ga+zEvXrG3d&)ZVbJYjit>c#@?z#oj zO_%gxJ;Ol^BYuIO*?m&RV6V8p3r*Ye0p+0lg}qh6`YsM?5s8`y+>$31QsL`UmNH@u zMfFZ|Jice<1%CbLHs-||oN8kD?V5U+2>92i$v?z+OrpXpx$^t54pBVT*6Nhe zblsSW2oG%9)_6dn$>U^*>@%c$RhQaa4HLp|8zrDf28e3{#J~7Ab&?Lz_J;(8{;9~& z{nG)=21Gz6eH3qVLa4IFr9o}2N<#QLjcxNmw+4(DoA#U)+ATRw(@#pori4+u<>)TNu}0lMa{Zh17`PR?4YD`~MWU znNVM|egccgeD0*7ey}Q$bZXHO*{1OH#4oc4=FH($w{&&+dbcYQF@kFBLOzr)Z$A5q zZCuH*F!`?MTl6rvcQT|qRF|5WOUacS29{4kHHTboXdw0mEZ~U8NlndyCn{mAfo+P* zbCwGxeX?A+^+JUroLS=L6L(Q);s*R@p@0GLdcHxgE1IXksbpiFxlX%ce91`mPrXF!zLx9;h8Vl4l*}d zQ5vdpAwK3E^DcbvB&IRD+`>*M=YdGZu;JCg$7?ZGe*5{9o3WADFj2Ww6>hO@gS%!q zBKUnDkUrh;IrYR&?QV_Nw_n~tEsU~Z*;P@UQ>X`9U~eWH54Q7zXrF()r)Z9a#-%9X zaTc~e+xFc14x_A{G%qW8*-JlU7n%J-d(g!&b)&o`t4C`TP9pn@SKzwu01&l9W!=n= z^`(F9_{o~p5?D0QbSPYDb20}x=S#&CUZ;L4%VM*QZ{*+a)Fj0};mxj0LB{K(=@0JvVLl!O zO>2t?iKl^EQ+U454aq=2T}K2nYN{wui|tAlvv>wG=64WO%V)_{fBvmg;i^wfESIw! z#l{+E9q*JLogZoKQG}OoHf_uJIx>a+Y!_GmS3O`5gW zWi*$5V*KAo_LVOIN-@7*1$G(-QTtqRvv8cJJNtWsqYRS}pJiCIC|9~gHzF=7l?t~LmE^hvJa{aL; zO_=)5??w@FSKx=ORzvw$tZ&lepZR>{YrB@dMOSPNeE{-7X-jYF-UAk9JTzblsD5j#+MTKUqHP+~S2eV2>hzS6_~C_ITKaX%NB7vgFZxxLx5m2%&& z%S4{dj+&gzj_#lemi|NiRPQ-N95+n)7z;W9CtD z5r;Q10F8f-JdNb&o{{%{!G7}!yXm>t`Cb!PIsUU2`L9U!hhB{NYSHXIH*p3FnV*L) zhUBb-U;Hr=8n^Q)fY)q(YjV@pNyfhSKFsOv=fM5Gso#&!EA4pfp#zd(i_0?d)=R$E z6>Qog_wzMF(ShJX|Fe7wOIdeWEQZ5F2AZk!FiN#!5W$N!eloHQB;HKL1p+q%^o1d@Q8-tgRpM)|2 z_K`jJJ05$;?lID4a3JEhcFIzkLYWsU(HV!b_#}HkAC-6aaKSif`&}*CG-*XEM^%mO zXvR$9I#V4NO+9CMK6#%HsWTQUk0Uh8?PkA$S@w1tXOs$`Eve(eg+Q!f(aR8*I8Q=* zed==^6UAqYc$=dS3(4N%X23sa62uiijC9~-7wOjZs#?o4{U6UU5@n=Ut zA8MI0g`IjpE266@J)7GVhaBI^(_z`VLo(iSdI1ilHgpaG^7cy7;-)~7a>pdlzE|CG zcY4;h?gsnOR5$esej3goF&&?_b;dgl9}zu!`lKAf)lT~gpP-EOIo7XgxcOU`iqmJg zF58;xEP?m;w~T2YoJ{6NXJ)B7&T_b)Ort34IM%b`AZn!TC!9}Y^D0F3>t_t!J> z9RH;rdv~BofWE6DZOAbni&W1EIq}>fyC{95H~MKU;t2STm!C-xm z(Ls&c1_}xwO+g>!)?;fOV!;;3HKWjTt`3)C4pZDdYg8~I|IpVqjczyu=uL>4&b*WD zm`+?EN)NB&=aPr;NFk{bqt%zZQBNEcOsI%@jR}3KH>ZF zNrkdD4<2ojB7xb9cN;R!^X>;SW@s3z910NdKOf;ioo?8d@hHvSjh7f@E(~ZlhI*pF z2KvY!%Ev+iOOQ(_MeQYUi6>zbT2Syzc)P_HvDTC?|6I+XL<=%L!Rm_{tNV9{FVLkE zze1fDmf8z1sLp|?^LcpwXkykZIWke~XDP@+eYm}t)8zS9_iuvnrm+FdeA-ZF5>$gI zx0l-g92LkCxJuMHs=3rE4ukf6y+wotWt@+vXXJ$1t2I3AiUEYykBJwUk2MK;`z;w$BPqI4}f8kx=j5Sk?!?$JC4)Mm)3o< zJ!`|H(g9qZdI5CEMFfxlX$J`S>LDx1L(orgrbe4Y$qJ!Q z#b9}RZi=_EVn;9W;X~C{lvJZ@DSBfI@Xf%ZtHR{v?UinerNRV9mO}Otfh$Y`!X8VD z<>`hXI@_akwOXdDKOKb$TSVsNrO5Te)+6&@TO#)Vm25rgQXW^;cwY4k4eOhocwm-QzT#bJM4j0Qx z1i$q_*)v4Uipkl7=aR|h_!P>=3vcA@SvDJ9C~RuJFWzVgw*(2_X<+z5H~v9v%S^M1trb z7etTR88d|4j-w7`_t|j#z>1pN!ClAB~ymGOwW71>7PMC`1Y5n{^I=CAA zu|S>WKSUZ1^#Ns6mW&!j100;?X2t>9rYPZkKMGTv$NtUbU6FZ*BIJY7w$=>$Yh(Im zs~R2mw<(p+)qxEb)9G)+Wf4V6T>>Ag*ftx)h#}z)|CtF zR+RDij)Q|5*VQJp?;mn)d%{X@#=BaJ&!!w5)nHgVVz9evuHqAP@eSeslN_4uV<~xz z*AN~B=T$5eGLo;6S_11&kXt{YOs_MmP|uk92E2OQKQm=z-am+BB- zUjW@s?F2to)G1q_Y|caf6(FebIKO?Uv@(=_TgH0De(vk@nAsN2fVGGJ0r@|D!wYqf z$t}0Z1-N+Xy?DmXPJlbV{Z#`^UL`8XUafy-dt<+0IRp3T%c1{Z(0k3Y?h&Vk#YBGZ zz6O($_eByuIwxuVSVY+3M?Q)~CwhVt%)f^l{5`2hV(i@Iw4zp$^AZep1dUcn{wr-Y z3&$7(;G&*cK18w*u*G_X<3!*E0j zk28+isZ0_L8|PmQRZB(NuMKhymX4K&9^m2hT|#{Q1Q)XMhoQsW6Vgme&UzKWg8|G7 zgK#{f+YE)3UQQ9Mb+g{70qg?FJk2&Qb|H4Hich=!b;lVMn-(4UYSXRz{9=QZzNAWz zYF$2pF?8OXr^Sy_9tGM1)D`ZcI7Z&rM^wl+myu@Hy(u*yiqEzpI)OF!59&jRflwxQ zZm%&vIKyd~?{t9kvYhPiy8Mf_m6-YI7Lzl@=KJ$0?nu2+cQpe1^^jJAhEO(cQc!2+ z7Ztj0Q-@@JeNf>#cwz%}KOFS_>bJpzi`&ppCgk{itcsnQhTpb&KvqioU^-^o+(ho1 zvc}F2+VTu?-N}v(NQZ@N*`&NZII{(MostHlD%CFN779q%>d zmb2MMKCB-C0<}MUmVFUL1y@<&TKhJtg$BN_Jd;=Xl%OP|E<&|%8Q2xz*xr-SXB*6z z@vt7Ya1}!TSbT~)V0kaN-f;T0*P{-0cTFFzqlA|JwxaGwVH%Rhxwf}`AqNkc_ZK&d zC=_tDbm8|mV6XWwcM2m|!iqy~Vlz+lVuA^M|v+Uu`-=!gQKZ ztlxI)6ixJokihM$!7T8yrf0#4Mg4g~#j<~{xFOUff(ES^W6ViJuRUFgClu-B#-o8rb5K}voB9NcW4h-Lm#&ixv|dToaBjXTDQLU% zs9|ja(E;QCX}2e|nMmQ<5hysctClWB62c&4Kk3j_>|dk`T&IO4kLB`2iRCrkOnoyf z#Kn@*F?z)@=q5{(4(ql8PbBEowY%CGP;)gbnmfp@*1VMDu}DzwF3j~mvOWlRgECU2 zgj4v=vZO5!lw6<~Ff;8IMy=D4Zoa&dWwAhR*=p=t+l|or=;i# zY#E?ePRdn@`Ck9YV1~EGrqQ=ICa1RB&?L!9%Y(W{!Y`Ss^xGHjr0^a>0wSIP30E_O z7m|ZS+yTCjBYe9mm~^C96xc~W;ZO^NmJjYxbHPnOTVWndcXn~$c{ibmVh{q^%>_sh zqWZAxDyT=fL107gmes?FGF1Z3rgXFpuNdYp7VMy$t3e}?*x~<=tG5oTs%_pt1wlkq zltwA(O@nlcG;EOWkj5<_-7F9R2|*e*u@#WsG}0Z?-QBS1?l{Z${l4@4&iRw;;)1oF zXXc)JX6~6)t4+i3%9uUHpCP2}Lznh#ttR)D%x|mGjo;+?+(f(50H+GKwh-=GtHagB zM)xSZ>4Bc@8{^T3v^e8T%-I*}1_c%MeJpN}fwXmnU#!6t>fiJX12HhD(Zpt~3;QfL z(w>$1a_g!+Zrf43f@tFxF+KtNI%#glW3_Dm6sHubwz^e-tpXl$kLyK`w~B0&#Sw$p z=>{G;uXi)^GXjB3&8X|qR|_=LpE@lQW92@#8g9m6iMOabbmubK@?1sf>75VpRI_e- z)^tmezTt{AVb94X11Eu$i0bbuzOXP5(bpfhbQ|Lwngft=Z&8j z>3(R*q-L}3T#*eMB~!cTyZ_Npv5tg_LFpKQ{!E+0uW}n;sYK<#yT>~j(E$}H>#U%Av$|eS~*eL89Z7dbSVRg+-8oX zPL*u?dvd_jhjaRAof``a6@vJ|n04FvB%x9?oMOsX*4=q4<}u5zB9X+QS+vZ&3qJ#v ze7kg8)Y+&Eo! zJ@Fy*K{Q|^#uHg5GnUgnWouA#05jSyOp97m?tKEBFdn>Fg>`qg?s}q~vf|syOxo9{=$(`6^KsDTi#<)^jAGXNFKDOTc8=hik z))*6e0wd=}kxa9Ufi6}pDZ}v4bH1i!SpG36U=`*mZJUJm3~z|{E=tG3`=4fL{;+V| zFZoC_^epB@g*xBdH^r3(q6)K0_bNIJuXG@Mtc=7P^4sAMkxIYqVomj%c4Z^;;rSxp zBY71r(3wq&K9Ysa~TOYGRaARmji`&;vHaHx_HjmY3bzGq6f%13hSb7=!h zJvmAh>{lXoAUECOH@7&ORIUe9-h~Xk>#^e_h9PSPGEy%c!1;t)A#E7g5lk(9gV3JVr@9z5%i3e;yv%uNDc-|Bhi zQ!xSC70c)xU9H8|dP8Z@JAeL;dMnQ|iRdF;!-~r=!j7KM6qW!t2spsNF{nRt0zpdx z++(WMSvZDmta{X>Z`h^L(esqWnZ>#IOx-*`u6OIQSfI>Q`EqILt{`pF>E36r;UNJq zl(r#Cw>SZzZtqcrdy$K`qg@TyD@@rulrPtd)ZPtV&9)tS#$Ms)Yc~SctdT6Mr-Sg{ z??dRkk4^3V5<%dVWzzd`Bs8n7yfo8c|Y3!($?#@`);&ttk7q>mvU5Eyk)Nt#aY2ik;!ikp*|nks)Tm>#KdN4 ziSVw9QB5efGR`Z3mWMskZ3#j-?r+kma44ODnwb>@S{p|8r@L15^awh%hJ zs34Is^@J<2xsz<1rHIR3utOr5hiSoa01s{+JeecjCiekHHu9$Gcmvj6?<*?6^_8-!ZcwJVTwqKIK*=5?;h+kvD zK)uOjfqo1l@0&Fyd|4Cv_AD3_uIU2Z{%Z4$o+$kuh9Gw9a0vMmL1x|%x<90eC-~5k zyb7Y1t{U}&?|hh3xq94$0~u`+Zyj~70QbTCqWCAs;Iw=M2sosD^e&qVIX z2B$vv6EFS4W(O8Zo${#oo}K9XeuNAQrIq}%OCROYMRgo&lR72G6dHI~o{xVhCCWms zS(uD@Yqq&DkEtfQb?4DOd!g}S1`l?qv$2w;rQ+9Gv7snLUd-?jR&nU15hK=F0>o*< zYMN$ysTM%_LK~PZ{4L^%f}KM}>b-!z-yVH3pTpK$TdQ%Po1uammthRY`tP>aSgei0 zFTV-j5TYP0^MD*U)s40@Jb`nfEH3{WoA!D3tXqv&zX3TTUa8O1kQ#6H;YuN!>>8-D zWRT+@r@#=*2N}_72~)kK?WI7hz}T*qFgQ~|@u#-CTyi%dsn_!rWmKM(%f8BB52NeQ@{Kt&*0qJ zmjlTPikyqM-+TCf)RWPnk$B{A%hxxwmVl(aE5mR$2ol zjf9A`(xn0u-6Ea^3ipVN)^>9|knB=8oTVGsiGM-hNw1{$K|+7|b0}lO%lLfVTMn+y zkWJS1FJfR_{v0{;&uNU4HNW+H^ZK!|J1cFR~{>yVzuKwlM!&y+I#MAkhXOB z|GD!^rQ}ve@2WE3PWkYAKhXXVy6F%zq7NJ56h=9qXWji`mnrUQ04(Dxetm(58m-!R zo+sJfZi+@sKZixmQJ`aymA~CHs%G)2U5>LB^K5Q8E3mg5eJW-bih<#Po-(Ci*mJg7 z1?}z}B_y?e_@b?b$*9z*$F)#6lAv3N%Ld=JXpETs8NR?bH$LS*r9c$<=R>YGGUs>k zV^}qZF6OKhL9?<*A*GVI0kqz4-+db|@jksuBN?K&3m!_ly}WYZs`_xz@~rCqjwJUk zog)HPAuJZOP=N<~VrwXcO*s6EP%eHfdt<%GNuM@A@I}sS$i-TeL;E3vpt#2l7}u3v zI>=GtJkz#n#{R*BoCUmc1g=}xcd`mQ>KEHBQP_ym{`u85UT}I$DJNo2)tE z8sq97_hmUmI)v2&ZMucHJab<7wn-n0@@~PVlHzy+>u&Ss)i~QMtHeHI$(KEYN=4wdWfnhD(vJl!=R1;$qX^${Wr)l&vd2MemBRHv~S?S*(2se%y{OziybL zshYWNJ{NbAX2Ck{HHD;QJajaw@u=Mj4UA^j%{%FU@!(1M4`P8a!W;dODbAsZu;=x< z>oP$oOotz>H?a0+v}ON<|^f~5AnIK9!_naT2EkEV^w=IO-WJ2|U&4J!VI z^R)`@+9llzT>e`Pn!Zf6$! zQN{vHEKx&ZDh=9nPY(5Vfl7qMPl2i;KB%gK7 zZyda$ZUUt>kBUEV!Z!$6PtTC=@3vO96ybeoE|?T*SzradDBhF1OjEAqA<`6te6Lj; zKxM3{i9#liN@P@et~7*0JHNRVohUgEZ5_mMJ=1=KHm(@bEE@wMI29F&LM>cueg+zh z@~*@1TN&VZ0?8BaoaSI{8Y1@mI+eBZWy3mB&Kg|R>tj)R7970KVa}P$UunBdcQlU~ z!oTL?L|z9crr5sw__xx;YG`suG=5x_>3)6d`r0D;teGeJd_~oZ7qaGwG@%{~QDz%G z43*a89iH<)eqc84*(bKXjXUS<4Qz;{R$Wy)-rY=7HY^6}?No0SoL#ZfiTbS0MSPQm z4aJ{o^Fghu?{0R_qQx$v#ZJ`3P8tqy(MkOfwqopbFC;H zve1ZvZ=$VL`H_{m#tMBe?Ej>aQJc)RI;g^yx>ueNu&!GpUf}ogK3cH=rR$;2K~NEe_X>7I~jGf48|6yo9(voeFtfoRvh&EjKvCQ{3&3bAC$W zE(SmSRYrfbIIv8S+uw@{rpJa}p8fE4-4(Goy6tYE$jwS( zar4TG+0NJAc%Zyro}GQh1=vE3FvSY`FYFtYAI*JlOFGoxX9o(&*h%r3#C8NpyBXe4 zuo4d!?-n@?=3B1GFu|lQ3^U_8R7QRz2DIKyp_Pv5M%SR_4T&PktM@at2qy&tYYtq<_UVY;=gE35@6W8CBOced>;(lzrCC+3ccjw8REoA>bMI8Oh)TDdogC-hkKV$SHH9 zB4?jBc=w&JNVG~0Qcb3fn?;|#dHX(ji*WEzy(RvP8osH>ryC|y1^VANT_0wdL4MCQ z8|U9ky>!83O12z!W#kX4Rys&ooBFy>s28G?pfFX}!yL1`H*DNG^WI3Sp0nVXB|#l# zGA$AWFgxdwntj5_juI$mT8rBC=xptD>+WV8c-Pts6EHU zn)=eYs$2wP=~Wx=9Dc+-d!WDx3d3ueg1jwvqUt&UwRGa|yf08#9w%tQfn`x!92WEa zP9lR*WS?GqA3bZmBUKi^Kod9<-ZQ=b^)e}9HcaW1BjYi~nv6JFzD`aa`2|xZI#VV< zY7>^ukn$nqE~jBGj@gy86%hTf75`U8MB5hY^vqHxa40DB?o14; zWM-libTLnbg1X(+J^r(eRl(8^NErZ?e(t)&-IY4;BAUwv|7n;9mU4Kv3uH3!{x)Dz z#-T>@mY_n&b2b>7CsU8%-kit0=&h`XN@_IaR2JLVw;6$ZWDs`If`amugJ{|hxhkyJ zwbh@iH2H$f3~hz|-0F**>OCW#MIBD<|Eb}$d3l>rTQ_2Bge0(oIQotOW;n z^4mRdeBSP5n>_O-Qj{J!foO=C{vJStlg%4R-S3H4LMr1V{1)KD<<;6+OA!H zo$<)JuEMr`Nt)e)_WRajK`ZX?`#u!Kl5Yz-39$>A5u;xk6!EG^04`HXq(=cy`OB`( z1jLT6l-5yZC{|-_n+fNW?*?tZqk2-YejU0@>qhG;2eMel#Dl6uu&e)=wcwT1d~FE5 zTk*ZbXA`m@mWIYB)V4W~z+h+nes*{yK1|jFxZmKG=wo z3k7F_|Lh4aSO)amA9}ioN1kQO1+_19m-&WmbKV{ zi3t1<)6Ks2K3#r#XX1?l!$wG@L(*VhE6w{kbB%tRH6X>t3R?ArfACwa6ezFrtHRq4 zKu1~^By-lIVGO|aFLb=XAMaZXJivjzARl(WbjtLU&j~3@4+ewANQQ}OV*T08vW9zq zY98H(UWd+(Hp;7wIPg!pN%Z|4a^TiHhXmSmeQfNKCI&|=6rQ*!MFvv6e^cVmMt8_y zrVg+?S4`8AI*`=RFX+_3ncWd1ZTsypRJFg9N;?V@mu$2m0CF3GQ_f2!bojJulhPRl zGpnV55MG0g(E3%2ShX^p7%UAgU79Co4_Q)tk&9}`Y(vZwH&jc|{m{Qfa<|}4JxQ3Z zS$2OqvYZH>dO4J0lD6koQRv=(S5zYku;=(6iQuW80lA0Q3}UUKq~mS{on`bnswvQ! z$eXJ*?(pU!ScNfH1kw>brHOd|X+1z?zj=%cDp*3yayS zTHQ}alsYAvTGN7SCz&N0wft{M1%GsfI1%wtap0hp(QEk-A;e*DI;VI;S7ZQvN`T!> zJLftAn5$a9j%M?ouesfHJp|14nT8=4ZTBnd2jnPertBx?*l%pDpr<=smlh1(YiyeA znP=OR%X@>V=Y19Rr@O9qy?rSS2jF_m^G;^A>YK5xo3U3xWF<|yYAOP+9Cgt%c~Ts@ zAYzY`D80_+>yJCT*#(bM?7VwS!)uogLwBpUu*7Pe`|n)m+$}jpO@T~n=%o^a(GQB# zt1Cl?P93;8+!k)$0adcd!;7K{seXrNdeMFQn)M=`M@62gi(SgkJf?VK1b!&0lr+5W z!lWi8q^8q>Lu}KR#zXid3fyMP67Q?Ld5TA#LiUbM6WkxcL-#*37}lYw04JHE?mW7h z)#>l7v4BN>>P(*5zx^;7RIs%*R5crKk_le!Gy-=Lwo3w?BZ%w}Xt=SlyIdipoP2<^ z))fdie1dL{L_M;GS_-dm$~+!}a~l!d9aPZ=SBrKZ6AK?ziTA}lBcbAh3b(BsDviJ~ zc!}SJ;Le3{VN|`Yy7QUpBH!w_c1sGilPU#8uW!iM@MVfW%cn_qn1LG4^gFDs}#=;jXgu?1JA>?Q-ZL3lsq*y+UE zQmem z;iOXLiBAFKuAW|GIZGyqy9$q$ua9R)Pf9%P3&kG9CqqejWJ4wH_lL;R!xJ6e=o&6U z#E@J}cE&y<`)uNrqo|>=HuawA?r#qRug07Mel^<+Ug_ZJln8)=@J3E?QX$lDhVmbI zG1$U~$=pL)2b&P<4o~&Q_dbJvx!Gm^j`A)*1-`Upv<*J$@j;?|(_J>~MZNjX$L&N_ z4@X-22jpz!Z@qWcfQv4zdasjFE$@R+qe@i!%lgyu!raF4aYN6g*SA;w^Xqd-$KFtz z-Y+#R4->|KGT3@wbn3x8YZ-_!fC`oOMYmD)=~Vxm+wv2+T9mnv{7ylcngOh$WN}~h zp)4M!hGa<~UW*q8O|IT>1K&!YLnZygelhQDXR*XJd3WK zyd_`TF*pt7zmk+9eK1s6LA$>-@_g_%1@(`d5s0k=tmG)%^K(b&jqdPzL0!pF75#-V zCDkY;GJ3{o9XI^^)YXV%`J`@-@~$7@eLg57^4@ps80;vXu`A!<8_0JwZ|b*vA@~5L zHP(&x$z9Q2w3NWt9e#n_tbFvp?a~|!|NLvmN606yYvd%N1J|BdQUJNi$CX_f5hEy5 z(F2@s8trBDB1lf;ScVv@>%#Ks?7F?s6z30gHa-+umP$dE@Zt0OW%P22>!8x~+<7X` zu$EePShDF0x<9rg(mH0skp}EjkE7R+-_3x!$-i>(e-@}W{-yL1u&IT8iaZ#uz$Jx- zq>SvZ-t86u0Or%~joNaL4Q(_3(=dEJH|nqGB4_2Xso+XK_P`2iba~C=At@QbB(m_m zu61Ox)#8u~y=?h{MQn7#|0%FhrW;LR<`CP7-|x{5=vB{fYfJq!!S&1L>BVz495Zfzp^ah~6Gh}@?F-hkq& z(b2HKQ*71!tL7s9#=$Me-U@;d{G75G@ae1M58&0(p6f=-%d1L zzt9!xUN>^!HVa92Id!iI>&YrqKqRuq&8PNg)jLOOKB&QVh|ix6k6-cOj})^BM~jgyeQibxmi4lxT+aX_8QA!w=()Gf;|#F?$SHk zzG>g{L3TO|BXCM-+lSzBx17~Yc#jB$uzNIMhXJ24z_a&rX4ub}%|aZdDsKwz)#4h| zU$r^|dwpMTx2(3!3aWM!p0gI#8=aOQ7RA^{w@MHkd`OVI1I(oIci62RZ?&j43w#gA8#q3)}xXPPfI*q zKS1|9&mt&3;H3rk^L%6G&S^u_9mYX;rU6bsAHKrfV}mSXiQ*=Z-)Md)Zz2V^qMBpt zdmZS&yoVAgwZWE=48!ULPnkfs_>$`*F>gYEx-L&?A zv6UmMHhdmr&JnZD2g=C2)k5?q_`}D9+(Njsca27u0Tf77i=Eic0q*=CjmluKC<8Q< zRusVp9N+!f72Hq>5_LO;HM;uWRoq>giL|XSMdK;l-J3PO`4V#TFL}Da_x3PNVJs_X z=Kh2A*Mw})FOkuy3WNAi!3PD)g(K5-NvmvTAC8ZAG(6baOr#z3krPB~p*?h3bFY(V z7n$k;o`o3ja?!)y(o^G+UIC6ux_&%aW1JQP@Bz4qY&08Qf)p$lTfY1{?S5##Q>U!% zK>uvTRu#Q9wBdZ<%2Nu-YEuD58f*h)WmMsKubVdtN9gvV6c=$?)1+bO5S?lpwL}{$ zhg$Vvc5Bd;=+t@m*Aw@Lvt*o8uEOvC(ue$JXa_u9J`sZm)Chpm-)w5sy`K=ur;&qy zeo);{OcrOA;dGp%lfi#2KpCk*Z|1-SE^`l~W@xCn6!;5Pd6d5t&OQ+#JF^n-ZvAQq ziQyRf6xAQNkz>c;>;wPKPFA;s>B3C?m&`ryyYnQOOV@)xqJm%!Ur-rSCK~D^G3x_z z=ogSn+qqI;ee}6YIbD@Gn$=!cnn@Tl$@6p#IWz>9x}qm-ho0^wwK) zi;jD;a>n_r;ePnk0kG?d=<6R4`Lxb1wuzF_ZaZC&cNvDK<8GiLqf(=9&q@H-ncMQC ze&9|G;c;EHeml#fWpw*Zul@%$*9p*%xHty+l0%{A?ACxk@H*07^Ul0MU80i|J7LUe z37dh@n5A;}d`+vMe)I6G;ck{8^*k)Eu>RU}{>qlf$@6~xjk3e=5#sv$eV5(X57TPC zHUC%mH(?BdEY4WGi6hu!vuwXwT>qFb0E1A24#DR zV=MifKtZ=e5aRznF-hpXf0Ve%(y$lIVe2-Q(#XyR34KJ4y_$+IyyEWuHoGcpD4lAD z#_KIi@O(k079?X+kCE0d2~tNA~fHXuVQ#1}_$EfyI69x5wkcVyBQF+#Y-CgNyNmN|d^%}55OOO9swO*rR& zTuJ6oe|RXKitO)<)_)?3HVj>?fagX>T|%}$2^{^X?n!wM9wlAm7s>bxeVFbI`xA;Z zo;sV5{sHpi3`l*U9LTqp6*?OLpkX-<*K1)mh|*`HX6{5wYq$P5slsdSQTD!|PoV8L zb$IWm(S|})oM+nB)qMR;ca4}c%5%%1XO8bgbc-H&GaSv=7 zR_EKD|KIO8_zi;1g{6$Bip4!S{r1JN`HV}iX$?C9go)N zr$V5|BW{`;)fdEWH|vDTGmS1!t|)J@g7)f9LsBEll?iSy`>^C}hp=xBYMv(Ccy!TF zf{i#%hXH*d0Ixi=xVb-o9+jPUCoq{RClA8^|2|9dn8tT(WZ?6fHxANTdw{KeEYKQi zKQ`SWwSy3Ioi{|Hh$%gQ#HgE8&ci(=yNWsB3@vEeZD0}CKnP5lLmsumK-eCkH2oI! zNUUKR|1QSwe>UR_vXHMpmXaLhk)~D=<=^&Cch3|FXzj@}xNZ3O9%CDSLq^{^oZ@cZ z`@;j9oWcGZpLH2|b>#lSb@?#-gZ#sbBYKYNv6VN|Ipqc2oBuyY62FIpgoxoN-3>C| zg)Mjb{xI;0?Hz#Q{mj8y{=2n-*~;(~C@ENnv$;*f=FfJG2Ch||Oo+*1pi4w8={^v>i0z)?7jYOzz3s5EqFMrDtq8M1Xs5Ra{#1#<2IK8^n+kwb%nTopJvZv z3zQ%$TvcCE_SbKu;UMMxYfr1~CkKtThxnKxPF8!iPG%Rj7zaOw^{c>!jKY&{JW=LN z^)F|DhRPgHoJ#P+3ifw`sh7eFJt`mLW{ z#p`alrhH?Q9W6%Y5UVviWa4?ffI7_`x{P|{A5OxQFS?#EpsLrZ(-D_ZDF<;w49V4q zFX>5k^%w0g?P~M(=AFlV+RHK-MC}@YR$VWMFSYSs*=3f@PxY-WKFZ0;V=dIqhxm*2 zeo-%j`j=j&l1V%}vLkT8mcr+r)xXYT$lH!$wf4?HrJl4~nEMcTLLtuvl2YmpyiLx6 zdE%Fn)+}Tdi-|j+<@OB!68-kSN$tig?hG6v_GUjetj}sqoczSS zNq3pWi>H1&4!5mb3>3{l6>Jq;^?;LgNTnGYWT&2rTup}6x5K@q2yX(UH58Or){vKH zs+cHCgEHMq&v`M!S3A#bgJndH7HEV1mc~jRo_iwZ&W}CgW}X0lVnoyD!#=kEvb`+q z9ARI{^qT1e{&9$Z@JZ^xvI*pJgUjwp54_i{PrX~#a9IeaNBy6iCP}idH82_Mzs9}A zfu0@3O^4h6>|D)cpQ-G|VSKMUymNQi2PR>T;|3Zd@IFzyc#*fiBtI^NIi8L-)vjj% zmZy8ut}}%Ec+OtI=Vvo~U>swdd}wYsaggnJvRJ!Q+MbnB6k?_-AKA zc=vw-@Jxwi1m<|d{Ozi;_hwXs*p*$l=wL-zx17uw z%NSNBt*uV#pT1fNNj|2hXOtV^XCUIgNA1t=hpzfKO`XsmQzNE>(UdSIj!X89T;04L zu9y47`ix_L-Pw#|kO!dcX>dAwbi2E_eUsyTI?Lm@mQhkdfln`NXi#3{-Y*!)IkqCj zytc$hm2bU0QT(U6H_{(rlUtCVz*Xh7FLghciFs-&oa{*OQfxr&lS}~nM1BkW9-P0` z3s+_1`Fj_&MuLuV^lP=yGktu-2^}_EQk%{AG2d!+md<6SF9a}tlz`q2=e`b}w9U`a zen2&jP&H;7<+6ZsYLO|(C+rz{UzgD57aOJOph%v*GAMeiJ)HRj=GD)`s>7voC{gbQ z_*HdOWq#CFD>M{K;9m^JfPG+kF}5O(dj%)Pyw*|EIL^1Wxv>)%NPgAsXG{b>&sQj# zdjOd-^*SRvC2;)IYH0V6gSB1xW{7N@Bm1jM1QMHt2K+S+Ohvz^X}-mo2^Ud)ecEcp zVO(rHzZ&(k%zNM_qKW+hoR|#Pi)3lu%_B(UZ@Phr4d* z3g3ys=1xwpCmYV&zG@kz{LaigT%LVND{HU=?@JLP-1deVZoFr6SMfdL`Pou-mh68e zI;pCrcCnVMB^^;;wRU69%8Heu(7bqGa2=T8u+oLgt!|c-Rs#2XFPw*3u)Q5-d3+T6*h&|TeXpqV{*gfC(E0i zRGh@*)&n|cGdw*#>#Gvb-xDm*Jb2AZWbHQ4YWGD!7EPXn?_e<;9>-)A#b#Lv=@}^H z08QhsWehSI=R+*&GfH2N5!hJv8GO(WmnWKeNPq(~@xuqEgXXdVh#z@cCU7tal1Qgv zm^HD6X)EoFUUZ3C-qh|*`gc{E+etsJ{b8&V-6FUR^C29_hve=CIXRs@CBa-Xe?+S$ zt~`GEP`+eez`-@duTY?iF{1OZGyMI_2i$(I{3i(F-z4S~Ez--s|7$pZEy9g}V=QA) zxyQv63LH2cZaXf9s7EbP*J^9yhxBrF8}et+-;+VgTrQXMr)3!9G>;eVE^Swy>Q-Ss??X%slUS_D2~Cp$W+!K#`w6xb4BpR{=zS^A-l35xps^1tF z;z9bT&-B8_&!yPRnD>=q@OL`c-d93?KeZrDME}RY-l5&lqF;U`{q3~B-CUG;gNR4t z4%X4T)8o}QWa00l&9*l8)}W5R{lw8UZUB!T z*J=gH!Fu0ljv3JF{U)-6egs{^_f6e9OrsVi(>D}`pP2kNAg0gVXK$lR57tt=nxno! zdTN>+{p4ACS^jJPp9bs5c9t*dV(vGgJAK0Bw|U@8-;@3D0dEw{U%!%tMR2BUH9g6! z?u+9>-d2$3Rjf~eGsXbzBNE%gQw&E=Ohq*Crh%_AXlzVH9mS4NPRA_pDgQ|AyH>9< zQ?&ied|lXa)SKK6H&b_jy{pZKtR6QDTC~GI$41UXay@>AUx5Dy$AdMfuy1YzDN;DF z`=B2$So@d^@(hTho~t0?#F+R@xt zu2@Zy0ZEt-{H1>52GlZ|$1ksl$H&Bd@1i14zVs#F$3h<%IX<{vKvekKrLP;c6p$zJ z+TN^|4Z{N;My7IDar*QXP6T{PK9$ zd-^UZ>-5!ApA;J!{C3+81d^5pCmsHC z83T_#3HZrmq`-!#7$4LW)6Wv(O!k@Q5=6bkkf5D!ehE8_l_E2rovzt_tk00@a2GC8 zf2MTVf3^b`a8yNziZCd-rB&yqRauj_9B+A_o-mzV>uBod)zH#Z zOug>;@#f~=pbz|w`Tz_D>#7gAx4IlrFRf)Ibl`hnWq82cxc>FtBO+hir zG250ei?lxt_b#*9fvG9|dOf{p)2p1@w-GMm%8nOm57Aq!T#*sy^jAHmEhq^=mlkL^ z)#>P8$U{@38h`YO)sG>wIFsZ&sxR$WTW!}Tj;;Zy;VqCg7j}sF{*jQWsnXn-L)*+c zH@>EdVwp6nzw=F&?^e_5FQsc?>bb4fC_`J!c#c=GZ;VSaUDsUfKIUW3H3u0(ad5u# z#Pa4rPNyzPGjl^A(cSFT*+6@K&c$%28B~h1=VXJ|^W&pWxvltUuUU^))$Z>ux?`rY z$YLM>js)FA-8dqGC}lM5eGNRPN6Kd`rQIq{qV=zGD59pwt2xgei{meM9T|wW@KL7! zG3J~h@b8EV_{Y05?-4FYpySGbP>IoI%uYI%F>c|3B&;HzjWys?!Xf?@1<{)F@Y`g? zb$#;qL8w)lR_)wZ%%$c|ztt)W#ll%=3t-u&Eq%0>WPvyE+!c?oOgLuflz{Ke!@e4STFy4LCNpr=@ih!_1p-pDim3bZcGn5CaVl zO)KRpGAE&b(SQTfA@`ovb2pC{un<3X6pMTy&(EU;pQs~G*WU6s()D>Y$>Gmw`ab4WU^qYFC>Z_DFOD zW8T*hTIX?Vyd+usR_hbU3LF5F$zT6tRc{}FyzG-~#5%nGEP6SJF8S8ZNGKoO%gZ>o zl}v&By!PQm!dt=QH1jcMQRk%|y8EGh53QH-XqVK#?2?*ArZakddP{oKGrD`JLim9D z`G{BEw%hd2>lcqy5_{nHVuFEQKg?E$gSR#g<9(sw@!jfuApdzY%vG8@^xymRg)HRV zOMRh_Ao<4!J*|uAb-ws=iSS>tTt86TRiprBgB8?BCG}lV=DDt3_Ir)SMIE1pw+!uC z(e3R&d{Y&G85abdaOp91FfrtXe)ZRjv5$8k87mt3&&~a{{70S;8*Kp;wCrDCLXPii)oiV+lMH;}dR-mUaP#YM z@!TKh@sDRp7a>A=uMD7GcR$h*MJlMw;;3DQDFaiwEMz)U;4f2<-+@v1-PEH`H@*z% z|8Z_^?dbf0M!0xl;}ZwVFrGGHVtWVU`uc9;XAB&Sfss7F4O@o0i)A~+W~P9;6WP1d z76qP(EKAc*87s5Dh7VdC-S5b&6N-b){IKdpTW>M>;3^4dKIXy-qERCIp(Mn>%Y{iV#UU&T>uSuW!S9oAb;rKS7- z;jrZI(AX&UwYkSK-n@GZ(nf1P2pE%;-{}{;HnKEeU59(k|9q?8E^pDa;=fF3rI~CS zviWXiBM$(n@BRHDv~;U60*$klV0v0-d&I6=YG4y`?&nWecUb_#^o#WGfJAFrp z8D`YZFbx{ClG6@MEx_?B#I%g0u^sk2E*)la52@2zWg|KjOef(}aTB_%4^C%L+6OYC zRqsZu-rzs#-&BzL6c@bi`MzoRnbwPt_%DwO8(Kr6d0xqgERxtp(HANIhyFe!T>PalR@iSpDbNi%>;2-|Nfmw#GU%3P^&6o54 zL9*Qr592{KXbEj5Wdxu-Wo|uuFveR49_NY^t2pu09n4 z{%EUlMj_6-^L5mC4f^S&rO?BI^s0d#$_LmUN+ElYDD*t3*sGt5xW|^n> zE1frif2Ko0%-}9Gyk(4)dGygJ@UiUJ!R4H?vW#ORRa0`R)zC!=?C10ZiXIvE-*8g` zsQ5-$2pfg*SU)51ucIlYXGt^TA*A4&^oCA`9fyG{7cubz3;_?dD~rNmlA*1a3u%1l zQ4+j}3v+1V8{E)XVoZlW@BzR3g0JK};i0hhRB zEM8KNIA{kkrf}346=a{GxhncPDO)cBpL?-&MDy}~V0#_@$Z?ALj`B~u$64O;jXB|S zbm(nJZZSvE_Z@zX%$o2dp_+=W%Zs#P&;qdAEOwk!{R_^j=0c$PZ`TTzA~W(CsBbF4 znDSEXNtUOKY63^_ITIzlNoNqJxqN*v@^hy6b4cSTIt5WX++;yPF!X5~{1gBTALM^g z&bL_aO=1viEU_A-os|3aMX4Qr$W{C)1>zWBM`{+pj~sG z%fh)Bvp;bCi0KhAf3IC0gAt5O<}&M2Tz=g6MtYFpdzckc=3*SC9uxM>DSye#mg{N)$$YP1* ze%0IMWRuwC?8K;_yix~5;>ZV9YAFJ~lSK(?$_lweG8)Q9k9|6|__hIgEphMTbscG^ zb>5OWH2e9wv|Ut)C%e>Pauil!LJb4yTH9v25*N z)n@MU;eQ*<%CrSG4MSZ2!t3G&c6t1l1|{MJ+b7v6jI z4Q6(mW8g=UYMPFKHbs{CzovvO!f{m}MDV>YwOy$Z`l`Z!4D;b$*o)n)%BNp2D^r{J z@AcPlVKN#MXcrxsw{pZe{V87oKL=^3`=zsEGK!c7Cja|ttQSg=rpGXGdy^ND_u`o< zUol3@AkUvh1L6ly2=pmtKU?Xf)Y!si^~j#@Yy9boH0bICs-H3cEQz8qcs7J`cpuU# z|0_(voh~E2!AmOq9zU0A^9tL0S}(9_pgizW)$|v7D>UJO0JF*91}hNcJUG)BGdL^G zj6Y3oRecW=#=zK^@VU;on-pjoQ0DJX;6l6HYKr|h75OJl_{TS%fW=qO15pq2vDtgy ze?R9iVT4w!_gMcnTY8yO@v`o{<-|tj-`Zil<}Ky5N*G4&1K8e~w%;FUMCd zDlA>!dV9|%3zWiOT6S}_Ki`k@V&v$b&Uv4Oqh_jx|KA)^nEv(5`{KiF-=a*>I?ub^ zInJRf7d~4VncO@*HI-uHqqT3T6!D*AN0nWHG_iE8?kgvV3>|M67rgeBw+{a8$JXz& zK@=iBjo#dKQ99?9GT3bk>OaQ^h|S+hJ!58lM|EYd;w$Iw=!fGig<;kjMa&MP4j2FB z^z9+#f)YvdWr!5LcI)RiBw6MsGoe~RpPJ{#H;fs3w&_Z}3YYPEFM_q}bAoC7gQdoC z06H1APd|z4<(MneL}278~BdQSxrwOH*o$^Q#$9~k9c*+WP~ou#J?T<y6Yu5GZx z`_0;F@_2YQzTu7VqN8T3CxtJw2_|CLZMnuWWNeG4%{xOXzI}R1yLww!Ts&Cw?#^qz zAn`T*32NAJ=?g<{9uY4e(lo)a&Vv-zMbi!m$q(T9MlN)!T_NDGUkV+KB~+sq0~{9v z{*wy-e>36!%{g%IlS)@_xiH{eVUh6Qf{#b{_$fLnR4y^Jhww9%xZ8@9SWhunCgj^D zdslNP0ou8RaS>Hdwe=$Xqyu%x4_Y}a>;fH>#fXQQxZZRQN5%EEI)U{&9X|CSRRRd; zFw0{rfFG@7|Dp#@f7~xB**FCrG$-nmy>4XWN$WhB_lTTV!l1XD$49}h&djt=>CJ%i8;8bh43q;ITCMx zUC9Bbysh8Cg}dCGhF;^shJR9^SuVSt$2XFi!0XcLjIdu?LqW~q6_CZ3Ev$yD^^s-)`Mg0ZPn^^~<>K2CLQhd0wi%1XFk zjC~mH{sm!!B8uTAUode0ZiL8-NTJy+<&SN=sZX!4L@$z4_6V{LYx&p6}Jg1$7Nd}$Rm z7TeTqG+*BHypQD8Y39)Rk!?a-#EnmIKOSH8QQLs0Gk#;AW@9?^6F%1oFPi>}Hb5he z|E2BG`od2HtM}R7*Iimh>)omH!{It?TAt0W*UvF|%z0Wy=t?@%Yy{wz!>8FBwhR- z?1iwKv2cE{kn5g!3nF9+0Blinr2h|DZygoo+Qtjh(wz#@r3eTNH7X$~3`j|Li9>fk zbW2MNjfCh(OLv0`2r_hoGz^{MdE9%y=d5pif6jt6Yt0>3{NlRD7eg_47kfxkoz*$1`n5Rx$*yC+bJ7?Ksxt3=O>6yRJoe>au5tC|xOw&1Th6Kq z82%`qVkI@K-U$m4s^pTXij9Xz$b}D;;=p{N38L0=dTI>rH&3~|WtfAwN-xnS8zr!N z=pk-mK0%K0!aHP#iSY$hg@%^=pJLOjQd zdM>n_+ zc-kCUJe(tlZPY?6ow0D@fS%T%x?;8FJ2#lG#2%bXeDY`WBpJ8bw_~Wg`9MTCuLy23 zP+1bLRg(JW^+0}{KGj+1lgU(9^+$dRty<&Q%%xdqniN)IzHS9mSyRVgEgM!1j+RQU z1M;s>xNMShZ3H8>H9tRiXaVrh7L?e(5G}9UWD4**W_tRgN1>;xYL%yAzRqlDvE-Sv zZ4=m=mgcxKqSk6Ps^jl?l|L{y7gPk;ns$b4oai14Tf&;Erg-9UVHly0)qeMmjg1vf z%>LQp-r5#e7ArR?A3toXjYS^XiZk#cZO)o-OY@(b1u9HU560Kko@_0jXkI>m%J>X&u9K(bAQwuC<3cbNTLp3Rohg$(GslEc zQM6e<8$uYonK3}cO0)oghxP_Sbh5S2YBn)^v5||HCZ^x7JSGalIjax%Od=*iFOm3S z8IlO(u38Vm`%XfLDEqaeH*JZZFjYAEWRbOMj}uJEyftC;Qf%TPh8r|&M)F|42sz3( z)`rKt}a~p`gld=e*I6l3)U3)t>f}x1C{}RD8I{bx4G^0V1zG2W$ zS-y#1sR(e~^SM}-u!OPts3?+hAqn!xSwV7*fZS{TYZ*52aq6nUuRE=ZDh%>YF~~md!I*dC31p^NH=}pV8Y8v(}d?J&)H2?x@qFA+pdA}vK?wHL{>+}>eUN(9} zq~B2Yw~vltrK#C|fvGQLm`%HC_$T#S7-d1{P7*r|EN}y*TC+s5OVS zmKv@gF5a5kBspsO6=xp;qN-$e;O@MKwQyZ*#?L1la5j1K9xGfPa#XFW@$jElxP?4U z2P+8iF?mAV91=0k9gbg)|Ke@=G)op3Bc%Ut|Hqz2o?)QE+K*OW%o3k;I@L)k7NIBh z@k)Ocn|JbK!~J|_@$W4weLKI(u|$?l@xFYrKKZwMj0EJ0gjdZGuHz4$>(zl-x}#YH z9wHtNln=<2Lzelpt`o?(97DZEVV%`nwDh)BN8nphc+`rfN~j*|N)fiv znCzcjS#dplD>{|PtsI?zpomdm$d)spKFL@l4i_#c6E#c$?S`5E{J*rYb`Y69$iFhM zB=Nm>$3dk|!`-8-k

    7bq|g_CcI)# zsEQ|en}gAiY$Utk&p)khQ3Vm>CrW~%=yE-Pk7>y*(zMA8&WZS%oD?oXvCN5HeGxr( z#{EBRy@gj*YZo_4w{&-hNOwrLY(iREI;6W6-JQDWMrrAkl9ZN^MnJl|TX$-gZxj=6lQ)+ctcBltlhgj>c)R^F6~TwbuAV zy#hYKZ@v(ujcW>nCK39)8x6l5}@BgQA!P0*3xZQ_pRz=0%T=0HZ2f`$* zZT-#G+WLeG#6bV@&Wgf@3x>@5y5uP?AsS*2+E$|+12O}_AQBqpwbrxL6FCyOV|vCP z7i0#}R|?i9o*sM0U&!~TqJvpzwlAdH6zeqLk*j&331$nnrpG(7wOaty!kp)F*_n{g2HB{>p!k$51oc;OXzar=L*m3zAb5U{vxcU z`6$}q49Ix(Y1M(imiW>&p}Mr&^!#9-KZM~9)9`unsA{819CLJft}KG7CNdpB2~2|f6{4y8yYbVi*s!;1J7E&1AQ_7WFCc*b9qLNiYTl< z_Ozuh{#4}6Id`hz`r+?)dEU+r{X+Al=d1l3g%@|dI00%=a=rSkyvd^ZMzeVyqgj36 zKrZ5acXtQbV#e?Xp$I)QSPS)FO9xY<8YDsrlJ1vuEwknuid zDH~e_9x1T9iH7?}i5XI#1o_9>C|R8O_6t`T*I!0u;fcju;vrs3`R2sN5W+2HBNo- z;7jJ$0;h;ea6C^N#!t7|OjM)03PhcEKZSI1L~h2qH%h*pOiX)vW%4M|m*F*oq?ZHv zJe@Z{%2n@f6$~aq)#TPopu>z`Fzj}U< zzAv4kJqst;c)Nt6cUHOKuap79n=+stxrHPp`H&9Vj0!kwmi+9?6im+XZA9iz*oxsB z%@wFq%^ex|cK3VupP`7_l=2DWfM4JNuDo>|LDUtyPKW&6P^1?JF)vhZ-$Nvh0kJ(? zF5NyI8i|zn&OTI`r*gwM4~+$`9+4#K;mNEUywr{4CgB$>hdMlIbHWn+YbzEr9O!`m zJPWc)0wYyy()xHFLFP#9+}8TN?~0rI+X~c0OHOYZ&GgUoNVA&*TG!0o5^59xwaJ?8 z&WGg`Cj#kq4=S7@6tenuJpT*a_8+WnypDmfH*W~J*N^j^+)BoCX@p%36N*5f4RoGF zN2WL1D$;j-8cP?M;ZL*uTN0=$TC`w|`&+nZ@*XyLIHjK8SdZdCg35`-EqHjNA-L2{ zQ|<-EkjwzIGvKx*56|#ZOFsr+^SWC9Op67Cw}ChfH%z|S)QosUty!z}KlPA`p3pH+ zkN4hg)m1f@2w!;5#RP&kV1OX_X02o=JUu1%1Z}}=N0uZv7;Jrz=M*#VOC#Pt^sfLhr znPtrI+4+l){L-7X9j=Qd(_Vt3>7Vj;VhGNLt#zb{5YQF`NLPBhAx2mRfw-8gi^0CR z0>?8^cqd=}?D7KsJ`s-lgLFR3xAw6Z&hYK6n8P2dk&WDIbBxYMpYE7?w@ms=2gGy9 z?Y<6Z>A6(Bj}%yyH^(ANloJ(vuW9@!h-r@>%Pd@huc-mLW=f8iE_wDc&D9F@=e+k~ zv)o~_TYgU|LH(Dd%yyRG8&zHc#NoXx|n~&~powg+(Zchro)M zwmm|*GhR|hPR0#`zh(lnPOY~0>0vJEG*=N%w8+EcBqR4==)i|3v; ze5~b_j%^1A8XJEBPje8-?kIL-(B|;nU7W;^YmLz+9w7-CA}0H*H~N0&zY4s&^s6nkmG^AbChdI(m#pgaqx=D{q}g-Fx&KYWMbplV8Ln zzmbCX0=--+6b0!W63vY@N}8i-R@y0TmVu6TOPDKs(>_?vs_J&xUWnHtA+b8PU#g>! z!tS-V-JSzi4P&K?97h=sn1RtuF>4;l{JYqr?%(-!=gnn3vGajJLnJQB^Snft4EYQN z3ll+eYIyMQ`TLMN#!uyPSu3jSzRMi|lS=1Bq4(>6>o$es2{y0G&(|eDc0xnlWVEw) zcay$=wyCsxXIX~i!1a_V1T}-waQpNE#2_S!4AMXu|M}^fP?adV zW$!Bh#>s4D4sA-pl3Y z_Bz+c^C^~AQBANJS4hk~jZ*Z6VX81gz&~;3$eOUeY08S^TVD*D%67a|4CQ<6cHb0+>E3S&kvxfHZDNLfeHunw(ng>P z2Nqse12ykRhgo##1vQWU$>L;lzL~g^Rg)ep`~%IC9jOyQ4(T( zfv-d!EM6(rBCXO5@<`R`8!{I95j<^m-6D^_2epy^K~p#FohX-5r#lzkf6=b^{N?3K zFc!irEGx1g%C6#b$!YjQb_vh&`Ch}6 z(g7;lM`+;UocTxvdG=ItU_aE!8u;K60*Cl8e*q%d)^#)L5%HaB$n3!%6Rwcd&xDoX zSPpG>f{Re!_R^Uv3oPp?)^5m-N6t4edaYW*9prH#V}h}=y!`|@9HweJ3$kdvlcvD$0$JY(52!Gu#h9AMVzZb z%=p@=;%q1=jj}|)@sFUnNKkncAFWck)3p2F6CQ-XE#d$E(JdYx`0SXNM+=j;Ek9kp z?LzpP+_SIga2TStn*GA)-F|j~Q#mMv#_MsOra{fsO2#)rc5`Arx{F(OY%bLrvQd%* z$plbG<37Md72#<0+YkU%W^VirJ{aON>l|m2qrYBmDd8MR=41AA*weHb1acoZ_{g$=zFw@q#dp^Eewsg0>ZA{$ivAh9_?>qiZ12qes z9loXQkDxIs`h7^%WWgKIQTO#Lx2~jgZxxRRS7B$st5+7wt-$|}OdsP--*ztMTtjXM zKE8i<){FWG?OYz|W3;9`9j@n+t0;ZJb3t!}B+S6#(H==MY`_YCBZ>0mx@j$pF#rqB zQz#g`E&~}C%gJ5P*!%%Px^^x3M`bd*_tHWCZ3!6w>h3x&f}a({9}o1Ga%$e#DWd3M1YkQZ&(PTz8~b;mLX&Q`-f>lo z-MyFRpN{w?xg9OmU|tFRvG44uQo4UL{$v~Y$2&uZ#NbKoqD$>pN^1r|GXx2>3b={_ z(d9c@B%gmW_7HBHY95W7bAE-CaQ{smDg$hqj)DU6SL7iL)>`uqE-kKGQe z53_!0_+GVdUd|aAb=aC-c0%MvUB`q5z`IS0wDPJe&~aV0DzSI{&` zWx7;V-`Janm6}c$FWr5zwnVkj@#H&n<X5EFW_`?$P&`PV1<&Ig0kEJ0=dL|q zytRP}k|})KxEK(r47j?c$nQd3_g=0snm}qO_Jo|6+Bc9M2X-?yEZwYxxDR!w2PUui zEa2?m&J9pZ2+zZk*FgM_eXuD$U2d#hIm7EUa$t@pH7F6fTWE1DF`oTzfDH}_(4GEe zmAiZmoxR>QaKYUX#zpCZc8Y4&H57pK1gmreMg_oZJoP*oi7x4`?(U$6V?^ZD;>-0+ z@*p813FYM(zA#MWRbqla?rRi&&GN7?7*E6?ZL=RJ%EUz8X8#_7^;ECi+EwS7>=!Y% z;0@t#9v0&LtSvEUzAs>%Z}xCSlyI;}La^ydiXbyPp z8Q9)8Q0syi*2v45*e30YhfE|WJqO2htqOU?t{G4fH9DFp5Py*bMlcF&)QDbJk-IAz z8XDe@msz>|UkhVRfDESrP~_hLDlMw&w4;|b{`D^AKYJI+M#=>k6Yf=hUEV;R{#8+8 z?I@N5#g3Ho6+wIr6eVt?bKeEj>i^=|E!5jgpISdPIxJ^}`9r4As}R>rFYe;~pAkj` zGO#2GS%I)mO$odfaD^sLZU+_cl*<*%=+>z)sLiwGIO)QC0viC(Edm*Ot=szSj)?#H z!C0fy{CA%YXKxP)$f5A@FXw~ncy<7EZF>`uSk+C!qd&@Lk&?(6^u^B)eWEm%28MQv z^nTB;sG^Gx->{P;`rW{$P?XbJ1*hs#7wH$X4Sa`tgEX;Ogzwm~k>6y@;u3X|PXc9J zY~QIN#>ZFIbyK+=PkdkaxC|8l%sH0%)VX6lgAn z)@H3G$Ys@B1`{Mk1@RrOwEXfk#-nDmL1`=HEnv8Zf_A6YH)&H_?IyY!i%IyUwT$!G z{9N78c)!-Jn5@uLb7VUy<7V=}l*xS&4?MAGch1pgA&TEOWrYY*5EZ zIA&J3vy$?>H{^xm!Tc@IlriAvJG!uX^y~bhRDNOH?u)QmP>SvI?}Z--s?z>nJH_dE z|FQVV!QJIIGLa(@-|N?l7h58d+kD+e7;i$Qd%YpC!m$`xfpcYg$c@zM_n`EoxOgGfkJ;Phe+L}xY&B`XWb(Q;8p%^WDtGhzPu2s3MN`t)}h0Zm+Eh3K<@h5UU257hEr&aG>foO)qK(JREhHK zzMKm1UnI_63~=?G*fIZL{T?MT!FrsWgxZ;)QZaD4Am^{3FndZhLfJT{k(mCTM2Z`N zQGADVxQ4WvP+J1VOl+9X1ujRf|P-IkKbO7TWIa={S0$$CEK=<3oCx zM~7{hn1!y*UNJo2m*Q9OE@y@!VG=QpFAB;q01ah`AGZkea4Dx%JQ6NDJa!tex%;O;#n;h}?SjS+qXjt6Jlu1CbpOS1pwTF-y92a!tj zri)`W`*y4(u3Y?ey~ayThhKh^vP7-tP$joR4bDbyBRu>Ph%2B%DcCbd5AT@R6U?E;xCk0;UV%mEr(eh6Ph{wWE~DvhE=vQe$r>W86-3hKD1wrWYB6QDi6WB|EO4+^gi4wJn_paO{#xsTX^!Wz|^8 zHG(pG26(GMSID-3PwU+gf2dC)qx~v3m~#beT14zV z0Y6-5b@BcNOY83;H}pv|7*P-2QwTRRqI%_k6v$73Smp=Z%UV|nxFYP4mnUph=xZ*Q zck%XJAQ}2mV9%Ng5zQ|eQ?wW~M{(Qb$JfIB;|5mF*+G`(Bjt1KK3ON$I~v_l)0^hn z*+?F@1@Vy3w(MklUr3Tt)X}RBJ79r??Slle&eidXqps&eBnj8!cJK13Lc(9hS3_c? zB+J?54vzjr`b1hiJHDLbI}jaS_m z0hm*L*uk*eyl8tdQfWYZWkL?-6%o~K}rVM~V$OS+7>wD6&H>%rCIW5|HQ zHF~cQ0X%P9yWe-kt}qa8G$%e@{X_5I;ZuBvD04S|y(c&esWXaIFWr=OIf}Do)LYhb#TDb45UxeN5_GM=3Ligkz68mZ$6Nt&@@G&misu zpd*60rCLvs#+XpL=AHg)3~DVwP#9|c9<=mhpGV3@@T%YF@9X>-dP{Gd?DcsEP>@3T2#J?8LIuj_}aLjFAHe; zuoo`B=}bO72Xrxzt63HdT*-s^@v^`vcFRJYM;d-`K{l){<- z?BP37+HGE-S@=BU*!>J#mw;j_u}XW+V$sYy9p`giAjEQ-NTe74YNaid(m~Jj(NO%s z=%3577qlJ+X_9^vJX$%rPq%KgS?J`e(<|$6HV^F6s%M1VQ(b2Ywts1kTeXw7GZD#0 zL#-$!WH(+QQg2{B-i zi|&Q~t`uqgsX=Ndg`Z?_EdlFRwm9*CegB?3z7)X$E|UH#EXBP;(E-Q$w`vCs1b>V0 z5o0s3Jp=iMc^1bw6c?>>G43#7t+F>kdzB5}jY1P?A$+6T*&$6O4wAsvBzlyo5^(Eu z2;{ec%YzKuPsR!djy~M{hSgrI-yH|M9-6>T)#K`yf3?jeCA$!+8wL2S39aikMdU>@ zC++mYPIoz3%ib4wkbktg=JUTS>nuO75%~~4*vk*gGErvw$AFw9JXW|J@y(nBUVEs3 z4fKKyS~5wl&t*^)r;+Y|18W5Eb%yP-{z=j@sab@f_X$HazVf0#=Dw zSw@{5%ntsqOJ-s4<(a=CSi`Af)HHudew?+qMM`p6V3_T*5xDP&9HcRL5vSvuljo9n zSxL1*Za`b&^`L}{4^O;RmXKR;5uHhD^f9&_XXC#HIF7G4KkFf1Xt1wHU1kIBZMW?L z|4l>A_svW-N!%btH56JSpwES(g7$a|K}>0GvL|DBWEP|A)EUpnnD@g1FS!dtSYl}r zON#G%E;}zugWpbUwu*igPa<;eDTb@m%p|MdXgru7eHys=qko_l5E zOQe^N(5V?)g*(0 zh21J2v>)C+x_GGuWQJ-vXdL?YPPZK*J!4<<^iCy(Tk{yBwZ<+nb!AsZko;1sdMOnl zV9>$@J*xM{soqE%h4?9kU;HTthTWIyY#v|InvywVU+JegBaXnc5eyeH~g1sV*;`5Wd= zNjjDy(#SQYpOtB8;}3r)K@hG_MZ+k-b`fYC-H8d}^UjR#nYXEGe7A0k-u#u8^#ai? z@#GJYHQZ_MT=1C^_iWxoBkZf|wx@zBY!+=iMN-7_Gj`Kv^7fD|eK^`m;X3zyyh6%o zP(X1~N%Yj{ZdX1Q>O@e*=4SC5)~{vMB;TXw-^*3TkC=nPT^2p0N9)&ZqXYaALHRW8 zaHfr>2s?(5_2%pY5rK8j;KVKQ)}7vPXln*fh%wh1aUng7##h=u*gGX1-rTt<5s?HS zjgZ8keDzBK%PzReM{8MM3XomKY$DZ8iEZ@cI4#I<8iIEKTZPze`CZ{DmKJcwCbaeV z9A^jybU$g+>OKnmGdI5WIbO-=;Qw2k#!5)O6ahJ)_$ySwa*wnxs4NY}0!^2?FV3ZM zeBwQ&3PHN;MJi4I^KixIUCY?(znl0%Bf4?^IT4zDAr?%q0atxHcHi=kI%^DYd{ zGYX2ea|xx-Y=bvnY9pD4_$$4n6wdG;us+apOZt<+5r-8bD97|s44ov}g>m=VBPui< zum=Kzp1Z#o<`1|74)8M-;h$l&U!`ua zYhkV%L_DYyj@N^Kc5}3>@2|51?1c5ZHfs*V%x))#8{f8B=kew zqzBCi>BN8JO_YVdS>FpI%Grk@``)U%)UVa~^(^cUCs9Z|TNifGCZF)6Q8jj6y_!zD z#Pz+gM_mDrlf3oMd9x@FRo)BaFLj+~mc~otBgA89cHfzdYXVi_?1IN`fOwTe((!jI z>ux0ly7fAY-f7m?b}uBHLuuaxx*7*D1-GXbX}*lf3|0_2bTI<*83QgYTnZ9bZD-A7U>b{k9KnOL<5a(Fb8HzkI58r#-+Zg5K%`qe_ zJ?&=wch5asaX?J2`pZ+DqT#%ZqtvrhG(@BKgM%xAH3NTv*J8eE8{H_9tZrtkC^Qu2 zHD1>$%!IX!lJ4M+3uvo8FOM)*&Wrxgaw3g>=vi8t31l+C{sonu7e+U#c zk94Ofv_?7rp1!Jp4^_Ny4kYnM` z+`T{E?P-#T^1L*eB2vnt)dA+TElo;&Dps@ieTW z5W#o55XpLQfxF`|83t~ac%s)$ksUdsWM}Ggr|(xoZgLYcC_sXmhsA5rh~r7*qRvU? zxEq+KrZi(loaA}PFCbbZ;_F!`$8<_y9`a;t4e~;n$<4{pSWM!QTH4IX^$asUnc*jCdWGIuLlfENr#^ zuo0l#^2_aUt7eNSB!3+;@FK_kBhZIw+wX=b%ASw%5+QBh%KseWT>*@|6wMvo**)S_ z5R7&7*{5XT@ox*zkT%cbg|8OpRfZy12dg?78euP4(*d{R@go;7kJ6Zy;W!WU)81c4 zfR=s9!aRi!N(~lIfBh-r?AQixH9!jAx`F)q&`w)2%uDY;rWfX*N}f~zDD+ipc>AhU zKM-DKWfAd)*^sF+!ER;=Y2p>@VgH|MX$7cJo%|% ze#rS2YYwkwSjPFEIN;J_^yMrsmH{H@Z-`w_@76V~DS1IgmG2ZATU{YcH*2m0Llzxd zdtyWgV~0ipwV5ulP2V}~L*3E;G}`-=csK|XRc;M=PO)GL{sGP{{hUqFqW{*4(11Rx z28grY`EXz`r|89zqhevQ=|}t`wu-<%Y7z0wWuonXJjOez8b%l9q5{zZyZ7R3Vk$|l zkMVo~5jBN}zyGJj?HMW-JSHmrI7@Z=(f#gn-*Z;a>cxTYhh279@@<=EZfLSlK36?6 zog@CRzcgdzqbO$XZNGDKsY*jui~AjrWtFUc5@e)$DV)6WOIGZ!-w8Vs0dGLi zBLP~gh?MBeH_+@SMYwCEfZWj-#RssVid3_3{3B1#s6T2vdyS(IK>})ASXpCq@d7l) z`a2o%?4ofU^qxh~_A?~MJ2F(H@9G7EG++E8bUt*cgk_FbGe>pmWId5Fc>{3EMu4Tm zaBL*YW7<*|S$%LoWetyW>?_~=_6dD}!+dSExC*BP4FO}BJour0I|&dWrbVEHO2+g< z7gP@X5M0aXvnrWlQ7KmC{W24wT~N;ZL7M`aaxYNh;+p+-${-93h(oPml)>qP2FL!K zqrEtLsdTdOhJGZ>4b$oUps!w7!j${Y{+g^hNl&WV_HpZm#K(m_Q!pivDjBLDo~JTq zdp7%lb=K|b7byNu1{$pg{4hX^8cS(y=*P^?u#RlU&K2oza;2~H%+MDt z(7l47a|GXaU5aM;3I%EnFg+3lS@U;<@4Rm&jKN^eJ3S`7+TJ>f*f*f zvh0~$CYbji`R1dUyxj_Ld}!3co-MdeU~gDnzVQC1{Uc^}FSK=KXkJ@XQ4tTP!e(F)FZXU+H!0Z%Tqk}s`KI-4g}II)PnZ{Y1YrcmfTgeHiw zLrua@d5r6phpVRW+|Q^5w*`JarD%mh8&2O%s)FJ&YeXrD9tjy(sG4z2Np$pCC|byX z=i$;i$c66ai2KiZT3_s`(re?#q?edIg+1Xkp3eOT89#TfjXmbKlFzq8h{JUOk^mr~ z5^Ly#FBQ6x!bhU)Q^U`-o+q2UAIx{=Lxykj#yG88z0cw=@@VOw%Y1!HN)fEmh z<=l#L!?hVwg!5L+&Rd|uyd$3WpBeA9-JS(_b|-fqXUYj@DCg}fyuj`lLg#K!%og-K z;n6)$cp1vjo8cPMki;Q$yWkJMMbPJQOm&?vh*8I!uBI|;X8^n|5nOKFD6*gJ87EDh zI1TD!C(8ex5%M-mQUOy@WRYjAjb0EJo1i4cS#MrOR$V47-ZHpEt|9~54$R}jymMOX zItsOU`ujQ$FEm&5Oj+FTd(Nay=Ge`e&=dq42jPcER3GakD5PF^_i@A0Aa)r7&TyjvR#1Ut!^Bhw@tEde@2hB$7`tAJ_Gt5m{S z2+wzgIV$2RLTEpwJt5hhX5n&`iS#!N{Uo}}E*adt8{jsG22!y@fxE~&1- zuWZd4KkiR)ndz2-7n5|+KY@Xg1wbNN3f>RN{dx!UoyCyhUqqI~^)(3gC#58o7xiQE zE?=2K!cY;#M7LidRqQrz8$Xu1Z*7ej2i$(Q7$Nj;_dj26?I`=|c)Y^m_|5%)SpSq! zQL3M%2&>gk7C>)o9Xl8PiiLar1zh!>IG zrD-FG!?Q$1TpJLjU6>IVnK<4=#8p!=zGt^R_GzW<+M@c~)!COc^zv&*uyOdjSiP|t zjQ}>AchXeVpLMytr^G>r9@bjNvqA49&ZuHYKn|_eUsxIjLNgPqkK#y7x0|=XJSR!o zOW#XjBap_=(kiK>2;4E4CR@gGRm!*>5QP|h9Tkg~a3jLVFLq|}Qwl`(7kqkfWK^!R z9F?p1h$jGJAI!y*2UuMePns#7GmdivXGO#6!B3TfxPC2F(*%;|} zA`m%apKd4c-z;IP0bah$g^!7>|L5|}haPWWU~xk2QKsYAw(@D0kD0j`xo@6_`owU zfU@KtF*eLy`$SggVg+rljS9Ve6oYtK;8Wlho|Y(`XnekI8$ z?5-kp@bb;eQFug4a(G)JhXwx;&krpWRT+cjFgeV{w(i+LC;SVUy;pySRc%Hj0K+H6 zjNcHeB1qP6xNi`YH!0KirYun<n0{)f$+Fnql~Z=W{j?c%SCLpSR$jy$2yU(*OPPfM3FMyt@}qzCn88B$O9v=Yd5~lM@ZQ!*?0WOsXxid4ctxE z8iz-&5AJ4z9^svapb?m>Ue7(ZRfwmB&P3WhyY94>&Q%aVFu{yD_oBR<#O0(bucNKH za%pM5t21a%8<|yF=tUw44CLc*Eg~Lmg6vhtSY0;Aru4>7E_t^!|#i(_Z!ReEv^IXAUM;f zii?8d#feF^YgTp2cHKy(SzyIFHAm7&Ak(d1wZUvn%3iFZCd8r^_zHFjK#)9~|8<9m zT=eUW<8L+>mD_V|weW-;OvWKkM|~mT=Xiq1sh#+KXmVNBah)XEYSc)GJ;~OJ5tv}p znXKHV_PmQ>ijzy8=l(fInY1yT=j%+I4~486st&tw4%bV&grZ-Z!z60?S?1koMnrZ1 zK?r^(_FYjIBH!Zs3xL_bJA{@>+eCpeAkE)&LRR}%nVLyqcpU;mchB7uov?@WW%}CQ(p;>J4_p@t!(#HR4~2cR;U+I+*Gvq_AI@Y?31)SPd7mcPZe@QT~rf=7x@jONQfa|OJw*q8`-zUV!6(~?MR7B}# z5>wo^@|j%?jCdx4;WclxCsvRoGdP?9{Jk2P0ZuugPckhf%Av?bUc~&W2P_5&2)vO#Nj={tfF47hy7lHXACoaz*B+U2(Y@ zxQM)w{5x6z2S!)|&K93;h(t#6>lSmL$qzyuE@(d;&1`Dp*v-_p-|}3wjK;RiK&YXF z>lp;!5=WlNP8yHibk)V#pSJ(s@mzBaEc2biYI|W>8S8RI9D|XeLWe&0k)uL>bQs=_ zh>lS?YYHS9UmRPmPa_$qBh_RKXf4?dw$Y*8d@>w$+ayk(QwALqHW|fA9(fsA-UtZ5F zg2QG4#SnnDeun^NsE%oJq0*{fM?~7i@N^|pdy7A$*t#cvd-GZAKoA0l^YLlt-WPQV z{LgcBTv8#oO_g{0wIx|9@b3Muy?;HkJ)p{SB@|Od?UOw~ymisfT*?ZsBb+0d9Ze`iq7;6) zl3Mjhd4f_v66pCUH6@jutAgVRw3ggd%vIDGm*_FE8C+g{_if7(@rNb1n#&!dyk1zA^$vobem_Fz&(A5x&7M2S)sn)mK`D&h6e`@V3X188Hh8aPGiV$Je3DGCoa{9ioSWoA0N z_hG3x1-IwQ$4Y(in~Mqg3T+KT!xoEkFar9#p>F)hcXTG(=+F>38=?L`YRHxCQGwxMrAy21tkQhbd!mI)#|r~l5 z^*-i1!@+AuqC-965-+TmpixdFA?m1|{_S zE4JfVr!!NI(3$ypV*Fo}<<($9l!%VOq}}gOonajgF}Iqjvfcle`JPnB#auq-=1gt0 zG5U-lh4JskooNW`aK^v1Yk7D$5)~Ld2S1S6Rn|+VuU9j&U$5Wvdc7D=GZ~OAVL5pfJIi#rs~?434sA59Y3Uo=EHWBXLtFPbKWG*vURm2k&) z(cS!8g!-?@QHF(($olDiZ4R4o4>RDyfHrXoQ--toP53o?K1*>v&pLo%waqRkQxx&--vZA3kg7~40!31BI%_142NRCL=Du{0 z-8U;k=x~AW!`t36=YZ~mt`muj#Y3o}?b@gC1Pu1D05zeb--KqwFDu7n^yv4DoN8)B z2aqLCIv-B)R2iO8Z4<*aFe3H$_oT`yn+jC%Br5S!)j>tY zQoH%kdvj6Jxp&x|58Z|pfq64GCj$N=+?|BoXcs!2GfL&y%LC{RLdE8qT^gWDI$?g= zoyvG~Q3h0q_(RVBj5VJPj~J6ZO$`&EfB9!Zrui`1kf!$>1T>Ivqzh&$fm&cPFrMK{ zTFg7>`QlvYXdD=d2yl5z#$n_pp1;NPt!!st`Ws47p{}PaJ%$Ki_sxFsy zC^SI!!bmwW!CvaSlOlIca*|bu{?>=e$A5UmCkr&OZ?-c^BhwlUn>fFs75i>WNJLZ= zrOe+lMNTNDN@hN-D?ThW)t05qEWyA2&*9)XPHv98{frNQ2PFM3_werlMVADPTa+Ic z#7NMaWV`lA8njK;lVJ2Yq!#TkBuc7v<0!Al*suJ4jq=y#@8C|rZTf&6!ww5-?@8n2 zdMmoCT$;PPd;bD<#{Xo}xU{AfR3M%FS^SJ^|6+e`+!XT3aM?T*)bT!Vc>%yRFP(zV zYhJk0bu27;PfBJ!Yp_{4WdHrv(jrt^RRFg_*Y-Ew;eVV8P&UU9kbx6?c66%t(mgx6{=4E zdyevQ!1rL@Shudk^5D%lAih0@G#;rX%#Zf!f3jb!inX7gS95D)h*Seko1`NGzL^cc zQ~kIb>)sp4gyxF7j?{nc=P>4FtF=IBd>Q2$g7sr}v_=Dml6pzj>$nFM#$&dxws5zX z5B`D{KWA957S2GmO+BUzK*-lZ zySB4^>7}-nWk3_qRedFyP}--Z<{HMbEgUl{3Aga$(q*bfQtUm0nVnnn!q*-xMeOwg zT<+Na$wR2W#C)rLZKPQCi8G4oBRE1)IpK+h;Lz*tB7*lTWj#)IV4p!2IkL7+WLY;! z4xd&yUJBsYfr&d~E;_4}u0Tb1&FWZ-qjv|_f}pj-?4pf=sCjS1zyNeF0n*=!dW=p+ z7=)cPiDSf&-gfaMw`FhC*a5rT%Bq!&c{1pPQK1-b1UkS_#lE-~JV>%C#8<27{j*l) zgQu>B4yX5=eD%LkEzTog$aSRHUalm7Xc#JBhHeLEA;=)iJOtyw{SM)cTYKeS^FEud zVP-3}(q0T1DTQ*uG&1N>5WZ#x8MM@*wU9SfI9bC~? z_w}Qz$ZRP`!Stm74KhA$V;OBA~GDCANrlB*9%1gle$+gtEdeWRZ#JX z!G){T?7>R-c2iCycfp)k+1!4?AO}v^;b@PF-ukdtUgCeRz5bb57jNBvEOUrw8QB<9 z3)I@E_&O5^7bEb_rUFaQc6^MdHD4Cp>7^FekoM3fzujcn6%a!!cT#ez`!TWl)jd?e zTx(!OPDqlT0@Imfgn_dh71IBvLc&Xl(7=AOLpr0|bLT{4@^t(jZw7}q1lp^tR2E-` z%_jrJ%Zs}EfnjNWM)xVTnfo2f34@LYeoWR-_z@Xyo_epzG+@GgwDkQ0TAbspIR@kAKpTMju)ErqY_#W9B~=NJm=qqnCgm$L7R&2g^ie%e{!=i0 zl(<2_$sy0`#P{?ly;AtUBwI@TZIL5HcDATp&`o#ZiGj?y1C(se?a@sVunn`di1+Ge zNaP1Yev2QGED%5s1c&hK&#!Kuv1aKpXHV3dFWB$8P^CzHuqeKhY{g#dj#1($y{Dkr zsVSLSp3`)Des5Um-5N@cEUUE(5!ORb#Q=&@g+24c$uw;tz_Q@d`!?b2z`dpsL!;cl zozKf^Bm81+P3Yg*|FC$+8$xLkzip*LmF?yq`*@$^GS&@cbKK+!DL5X9{hO;7anjHB z5dT;d(uI8s-7jR72+v7`s~=15vk{afG0uxQ!!+#JUQLpoArq*0Tno9L1)f)g4K%hs zZwT|9W6u&<@089sMN}c2$E(;yZd!tS-k{^M1A}k#*c$zbr}TKfcC^s>a&jE$$@1G( z%F-IMwb(++^zF29?K^%R!CQoGwh*IbB5r%cXJ2qeMKRmMci3-IRKdYiay~bIpA%Fr zM~p8OawvfvTWhm@ptGdfzY^T1;++BRsEdDoC8wb!KX&6UR+1e!Iy`I?wwNfJl@IWN zLcyko+7gZOxr)mpyWotIPU#3-A0|5K8yFxMkZImY@Fb-!5F|2~U+SoR)gkrSjeklC?vZ#+WYKg|hsythP#I(E2LSgh4 zj7uxS^oe6U5ls8k4MV}ShD@Qyn>6X65$}7%jqL-BLQn6q(*5@-n3YkA)*p{i^cMdY%t`8mJoXmrzhLT%-JK z<<(>Te)JiPUqVNd5Q*FPU}iAfA}xqdgz_>w#N4DY-0zNBbPPp|e{&F_t81mu49AF*_)>016acx4vrfi->A`hWZc$PjxXy5z5H;o&2xCs5fp1RQnVnyc?UY>)6&wq(MU->vTI|w1`?iH zzU)oLksSwYjCLZI%Qs~C?1Gx0zILmSnE!{Yw+yQ)?7BuNDe3O+?k?$Y)7>e;1_Y#Q z(WR_k7>E&R_oMUTfX=9CM5@=V0W^gzrGgM06mIZ**Zr zT+r_N5JLz~g#3&T)`+^5T3^X@%5p(|;@YNMpV`~aG3Yx)HZ@rlEud`$HsQPJ_jbw< zKAW`WLc{JcOwd*X3)J?M60z}T%8~6&5mJ(9F`Q<8zPm-sn)6f6ZLJ44ET`y0n0>e8xxekA; zoXyN{imlTx#p`y!S=a1n+(^)y4BcP?UU?vx2nZKZ&Xz}WTuTQ?!$i{;ym0RrejtMm zB94Oo9va9)Jv!WFF&(Zki;8MK?lA7ea1i9%1Fc0gZaEjPN&U-iXJ@zGNy=*cw}aum z$2(lgS_T-&zE`wX+TQ@AzUH;Ta`T|bpi}ag$qn4BWqq=_L+mE+=b4F z(uF^xrouYF;z2~-QDj|Kk1XwV5O`h`QajplCM+HjanMs>(my0qwEPb~Uw-eWgUluB z|Ms%WokypXAGNc8?6>n;n3r1l!-$}}G|InpCl}0~`3*{h7L>=}d`7oSxMUrQmu*re zh+k=H!}SxcxXY+fS1gU9PjLQtJ69o$eH5W74P=1dX4m)X(;IulRS63c>j|Vl0O>m z?b=GcH?)x~wc~a3agW(RKv(ZfqQ!rw8U%JN)}iEpTe!Noc?;~ULuciYAJH$h?EM0f zB7wxjJy;MTc<_0rHUk?cPYkqxjg!C{otjG1#zRGSn@7!7c3@`3fuwAQ#SsO;9ArlE z>ZTxfKLdbvauGN4`(t``U}OPU-YHPa&}j;MCo4U>E8-7*+ z$6qwn;cjUOpF_Nf=?kp59;^{1A=}CN5!2ZIz+rL$lI&RWJP*074P_d>x$e=Y_vMNh zOW9Rl@l0)w>ErYCOvQN?i~YdbmtQFyoJQ38Q^{uwBm&4N-W|p}x-gf0hw^W0JB&>I zPeBq7$?KfM{jqo+AT@V!+uulkjzRA0w$nqgR7{hWc-9WsW}9~)r_Yit6ilcB%_AY1 zhs;6o(GH|iGE3j6(D^f;er9K>)eDcR$OLw%gGem7uw;$5QQ0Wok)6~Lnr?f&z6GY( zoVB`C)f}d<2uWs8#1l=$o=afy2(7YnO?QnjCT#E&)4RAgROK8WV`R5B!ZGza^>g#3z+)k_)Z1+K#06x7rdus2&;^i90-p>)Ad(UkJWFWMm$B zVy=ep)A~WbgptBX3n49prZ{u~s7QFWrsMJwaD5vzx?22som|g|u+uSq?0dZvYZZp9 zC7pS9`A$5aj^3_imM#|1P=!RxL%p%iWwB8(%()a|7)T&fUl@cVKIU6)V`(INQy}z~ zzNc~Hokfp{{`nprgc&FhEH#Vn*ZrY4&Qk5upH6W1bJyCun)s(hBj5RQ9q^)I4nYGxz2yF(oph!fDcE(p+1dN9sFfZ>AB z-33WXiVH!ChGBX`B{4)_t~DhqnS0z3j1%KAO}|hxg-{wx%i(Z|z!iD1`?%hZohKr= zfqAeuwdmfpYFiYbMT7=Y6Qj_m49deNn;&a-4nSu@j)f3mPQRF!WRq6ca=BHa+6ZmU z&Q<-emat4aN>-n%fNGwv8KkYK;ZIt092fcI5H3O(QRfg9+48v2r+7;X1S=G>t`y^O zsU&0ZVNIEeU+@VCoYp(MtODYYK0{yc7@KMMkEct2PBzSt-wGzOlp6V!it3XK)tcD^ z9GcfHi%eh%xqjr@WJusz0)17J;;j8U_S_M^tn6|Ddo);y(!7x|i$=4ybfNo`BQU!2 z?iwD#e)ESCK^F;=pyFwKELO-z!*to?+lQfHG@oVS%E~mL^^?>3Z&6Q(s+cZ!oVAY5p!awxknw^(cH?MU2AjQz zz#7PITo=V}tH!z74S9eze(HKy?vxW{)Xgz+-%FewP|Y!@f>K{*AMlGmm*jlYxf+gT zSmIp2p{Izem&`;|ZS#FjZ|ARLsF%VGwK$h~egBJX0nfvSW+4>xQu;RKSd#TX;a4dH z{O5vDC|4(dAwJ2lAGLUr-+usUQc?2YggEoKe z!df5x#4WbsbjGJBo84SFcjlWhlNX4}Iq^LV{yAV;wLt=G2rVZ4BqbQ)Xup*TLT%b; z^7w33=^XM0!*&Cdxr$aCiRUnl+;6=;Ozat$kxe?Kf&_l}T|*H*8*g=?PF^)7Cp*D) zXJL)pM3W)(d5Fa!wvSf?$HxmIjU9rZ9gOfT`bL98XQ>n(hMNhWG^Uc~IkE?e8g+@? zC2=ukew1t0QepP8)6^(a^xMj$SC@*--&>5?NYcyT-n;^*MT7i=*)>+JR42kf1fOev#ysfbrXWgUo!AbrXFTJ%Eq6ssd;u9S7?i*QR(7yK zUrb$S2_y9q&A@|x5{tbvZuXzHx5Q6e#g^w*bqvjIn%`iY5@FO-^QVTBpO}2terbO| zUC&MCDWuzEa@C>{AkZGh6+|vQ{D9vSD(|8-36|o5<$G$;prhS~Y%VIpa}v_~%6s;D zDqQV5Gove~6M%UTN#!hMUpIw)>Rp(W?~%v zDpf4R$^=m|l!xf4=RPo+19?yLZ88mF0qy22q`vZYdI z)qchY8Yx^jJ4pnk?x!x%jM4Pc!!N4|cP6xvoR4$ zdF=2GHa*u6(1uVaX% zXeS-{@)as^Ed{a0NEFmE$xq0%LxxW2bM|*!?@p?0{ z!m7uy(fIlIbfjfG85>77udi-_e6y+NntPV$YRboCr*zv!L?E4Os#_8<8m;4B?>F3 z2UJ@6izXI(=wj1rF$HSRA?9(hy^~$`@U$6e<-b74S1q(~J9h*-&yShl@OWcu`Z6i0 zU&&nI_zRWN06v(%5xjy3eZBcFJ;;P8-=`( zP_DCq;|xeRzVkloy?Mjq1KFpp@(0z3KVr48>kCujUmOh!YYT@^4yn3@5P(sOl&A^* zaQFO`iN6{rWYZtPxB)S9HTa!)tQLGs^tz{fq5-f2AiU5pMOW8sP z3%DYe7C5$ak<+LXid|bHq%->fuhp-)FzZEZ|~0 zj5U?xwWh2LazU13PhHDEMg0t!#-m-;-sTH>Kg0V5qOV}oZ07Bf{Xqe>Y2g(7@Z8S1 zKC{E{^Dk7yy>dR$9C%~1Q-^|exZm5$Kac9^=m?(@b_W<4NI=%FJpLH|gbLR=??irz z`?E_wx^z3mk5$pU=JpXVmQ%}w8-uauhsPpOFF%(+K%^_pYLft3&8(4dXYZZ=RHByS zK}yS}eSVYt!h*AKMYW9^#s3Z^RGFy0H*CzWHx1NP+<|?{#I5=@G`0t7z35|b)Vr+h zL0@*i+$R&OZR(*ovlm%}6h|f;ZGjj*#Q5%59WPAHbJ$ynM+qK817oOBVikcFTjP}O z_|*l1{$+wT17}g|h*)x{oic(tc?cU^S9}$st{t#DO?q(3s6jX-qB;F-Xnk^uyyp4Y z@I^IEg7oSBAg8mh{pK~6{o2E9HbEw2!>gpM6q@5ID4ZXUB3gQk3hujHC9f=}Cjh&$ zVVx}AkpR19d~Q#%iNV1e{f{j!FXQ&X~61#{-u)osW$Tt=I=i|%MJiVdLx%?cC zg}FvBdu7ZmYOhs6zN*n%T|o)&W5;<(MF}GC!&7~nVWT|;6}D&7_dZL|?NBq-cpk;a z9we}cXPfJ%ckkAtiFbT&4imd21lbnc9!{LS6FG|Nzw6_YiNesh_+Ry0rU2-jY#gGq zO-Br@uwO#Gv*qWB`z9IiBy>j?#Q(MY(;t8L@ICF{6NO}xB3k-PB&$Ar$KOKf$w>2- z47ZUkp==A*_H{R$F;O^_W>r6|0waOVi5rM(3oulAmT%aK&FuRN(? zz{j(UA`77wZhnTf!fXD>t!rTEmq_k9!w0f7~_` z%hndJHeQS(L{~~6WXl9qh{x*i0s30ko=CM>b~lw@P1<)WO&<5cpl4(Z{bDuQkI*mt z+~3Zlkk}RwASx|^s`_(-@zDrqqt;;AAxqFq!Z4yhe;s}?@J}))_Cu}1i(cO7s7u!A z@2A%K!IDBSfj3+#cK~!?GjW_{>@z8H6rCcT!q0rb-^GYaUUd$L+h8i~rp`n+J*+U= z>VEI#NMD^v06#wh%^|-^93DE<@wFGTQt6cXlF1W;q`!*?DHO}qiR4wKwfb7$4D0Yk zi-Ftx7>EI@l;V!$J?Ii`a360|lYIWz1OL2GGhS6Kl4sn>@cS$O)*OR`%rHLpD&c z8MhE^65`a>&9d8XV-d z+4GX0pDz=E(H4;@!ti{#G)LFnInz8mSz0fH{f{iX)D-RkWi+jEdcKn<3@S*L2@686m=Y{! zol5rOLy;9Dqs44NK~mgqeMv)E>1;at7?So2Ae>;?B7Z3W=nX|e2r^1wWMj$jl#H2+ zf)qkJ3^ixA_v*)wEHIuuC9^hO3(Tev-in6Pw_~V1h!;MixlM+wT4um(h z>B=F#bElgB&J~B>40BT?e>zEkRC@d_l0P@iq+EPE7Qp~MdW~}40htA=lO`nlvTU@okE8=#Rs?}IrD-$TenmU;)ySeN=Rqrh^ruwQ%Q2BB~bg%G6=NT*;|q&jS6wuQnP zh)Q}W;=rV=Y1QY^f}MoKcuDGPuVh4Im~7W&|Joh@N$+j~1+fk$ETPf4GS=^`VS^q# zG1*$y0Mwk?R2n6K*%zI4fy{;h?+T9ZkmB#$4BLjy1FX6IS4?+veDb{MG}7BEM7HPE9xvmDi`k0(jA8 zb=Kk^{hyosmn=c=NSrqcgy?y`Zl)4?W11jXkDA!L1_^(+)z3oOLALvCh#ooXeJZqhF~+Y3!;l z-viBchD~zeM0~Ekad4)?V81W_n;uY!qFMIVXpBtQs;(GK)}2R-Zbv^!#Hu z`&>E=#%kfR2}17Zq-)*Gz^7l`EoTG(V^PGIVXl>4E+X-1dkS-mUi{&4NT`?@viFO} zD;t}6FG%&Kqxc7(8mo>EAMpE`9RUNRZK&2V-W{8XpYJ3iNmQ>0TDqA|3yLayz~;5> z*#e*&?>xUPkQW$U{>fSP0$Pna7G{R$MGLrF$+WUiWQ=w#le<5XETZQ7LzSzR^oEfB zKl~q_kq}XGWX$*RuOA9&10mtgV!@>Rk;cGhDtiXCp{RrhmCP=xpS^;cZ8=1&_FK@9`E!mRG|XB}I2@Pk$)xmZI?bGI}D>=^OBH8`tD$a=Rwzd(Xh$5is5r zQB9Fn|3{h+9eH8b2ZCv$Bll~fFQ!5wThxCl7pZ%>VSn`(u)VmyUC6~NYsVt;;`8HI zIZk7dOahP^9A==*U%tBH^cqY!?x(yQsd|FlCwZ zd7l?V+gq&`Horv%Y8ml zyz9(tVKk}l<8Fp)s

    l(juXe`wyY*3M)$ETi(d_ahiEGEpz8pnsvYY-noVYm#dyT zoGamKS%DNV&;xd|iu3IQ#vE~s_H9djhkL@O@%(8E%GA-caO&ky$#{WJ_9JU7qCTr| zC5qM3C%GL+EpoLkS^qk3+Lk5K01K=bOhv_BY|~xJIPsk3pXHiNeENdKI+G6^>>N(v z6^jE<(|dN)L+AUS{)fmbeR-dsilULGM(5vDkmiV8H(9y%E|!wFd#Tw)X1y{U*XQP> zE#Ud`?|ex$eaiznQOyd`MRu5EO#A*O#(chBwQuG(3`!=|ox4Sq*QbhJ5t!=+Nl8p0 z6)xLLl!>X?2XDo2noxhDC!ovJZ_d`U(e2A37s(|&t@I5IW$z{DT%OUdWk4*=2CYa} z1cmZJs9a)mFqM9l_7@cvP7=U9Rl?lHHc&O^e!_lzEss?%Y?Sy{$|Dh$qb7cF7CPk)4y01G#?jda;BC^#RQc5Sy1e*EM=P_~t)d(s$(dz9A zHkjcU|6A?7MG=(8sGC14QHHRrvon`3_A=A8#cy&ZVHbehju!Xd-*hP0+uF3dk^vyw z_4$&)J!>(%84+%#X zp@8ReCh=|^m$m>oQ(e`eebTP!+Z?t8l^fC=*py4VMF_hL9kw_pq4I4>wAl`gxGqQP z!atB;QRd^Wd0$%J&A}i6UBrJdwCh8Zn2&gb8##e;9Kc%NTS#U3gP7|WCO@+5m72E< z9s|$kJ0CqI2o4P&MGh;*9OCIVrbXq3{12Ph1dEe)Y*nVv+-eU(YaOPEO_=S@g_cGv z*!NZqTinv(%rxuBiQqtp?~pmYRZ(&JqcU_*+&l!QGc`;aKQZj?har!n2$?fDer1uf}jV*hl%jEZH zk_6zWi;7IF!te(E@4sRl1}hi-i82?`M|v5$kf)0V4ii`1YGWJ%a4_YjsIvKD?Z>BG zbfa*&9VHRNHfsca!w#>yeirzy{XO=-e$l5hqzMlN)M5cqp&|D79Tdy1?I7jK~-9@^63SA>Z)f3h->1b5t^@6Ds-lg5UpgaIXkLCP8+l5?LjB zXHd!9ZYqsrr?bjH`j^M;qO6+44bgFEMltRfePP#h+VAF!w7~EKs>6ibM)%)s<1!%KX9< z@U!W1`(-mRN#q}|mK>7Tms+$P=B6)XyEWkt`K3yl$TOZE`$2VKI=t7fa$4HhUzFTb z_83{3Y@GNv%V3E^6F{R|nqnEHHDQ49DX7Pu;k|yc2{Y*hz0QYeF$vnZ_4lOdGqmO) zCa2_LpzzD)$SeG5L*yEh+(68a5WQUjx4*&z30DdX9s z0#{M=IcH0zUQdUxSjl7(nazF%s;U$2izbV${U*ft^F|gt$^g5Dez-viFCV_}btj_f z6RUBT!!+gVUCv0R#B-L_$X11~wTLo|VDDqu7I#*Mt^5g`WQL_S`*GvtO-Q-nX|3)9Y`n|bZf-)&R$cMm!9lQw%G%=YKHF)qiPYJq zNOU2_nxTchVI^~c!z^l&08nP#W@7|%b>NP~Hu_pm$ z7I+mf;;@T4pe|1gb_$+R+EWRI}$lGY#ns`hSOZS7K#gs1;ddsS;&l6KpN==ZHD z!=4EM6=7#oZv9CH$V(&Q-X5!Mb>1I%34Yq+Espy=TcYstut&&g+Twb23CYCWrpS!N z$qb3yxT1WlVc<$n{@PUU4D4Pc*vi9X-i6F%sxij$jao zLXm4U(Cj-6l?0Kx>nKMwR3h_Kr;+g;>-9pFRc_mxL+_LQ2s>)NszOlU=n{b1fK*oN zUT*?_)C)Cl#fU2u0lpfKlclX48nMV`hqe77Xe|-p)OuaxXbeAp>+LbNHx0^j^omR* zQZb{on=D=EixwH)79J3qJQf@^ErCrWp!~D}Trk@6ejFo# zRrE(-BntCjbikR#kXZ_D;=a*RjEnQkif1JsPRfg@x++A6I2B_)|G(Ui?(D!Fs& z1a1VnVYKqwl-%ichnF3LvK7Cw`g>QhR)#!9Et(It6s`!)YE-Qru@g5mA9z{#-%2Pu zWw9^niYKx}m;6D$y4K5Z@8N5U0jORG&|S0?#xyPlbWW%_y%t}6-|X7e^EqO+;F7(x4d}3cnrg%SEgFoS)pztXREhA7K5YaHOoZz+_z>A1f0$#}d~ z6LsI#N*qP`bR+KOj^U#Zdn^l-uIoQ5gu@FuI`}~8cOQ;}e7Bw4O{sva-~G;jzd6k? z^miIeQBlPWSu6YSMa%)WMo~(8r`Fg{u3^VR2oXr#a90h>2;8bTh=>x)?iJ{a$%%0D zHT-JPlQf-h&X_3;Hx&BOFqz7!e(MCBe^W{}Jg{{?UnQl+6A}hniZPVf8!lbk(H=}LTyI5(o%7G-6Z(%Oy zwo!&Z>EbHK2UZ6LDyP*uzoZGD#pIM^SidC3%TH1G#Rk;3@`X}%1$owGqI=7e#F}na zgu*>5BPc+mCtNPSl5P5g6w~u%`Y?RpqHK|VScHis1)anO~@043Av|jO-D#oWS_t1!W0bCHeAo4ADPPV z7SSS6#wqD#t`iZ*F)3|f8~Q+3tB>0VQP?|Q$b70MpfGMdcJ~v>*80pE--t6N zg*NQL5CBXYF!L5^zB92`;&Csn2t^F9#fSIw<@zb#nvIj1Z%`2sKm95L(Amn8()2Qf zIluuej**$bI57+~n7)$g@X&ghKbI3l+E>qdcuRtSm1VA3fc7JFh8N;)dA*5t_%;fX ze@m0r>N78HMoNtMk2$p$?hD4Vq>;Na$q_qAp|j95Zqh4x3WDo(#=8w?Vs#qFUt z_!dqH#TQbj1+)RP??rY|)24pze*Ma_0Mhn9SviPLwZ+G07!FJ$8T??&W+(E+DkG0P1~MQ? z4rqtvjd9Aqq7-1IQ@Xd%$Ib$J*I{Z}9=vROwrUE36DIT39o`moeeIhfNOEp<@`zp= z8^F+}hReQKo)fZomZ#7&dr(xZjye_f93^nd|1#5%a{}nH^LhM>9<2qgkCN zOMlBH`=K!rPo*u0&8P_RoKr2cEHEbNJZp7Ynl7YmQr7Zm*ezQ`lmUX7bpxt$<&1;5 zU?0%tIR&}<+5h>#rnL{8q}hXJoWWQLa88N%ZB~MMp*11C4GQXxbqw7pVeBP{+W*=e ztsn;XQr~@x>Fw`N60On~l^kJE0TrG$1@hVOiaduIvL@8`CPdu`O3zz~Ki)m*$L+76 zx(sC@5$wuG6w;Y95{YFmdt&d`1^tY~RCW3Mb_hzq30F>26xoa&ScYw#8zuz4~{bJ}mkR8wbCVL6a&j_1_s zS&LE;(_rOc(NjTbuxvCn@9UZ}I;JX-<2 z*|(?6!j}=pPz^l};i}5x)yHyT8qD0Xk1ASqxO;1jf-f zq(Td!Yn&`;wBFZxXw7im-HGE~aH8&A8pFydtK^HodK%To`5LBVj8vQ^AKlp~;PN%B z9A%3w?2o~90x0eI{>j{PW<*=Z5XX7F)WURFbH-bzD(+pjg=B&DdUTs)Kon~`8X~1i z!484LHRS7f7bx7{-RRxok;)2%6%lQ|YL(MgegjQp31NpTZPN}4wggy z%a0Zl*nTl?h)~QXUe8#sSdWafKtg}PDV>9>9>%ldbzXwh#C16`$w^t}pAopWI2Uj7PUf^dlAoBH z2>>h)3Gn`S3B=8;=4nWhJ6$9tp;fba(<_QFM>pEJc%H)&A{~?{OSA^g+{?C}OQr8B zQK}&7T*#at8RJY{HLOLFaeJv93TN#vgpMWz&yfoAd#g&Xiaag`uX zg#vuH&1Q^M%bQfDZ9ruD$ju}W-U%eP%NdcFb$Drc+j){PlQ9PM-;g`jI@j8U2=2}& zeb@kkuhe|ET8@OQFx`7${ha&Q<5`0;&`6>vSwR`}#Dx6V?@8a+;`M3MmmPIZ>`|mz zp;&-;OyEBDS*WKl7K^IUNxW_iM(v2r{;L0U#`G|sR>$7t^lW2ybk;3;&oTr3~RL;msfbYK|D z#2ETcCK-KirmaA%cpY+8p~dwjs6!h~NJl35tJ*UIn~e|OrdJdg+Fe`{mt8fIR`~2Q zgMi0#xP6C7Rc)Jk=$9)4sCl_EAM6fQ0rKR8BtCVsc<>Gde)1jWg9%bd-@n5(Rb9aR zZHu6Q`9WTuZCa=uth7nZ7@RHf2)Chv&s*;*N3j4$04}$Myv?U;12ijc1@ej=NARU3 zKM{r|iCA3m&%|hO1KoR|i%25TGji(pOs+-Ubuo5@q#WV2Q+AhSDrfO(>FDecUIBiY zmtQ6IMve9oj>}6xFF&l}Da2IM{qk@Id3_{5tz6r&PMVkMp9TUCW&TTX$ED!o?;iA- zK3qYL>hjD7?~k<>ag3im9n@ce$YrB9mxOlNfAr*kZp@!kEvaps?q@tWt z_4*BXma@a=fk&z)d5!naw|2&YiRWZ|eX)8(q&+e>r`?Wd0_wp^Q31y5+mFf?NlN%& zX%YTa@#^VBE=MoJ^y!vmOG*fM@^IxZ0*>;;^^- z>n;j^%d{knyPba3(XP~Rq;T#5UH^g~oB#7vAm)PG>71Z1>gC@L? zpsG3K6a?UW*3IuG0nkjYfVwtx(@dD>v=tRuR^WW#NNI}sut+PFvO;41&z*$%#V{#DUqlQjvbn z=|ARF29=OeOAxVyG5o;Ww8rNT4KPmVe{ueq3NzJ+E7E47LoIrsmjpL}3#!vqTZM%M z$Yhi86aQR(veP=-<~Ewn=W-SPv^uuat_Y|}4|N#I4TS$UvlZar(|cL2c1EFZYSQ7g zHC8nK3M*dLKf@bm+YU(B;RS(^H5hJ-UM7?bLzf5EEr#l^ho9lZqC?w((WpdsR!JDJ zh=xIz*K~(~<7t}MQHVRZn@zN1-o+MZtxKy6_K7S0=^DqoFMTnrAAV*A5_|lCa&pdv z0@Lq*vH(9Qu$K+yEn$Gyyq$4ynTt{;;7uS}z?lyIpdB4ttusd42g%F)En%&%+QI@esgpRag9jINgHYBDaq!wB1>lIb!eE)ZuRguwi4N0UI!pj09AU z6KRH|H-CqrLu>w?o>BaA=K;nFrGWRC(8vrC+TzN#>LGcrf>ja6Pu(|+D+1)_K{~ZT zItL5$nVjeEHv-zN#}~LcyH^DT&#tpX87V5#6nMm7lbih@>4Q+7U)og;qjplY44J^h zLTNcmmn9*1BFmrw@_N1*n89@vG71(=}CiO?1gQ#3P1pC=EM*W4h|HNx**aAE)Xz$aToDef5OG{7|`+~Jv+Sfu@NTr?Mr}i2< z=YgWkN|Ki4;PxJogbZj}Ld4NPx+4BVCJZL+DKc2UBh+bbWM6)!f^+q2Scf>Nm@r?z zD`dhNov-yz6XY%e(((_U76R}z2_X3~D3^^ls_iZ{-{fcpTR}IO;t6(dwEW+o=yL~z z_hQoEZVhcJz$yBP_|S2qp%Rm$z;;rie32drj&fF)nq%QXPz-BgV)^omU~H6f56@_f znPsf?K_+}}#>q#b%wjsjulOC2LnA&U6QQNl7g8C3!ZimRl}BZd~;w`+A2QMN9nZ*VNXDQx@;MXkGvA#`utB9WtIuILEwh_;D~}x zbMEj_hiJ)pZP>iWi;2e3E_*T5_V7F~dgp9q?0b=lBxFCmMDl*OfIVXo;tz4F!E)GW zYSbtIGIl`e9X+E6zr0Lw5q}gVe7@OT9L(r8>~`LIvgprVdzx=6FRzcKKJZ4Zrsb2P z`;e0-WBl&l7FuLJYFu=%=Ay#!C7o)Q(xNCA32;B4Fxc5Bb^{F^b1b>{g3dDxxwI4_ zQ_Oj5>!J>lw9gPD!e=jL{ebWvD4Se&2{1T0G^7QzeWKB^p@G{8JyZ+3=RwH?B<7#7 zWah=_Q(cuSzQc)+@38W+At}-@I}DCo!Khinfq2d`!ZLVt%RdJD^FXo(p>x#7&dk7A zRBCw%EET+op=IjN5?FbJW%Tm3RKil=PgNArsUoGYypVn8zXoM(?wCG$(CRm{u zu$_*79o^j7Img|6sbF8gt8J-Gm4#-*MS;py6y(tK0#U!E-iWy#YVfB}djH@|A@j3-&Cc;}yg2o5MU8LnTud^M|;ny4zL&zoctsd@ZyoRItrBK_e zv-cmRcW!XCPMHtiE%$yqVQvceJz$9-eL!Ar0S~ePfMVvYUnCKh+A>Xf|Dhr>8n6!d z%b#FjGO876;b&@&>AC{cH%TmW(HN{Zv5ntpcn>|v&mlOdXk1VELEKH|y%AEG=hb{_ zTd>*MI+&Mzsx;s3EkM^&EWOYt3h|yYIKKig;J?&jh`S%O3Mp2-cfKyCf4p_-Q2)GY z2|7kDv<;yz-$SRa5n+wuPajNhYZ+bLO~WSX>)~Bj0G_%Yb3gkKDKP5cyxpAdN(CX2 z{t+z(!3H2hc&iiYJQyDwDSRweQPJBivj20R-|?Gua%8dXLPKljyN|tr<&U_M7bpDJ zL-Xm3#Bam0&+FkG#)tS9mO}H1NSqWQ$phtRDl9T2Uyv4-n&(DQ2tl?g*ZORU?+)0%oo7s> z++H5J)S#_2ii*$|+G&;YV-mTre|j)_#}nNHq4waYp7Ug>iySFv=&7G9R15N5ysr3* z=ARL^va7n{kinG_RpQ6L3Y1U*_Yz9Gg$O1e&@E`mBiDuvZwt`#P4Ob;> zE+YW`u!8BAk9(OPvu#~*;m@C!lkd^*farFXxIfGBIimi{OWERWy9Wp1ga1%(tWLRo z2LQYpok%X-6acNb*S`p?cWNG)kSY~nBiYurF9qkNiWk8K zN@r4>eHAg^uhAyW6>u-;`9siEvnOYPeDu@yGocSb$Y!bjtvx_6jW(84io#{DX6NmC zJNAIHwn39a9`nCvD1+1t3nmfs+KfKhS*&c*PZ~~lMT`09M(kvOIHW>u)1THVEKKe_#?Y4Dssm5i{xRn}d$?rS{>L zvOe(T+tt(USkh_C7HiPA6pt4Fc}I>j#7PBrEq*N(sbFmWg1qJ5y5ScF*meg$TYHR~^vx{>KMY8F$V%Jq zCb}L#AnY%>qm);;S^+8&72HB-%ipO58OEt)S(oD~YX_7A8LZ+r{96VT z*;ZP7` zj`)9^?11iPI(+06K5_QWP|`~6vv*)bau~J5;47C1O`;4T3&NEhos=8PTEZJbTO|3a zQpHI$WnEc_@K+60;=;^7`Nl#~Fd~(QuSK5b9Pab`vimuf`YjeYns zk69K%6L3GDRr-QLDd*{Gcp~k_@tF~bHOnnzovJ}^U-@yL zdKN6!Zl~DT;BrFZaqzo@essNvaM<=YgXuVmJ%5nBSBWiP)=9H#fe-BD4NU&Xg{-#C z`UknDAlx1bJ->>?PsIO#&ihxeootnc{%cRsHK(lHi;nD=$ymHy3-D?&Tjy?i4mKC6 zD&bQOiN;tVNMGGU&`Rs&R`{{4fvb-u4|2ygy`NGPRyH9YL7rax5uioS=kfz>v6*aM zVHxQa15pt&zzFJws})h`lO;oDj!@Nj=KLm>{gN#7KMUppIH@FpN2Wr&+(#HLT&w7R zu|GcwPucI)GORUHJ7G|#NCkR5&Cx)+!{9k}4SoI4Pm!m(o|aF)KrFItk2|2! zWc#0n4&OX>*8H0R53|;yvA;I&}2)Nfs@K)PZdji4Bd)>?)?sP@P$w4%XWX}Ip|BX{LebY-xebJn>k8Ehz-v# zZG$ig`nehMb2vR=ng1YQq(LHm;6+Up%|Na2XXtr7Ep98O^;y`j$xArGxR7%rfPsVs zKUkUM1Dv{-JhHEeTp~{iFmo{zqoxbuJA6UDSS;*w2v)8%vc%YHgAyfGLN8^r5(i}0 zpnJf9TYZb99}F67X*A!0*p=CI`r+z){3Bn$Y2jc3tQCExo~C8-3ZEmdhh4?h&sF?< zzo}y>jyS6h0>kUU`_Dv>^>0!^vT}>Mm2*ce`|JPo(tjxa5<$YCM6)23K%zmU;xGkJVKtLd6L(si}#VZ+3=QJN+L;io$ zUDSpHFykiR${PS`{{b-^l@%Nu0!smC=|}PV`Mwkb*SM6w-s7M?2&AgL^^!mE2Ar?P zcs>7&Xw$?Om__d*WffkU)*gDI%EFfC6KWgvAEBwm&&KHYnoO~7-r|T+m=oCLE8MiD zBwzgEzNsuYyy=j_Ad~U{ay@*hXS7A?UO`@GN-^xBA$^cZP-4&bKc6xk*2%}XD;QLS zF>nSJJrN^RB^J`4qzxotQ70%dj<;ubf#G0ZnLfM0b3PXzB!DLV@HCK~KDcvYS&au; ztZW8GlZlWI^zp@=8q~Be#PT6W`cPeHWqeJ)UNx0RZ>L>UPFEN?v1|HXp5s%(E+aot z2D2oJBFydAIl)BC{_lU*`)f|zS~9Tno=`ZK{H_S>08}q*z5X>hogeUKZBSGRyDMu% zRZ8e-T7`pt>MF`iio?y#6uC!32LSlOM8MuHJv?p7cIM$yJUze|;nf$E9;|1SZXtt1 zb*xs?jhD+efQ26iQ>Y(7B?8?wBwnPFiJKGef2HwA9?JX$-o98npo=f-r=P(gqcLdi zyOn?*GZ$Am)IT+U;$uy$WA^gPo z48-5R0DK5#UdI23B!&|N#*2_;tV;?~4GELA*%)MEq_>)F1f<4*@E=vV4`5fB9?Q-C z?fUT5?{xzF15^PWXG32nOwj$%^a@bXYio?ZJ9);2mP-vN%)!EA2|4oO-?E?Ul@|;G z)4W@+m%d2Tz`>4XT~Wy+EBQg|uqz8FlSx~-aFfU6J)4o>SSt4qhmDfcIEt2#dHbJN z6SLgYb<30U0KQsrHIcz0q=BTADrEI*RRY)Nhs|gs2cU@$i28n1MdX~uVMx0Cj-#wI zF+Q*`oF+yb;3cN`%v;xyOF}&OK>H|Op4&?u6 zYimbYQQllgzrX21b~dQB7JPq-3Y6e1LM@5Obj}YK^8lsr`^drSuh8Dd8Zv8sz?5b) z5JNNnuBXvrO9uI-ak?;S@8@?GuANdtmnIetvvze*3dFl1^}8m zTYi?&eq!md$+B-b`BnGnm1@?b3aQUg2}W^@chuB)86pyA9l;;r}?;Z6Esz8VbDrd<4yL+yTBTMhVG;XK++aUgyKY8h!o773C8sK9~Ms##nR zQ9|QGKJKCSFme|rSfxRZ%o&M@LVJu!s~rYWpufR|72?uK11KQgv{zYjNTcC>+ytz1xe02)2HuD9}!LE?xz+RYXPN&seF+@Y`Dr5of zaOTqnrrzy0X{q0aPcZko`aA7P}wp6csW;<#&yb3iH zK*+4!4>crrx$$xrIGrQ-_pl4RIY~?~qT&h#fnhU5zoKFsDwidLSYzD~g`*$od&0U{ z%di}Gdz-Y2@PiEkno6X;KLdoXv2s?K9fVTI1x_40ygooV$T$(5y0EwZG>~CK5aL@1 zD8I7Eb;Wg@oufVhbhr)fjzu*@6U^V*GmiA z1Fkm18B3Tv(2@`;-dCgIunX&B@;uZ!bEi(5t@@xR1uCthyeBHG?y#CNbNbm;8ug5n zVMSsDL#4rsH2KAqxkTSE#n{a`y*4NEYyop!iU~6y`|m`={j~UHe8uP?{7NjKGXE`$ z*Qu_msNHX=WSec9Ern=+hqj%s!!BFZlR08>J2|WA_Q$30@c4ZQZ@_!Ov~m@bFmLFA z1tiFBMcDes1q=0_tQtDK-q?dzW5by^W9ChV1|!3&mJCjNm%nX&)=H>-&DykxeH`;z ztl+(iH0s!{{KAw?y!fY=pFKF-Qa=L7y&Bt@-%P=8S((K0V@J$!(jHZhTPO`2m5qF2 zq~u&QmU_mIw9|s`55M}mV2{W0CaDL%Vf@UZbNTYKbT|l?!E%S8?1`~Lc0>@ei^JdN zKqiA4!c=id#j-@e%XT3^hdA&-k@>+#;6B1fMl+#=4{?gib*AWN;?%RbS6!G=^dKv^ ze?ezQ`toImAMMEt?uj75`u&JY;6*v6HwSxsl_@Gu;jx*EXseCT`{zwU%O7W@FYpli zEXIXn#}(J)4vEBDHV5zN7g@TpK$+((E&uqUXYLjeC<#1Dh~pNk^lWBxGg$-O`K~Sj z=+k)HeA{VZ!$$UR*W;EFO?gJ#QSn(>jbOWSjtBrgpRM~C7+nTL7TYzQpg3@ttC_C* z_>rK5?-}>^DfoX<#|P-5<(Cm4-}ZgipN2s3KS*!r>-@n*S~Mc6$F&(+&A>#18dKc1 ztgd4B29>l3vB_>g`HjM+JEkfE2RwFKHqa$W!jaj?6Dp_7r>XMI%``55a#YLMq1vNW zjDQNBKA(gATOtKP~uwlwBkK2$IM?SVsF+A9b`>D1}ntkFS2>KG${04-c6 zygMIpSq6Z!uLGJ3abGDI3Dio4MdSoXa}6&%-dZ`ZU^fOb`tpDuUuHg`Y9e75ASJglhcXJPzS} zao|n1BEZK`Qp72uf^>;!6C2vpxy(ibRVV?9Lao|Tv&9Oh`L=5^O((o7bR}$lgF}}# zi9gEE6{wL+X)iTe=f#0E2R-tL`M(c3A9pv=r3oOvzBhlS!4`dFjIHDn)XlZ)5lE)+i>~Q#FB8 zX!wHRwJ^VCzGo86T)-I=HUW9_UAL&u@e(9*bI!hLYx=P`kwb6Ou<6oF5c>2`+rrL zQBa=?cETo1BCxAnf}bXJWq1^u-qwb{w|5f}N41e+o#l>cP_cu0*5>4Dh7*wA62dE~ z+sB0QnPEsOH&p-f=lhGrw}bHR|MhwYuhh^C3uRtz*h+1S)Y*qx~t{tXo>9kCLo!7 z%Tnp*0P2r?)?y`uCifuif1kB$G%0N;C5Da|7xNsQNLVPS_1Kzf%>o0$^?KHUK!db# zqp!NKGWzh>>Fm&Z6QA1|&Gzd>A?VNcq8OY`T*wQp{+Dw+ZX}X2FL?sQVF?-!v_Q9c~?hT_J_pSFPm`)0Xt|5P1E-Q|Y_&|=f_=k5;#qZXiKNgBvYycPA zzycycl$CNB$Cug5&!MEA%-&v(nzq0JUa+1jEDsCI^K{gh?(15UAB7SfE)XR~f5Sn| z($N+Rix-Q2X48wt%POAJ|DbJmZ0_Ab>fI)Pn#{Led0i^s+%9~HOZ>-sl?reVMyWZU z>g}F2mA~7(&5(guK;1v)4<{C9SASAloVI|`OU@lD-kPQ3NG0)L_ zyU%)t`^rMI7p;htXH_AtXv2M%MBOWz@0N}f7T|^=7p9V|Q0Gd`xq>IT@MnWm)cIzP zo5H?J{AtB|C>eZ_%{j6|nEtFUjX0ser?~Ge28gv3Y=z|Qe;P{jbEG55<0eK{+cMtY zBU#I~r?o_H21OiO@f18iS4-KM8Fu|jBeAkL5Smo}tY`J@uH87KWbr~tr?$czoE&8G zmpUS~M}IBGp_;6p@oks7q*daS-aQ%*Kn#84REJKxDZM)W@P@D2B-HvS$M=U`yjQny zF1Wqp2xyyf1Dy@(TD+f&?#jEG^BCs~+v>uyDt(rGN5Fl?V>u85`Bc=D>mt{Ay9IDY zd$XknL4Ga&u^9h7-gC5+;RU`AZXA1?>Z0{J0zZ=^DkC(rA5jWQq3ifKf z0!3#1M!JW0*|<1gH`oo{vqcc5qeCUN5RjE~tYS#aDWPW~mQh#2vSXd=xg(?j&~h}z zaLrzxIW3pd1Cb73W^Kydl5@My&fi!sw@=xx6ba^dZci~$f7%5o1G>(J@^faKCxV?Q z)R}@aIcES<#*Eu_*huKNpMxd?R*No*Ae5c5OoJ3-1t zhWMo({b~d|OVrP!LYx|?1*JgyA94U!R1DV6u8oB`I2UicQGD+G%jnMXUKn{j*UUVM zABgmG4SRw)^dThD0t~PLm;hk7evk%Oz-Mgdr>bFlPUTF zFAnC~?z5oQGrMyK70ngS!Oi5OMZ7EF3irJlKcdceAK3mz*h)}*xjw(umD>pf26^+ z#8a1%xlX9WvSZ?KeS>5NeSVh>a)9z}O6VB>Xh=5D+546!3WN=cA zwytwkGpVkjuHGpS2t?TbgYd?3%tgOCb!Le^S}#aXCkHe=^FUtX6viBnc~1yS_jXq* z!QBbwBS00NX!`uG?eYl*BkLbHzrP(!*cPF*12P`yEPxOVietR~oh)co^)a$Abb=;O z*4*n^e!EONPu2%E06BmEJV^r7!zhSfe^uj_Z{G(KvqzmNTa~V?Kv!?7H{RLZ?w?2n z2W5Tx5@`AUJ?8dE=6irYdzJl(li+{6&7hqppI$amVXy6rsj1)gKW~T82@I&%KJRsX z78YLrWLPCsL~p!nTnpVgb?%9v-^IX-?!+us!qwjn>DCf+?BoBM7cC0=@$ojecsc@i zXFLtnb4DYTgMGz6>pbAK9zco#8W)XZkvI~Rz~_pRD3B4IBr!6}nW_*@8R;{Nv6q!? zlz+q*X#vAq&IWoa+i_Ko0qU;*z&f<&AGP@Bi^h?vpoI~_uUaTAz>Jrle%CY+K|CFI zU(uSZw0#nxqctwJjtpSji2xzLAS62{1Ejx!GyxWMvwN{jWPodUR9paoPl6kZvdb+P zkn}>CQ0OB3x=zpYk~1{ZkY4q9xoV>!} z#O;%yEB9E?Z9^RO${;N!S;^&*`Tau6GsSVp2|#d*$lji@q+Wf15mv{@<@sT`P6+m&=qEVuFOU~F(0ec*Tg7b0a7Xy!2x-nFfgo43n;pE?P3sla^k>e8 zqC67{ky~Pq08)u$|L8lGW$FTq;}{=@$Q}+;pzkEZ=zs|`BJfe^Cvy(H7Y>a;dy8bP z($*AMWcLtI^kmiWrt7=9EdvYJF7gc88lnaeu7oD4Rs}K{5Ug(oTUp;gCxx%%sn*Ws zdWQS2g@M2@cmxk)F80~()^5eXP6scsBkTpo!!{s?*u$s1V7PVwQa748omLMr#sPrRJXcR8m7P3;QM2+}q5-)vn)1S*}8rqu9P-!1{|{F^S$#gd-T?E^z@EY~i# zczjIP;jaOcT6&p2=Pyip`~L%0+pw{`O)UXLn>M$#j;)@qK%=)-{}KK<)no^cXLvRw z@ViyIa=em#1)!q0CFA|=zynw|9sdk`w;NJJatzFp8HQR6@QoVY~f|8;3Y6`hsHCGVAw}lB-F0)yXY7NA#F3hQH+nsMR zX@oi!XL|AHYN^ro*TpW{{@d@9^T&dnK(M^Br)*xY4lS~|$ z#K-Gy_S1NAntn);aBK3@j>1;fN9L+sL1 zETbY7K%Q5;Ru+MEIr9qg&g6Ljcv~xQ5*G4<%RRk)CP`@1+t3h=R~dvws?9Crs*!;q zVKxD`B>TuYbI&W*<=HvNSK%(kDMeqasxUPzbm8uQNB0f z{zXP;XGoLSBY`je?4X zHTr7&kz6^zK>32KQbi0=i0cqlOB1LUsa_`-3V7$82tmG(mtYC}D4EZP%Ib z!bC#pxJht)Lc8fPii#CbD)zNMc|QjExq4l_)J~v68hrG=la2PAZwqESND|&7)~hOn zf4H;g7+4kbIX})6kx9eA6uq&eP9C;skLA_fkaNOU& zXb3WyjWFygdMSFMXc0mz(0Z>k573YO%!feeNL0bl25wI;tYb4SKm;@Vl(-CHZou7DD2pzZwx(0{rU&dV}%Y*unZmavyUf2#b{%yAP#TiI&*x0%`*T1uhG_ z9$v4NrSYSa&y{5&&HyQ$d%;k@qP|s- z78q-vRS5;t&l&FG@a=}szb1M17Y(rBSK}i+8ll$=!eG>B`hS!6W z>0Q-^bU}X`!_Q9_a|y(O+|&~Zv~(FrC61k-RNHqH!g^iNWEVDW^r~=-;TQsc1j_+W}qb_GBXMnJp8$(Hg&UONB;k$%vOJp%w`>k>x-KfgGd z{676RS#$=T5;|riwS>E}4(55$(kQ0-MYGO&ZE1TnO(m1Z^P!1d3D?eX0?5CewYR8m zJ(~tTh*Xg2Y}uoBa`MN1=N`?XFAGJg(UHh3W4c)y?4>t=;-xtf-$8RR3T#>xOKV_* zFt*lr`NVZ_v9|9y${ppC5OzyGa`8JC0EbFi~Wb5vUU_2b2F%S z+l%#I=V;Vo^G;{;03}q0kWO75HI?3U3Z;l3@#YRdkUYCuP$91^1C-yC0hSt+ zaI;`nA$UqaK4X@c`bNZxO&N8PY>1~!!82AsL!vwCJUMps^*zyj5wQ?S5A1Mv>IDn` zLjc<@!JRtPjci8y9=(bIT@29Dvp0^#LswHJBG5&+BYx&aURwWngFZtN>7SQGh6Tu; zNucuU%=T|M3Hq^zIx0!L@S5#>8I~~7sDEkpb0}J;98=PLC6oF1_LU|A*eUPnc*Hp% zjVCrqc{-wSf!qSCe%Cl(PR&A3XOlF2%|6hnXdMb2QfMcF*s2W|SZ8Qg7hWTdclvg( z5IFh`OA6SmTRuY#F3}2UZs{sFvxM}BkS;~uw{y>Z7ch?HKYdpvGWe%R#Me zCSOeU8=96?F@Y=~qnOR{1#q?beE^6{U6krV)SxB*=}6O6_!3^9(Cn+C;T;;ct_8^K zW zZT}2CDYt7BNTPHm6PBaxRHt2O3oP((L$ku!p=Hzuq>wyj9(xhue4B0PC;v;O3}?d{e!=bfHBLaKNyRk$rylMh!Q(t7q` z4wmO8=>LFaqxFedK!fVKRnp#a`>VCtO||0xxKEOQFTj&S4aTb&R4h|4XB?4*k={%% zaAKx@7)x(#=IgW$g=*1BR20{K`Pr2r zG*1$X;SEovaQNHZPf0XYY@B-Td<3&ynlUeMhb7UF^yo zH~a71U=xx6sOboI@d350omn$pYGye>RMZFt;d|G&!qshcdYW)-2|e^HU@#BuSSzo# zuH_g~nF1c+z8V*g!dM+$2sL-wgnsOM*oUs5&*}YZSC+MOt<-@88_S$=0AQ!{)`3f6 zV6%!L!qVFcGf&gXtQsL1*z}Dj@NZFVWb0O(jCsi`Ro8 zB|nko;lE=prh(M^{@>VukHnDFB2lB9DX zwlxCQdmti)^xF(IBl6U$sKH-}xT8Sl^*dM-}}S4TOo32ukn_T1Kaq?3{B zfXD>|Fvj*^bLcDl9nr#aHmg>&25=SgYeRZGY0zsaug$Wa(n-rC9gTHf(r>9%{$co- z8{PTD`7NMZxpgE>x7|4m&hOsPAhricQW-?O7v_hW_^1u!?owna7fDE|aSL9SK9{my z%D-w|o2Zgd4j4e@>aK(W?7Ovqk7CTHyhHZ6sfdjnsLYK*J#zt!pni(QA}Ry}Ax*m| zTcwMw+_&XcdIWMX!H>r=WGD1;C}|m5ii1U{kT8Zs(y~D+cuW@PY*ybaK+A1TIAdzQ ze^1YgGHf!q=A8u2&m0zFbY{B2@X7ym; z`zWa?C^!otY0k4Lc2;|d{D`>Ga(#2IZwynGqZ|SWcuu{_blo2xr5~@0HYO$MjyIVOW?`| zHFbdzCBhel07;Y(`l=F#$Uckf^N2+>HjL}Wf0l*#Xt{Mwaul#l2xOLHHdiXhzBNMX z6FY?-(qyQGcIWik1hQcYSCa#W4#o-ZsiMN-c=&;A{{#EnOHwL=B@{k+NgOfyaK4bG zu%EtiKoH~yITMm<4rygOiJ>}KobElAWrqPN{hR(2str1Ff@;7SEC~TDl9lX#w4bdm zcyhg@9vZ~TZa@-{zakpnWNXm~|66q#Xs#bP#qH(C?{pT~ap^Wfx8}#CWR83GyqJXv z88L1q@fYYoY&P@U%a2Ss6;m5B+))13-}gIepRcB$uKA40_Ok3AX;##uq}*u(rU8e6 zFo$S?=6S7)+&7lxmk3=Tpk>`G#*T}PE*oA5cQFTloY9;SWIrd@O;*c5w&?eO<^zm= z(|Z-#xlNiEn^-YJXmbfW(V)Ot?KfpM`w7)jLBbKqx)sJhEPo$H0wLyb;A=n!&_z<1 z{1u)0h;mjTA*7MiM&%2PqsR8D8<+UKE?2Xpsu-W=7}nJ>d0&m>AdhsQt#puEr-|F9 ztz~NHBFBqt-kFF7zk%`;3aJ z70ZL|bdb$-q1{52&(TT-;TEbyRSq@u{G0nLP!nN$k;}7>*HCo9_~3b@z`ya`6VDCN ziii*<=2?+)YKyyStVPS9uB)==cDS1;b{YBs*DMqAj!jTV^6?5h33M{4aH~Khn%q+h z3^#HOPFNjgK}cQ|PyR3x0Sm;Qs!q^CcuN z-32$l5#T{fs&SwH%9?fycy;iGmq}+)=%e%QtW@mgfXvQo5d`*}7T1Tm^*m{j=nf>@V|Ulp8>Q=GI!-9hu4f zXT;h~ZO<7ppcg+DtqI7a$(7c5@uMo`d zx^X?27#V@k&I@~e%R0ILYsdwn=9WmO`>)!Xg^bU<|966fdT@aiW!k~lfb$y}U`S+! zv{x(@xz$*Db#p`BOD8w#NTF_e4GvM|e=m4mv&*zZ7Q=A`m#F1M0NRh+Ib`BzpM-P_$2>Zd=qx# z7X9N(v{+Vmyv`M1Xv_m+{iF5e0I>+Mo$Xpt-qRP|JZQnVbl+cAM8$vBAK@IDw5^n0 zH{*63Zt1k8`ORpMOgytq8B>{7PF3OFG=ngI)f12>J6C301C0g(3gP)= zR_5uXbmze9TO`Acat*OBfaElxN|)nw<-)ZQ5;Iv|uJkT08(`%F$bHBN7)`4g#2)yE zWQ_bkW}gpnbY|oJ$(Dn{4o8z*!LoyU|AibW|A8ERwpwlg%efHBm*aWb_gCCn+lLHe zIPk8G(zg71)BiEs>{HQE1%R}Z07g!=s?ZgZ^c<7;b`nD~nC!R{Hb%j^tR90~W`mV( zCLv*6ws-z>?UN9%FKRg6&k}&e4N*zCmV$!IY^L&`h)Hz6)?+nD%MB5dn_&Z&*DmX5 za>JwcVsG=5Fdsg=?n!zGkdrn}J*jwq37W-yHp_Ge*Nvx$nst1=+a61;`EWrD__>5l zqJikL*|`!2 zK46n($m`F?Haep=R~F+WGyhzyrTQnB*0{B)fE4oU$DN}&cp&^{q8wQ- z{(r#NXZ<6(Zsr5{Wtq+3`c1jP4`6h{!w*PO(#u6}Fj00L*|*te`%GC2TAHvg$ZUs$ z>$$dmJ@;~rR8X+A2>J_QVbbeJtS}T5N$QNd`!25&xGn$E0MdkM`?T^y0akd z@%xlotyrrwvae~0BlK+{5V*gAm=7m6yKr_ zZs=1AZ|>e){SD3g+!%g)+3GbnqHuHIj7w~0ka=TqK@l5OQ{yg z;3p3L^1CnFo5{7C@YK+-hG6Uoyf`nEP{Z|LP@snV2Ss{40^{Es#p!?s(p;$eo`UIT zv&&xB??<=Yny0K*zUcW}{^_76$C{B-pTUtYM?xpw?KiY%Wc{3MZw^DF{-8;NU&bq_ zZ6JhV+AeXK^Mom_$9*GJmy(s10e(FEYNp;Av2#t< zTf%742({If>ZGL!Y9~K>P>=l@__AyW(jj~V)zW^z$`G7tzkql%m)k}pmJ9@# z%EkA|bB-%Z5UF7*X#uiW;=K{#J&?{o^&q*9u)U!{DEbcPVr6yZ(!Qxb`L}>|$-Htl z8ui$p-9AE!+SmN)gxXqxi{F%;tlbLm@=3!nY$Y|8Q=plvJg#(qG~kv4+S5M%^wb@) zSiFP~v5Mk2Z+C#+101qg;3mvLcKUdYt_9)EmT$jo)d>6@%>+j*BTc!3X3Luw>WUC} zBM?DcLfk+~V#+F~#>k9eN3A%b9ei5y;AHXqmca;3pJwALqu9e zqvNBB6lg;sefVES;|(-M2XM!+e1**bAd2C9FuPU~?u!7r(_7V%j>4fu0#Dvq#JXM0-`=Jn6vn7M^8M3b7?S_5I zl6t{jbA=$XA{j3wzxkhwp6W;4s|q$jL+KI~sH$PHVPhfSKesoLW57J))yhRiQ*_PvZHUrH+{3A z(^?XFa9oL((v;)>tls|3@%}Vr-7frBQPwLF&9=#@?7|${P9{7VUU|M zQ~~!2&RRWNChJ@cZnpaMyoX8!H5AXPs2}FGP_HHjM?2mMEw|TqZV^4m5 z4LuA4LMTAgP%0hA!*l&4bG?;pU+fQzz&z0Pe<_J7b>?U&yc(f+L$CQbHaxyFyqT6} z(EinMueoz}mheK7&S;2^1_*ECjC?0SjCy{`t)A5O70^)rq_4r$u&UvZ`)JW{xR8Zz5UUYMeeB>6)c!`u@eWp~ z{P3d}w8pr=YNn1L**6J-9oe`^OTVyF5+{!>;U;(yPdOIOb2gK!>dE7nOF8cWxeRBR z;9W*rYyS}XQmvpcuvdB5zShu+2Rk_~+SniG^L^~tctp=xCOrbY0d)O=>7{(lOXHD{ zjXyNO4D(yiAD24SwDbmOrH5^$+^@pVUJ(d>zj;5dS(u)JKJT)`DF;0XH~HeRDHY=W zhrPtnezT1h7@oM;Yjw%jn5Q_s3d;`orNJw$UYV^@Ji*MiAaPa2rhTFr6Ug5iCh7o= zt(Emj_3L*FINo2AF@z0CE@ z)hf^k7PA`1lBE!37jI4je(eChXkr*@F=CicC>)kay1>Q$8kDPCa&Okp{ehmrL#yB> zu&$jzm|noBvyKz)?Fy^Eg8eOROC{6U00zclEUIz^`uI~J?i;AJ_(yY^=+GoM>`;DX zZmLg+v~+Lq=Fi83!dX?A4y_R81IFVU4deBV5G0*YzWvPjAeXM1YvZR@ryH+<9zv^e zFcQ#{A1ofs-CMgGPl=H&h|$moc}Ms^ySmuM%xv1a!BFDvVf;_l!r+o3?MGp}w2Ut} zLl#tRNl^61#H7TxHQH6!>`M15KZDl-*F^iZ>nXhkB!1sRAN!vcj!fF3q-Xny+l~XD zT94YIpB%N)9Ke6>*I{h(t(8pAZ^}@#X4v%GO&b%mao;j*QbOMrSu5=Rcgp&CtoZ)j zf+ysPucLYR3ivZ8YkQ!X*`(ngG+{9VLPp8yk2>JHH<%_Xd0iZFcx+nJ5Ut??WaSX z$G$*T(z3KqDFlTLYPY-3jvoztDQStn8)7aU7Z(1G+%Eykc}#)DX)0`%e}U5OAItT> zGXsN)>E?s7U{<%a4b$4-@VM{2Pxqq$0%S| zoK*SBtVa+(9z>}bC%%&Ue-VfA9qR?Sx|51x7hWSORd|R>Q$5Z*M#JJ&Aa?j zY@!|>kJ{5uyD4ciTPr^`7E%a}f8Hw~PGWyob?ARpBFbxTDE2pUFs12hOPC8aWkHWr z_<|Fo&s0+FNdE~Z6#yT5J@Vc zZ8YZcsB*kB^mruF=iA$^&()pS`qTmMT57A^m*7d2=Qc&<{+FT@bE0tEz z-P2?90h;-^4@&GuY5mJe-ib)jkGKg#!69#O|MSPN{=?)5X}p|> zU<;6fkgRb8F9;7#jy!@VgRbiszcFDXamZ7FyZI2%$Z;pB~C8zK&-<`ir~v zV*X2$@F-Z-glIr&crid7e=-2?IKoqHU8fYki0rKkaZE>~Jb`}KX5EFd>*|JivSENy z65{leCHp!hcMFYh{6_vtzj-R&%KVJuoT7I9%qEO$&r7YV-Vak&+0=2`F~vmP@^fEV z50YJDkedwnn79zP69hGr*{k4-Z!ARL$P(G!rIi(Rc}kl$F`{TF6fZ``t@WUeg1l>Y zJ1I-mloh!RHWq27sb)c*4}j~}tNelT;@U>m`_z4RNnUk8zwvZ=;Ap~k5@9)i8LZc~ z*1K9{;#M*uFE0OXcn^bGKAy#t318tm?c^Q6(J!zTV?J(VKcPL0V=&`>D6kZ+k0;GVvL zhnog_Cd6cUCap&%i_VrbJy-V&qIC&5NOe zJ@LoyUbe_0(p$L?*^B&ft95JB-HO6B;5{d59^c`eYxR1OBraN0`fi4|O_sqfQQ zVSm+){6{fm*|@vnsTSN@9$+=1s5~8HD7DDNRhs3poedAoNOQ~B{O1dV67*5HFR9a4 z*!=3zW?8A}3#qCsmg@DfE)&M<2Yu434=~h>rZlSREY_$%#~*TMuyE=HIX>Mur)LCUj^;?^`lxN3UwUb=NpjKz=bl0kpQpS>QDW+AKYF)SH^> z7t?RUp;29_r!Wo0Xki8T`RfjlOw+HC&C$5{Q6*6uoa{Fh&D)wKWy+l8xj%KTRO~Q$ zLB7QAW+yzUGafs>Maq~swF(%0+JYUn&%*??PPly`T=RGDuDLnA#>UGFnf3$alf;Lz zo^P1xH!m%7hC~3SyGOU68BIDiHW&SGycvJxvKhc(DwZ%{>c6}r+D1K zyou8p_B`~0#RM2{(kr7lP-%f11G&AdkCJ-%<_#NV-^^|(EEXS*tb55{710xxOp^#wlGSO}7;cc=OnB^%PW z=zn9AKrAt}%mU9kx7$gEWyqZwS}}s~){iPnzaU zvRp1^byd=j*Vkp7`6^7{eP>H`z!}2Dkok)1IooJ9#<>$R8XndH)B(`LF{+q&u-W{t zmXmH7%pH|X;m4p?6>{c_b?tgaIAXLyMXBgb=!Wr>g@}e6OUCI-RM1+Op!b9VaeDS+ zbg2yjk*BbQjyVj*3dmYibn+x#X4pY+7H)?NoBx%a!>Au0qKZw;gyV zFzTNtY`shcaf#4SpLBMcse3(R>WgFU6UY-+iw?!T`k05=YtWDVA_-7M>k3@}@^x{(bZWxS&GtWEh5GEKYc|mm^&;gqLN>Eio zU9}qVDt246PFw#{Rv29yv1#v=>Y}K5vsAH>fpFfB<)?iZXqkaNu94weqLnz`7Pu$W z&;K(_fDX#XdRh{0BoJBjEn`@=WgP_yH*3hrHo35{Yp4C-a`4M4)2Ga8yaG4+i|OSE zQyMQ@yfW~XEyopIqQpbU1YLFy?Yf;+Bw9|G15bhom#1&=lI7CVFiu;K6tWce(z; zl9z`P;SYt21*3HbO`s^c_Wo-+*8bE_Gec3%B$g#?7`x-BFDAtCY(jxT@v$@YG+kK| z+IT+T`_duOp4gCJh4S~Q)Jy=^rX{`v=(?E01-~-)ZXu`(O8(}oFio1L(*jo8;|TWPpu%a~D4~_;TJ0Ft zOQB}$PFD8{!cD01N1>T8) zbm`!+&e9Sn0Cy*wFNC=ZRC(>Cukv zcE|Ci0lzmwE6o7a%w^~>1O8}t_#mfR*^O=Wp@8I+wI zwlfO`&M0&fEgez}O7ce;W534mys~;%kVvt^F(Cc_$ok5ts@kq=>5?vKqy(fxN?N+4 zr9--r&P_K+$Du_)x{)qv=|(!FOHx4K+XwIWexCPxf1EKKjNuWly{@(9nsctTwKPQi zJGifTNjc)0c^B_UvVJh)Zw!lF)u4K6Crv)lmM@u_+w%tSXX)j1G=v3@4JD@ItcmaD z^4ytC1_4fU`N&(0B{W>$5n);FH@Fsa{9Zinx1L(KrDZT=iPrd0q8WPKCG=Q7-aZqr zl*T`>>vG6$?8{M&nW&7Rllq|0ibxhfsKd=ef#o4G?ME(kGp4ZGOU+F`*|&WvuZTI> z10LKfO>j9H^Kb!IcdDHmnns-X=;v*Sr?W7-g8~tYAb(6TZ@J~r4))!|p>>xPy}$K( zx+OM8S1n_glms++gb5@Mv(}pGY@ys&k?#m@4pN8cdu-Yt?PT2lu4s;t%Eknz#}!vY zlOg16AhX~`8Yh&K12Z&A3m1de#$YrdJK&59(5Od*X9@G;6${e+tj+#)31MH&@w$^R|ha)U>siO%fBlt?tfBxTW{M=cdE3e zZqnG*wO1_P{rrX+gx)KjAryBYn+zV9kM2HDno0{8H_yc34U^kTBKI zutUf7!l{YDUy;3$u9qzNIWgV=;TaB z&l1)<7_@vHEVvF?9jJbnSy{2$joU8xVe<~;YMrjJCWOetHX)UnD7uqHg_mWX2Dtp3 zLUL|u72+_gVi(D*cG>Kvdll^101mpuNlKJ?thO=O)Ks|+bC18D>kTIiY|h4+yWFiU z7&Vt52Vrg^pVM)(ne=Fpsy(osDfNm==p}pG8v05u+OhR%ID}HwoSbsjd9SE&z0O6z zwRDk;5VTV~yFF_D*m8f9+1|Pz`hA6@?yP3k)u3AZsiV2icwn~l*yao%pPEn(-!#y& z8JO_adfIr+WIh`Oc|eP5q*Uysz=%<7c=ePL%(yPjSdD$0nY6s;94R`w_PNZ*A9BIv zzo?Mbqh_aK9$6F1&qYLL5HFuHJ<=oVSk6+-VycCG`y|pY&2+<-I1=@!^=S%cSNJ5s zHT>yCFBWRs)$ykzT>#W}BQ@8$b%rOwafpWHVM_`Y2(Nw{1rlH!SX2u^1_GeC?sHc$ zCxQTkOQQ@G0YPgAF-J?DxKQu2wLH41ex`Ay8FF^PSDHkG5R2|Nc_M+U(z`5-xsj_B zgiyMw|D!1~fhSD>&dAu)CKL$wOT)>x2=;HpwDwg3l$?uz%PeKk_oDmwFx5-Zc-G@7 z&KIjMSd_e3UJ|s4YnsdD{(*>>qnN8H*Be};t#ZomOv7F_So*l9#&5)-+DodkM)2q-O1iMVz`>X%b55jj1q0>$xuh7(dgF-YTESitseY9g7sa*x(fJ^_ZRdW61tX}I zCWJmJfPfJ13OAmqD;)lA=tA>*I!wH)q!z!=QQR)k)OW;!t0*&Wp;w7Xj#ZHu{+%9C z*yqA6OQ#5D%fCxR2lFg7k0l~Me(UyKskl@9jiDDu$SYAf3|>AZ#K^ovq{P)-zP7b! zpLBD=w#)sh?TQoAJPxf6kew;86fXyIGi{uvHFuRjJ}A3Db{+DnES=5wAWn*$yrF<9 zNWU_dmpp6(LQEp7JNZQ_^lER~_Oi67nQ;?RZ%cvG$NfBlU2dx7dj@gZwR+wg7X)0a z_=0ttwZ`w*$|5x!ATJY9t6&T;A5NQvKswdXbYozr_*EA`c?w3i(A}% z=_Ui`StFNowaX@;?!dC|Ap@}+|GiJlR4yY5gHC`AeHf)ZQPd%U?eWsxJ4>euPVY+K znJeRQ0@-U4=x{Br}xK(#-~CC4lb$ z;Y~bYTTyyRA1QBl#h2USu@ z4F#6Cnq}_RqxDhAYICz{bG+ywKll8>YIOIb=QsU!-p8kXDf*yltHLmwSXmLS9MzaS zhKW-4X6O%mnEQHC`S1R&O@{WY8pBc50hH4%T1s+5ESslnV?E+Lp|0!l-<2bNP!5oa z(gTP<`M|lWuIFxbHdr^@CtjDUgyC4XeVTH(J_> z0_~lKHBp_ibyY(U&2DV9psp_Ne!vf2GX@TT;`EC&F5K@}M782RXQAKuMKw)p#~gEr zEjFf_zSyI9BHF5Wbvz@_2UBQpb{I_s-%sycPxi1Zy%{cet?m&a(>~u(Jn61O*v^RG zP@tRg;v#o(6HtKTp~ZI+!QyJyOMc8gwQBkQXAz`IyT z5{Lr2(lzy)Muyx6i{ST{-y-v+m(={54yTxWz$*k_z{WGJKG8kAon6D{QFtDZY zzw4cTr3AsknxOyGbXEj(|7?JC+@wIt1^6T25^D_B5Y6LdTnF4+Rz)E}+@GdNJ0YLe z3MxOUpW~10JuL{~+BMeB$IzfR=((QX#^_CQIm6Bhqa)QD;fJT_XaymoqaR1{c{Bxm zKnhA8lVLKdhpYAZ(73`t3+}{|PK?*9mMQ_MlxTYN4hp74xkCu>TErSSb{Yb$@F(4X zzi(uih(pnUY|df76fh&bH$MR?BZ%YY6Z`M^>@)_k#?3LV%YDedRzF2qyJ1_>QdusS~X!|p_af9!2v zebEP0%T{d@vbDwY;ZgCyU!z=^Adzqp&US?RIgUap+>WI>Rpp0V01>y0VG_wG;-`MZ zkaA12gZT_HyEd9GruK(T~mo(=QEW* zW7*!MtnsEvQfla+cT$ZyK3_e*(IUok95_;C1T=IOrEH{IBGGiv+b z3*1_EzjbgY<%e((0&i1Fnyw&jHQx&Go$sAhNymc()+@SyLLAW8(5Ui+e%FgH%#y{C z1nYH4g4bRL9y4)iv8RYw|MSz(jp;$3W{RwP(>nUGS5|5=^-MV4d>TwvN@5cIN~UyKDHm zpjf;IX7Q=i3tyBc&Plu@dGXDg$)$=FJB;`$#yG4gs(ZwHp(16uUh}^fTRzKVa+j;H9H5Cb4t(wd8wRXTC=`DRVleglh z@MZn#)v-h*ySq<4`AP5HdEA*bUmn)7BmmY{c0vvV0pC=}-N{%mUdLl@ML6SjAvNH+ z(Klcrn|W&`H1*FZ7d*0gh|_i&%ym8T4ov}^M|eWcrmb=Aedbpi+&y6WBzvg)@H4hB z`>54<9^ZO)`DEZld-B{TiLb(J2g@DYb(8cMW`*ZZK(&&DR5>R{#Q1yi!fZLv>^Lu= z*~W2y-rJiikRFnzIn1>_6470t_u{&RWD(+QiNU0S)Srfeg|h#-%yjYsEl)}~dy2Y0ONsPF{Hp|=V31hIXZ$)v0q^~36WWyS zl{uHe$eZ;!&VK(_v$K?AR*qDp@m%Xp$VZ!v*ZgQm8o@&p;YX%LWA#u zlzSNncho(2raR@7lH}eeAhDN7>x9ZenYd$tOfgR~X~M|j>ucuGgmP@2u)PF76aJ1l zYw3;+Th=i=BpRu{c~}lb(e?2*2jE2Lq@0{Do{g@Ao|OTZk_X3KhO(o97F}|eL#*7N zW!mq5s13Ek%_e?;nZS+!gkv}bcHs|}sOJ7dPrJ#wzVG%BM58LOvi zH51-#n)Gz;HJ))6m=th5=qRM3da%8BKm4tkwd?&mmztvKVR*NqT>mUZ>vAQWQkKg9AQWL%xS+nL1Ylb7$5I^|p3wRAQ`Tp3e;X z_~lE-NJV19NRjCcK1XX6N7Pm(n$DIAiUY`#0s$t0k+I3@+Vi6E`D2n+Tj2A6aeNck zD<|*Sz%uzsBtd6)yek@2Iad9zrnThj|62*6CwRdmPMu$ci>2V zdbm?;;^wRAC88tsU4cJ z3IpnBleB?IdEY9nZLckU{<-GV_wZQkAv9onC?gVZwfWja>f0nZIS8}l+@~%DS_>*$ zZ31?#);I5&(_@=|(b_-ZB9JF1ehomGNGK7x+xc1%j_d>2LCr}SHIfNCx?M8)T`Afw z*V=XcuF4c}L)BG9eprQ>gmcuLY~qeI8%cdyUZj*wUSqCMmT3K>-bZ?KCAD;nV357` z7cT7Jkd<-;w}7?1M@PGhX%H7@@C1vPwuYrCl1%c%L71>Hop-y%+)bPl7JH}7{ zM00g$PT)=jHS&=N-zbUL;C}EIU4_JZsdZMs!cAw3;x@`-b8aAFzZ6chD;EBQ!ZX{$ zq9DzMHdu`5Pzwvpp7#cg9954GTH4qwdL6#Ix!9el8-0EE4xH?zAiwT7NK<`ft4o*G z!JSqT9vcHDkb+$C(4(VQ%|c6m?m?|4%l%KT@13Vn=JaBV^;Q1NLplUfnzehsSnI)+ zMbUVYEDj?jgal`G)qF1Z(ZvF{8jIoi&fg*l9y@?CQ_%7z)DIl~n7Q!gnB{WpntLC^ zSKZC6kltV=F*ob%Y4SE`C}seb$@#TqK9A%X zdCPpd1!bZ*tY5f%MjFEe9jzVm{+Cb+ht8Gtx+0Woj&Bmu-xkDY*PyVrIIR4+eovyI6!+{Iqm2#P=ony%qbe%XdKoNsAyS@^Rw!6=+OiqdZ@{wAWLFf*y-c^oV$Jd{B2oaa!~t2H8pc5|PY zd>IRNbEI?Z1<)QCo(OX3CrLtnh;ppq{zek&hRObZ2yQOZmiQL&9-qly=Ji;DV{KW= zkI$|SOsVy|1G+RP_gF3T0-EpfE*yNx@z+Lha5)+a1DJL|7s@K+bf=1Y21R6MZdiI? zBSY1Bc1}~ng~c^D?L5m&R3ok!I3>^DhBUcBxyD0NJAYO9z`Vu+qJ+LE-%5k_Wy^*F z#!GIa`QRSHZN_&o?4|I!D9T&^L$oMXzWPb~BT5+NY4Zj$=||xSzPn$c%}OHnPeBkQ zCXGm9b^MQDp_VBG`C` zF6+zDUn%X_uxSF+5Z4R+S%-b) zl||}SsyDeGh_6S`;xZR;b?b4e#dJM?w7=>l$EW!l3i!7&EBxMoKIPO@cVBoXbk{*jSE}Zj0KpK2=}#Zhq4;pBp5Euyv4 zsR-$8tsT$oRE_y;iZUT=6iiggG9j!n8<`tkL%}X6rYET}o*`&u4j&xO_dzpraYM{JN$NDtCIZALv4e82CEQSrPllP>vCgc}qSgGIKZ5op;DLWebB#4 z#qXC^51w$JO!@2xwlla^v7>9}zH{hOra$kUkO z{XnQ;759ZEF&{_cc@1q2165CH4XEzGA&n$Ljac-thTa)+rY)~KW^$>n`#36o3!4wO z-CSY5rtpx@`T$t#%u|$}UU{?o{ImzsrBSQ#rsg4keQLg-PpzQ!g!97W#TuD8`b*0r zGbxr5Y}Hy7-L6nOSvtrUqT7NuJkR&=e>W9szWMP&VLBH+)O@=x4GIdea1SLbVzPuh~-7S_Ver&2seM}~EBECh+42# z^{_uK)l5q_#q!Y{X|YCN{un(c*=2#`#1*pC3e1LdLPm%smB0Y#EH0=jTSg}@Jw0aZ zIZtZ8v!!L|S9xo09S&uIxo!}&I?^t?MyC>>7K{b5uEJfH>!fItASzC3OR8lI&_#@s z23YQnbA7YPYpi|U0$h>=4K+=C);pL8dQ+3bbu(O@Vc@dw`>bWJe7-EBw^wrij`8>P zKftKse*>d(z2>8uQ6a&mjMS?)f;;x@;FaQX-Wgk3GMg<-U+L3v$o4)pYQZ2}F|@K< zuDey)e^5|R&;UlAfdIRvjpDrXR{P6q3In%wNS(RibYRhOSXE|hQIeeOCbYq@{1Izl zk#3abp-pY35f1ioD_9uGg;yeqy0`Th4x#0zX}=rV2uKiH6+kGZQ8TUxPmifSx!7Drx? z5-d;E+L+G1;%Xq%LQpC9YqN4H`B8cHTa6h!Pi6DyFkttK_Qo+tlKdcwl188azN#I2Hi&R~eR(&B{r3dzt1+Off4k2hS`;e{ z^2LIjswOf4G?jv6OF(sX^4UuV1yzHi(4URXW;{+Hipmm%oyA)=(~U-2ZzydmnOa?IL~~w6XgP1s~fqJCodG_p|+z(JT%GmqLHcarT4dmkY4ct+AIn!ddGz7!lNxe_qH?d z@{XlT{V2MVtPf@aWt(9VvA)r$lkq|4t-QhYf`ONeXfkH6hLJv~&ZB+Yd|xQp+QIG7 z3yX*?7;dlp3#C?NGhe=e&cc;BC5~-cTbtlovUv_jS_x3o#WgDg@dmkIQ;?6spcsN|X`D#fh>HPtk*$*MspZfe31YEO_Scw0@d3JVT zuj}o!xI9k7vIH@2HdNh)GH=zs?aAQitjvW`dxw^zQ?sirh5RGbQK91D0mH*3B(n;c z4>OaaLQb>S+ZVpKhucE-p0l7yCGq*u5Sxi%`Sr=`hcX4@2qulbZ0m_?dL!Q+hR}jV zSu8VLtchybmjVoLv~VZD2&<)Fz?q<>6Qr4*Ca6>Nr1zuiCZgC@A*v`M(?Jq+4udu_ z{b@8WBg~8{2OnbaCN+Wk%h`7-vH3Y8GayI$gt65OO!~c{Bq$v7o+2BWA%*8xwQby~ zB&Jnv{Wdl`OLORWtfCN6k-hIVOJ9%IC9Q zjBme-gX?c?)$UKqq_hFTKR6_eMFA?;a=lh8?^{qYI9@2+KG0GXUTJi(_ZMuhw_Vsm z1gNR-RwCbY+{nAHhhaZxu_NO$$b3;Qjj1F=F;;{Hiwy%&^0(<#|5g7VULvs|!){}l z)-+Y{oYly?)Q5t_Ci-2SQ972UtvjjLUTG_NpJGlD6{~3%w?89{?I*Z$Yb|Zp=?R>b z<$Pg}ib(G~P&2j{jkqQuU4Lk!leZ`XfOH}Kt6q|X5RAK@K`5*&+FWiMH~4s|{2mjX zNEA^2jTy*)48~hGnVc9przU5er3{k@>ozzXZ4TfbwVc%lqix$PToRUix1F!<(Novr z`;FUv-9}fgdLrMqF^Ud<7-jE}U}5|qh5R|=1=QuR=V#4tEn?o{xAZ~47oc-gNT2by z=D*n)d1OZ{*>xvV+82KYZ3u{sV%k0>kA+@#7i)qz>%Hk3l7<4ko-GJl56&kmm9iia zOkW3YfqD@mhk;Gnh#6UitPph)> zx=)(em}5QIau^DT%TO`d`snGcI`S1~HHQl1qqT$Z&C&Ane>O}^s7N;9;!hp~{7)4r zl}{31?XX>_=u{bB3^mXrmMu{7Lao_3A>(JJ6{7-1K)mb_BBHT`c}MWkEiLtl;jD>% zRUl~@Laq1MbOa7mu2LX5MLUc*sE|$-iS|)YXjbfEuDPdmQowx?C2%>H*TJp8>Zovb zY4hDeCh3wJp?o*WYT1i`(BOHyo;zilwdZEs$_9grH+$}*jn7AcN*{+x&rtfy)M5uv zSXxAYFpM9~SAlyZs=tVV@Z~wobLNuT>ICxPm@jY5_6kYz? z&-RkZA2^u>!3{$Ywl5M80nv6zS3PFME+{FnD4EYgvfS~K4Dp;7M=0q1wf1=8HdJ7J zDh#%2#%YuFk`T}28MZbAEqn3 zX&n@7Nj~OYzWN0=#rD8H?;HPsPnNS63-tzfzqaHwD~PzfMg}PC@8?HG0VCa|mt(F6 zEhA6GKf?sd5s>y;T=?^@JvWzvu!h%$2gNlM;U!C}Sgcp(VE%Y>EaEr#m^qo_0i5BO zcbQP^^Qk`sG&jhKhg8vz{l(2Kub~qm26mr+jgeZK^gks>CZ|tCg4YuJY%`2|@FZu# zngCjPE*}NXh4_wTs@l2w};9F5x-Twggf*;Vd`KTZcw|KJh zU-$}6GuT93z+yC)4?y7`LkU|X?%;1k<=65l1CDmt6RK=r9!oHlyDk35(@P~HGDYpm zwZRPgXt&Liad663{c&88OJ~K{(}O8SEi1x z(I=N6+Q9w^8iOu%ntysOvLEWTJ%!R7z1oVG*D~E;Z%iiTX`vke#l(F2TvGU{G~YLh zx2dE>0b6Y9qeK)~$E3m6gp%@D1bTV03>A`%$d+39k}}MLDk!!I^Ehww%WXkmeD0uE zKwt@>5yTU5aqKMtKmVakh&iD55Op2Ahgb|c-{1uTy8j~JCK@NyW%@3G5ty|}=l3-> z97{pbNJ4LLkL6&OXg&cb@x^3$^#$lnHS#7nWySP`LI3=xJ*JDhPT#o*gA90ODRPQD z=4Kzar9_9}b0))6%-B8{`mjhkh1??2&m zr*zMGOOAzs7FW%!1Qq}C3}O*77Lu((=^ zt-s=YS;Nf`FE>d8(sYg*G7LHpQ2)a?pdbrED;b4z5u_gZ+diU;Ev%r}(#}8ZEia9- z90q~h)Sv}w&q5Ix3n)gs0H{jiM|Gg$ra+_Ogi+D~zlbH5?}@oAZ!;umK+a5}0e)eT zsvydS;7PGcL?f&iNM3H%g#8Ju9;&j51zV;`ntW(}jv*}vr^&vws;v`O%=8f1*I_`3 z<0Oyg*w6wef=Lfrqvwek=CI8Q###SU-~jMk@Ve4l#Rv#EvA229>)6uqKpO?Kprd+W zcwas9zHtg24Fti|I`_P=U0}>lQy8JVD3is*%HDNL7kA}#Z`J|djSd?9OH}U=cPMCb zW<39ZdT8TMxC#2;2xhx;M4Tzt<(;eFcD2Ay$r1rskTR`q z5l0B7SGQPfX1is<#MX z*vOZPj^@-ai{#Y5Pi%hV$+=AsG2t=sy5RztKsSB}iYu4p{~rbzUDmPjwg^fcE*eaR z!1>fkX6P@wXmB|+ujxOX-_x?B53ftY;BLoKrJXQ9MsI|%U^N-+7UZs8x^yKX?O442 z6qynP=L#?DN3HN1TWD*vdWVMA(QzAUaS)R*AkQT;w{<+V_c$R0duaC{#51c^m=tR< zT?eV?xEiZG1zSrqj~`MHlCtNjWo$rq;{$d3F3IcZ{Gi=pABz{f zV?o_flT4Bd)8DczF2N;(W%VfO6v)Ven$FxiK8;?vk3Z38ACn;{tQ$U!*39Q%r3rv0 zgdG?tF|9+5AP^U(ZK)T)v?{L`&xc@-2Ug|)$`(M9KJ_#EmkC96PLJsE6MYlj92DNo z%|sO5!rwwN8{UM?T+hdu=%am0nCy`>uH1D5$dwoC?;z)WpL+U?e94#5^#dhjy(+pk zAt!Nm`!!3`cR^V^bj~w8EW>NuFy2p?G%OBgH`3#kdP$+-;lMFFRu56_$OcQq@h_4C zV6+=lQWE$ajsLWNQYrqS$5W&-2(1!H8m=H0?)rw>)DM(X2FN+VF^{#5TnYcE6!K`M|D7oz1R>5R zA}1o_uPOU+s7wLt-2adTkB}t`ag2(xCFI4sd}UUJ2SeRA{R5zhutC)0 z7Bs&oNOK%xcC#PA!Hn1b%F`qnyt4);kae23?ygpJ8cq(cmUY_pzG2Rk>!HW(PA)f` ze?o(uO&s9(+?Et;y*FM)!v9o&&=Zdz2jHLnB!MRU=LD{>FNtIDViIvoVP|^l%z2

    -t3Kg;M8U{By+H2ndrmZz&R z^i1j3NkB_Z5*dva^`Im%C8!ww4KGkK%uwwG2glxe{U_ntD*sn}Jr*1=zWz_av5h$) zPB)ASdF{;nIntwY;XTc?G&+SOVlba_+_w_x&nUI7%D@ctFo#&;WOUqTPin_QdIJZ` zSE_oqN#|YzO)iI=O2RWB(Hbfx#OAe?s6djkYc*T0cQtRGO|GYSo?(wvg|P#+TH>J? zOG~z{K26tmTAYQiFZ!fBFAq4JdN;SW^qN`g?pLO!)aon53>WjH&iR^gv8J~TxqiiA zm2Lr*A;j$UxSZk21LA>Dbsag)z`{HLlkIrrCW$nx`}xYkK00&S4(QxKdU`!(G7F7X z0%BC2xALFdDw_wo>EVmIi={12EwQQl3tu^*_K`XnOipQe9oDEYOyY1$J^3Qbko-uJ zGHtVM1*L^C!_iR2^mEBrhFd20xBzLf29Ku;mNIBLvp^`ze{z0O_+Od(Z)@_O%>6Hz z`O4g7Z5TjHd^}b$e1esA$;yqxI-P!KZSFm{@8#mXtq!Ls!5Y`Rw9ZH^n6c2_gvXY_eS@Fwt4dS2FFt~l2PpaV`IxqtKS zVyXcj>^(Q3ywdh(^5As{&IyzPrW+b!t;H>6_L5;E=No%6{oU#W4>VRcg=WT@XXtOR zJ)N#AL&6Z+Nsk*Hdme)q7*!N$cf-;^CRk~P8dh-{RPQIGC3grey`n1mmq? zd$`Qb16Qs`WYnF&jkaG+I*keq=1{2XMsqFJNu*DTb*`qH;~jCKjR1_9Z|))SZW?t0 zFS9ZO?l;r+a*dSAh63oAt^qj7bH8POzm?eL!_s>4Vhx#m2brArUZ?MF30S#%)JcH5 zkh?azpd>nfWO<%JyrkXj^5T9f$x?=7jh7nHbaW2-q6YS!6=l%HqjihCFL@mw>jOR$)%o`J7P=^Lm>T8Y zdjl^pgns9ov=z9@!b}g@d;k=L|8!!G8O=2cEuXAS(4rM6W%C<%1FU*YhToHwN)^9? zTb-&~==GgHD!tTz=Ro~JD+h3R{1CZ^uf#H`{ScR$_Gsf{K0~veeEm@S1MRKLxTNM9 zE|7^5zYb~2aN=!REV{e#24~b@md>Xud@m?C@2(!qipydW@4g8G_jxhr!|}xpK>)rZ zar_ze#S22q#v9CslaIE}e<~iXg&!{M9|+z|3jeuVp@wgJxKqVq_2yh!T^KPvzzT4G zl{YZtmN%VvE@Ry_FrOH(6f9Ju&&m8w+$AnSobZV{1G6(i(4!lrh|0r{Zomd=NInk% zAJ;wyGivP)038%>;@y}AKV>tbm>_bAD+n2aUh@F=zt^1YKi7Pc$`3{jj_W{^iS415JX6Nludfngb0cz7=w;`~5=JLvoN*-EJ@bN0 zlWf3C^OhtfmxNgzisOwz<7bJQi?CziP6xId`Fw2&&kh~wyTU@g9HX1sLN;5BmE01d z_mjRasb+&XA+hRL;>Sy4?)~5w^|T#&5O^~r;*pKzTm+XxP@q+MZ}4@kXyV$&hWp0| z%Pc^910%NpM6|0U`*hcr7v)G3*;WDVA2d~Taaq!wCkeaS0dTZ@!=Kf64UG!Ylp#-V(%|ApcI}M+;+ce`4x+Wd!sVObja4VO8gZ zCJox4(0IuhPkeJp+e&Uin-GUlBQ$T(20!3N2@d@v6(?RKC@!A-i;G=RU4zmr&(W&= z0BskUExZ*w1>QF<&RRz`r-o}w)b2`>B zEhUO@H99905b)L2npx=`s0gzQ$k1J`UgnMlo_}k70)u>n@mcj|V^OFNsl4d!RJg7d zbOd)`L^p5-=H%|&2l~aU5M{_wU;d<2b|rW&7#{^SrM{r>HyR19zws6rZvSKH^jBLPM$4w8wd3RR`?? zmSO6a4PCjQS^7Xv?xtKqOZV#2N#{!4@5YH!Z0PAk*eFa1ERJrt5#3~t0+7!zC{At( zyS=*U86_VGo2S%IEhtMi$ZJ5kJModJ@wWzwX~F2;=V&aDzadZb%cJ}JM9xP{J-s6`Uy6t0sqKLf?0EP4t=iMY0eQO1$<4ywt{uF=U;KKJDd5A(YK{XoRj?U?27oF$cp; zSk{e{V%#X0L#COvf7fTAWSZ^Amv|Y@vC4x#S~HV*v8V85q)GHQL#9RMJVnUe5xHK# zhy>b;;x#juSoT{uZJzUxxqCxEWBU@RhQH=^I9))wOm1hof#ws6vWWG?)67qZPhh*B zl&*=u3cE1)!15FQAYc#hrucb86PrKe-5L4hZITKvv?&|&mTPb6)HbPq3OZcoNx`Q( zYYNMcj{CoFA#|_H|1ID^CH2tX2DM0zqi{8v>hbYv<`e%I3+8&YR-Bey;5MDZgeV(5 zRr%UODq#T6-!7hF5xyMKYL7cF3z9|#rmKs z_g$g9C?YFaw_8|R;WvkiXUsA5p%x9Z)lz#r2QY!R@wSAq0W}}4Uv^2*z0Yr;qZuT> zt2iq2nBDm3Y-goS3!B?`UBHQ$SYNf)@F!wKAzQdmP9b~rW|%C~V|SB+Y^HWUL@)&c zSxz1EL@^Q2r>l^Y<~k&%nZTLm<{6!yE*K3x)?0GW`%(2iUu&s}JJ7kPD4)OjhBwbj zPYf2A_NU9w{C30(Yqrl=EMP5Ck}17f$db!%gP^uQv_~1pIJ9Tzhf1f3M4|EmVyS_Y z{oY|a9~{@4D#pN2bCCwW8r_QQPmSAtR`btG!Ra-P!G1CU*Dw5wk-<*4yEr)e#5bpi zxhi3z?=&4#es!Y#;M*K*&1l@H5#C2k(l!UqLnDf7nzFT)lFL|+tGai5Q|bJL7#Bu~ z@Wxg@C?thANt{BwwY$T{a!Oy}N+3AWn{J&I>=ZNRpZ=482e}1|@i}y0*TbktsMvR_ z{1jKI1tGjW{~*^wP-^%sKgM01_6Xf5#UG^xBF0e0&KNe%XyDQ0@NZo+Lq5h}-w`HG zH4N_+C^0aS7E3Al=|3w)!I@JVyinbJ$8amiN97;|DqZb3yG8SdP77H^F_C(E%#N*H zHLiH(qcGlSJX75iJz`Mrzm?2v?S2z#7x(i3EbKR$K_oE|lCID?m1vItqmts-q6V{o=(C{)%bwq^V%glT@v8k5>dDGFSr24x;8!n0G0e?B!J zjFHiO6*&!6Kr}FNRG?HTUWLe8p-rdR$aBoX%T%%7>*5v%vZWiUBLQ>{3R%-yy12+Z z?pf2Fd}jM^GaKlTbN0ZkU_26ENr3Jg8QU~n+~1@%{E^mON=uXu3Ut@+9ThZO472Cp zvEF!Hg$l|ObKPnpr7M&VeCAiKD~4TarcXMQ03YceL~4Y^C8kDMIM)RpCYZ z`F3oGhqXwBQAq@($|zq%N^=f!^gTWxdb3QBDkB&}@%}7@`=aIRzo`rh)9E#hmO?GibYycGV^{Rh>j_aX{vf-S3n z|5xw$muF3*Y*7Nnu<{%g@^FUU78IevnhD1ZbIawprk1gf*G5~u%B6~t=89X0h&T@e zYGm0)xf@dXSX>)h^HsicfBbNlAyo*PH=DNrD@*TkU0hGE{5X}&mcNS}&U5uLJ00`G zFhx#zVK#Bu9Ta1KwFFIbJ);@j09Qy@E#o)P#pC;18-KJV)SbLyIp$uUzxDPMuw&_d z9Y_yKNutcgG(_l&KZXZF{4k8;fa~H!PaIIiMeQG;Qi%jfMG<*0l>hsroc^8^^q{QQ zWj8oQHA^FP^jEIvg-VLs@!pjk`3WmEpC?YE-|ePt>o*p|c~X`S6qwT~w9zV-!fkCV zB^_|?yfVy?f8-#yrq3-O0o^O8yJr>n2hs8223_r95*u@sTZWD2yx)rpw#|}uz$Q`0 zmP+KCr2!zz)&`b@&5O$_%8`F=pWZiQ4>;Pmha5#sS`W?DGd18TAzhaWDBo+>umw*k!DB*4~5l2)g6j{ z*K|RqQra!V^_59W7d80yi$kS<~V~2C&Docg=assaMb(h?t z>H}R9)6p|+1xmnIu2Y=yAJaZJg%kOQ6x_BCzd7^xxp1e8rbb2!Qc0q7;8SFgiWlm~ zf6NA5CPT)Ix6Ku1t!4@S)HwBRl$9-9V%sG*RumOkN`KFf|8WRt*=U4DOE!EinQ5cD zK*HzND5Z&|kS8hV`QWqRK#4uSiIHyUX?9wBCQwST81j+Ct>R{ANXtJA zSU8j7iM2m{>i(ipF*f*}hFJ(oXAC&R_5m6m|F-p>L01Qe(CDCj%Fbc`pr3y?Pznon z*hp5NolHzg}8Z*-G`g%1!5&&Um`D4h22j%=*8#jshly0A0uW0dAkl4_xKdt0Cw->C-;v zN-Xdf8$m9us1F}YY{H9ImHAZb4ZapVXgLHo%S@Ps%luCm z8Y=yVr!4ypuh&BoB*-{JhM84G`YGF3t)nDJ!QcZLj^T1Y_gYjT!0I9cs)ZBz@;Cux zxfaBAP-37Hv{-O`1lc4y?UW^R&!pwKa3rTRoDJm3+;iDA?EFm0+L{i>&Hf4^ z^NH!J6ijgW0TJi%czzPaQ}&YE)j(?lk82|ROo1yQpr#4`JJJbGc{La0- zqhWl`Xa1~wT=Bu51|Mx`i7z|obxAH!%7cc~^>P|$Joq-Psn0ME7U~Lx%#*!0x;~db zQ?l!ey}Z@{rJKn!dNi-0y|E$nl5#JXb*HK8Z6dd{P0Zr-jy-J%_~cdT+DqxUUg>`U zW;%uKSzEMHl9?L8iD?a<3}N!#cHJiI$z}JUQVg4q8Q}sJal(@;q^eB_tVvDq#o%2+ zKnqD`sXHf7dvS`yVFrk}5X^p7!Jbx7z4Ag`8ZE_87rE~td|cTPB(qo zq@mps^HX7zvUKQ$3IbHLzoY!W_7Xtx<4IPgsCZOwUBmN`Yov3_L$eMU4gZm2EzJ<) zXIRskabv&x8BY>sZYC9CO|Y*HD!RR~SikkwCow|H;kqSBYq5Tr(EHYxI2hekf3=8( z%|Evm;@@=6%!DuJzRcH{Ga0niIxZn$r3qSZ!F@Xt{Jk0oiBJ=G+Ozg@x&uZ;0#gMgY zylzUC6F+Lab&dSfc#&-IsW;vJrbmG%2~1}I+|5qoc6sad*1m(tlN$xlORYn=?D_qI z_bnDQ603XejvF?%4DR+qbnNeF_v(soznyp;H{2B%Jlseo))kWo`|j8SPA|*v_ht+r z)46G9gu33hw&Kcr$vWl0=6IySDbny^x-9u^a1Xa2m$3!7 zR$x&;%{*Rs2W|(2K5QXq@6Ejk;@ujea|lW3s=GyZG{G#qZT`K(23D$x`qgS6PbwIt z<@vXFgn_Bjz4 z*vtYY4t>v{p#M^~lKZF>E{3LzJ5}2@!Yt_)9U%wv6RT;wQUjdsB}oj_pw^Y9IavtZ zVNo#7@ZXjQ>vu9vJU)X~VdD)10AII7L!bH=3H!dlPwq3%kSxqye6xvb;{9dz%B3yB zKKtsQ7KYQxikw9?mdpRL_KP?fnk=|?gf&8W8A;23Z|{K4&@a9zc9kqe&lSS}#$=9aFPZRZQj z3^6DPa~Hc+*nxX!?EW##{%%W>GRVYi8u)*Fy=7FE;npoocS%ckNjFG0!b3}Ux1=-= zEiD4l-5t^;E!`c`-O|l>qkEtCJ>!h={R76}&#G(9x#n8;R!T)v%O%i{`yOS2t+c;q zT17;>f5kO1AF_!H7e;nv{5gscMGTN->Q6Q&Lzt=0I4NN6KMU*TGg_R}HqtOh00(57 zwnZ0n^EyEy5b!uq`FhBuqwC-^$PsN?mG6-nP}n`k4X8i2Vl(x9?|L=#+1#H4PLsFB z;=lsVXT8Erj}~}(j=gKU2Kc72!Ww@!YxN#@0itLZl65x^c_~r67?a{i$=Fneti{wq758v!iEKZo|tzO2c!fuz*mNo z@eDYN)Xu^?apyR1H!`0u3wO~-bk8F{{uaDRyXz*s{!*N%_j!HU1FwXi^I}`$r`ri1 z^ti|#5tnt9>~mJLKX^A272IW2G`f*e(R}a&`2&cq$@6YScZ&4+VJkr{e-@PC)HJ}= zc3-&3(z@n79o%wu)(!M5{>SuM^BeNZWD$>dSCuTYe;@pdwZOs-S_izyG=XiTZ^AM3 zSMa*l`{-WL#-nTCSUbHQ~=;kTvmo61b-8c>?w+qfFvd84Ie1X5in(CEa{`jR>pLt zXRg9uw@(h@SV5*5Ye5vSaTxHU3|aMc4}jCv23B_=1*5k}vo0)ck6oMR^X;=%HcW6% zklPrHE=fO=lr@gkPl(HrcQz9aCFrZH(*uBNmckswegleMKmXD zOXbHXYSfodd;TngFng%QJ&ZNA_?UOKGMo{mGxB}`nm;bLJ)BqFZAhZ6NRI)v>&McQ zbebKP`sinvehTk0k1fs<#AtS>3@*A$Ui&08oOEQ=ZtnO;Lv*^mRp(4B6YBiK7 zY#b|GWRF&A;|N^$1$a2U1lwoR${Vh7O-Buz!yS-Ln|aazm)-4N&To>`dfSC}=B|cb z+RSyH!xZ2IMh~kS)Rd3|`E!fy!ImwHzdb?zvxwWsyN?!*pvE3A_y*pY;~5~tw3`iz zEfO9d_0|PTM$_n%eOk!&d5x*qNd)c8YpwOm@3LPhJtL^U{Uz;MfFz)shx%7|qY{Cw zgtYDE|2?XO61+kkGWma|6^Th7p@_f{M8?x2c{OA#b>00%3K2nYA2@);OJHYZ4|NiR zcO%RhZOltgMC4Yq%5kcIjkd=p$0s945T5FpfO#nxu!6`!EQ9uC!^rpwnqKQ?aKy`6JMD#Wt z@eZkI@~Eib_7UxcWSrB1!u-8_YH9RQ#ZxT z2?X%B0Oee(0V`N4&M|VZ1{3#KU7~vE5U5nAMZ8==3$vcN1Kzn=VfTzrTlaaz*}5OM>KtGlMqTNC9$Yx zglK|m+3_P~90?d>!2;_lD_9UnR(jE)f2S>qZ>Zr2Em4d{xby*?`@u$}#^EbNQu;}g zBzMOF743EASnzM=c$~PX3`GXE4b+xz|FcJg4=n^|*5=w*xPoHF%3HEZkzIX$sP~gT zwVJHUh)r@hUfwCdC9V{P|64IJC`H3`zN21c+c3-U^mE4L*tqNA z^(m$F3TZ}mDVwq?{EVa%C@bk#r0?1LCr^zLE~B`Ny2|41{KWFHaZoyVW`s82(d_?< zl_ES=xei^j$u|K!{vSmf|w+v46+sy_&6XLNIAMtZGt4k8@K&0Pprk!n7z2@1!#3X$N}c%kcT95@Ow?VR|AJqvg?%B z_83BQ@U^=}CBB}M9&Gs^&0|8JslE)%>qu)H`tRXYspiCfc_8tYF-*1(UBR=+gWe1y zx^SDh&CHlY%-izRRa9)~+g>!hd^}vNHB?gSd`plwK|%-~N$8+Q}6ay_UjwFz~eB z&6RB}kTSP>q~K?8h;hWa9yn%=7k(!7Xf?@ngG%^ib3&T5_u}j9Z>xECr9Vvt&Mc?c zjWjCac8!($BX{$7ZFAfuc)v)0WdPC+4saPpp$jst6OwG^E!S0&6~lDvU!7C}a31<~ z>-m4I%cQ1lT;-pfw)}q;wJtD{$k%PQWIW7H=uT+ZOFuF>fad(h{C}$kR~%=nVt4HC z<7WVxlgU1G!tx`>lJ`*}N(fk{<|f6;gR32;WU2&2Q+ET%NdY#-Hn%|0A)bcgo0%vN zfRdw3hGtt-NB}8y4FHqL=m2fhJ2_R-!2tl0@AwHU{J6@9@2fu+m*HF|T9~=$zkD4E z)-j;kNwBS9U%q!I;VrRiqH&-1rcBt@bhhNZtexFXqR!2<#RE$tnH#^yYNAQA82gl{ zJN2;v&?~y)sjL9}PR@W}%GkKS){jP-D#ar!e;XADitJ*VLL?;FZ`=h{7Ad~JE(6d1 zitWh$iS4GS;LVDI{)J;Wr#>)WT`03@eZATLzvz8Uk_gWKBRfp&l!u}Of-sP+|A+)5 z*Y~o6fQSGRi(p%u4!rZtkH?|IU%QfeewKu#X@2_}ckFX=Lr7aF?7n#V*Ozs2cPQBR z)DCNc+9&=u##-oN=d}8R>qwNl|0bzIjajWaMoyqTU&<2+^jh>*0-4Ki$(H-R^{nAF zw3*|j!1|&^RoNqJTswjar}T0SD&7rEDHvpe~xRgBa9e@0>)L36K>W)#}VYI=>Kr4tBq zFhiC!-fd%*Es$WSh?6)DWBJP)dJ6imV??YKysK7e!@#hSjsuYDy-YZXn;tfoxNoSI zH@D=_IyYrb951cDGwjF?{_ZM_g}ex98exDgA|Y>py7{Z6?3017}$`vc)3ZBRDAW?g}(@2}pIL_W^!cXhyrG9N~QleWs^9*vb?5LAu}$3-OEKKn8S?EIyKUaeDD5|DO|qsbfJEw z-tK$AN1}IFWnI+$NrDS1u>*>GiG8tA^jd#E05`Y|5;qX!E6wS)c;P1`^#gfL_A6L0p~& zl|PY@H*!W4;$!LzYz3kaBqz1YGj}_-bfo(^iD$B~h=`q$hA1xwJQn&6!hd_1?qmYa z_n4LrCy;{JF$9GCyM8nw7@Y)Uvf0@ zvl0}BMJ@R|=wTAc5t@it2P|ru%zoCW5Jc3VNS(TThHb^YJ_I!Q`*|#@5}Q12y<&^W zq&HTC?0QE|UUIq%W#=zuJb{-{`m2nkSUi`n7yavg{igh)JinE4{g7BW2mcOJ`&$#d z!tva0Vw5J7C?r$jm?qRX``66$?mBdi0WM;Eqr zWl+QOv*5&5%6#Iz!SAKS2`wnXH!f4fatUZXf4cJ2ca)OAM_P$bT2Q12hgHyDx)Kfi z6REVJDA3V$+}v?y>=y1*D(oLF^%Fk=e}u9TN%YW$;)P63{GkoS3Ffh7M5CfxxO<=` zz<}Rq;G#XUv35ilk3@SjKG3j7<&v_`qlX&ys$UZG5Q*c5b*oI}x zxbgyrRUq7UNz1zshLN`=CdtF8+vf~I7u5Y(a?}W zw;F<5Rw5mv(&;Y+zs?DJr4?t`OGc;twwZQfO!}VZa=!|l7s~RCWwcx1A@x)|Ue&F^ zt8sw^avtCJaOzMB;pr$<>Dk zeW9Dwx@&#loX@q#vX|R05?Y%vt~qb-IbG*+!Be5#2Jlz+MaO$*ua>)|H7ns~G;iIJ z>OnA7Gm@snpNeeE9)R#@Jbid6u8-*@eTr&5y*W~SA0fPvCl{478?Pr>zF+Z4SF$oj z(UtFwv79Sr7hUO)w(4h`-b^vQ*%TyKEt?eG^kv3rvp~ z#R|Qd@mkX<;qLt#irLIIpy1}5S1Ak&c0#pq)x-WLat)!C={2o>6$JNBi>B%p&}IfTs7=2a z36*My2(3y4yW8F?Hb zipsTl*zpASkJkIAcW|)FsNH5JR8W$y6I|krJ;XDlpASE|v!P6Y6WQl?o~vP!A&bh#X)Y2G8mekIUDrLKp87k&_w1V34H*U{t~ zB;LPQ<>{fIy=>wR8f~(v;2NnbxN9pQmow*8d^OpKgEAFAwLcMBI!veva^>6p7@zHw zW~r^=Kz)3f(e&sJDTZs&P!7HUc)niQVs4Vt`^ZGRI-FSwE+}NqRHS4^`%AR^o~;zBmxc zru~Lqj@}IPF+j@}8nW}`-NobH0ug%*YP^pf4)lENthOb)pZJRX0VDH_#P`%i#dls~ zs@od7)_e%Xc$+lel1rA=b}RU`Lwe>dNhXy)jI(U*d4Zh;P7lAN{%AODE7(f@uaR)p z6Tv&Sp~GB%s#w3bc|d$)-s=tNnxs+f9FQ94{vK3tlag`Mvw6|rqUL=&sPXf0Kh?gG zzCze@?tNwJ#!TYVpTLaE@!XdYdf~joq#9C(NyYd%Xp(0L*Rb98)<2yZ23J#adJl6v zD`5BT71t*a&q|hD>;*9cPRiw`0F{1|mab=iS6{uf+?CTwi46#^ZLi}~VEesrT3Y*< z>Z6~^pI&i~^>mFF?d;F@MWmD)g@wqj0fIBzjMxX^2AmAOWi3j5l@^CKj(1V41G}^# z*S>d;S18y^RIAyCs)@2mB$cHtco>cGKn-QY@kaMXvmU^kUSEVZJC#h=cOx>5dh zG*8~@C8~t3_g`wnh}&1GPo+wiZf1mkjj9#)wy4#9N@}WP6`L>*70lyhDkVyCas5W5 zWW8S1DH6izNhLBND3PY$m8Z0tLmVPMQOEMPkT~%I`C%T@EVTItZS!p`nI$iHQBpd0 z?^b8r=D}j{wV3R@Av62-t)R}oHW$0Pd23iWDz<;Qjs_tVVnT?eb$cy5Ayrt%X<<{~@XVJdFVwtb7GBxxzm9@cNHp9C7a zPE!a+^K5ib)$>(w6-n7?_I-Og#1gpgAa?@d$Rz`%(ZNv)+ce*OT=u_dartlDpWOJ$ z>lw6PG|JcP>bs0yu=pzIcO_p$e$ey;bf!^Ed3Uo1x|UA#1yv5e8^9}MzE@UX69ok( zzz^^7&LaYP8<0N^V)W+1q&ynOA3#8TVV=RIYbqj7-Y_Uq5Kg0(kD+%>{8^tr%6^r6 zz^QvcXNR+5s1F#O*CQkI%hh*-uxND4cC)O($lGnNT4m_B+toPEj7A2PWBv zawrA-aFno*IbNZ__NNKx4-;HRj8^kC0{7M}Sx<2+Ran|ao*Ln&(;jn~d^J?50fl8X zJh;$k74&Lp*tX9`1;Yr#2)6i;>Vj{rw4r6*C%=;_?ca(+zj6k9aUj?V#nt%#s9XqH zHiiqqdLJLnnd&d6y|`V_j60p>fN6rejM2tcvL_}JP>*A38@BT;859>%d${~jdI*Cr z*F#1+7)J0E58M7~PuqLn{Ac#@_9Z5z)alAC7^a9}Vfd51t=?6y~5M4|eS9 zmDIRd#sfZKalbD%Tueo`k7yjrq6xe~P89q9G?r*vfT&V*~mlAVEI>!enQnO4pN%$w>-rYoQFQ z?Vg$wQyj8~+}GuY!D!^BJ_iRO8a%y_WL6lfWv(!w=UUs*8V$E^*?4xLf6FUaaUgpg zqG<3EmI|-e;Qi-f^>GK!zWs^xeDUV$D>{)-%`pvBxc{%Z_>u1JPu*!{(%^jhjQ6JE zq{J7m-2r}=6oj)u;4a>e{EU0jKed}`5krUZv?T6@u-shC9*3uHvngfoGF_-@Ern>z+=&Qz#%l!`eKew(m#3su zN!qTb>tVX-29brAY_`GM8a%z}`z)fd)S{iP=e{*Ycleb;AOXQG!9gQ=$pS~;D z78i8I6plk(h&(@li1a}mIT6JF>%oP_KqQ` zynI|;`Q`1r44-@uK@J!_o{Sq=_L8@|$VR8%5AlL4PxyWV4c-o~DH z^T&|y>CLJ;-`o=LRo*AYu`Yj(?oo=E!-g7AvrUUq>%_GAiUUi8GTQk#IpO`D70FPL z33M(T1*O#>0~L?R=(I(YjQv@jo&8XxHZSIK4D+8zIs3X_U^DtjofevI(;4Wom6y30 zWSc`BbGz)qtN9cP@?c~uasSw{D7Y$I>SidD(2o*wOhMxW6trKkAY^str2rQxlXYA1 zw16FRj}R8#3cZymfh-yl99nOW3oi%vCeLJoDEjCWEXd(gXnV8svU!D-t_z z#gVq52(FDAbt!^$iQQ?!n23Gb6%^nNNv|F@)x|X{<{d%J+CQc60186oi-swKrQ1r% z=FT9GO=BbD?~=4=q*|*CF$~*7RYo<~sd#nD2${$r1tq97u{Mt0xHf#4kI$`L&3xfV5a8wXhuCqnZawSPuf5&ZstAo>#|% zQ>g1ZL*|3A&RfCn(C|QJoJO*Ch}C~o04onGt#`U-!iUU-`rgb)L;6m{oUJ<{$uU~v z#Tfa*!-dQrygqaeR+PN5(%pTt+$=;(S~krG2dmn=4(9V97|r(dor#tL;wIJoT8V^g z?{!CUhWov`&k2ZqaKEBuukJ6$VLw6E{>nvIx+-$%*@6*pM*tB=Xd!Ma^i0G;L9UofP!Vz^7mU|pj*=jcIgB|B z_g4t|h)B+IRN(bM%l&9t&(}31q~mBXu$)L^d`ZR3rDS9kWy?>Aau&m+uEswE>N4sj zc;oA{C5}!)XFX>PBJ1|IaCiUP2(C{`ImAYXd@Z-r=dG`qd{#fa_`YV`25z%bF^vh%Q;k52wf0kT4_w9;qFV-Op1>)k?eDtnxNo!nhxIVmJD+Sm>QB^nZ@SsdJ`yh zi`iRQKs|f1y4G1;lLQNn30!{Zj)m5sb1{5iBnL*@FB&~0e%Us>+w(c)k8Urwy@?px z&B$#pnPQ^dPY?#_L!8z_m~0dX#z-nMqmUPpZGXEJQq+cZ!5Q%nsR z6C}JhCp2Iv$zksc9gDLz8Ju)mj#4ikJxk4AsCZ-n%h2|(E41N29f3r&h4C@5xJQ72 z(8cPjDcqt;Z=&0xRrLBC&G*t#%90?GhL;SE731%!+h9%FEb?-mqR%jWtIxy8)K=k< zb?HwJX9dq}g-4q^oh5g$_rbtn5Y=79q;qo#Q0Tpzxo1d1uFv85r2M-hZtYtk(SY>~ zpJ<(Iu?xqskQb0iAK%jQamkK(jM1NLRUkI->_hJRb&zMhtn{nzr?T+va0<=yQrx~ds?+MbEO zP7D}O(>xXa(zVn^SR7@?X3JeD1KWVohTUc(6uMb;w1Nv!9Dgt%dlq6~Y0(f7V*Id8 zkYS3Y;Y)$i`+>VY;0#kQs)I$PDMGg2h3YwBxI(D9d2^H2DPy2gDCg`iQq4E94-%f= z(<}M*HNQ9ji+-`!YJjh&Ej`m*!GV84;s{z*j~%fw4-bVYoOyq+0uE|^9J~hLu)c#Q z*%7e^ra{xG*(r>@iXM>f)%t)FR^TFjnUs`U~! zrp(L!udamnB~&E}g8@J?1C$mPptSs4{7}7(pmCr?&mBBb!)HoHNaq!0XK0{9*Yl`T zSyVd&Vy~<~3!}J?5sUM&jZgKx$Wa|u1)rd?4;6&+1H{x1RsnS*GGbIbl_dewvQ>M` zUDvsn1B~Zn3yU}XNfWitv#A-a8urcdU~47dGdboLE=}MX{ppbHTv4HtDyr`uvHEf{ zNJBwseni{>TL$x^v?;^>DQH?h9d3<=A*KU@3l;8`q${%_#+s4CR~8cncf=-dM zE$l5#d?G$|80eT-^5AlJ1VjiJ2dIJAYE-y8%@$}_?ydgwTGUX9FSL{r!%0ll2=e6n z0sC0tU5QO>uXOBNm|EYu7*_z^&&VwfEVF9|JA+eDh5i=w)&4_{hTi{}oiNG{-x7lG zN^`9V(Z;-$#BjPIj;r!3Gm{ijlLj z){pw;^g9WscM2Pc>kq-|{V?KTVI8!v%nU;6&t*w@&mz ziZpAwZ0zb<6SBi`1{wb9vencRi*K{1wS+AU!s?#vHNFvP&ZBOL)qg03xHxNmN1uM? zX&4Y^3vC0MjBVsPGnI@WtpVEw;v&rMz)%y1)N$X}-b~n-I873E8unc!-|lMSBavk9 zGM{08C@7M3&UKqxt)=9sHc%lpc%?P8N}pRhyJ5dFu_yHw#n1S< z7q^+b8kK-hRIntj3wLc85uH2Yr|piYOpE^rblf%Wg3|_s!8KLem{#iV9q$F|uj=5L zjbq?W@yhCyMlItYH0;lvuJ~2ons#wF;d-Gq=zSk7Js7E!Z1S=xPn9wrWd?W5@Bol8 zqM_9ri|Bkg1|X8t^)HH6G%W`?R$rK}mwp78LSvUE=vG;6@N<2}oXUmEV6^^Y;$alB zwF|?Rr+TU;vB_APgl$NFBrZbW@9TEUPNTqw#|1-pa73l8+SQ2`uzd%+YNWRuSQblzWXOq8 z6SbCfzkV#4{xe4VtGS^dsl8w;f9s__NafPPxN;Nt>(#wgXQ z%e4=6^>v)wVD<=m=k$bJD&rtp04@{T08cjt#M{OyWdRkVZhK&t;tuwloonBRc}Vp= zR}f!tmgRy!y{RFXkkZULgNXgZZ)$}h+u^LYRjVf#$tTzXDojY{y#sVydzj*%sehXs zDt0xt%^v*VhTG~$DW9FsGHiW3?v8V1f{ScmYr;&3UNgUahFv!tkZu+l>JemP&BTH2P5M z!(eYaL$b;HCEG09kM-m{b))_?p0~O-#v9?kD#&xiB~7>^DqgEJFg`fLJq~bb)Rbzw z1lO^&Z*(W(&HV&7KD|-&DciN=#ZEi^g8tV2Y?zN6%ER0~)J!b>G6Vd_S;+C?O0p=_ zX|{&6&uPaaThX>;w#cf%E64;+5fvKC)rcFwoZXH2QAD`=nR-4ye^OljAwJ zPxx9>!@AVaAFmQNQ$9Sujm(0{ToAkR6C4ugz}vo+N3lLd>mlaJMlZADXU!sDa597O zJ0&CY1cbK-!a#xx!-O5!FpVd02_tWbJ*iI5WNeW7p7o0lbr1V}bW$|WnW@zf>rsPj za=M5~8r!k5O4Or-_;D?HAk}`|*`;2rk≫rqH`{2+FsUSmk3-@**@v!+z6gYWLIbb=@%(DP!+Kva$XYq(yjw*~Ioo1@In$-?Tw(+NAJ8c-E zihDW|w|J1XpGu$>-ZIYlo_k71Jj>*VxZ9E|H57oKMxXp? zk4f0=is;mt>V0j=Ke52l$fdqIW`wTxa8@h#Fpa08q-tr7I z)u;8Z&E#d2-8kuW&CPodQyQhy9!9~7C>4ux426cb!24*f}NeroF zy=#HMYuzGhn~rzPxqf&#XIE_QQXHO6vG&iADX<15?4PA_tGQ=sURUR%+a+-Kg*eKk zDyzo}dk$asKv_iW*I1Ji6H%!mR5Ckc|0>3xbfR$#bzmdxxTX{NyeX)m@4NJK$+b8- z1@Dt5!36DR?s}C-=WTX1+W!Y3MpGeAm$R-Tn?=q4YzM{bSgDzd>5rQAq@)ai$H2 zj}AgisJ`QbEW`5_)#v)tyV*k)ufu^TB_orMMay9Csj!z#QqoC!_#CnA=t|w&pKfW! z$>AOOv)Y6_88if6nd}fHS%Cb7mJ5u^!k_dQqPzL|a&WQwu(Im4d8$`%Si|$u8pe2` zfY=@8w>A@%E-O7Z${nQH%(#hTl>$3b7AJoVRT&UtT^NzyTZoVC-l{%#myr>Q7!g9J ziwx#lX4qkhBk$4I)@*R*XGg5bV#FZY;$mR$+-UJs<;p%(R{8dgOd+pWH1TM-B5#fv z8yf}*;}}*%jdPkBE@{ScEP&?lvE~L{Ik_35_c*_j=>;ZityiFK`zKe4sK(^X5W$?t zcnAU`iu7|hDoRb-y+#Q;Rp=Lme7^lC!z!?pkNMiRCce}eMhx7C%!H6_LpcD(1R9Zz zey2)ja?R0bLR_7oMjVd!{H8Sjm94J|DnIA z-nK0>TYB7~aq|7j7MOTq^(oI!&u4_-`!S#T@Ut9umEq6|b}Sp4%*Fg+3)=_V@Vs`; zaynwaDsB6H8Kv+LPg_Y_)G$&rVl6V+TTO*gQSXW@{o*LU=}Ty&;p zhUg05kosBc(Zloi_~rVzMBPNuXE5EYW!*=HR+iMm` z`sqqbrKk(h3rXh^&v(zM%P0%b>VtOY8Z1$nq(qE z&BZem+j&-Xmp|7~Z}ErV!$I6--#M|v$oJ_8=3?gS^qt!K-E&abKCb79P5pkk9Yv`J zr`kXEaLwtqz1)wl>~d+WUKYQ5Ik{l*_+_HtIcTErm@O@I`-Rl`a$oU%L1XmOpWK;z zIopQS=e4oN<0asOFgi@Tl@(2k8rI6k)7vyl^xjS?i^pjxL+h zIx?T#l(&$)>|t>t8$6$z2;cFLz6@K~x9+5rCIx)edsv^&DIRmeLdMli`|3dOIVe_7=+)B zl#@V3sy5B*zY6hjeM+L->^oTah7+4(GoFoO9b+C6DEZ&~a8(ZedU5>vrc)urob=1Y zg-Z78+AQ7&J_fzv_#ICIC{N@)mz`cb#%j)+VB)x&?h))|{C}21o;&~{C;yW)t zD%Fx;_`$26*NujVn$nEiBI6f0$oww=k$n!f%gr07n8!t%SbB3M2jUO^^ka5w)xHG8 zL{e%KlKg`W23z|X_S9QmXMWa((DRdo$@e}a)wb#kkJ+#dEjsv+_Lqm$E6qiXb_O++ zb~EZ9K_1Kf7BTBkhwF^TX0Yejs+BgCZs2I4m#SVFg%Y44J+Og}25)z8FS5c!Tl%$+ zh(M`NC>>M`72d3PeNrZW=^$0`RL88PHKy<8{*3aeY}@!y`CSCj=J;y+z) zcvRwP8j^y`4BeQ=z?}N}d$^}ZLbuSAP93z;Y~C(`-o}Uxza1f2&ZgV9W(x^yxbS{p znZ%BA7W=1eq=ie@=OfkGFvO0KqMV#U=D9112>@WcoL&V!yJ96H_C#v zwd4P4M=YrEN_&8DIzJU+XA*SXpsk(S?#ZfG{&)f2*cUQ_oXa8My96&bZ?_mNZrGVu zjl(+IK(hKR>j&Yp9G0Mivtqd~6>==X?lRnC%+o2Cs>&%gwx7iddzMbzk%TjT-8C7e ze&X@-tBC>lzg|MJUR51Ox$>}X;aUFM#B#2Ei!2&IDHKMg5rwPpG*6M^RL5KnTK9WO zkJ2VXCuX#ho1)cA&yiR{YhT6XAG`RwN;U2vE6Ge?;Rv@#?>Qi1Z}>b zSq~7q_qq{mM9}^{TUYR=(#2ZlN!_A6LhK7h_afM$?NQnHeZJl&Ma7v}2Rd6RB#wun zt$Xg=;PigIA28;Kj1*DK#X}W&o1ui7u;&ZoX!?l{FlxA=)6W|qQc@mdWE+rIGg>R_ zLIcA#6nS}w{c%dz{UwtO1$-#JiXX>G0z6j8!-KJ>zqD)N#q(F%P_+ItQ)t!RSp>MC zwOnuzKxs{DCKPh8qG0QFQzk3X$`-Wy*hvlenuCUA728>dpE0j6_I_gw0Cm_8 zF+L=fJHBN+!Sb~AY!GMP{(DO-&etvF9;`B98K3%>xi#$gJEZW>>G_q%Dj{q?#)9}j zD!A$*g#RzcBh-i^qp*Lpz8n3%VwXBh#H`_3SI)YkrcxX_H$_u^|7R^X}pkdO|g zc}7pC$sM+E3!rUsaK}Bb^=xD`GMrR(Hy|9kdhYlm?3y-2iD@iyiP&vUfXGE)MrcQn zsP8Lg@!ce@j^+jP4NYV%3_<+Cn^t7l^hL zxEgSPZK9A4{-A0N$6Ku`AQ9jhH|oIUgrnFiURFR+hl<_y>NtzfXAQp%vuZhjyNj0+ z5KEDf5Y^rj#OT+SEjf$KV{IHz98hxPA}f@XOkCdN9E4^&QNg+3dwljc#Qymi#|Gpi`aQqP{dWmOu$!}q3Bs+Vpk4XEuLmP= zG2lh{tI;KfpWr3pkL`N2bNAbma;mYFav#}4?dbv$A}>yz~G9zyyL^&Yj^*S zqPBL}x-LNGI&jJjE|M$2Z5yp#)Ux*H(uM1k6&Ih+h&|E2TWX9Mw!w*)?w1iis!m%^ z+bbs>TOru#>!A`ldbgvjr^yc`KR$?!)w`?|omuVkbBcJ0GRs>jmpBe8K#MSg=23 z#U9|NW$_p?Xnb|ax0&WKj~-jQie&*VpTUq^h=?t_g9!KTRg~` z68VJsUdp;`9zWJ4;>v^<74HuiN7T?9XGx3qH2;{E-6#54M)W=3%)l_OL^Ofjf7r=&$BR};y zC0x1nI%TTJ(XC0XD`-nh`7pHI9|R`DjNkbM7K2F(Kugt*bE-rJsU=)$OKz%E%d~x9 zXXdeLgpeJty`m9nk2#04 z^>%vG7=0EQuhN2Ggc$Mx8RRdFy&^(mTQ%`PrlZ)vCmA*=)w%A38zR%P29}WI-kH&! zAFIIKZdLV$hpR#BaZ8+*G0yY|A;xm+hV~Q?smFybAz2;0b!=TP6ML1Zx{H9>Sy1>~ zY(Soio=ZzB+G+zXI@p6}F7{xZa~bw6LnHV+@XruM^_qJ) z&WM?WSFA%5nOXvOCS(oUD{7+SI&x4Z-42BdgGE4E1~M_9^R(%)XJj?-E`|7H=Sq3Y z)PI(%TjUXKd=~2KJe3O2MYjbj38WF%PjREKj%ZJk{2)YlIn~$-%+RRq}2>I9-#1JCfer`~6%BztLMUQzt>tRbeiaatez( z0>l*!Fr?L#z&bZIyxjjl5&&-z#*g0j20zr4h;&{gfU0z)D36xL%HkVX&Naeq2`3mY z)#~VJcDZuJVe`&A(V4^3%>cQ-b!)@odO7>;ai?_hnk|)VnC+)kw_w z?&4#tE5IG+s@?i0{GO{CEQjxYPhXayRU2{*>DS=$R*jpk)3Yk#`3?&k8rew;OP-pa zNsruT)DxgfQ(CXu%sRB#*6RG(V$mQ zU#J)Bx1UWh-8%ae7LaYO4xMa}<=nBGMqrA{NkHTn_SQ6oBM{&~^&v`ic$L*IlGx`` z?qlAHS=@)$i9b?GT)-+Se3&#+qNJAZ756U45!2`ds5C@Zinps@crYkR*_mhszscH2 zS}2EB=qxW+fQ!~oD*KB`^XD>MCj3FtBj~tVwW748$IE0=lQzv^&^ zC(to5IgnR3^_1rrx(EDC^FHRym<((szq79QkCzwHp(h|(|1j{_q=k~!;%NJt4(PAx zV7YWY0755A%@n1FZLmEOL?xclz%JSEANWY-F9#kFMVP8a?vIo~g9*V{vxIqb8p6Zh zcR(H?7KIGz73MxRFPClk`W&GD$2#sh_im3}fP`l2($RAfce z4u3MeCjKxcoBh6IUl`((zDcxe_EH;md>)|XI{)g~^5shP4PB{2&%R@A@coqU2YR2k zVK883CR^DIgXXLuDlch`-|lM*@Gn=Sc+;BhtaAB%nP6e^o5S!1WEJ0Brq$}2&Vq{c za<00OQGFVjtS~ee32aN&a=0(}JE216PmE6w^4g z%g`|9zC}jV7V}y=Y$OPlZ9xRJGzzMANU27zjy85QF`*-^2)z4IYO8D=8;!y;%e6VxFP^~H0AM3f8~-ixW8C)ZF1>KN*kmd5 zpltK_iBg!f^v|ORXOFs#Be~|F69?aRw#(P!k`AXDg}=Zt-xF32ekK~-Rz-hZ9JrPc zXr=Hbwm3x+NgoZ9v&&;jSb_hQ!E#x7QtfPfk5rnHi8E-ReH(RFUu7H$mwT0^Zc_p=y7%VbOOsvI^? z`N+~&#an@i7Dn@tnNKEylHB0EaPIa;Z6eV0)yo`Exte}xVMw@cTm`Mt=LTMBxk znHkPeMO~G+@T)MJ8x-dt{?qB6Q(xb>$z^MuS;=4SA3!0m;kuO@(Nja@xVS`oJDlJ( zH2bs_Ca=IbfGjitQ5Dx zd-4%IZGYWbVEjDh<)BeWoE>9yq;M((Q|@yy!qsH2S)?LA@+eB+#!QJzuDg3#IJG$n zI1oFitUvx1$~+@$xTe0Z{bxItiF5jPwWV~DR+(A@_;`HDtEQg46#l_d#~j+|!+s__ zP3iNiw#Pt7r^f#FUfWxJUEOg$bG}piOmQ6KiV%Uq#o|0Cho#Ky_q<(Dx**0zk-o!)hedSa#Hdp)XXr?9qyjpQ8)h|JuRbPN`nN0$W z@kzUBJ{693ED_=IA=JFyOk1>MM-_jHz zH&4)nkp3v8+CapsIt)!gCQ`NH0Hl^XS2{%-5~;|#oe4-Ee#TZ$0B z>{Us|gcc;3TV%^$FFP!*2;FmC(YA8&}yq68yse9JqhT3Q6v$l8SK ziC1?$$Iw^9&p6ne5;|R$XO~1)y=AZeyT<@INEGXs^~KiHTMZd^`nsr%{L}jx%h>R1 z{e96K zR9-C-HU#-roei_c%*ua#f`pAZk>W#Jgft#vc_Ra3NKO7Vu_pUB~_-J}*8NVtji8H^Rtu`&-Y+9c}3s z@ceu0oedj1G?AP|M3jl3QN*n6*)FK%8(`*^BXr4>MMg-q3kzuqcE=wfIAQxj`spoq z{4gZ8sE`vF=XGW;k9SVg2Qi3 zliKFq-SVm~UL|{|U3vAjm!0%b0jo(+3sq?B-6rrYA7AFwu9c{7e-V|E=pL@Aq6e{{j<(dl(+#&m@>} z&*IuGO$(OzDJD+d&rFDmN#Aigv@Nz~a+l=UYE+{T)K(cw5N(C+CN1-td$vNJ-lYGi72a66mlFHUk)Bc1XQe zO6R`|rar-rNnUVCb&NkWDpA$gFMusB(QCsKpFrcwz}_{Vb>jDTw~;K<@$c6jV+cw{ zoyXy5_WIO&=IKMOMt!DW@mt|u>5>-cD^E)TdyH1SBolCR?Ee&exNdoOeOPn9go+zu zQe~J+BqA3gZW)S+RE0z-?^~!c2<%50t+EK0MxUrROn~4Z%+?f28cc@?t4ZsB*o;z- zYwNzJT_A}cQPN#UVbi*zA8h?{`@P#m{{KYTzx8g0{rzXr*rhNV&VtVskjAl3ThcR( zZqy98&m_(}*c_z2>Si-FIla`nY+}FaE#7Uy3m{nhT|jPF*p zuIBg>4U|hT`{f7`w7<^+mjTyJdHzd&1rR+ zKv~-4gwp+|9c?{D`0GLlRr6OR?5m!e@SB6XWiR9&GwWR1SFIOD=0;ft=P4BjtM-`p zUs;EH4U>M9g>55R1hkE(nIr3;^P<$dk?UYKE7wWm_O0N@h;hkl=aKSL_6y6ImzCu= zZRv&H7&@u|uA>^cK$xoI85Y-lJ>Ez-vCzf_s^okJxjwCbx+9B9hsqVxoESuH()|TV zaE(qS+LXUl+1ld8$Y!P z7pgw$&)Tl5RoGS*!0@~l2#5I~-WF~TUmCw7N4{@8+yG!!k!*;m#@RdG@#J6|o26iW zi_fAcT-|0Q%zO}C*l%1)`)Hq*)i^!CT^D57M$=RZCcsEqXklw{J`NHb?=f=!Cld^6 zw=6Obq{G(Uo`Wg1@kW!PN0GV}Q@dG)q!-P`pcgp&r9PV7O`j8#)?COup`ugMDUD?xE6D6xj z&6i^*`Fu2$S`UZhS~qb&WBJTxHY3Mb99x)N7pst>CAhRo{$p-x9FS?t3H}g4eb>6! zygNDblfO9%!KluxbCiGj92GQ$+nx z7bkXYn&*CiP4P|jKKPI7tsb`Ufhnc`FYE*1`&;DhtwC(x(H{TbfdBAMcIfp_mvvwb z2=q@?Tkw_^Dj%|b^Nv^XuGv%>CzAVz4GaTV?<&jU`J2TvGg_F#D^=HSyq?Jue&hR( zVB?qDFr^UsQUH`};`N4y z4(slhori$GO@VbR>%C6*DCq(E;Qo2Mma=+qf2Iq!6bkRmY|3Re+>W1QE-j3DS_heE zsN;lGn)Q~Mn21*2XDfRv8wSz+fWWBOzH^y2i3Vd0tzh0C8MuOYI5Zy`+f)y)PC=P8 z!&2t&yT!K2zx>YCADfeD6F;7y&mk#8YQ(30h7)3*QkBW|*aNKZEAz21tip;i=F6y+gP#*CZ5SVHzy+m|o> zIG=K~Un-AOfeDE(o41k#ufKSAS!WgQU1dqhMI+~v=uP3H)*pk;t)k(Dw9bJL&cQdr z9-sBqe2hxF;E_>H2iHFwb>IT(&{w;5(Kn0xGkPB{Z|`RRc${4)ps9q)3DiD9uVRUQ z%rnQ-AVy0jMC_5}yvd=q>R}bSS-bgcuh#i^{QQr5d_>|Zy1v){dB4aRvU0yr_o#<3 z#=0~4!(44cX#MFr&3&Zsn$sbfqae9`HSsVB&ymz-L#My!@)*)%2Q>w>Hw^6gp2D&; zJ&%$^bj4CpFvH7XDYNzm1fzJ!L!DSw46HF`{ZeKft<~f6I0$}#k!D_W^6{M24+CDiR(={GZ(d@H5OMBu<6mVd-Nk{+t5T58{?$ z=(5W1Pf)ojk+|xnWA(R_y7&W|Z6Reewr|52C*Z=)TH}}=p}{Ce{ffcn@bMu%(q`tT z(6(h7rF3h11!3lj1bNj#DT^6iZ7BEyOdyVYX}RM+(HmQD+0 zY2n^aUhqg{O|lxgbI$E6Ek)sKlqy{_#X{%gt68#q_$s~+jsr8JCLsPEsuDjrl*e>WA@WE|VTl3fHDN^*N z)MDr;n>Pe*{C!AM`ZT(Ud#s5wtE9|;DA+k{)@#$F&g-XZZJm?6^u1SG58PRQUR!@y z+jY79R+m-R6L#3N6yWmr?q^o*E_AIS(0%9*PRsS+~_;9otp5csNR z4(P)DeTdlANLC6CAB5|9x_Tyn>;HC-`jF24u=sq#hp?y=RXw5*)uQZzY!Xu1gHq%Z zbNSx(POZoAk2}6-OElR5l1x8tiL5&#<m zfWJUDa+O{hCccZGf*Rrc)~r#^V3l8Op1e~)!1a475H^azmCyU(Roi^Q0Z;_&1|)S zMdqL0E)~xxecoPRvRIY^b^==_zMD>;^eX~X1_b)t(pp;bM#Jn#BN(On(TTB54qQL* z&v8O4%BL4L5-Ma%uuFs07Mxk8ge_! z->&2&i4cu+htn&A>3f%w00Xmo>4{4(x?WmmX4-G$q9>XJ_6L+O$20_4bpk&nVrm%MM|$q7f_~f>|1YJt zn4ALO83YeExI+GTeqjTET}Hx|H$=?Elb1ecHS>;@3Df2s+*0<-D=RGX=|C(zjy|~b zWSj)&xAbsU8rO?Q#$8=o;zlI#`_|GbW>k%|aM0^tSkXe6*QsKW$7`39ANHfo|NsTY-dRCsuPJss@K@m#-4-bitYVs!rCe3vQZ~OO2$L_NaH) z*<*rF)-XC=%4~qIuootiKy@W0iv_2W`9tAt;|}UaS2HJDsa1YX?}@sDedn%k^E#2A zdXNx?YpT3ksb&?-YlNaN?Ssd86mq}vhaef|7wJiR!~XfAz{U4#zu8%EhOq?mN`D+D z%m2`TYjD=Jo=6AWr;~U^;)ygRJ6!YA-F=pk&`F9(q`0gp|+l*bJdIs z&OpAp6nq2IzC)RFfGCFf++SXIgN~r@71qPwd(e20BBG>rzRQYxLPwdnmG2~j56$9D zb2~RvH}sH(gq^aiPZ;5@{N|~-m$Z7iEw|GUtOVYQPa=+L>`U;xx!v52O{#ZI#{Z$& zQi`1G_k~DlUC}M^LvI|L#y7mC-XkM9lPuuP$60Qrg-m+&TCWaH8Iz;z=Ys{to~$Ttxh#m8%xzdfR@HP1EZ_%07IM7rbv^(RRbW{c|)eGuSC z#q3T5K(6@_46{h%5w+bVkzZYrDc66pO))rWq7e2g$;r@pKkJ!1|T6o!@>~VoY$xq4W5m<4O5mC>pR@fO);{W+D#=o zQUl(GEwnS((v4b}9PKQ&5lU1sRO=p=2D0NW1Ex-SO)xg6rg)?whMh<)>YrJX?WIu9OeuzgLjbeXC~6 zaADLEMM-~xwR~CfgNivZaQXsqf?dk7NU|1EH5TwT^NKRC<^>4hh?G{5w#*pRKB;?r z6*Ps~L_9TH3LRz3dE-Z+q6j9enj&8OzA)Yh*c{kVg>W4+ZF`Av<1NJuT~j_YbEa!> ztKKp(vX)eW{~d#{_4}N~ZTr_>$61dnM}Bo;A;|Byqbrkh0XegR@8vl=qr4Z2o9;ao z^`n_b6HBKT3ggjOCX@(P@|B!eT9>!ysE!bNC&QODH|UR5Wf&RL;k{ZC8YCb|-Pp+( zi*6tsky+6lM4I(5=J+j`&R zdkPvp0Jbqz0G$Mh(fn^y(=}7#*}ZU;hR59CEq!46#pa2 z0L#mAzOXQyrnj84jW_j9O;lZ3O;I5*3b`!2icX99z!=BIl@bidB>2O}pz9B*znwnT z{&uSNN7m%RBmW(+ZAq`iBJ-xj3gXNg&b;bxEjMZ*@OsZ@DK6)kyOZVg{FZdv>h)fcHG~ZnD;1f&V|M1nu;(4NsZhm8>L#ta zYmWalOW(z`F${LFjJ@U&RK;C&!-O2d+2PK}tsqD8kt*W*UPr|m) zWLmZ9S?#=_Mo!TsxyT)+xfi>U<9V+Vr@t0N*Yi=oc@NZ_T(wmlQ_X^qHNKHPGS|XN<|VG(VVnUSzYU++vMm= zPDd))mqCptvR{UUU|vRwapbfYC;f_GRGY_3-WtOxjATzCaJJ9DlPLL?8*j&Jfc~=~ zS%$hnqNiHhn~XWs4=C;0#oh-Fr!c^g9Tf#r^tmXV+LBL!#uLvU&9qB#3Td@`)!-1ll-sPm@vbCDHvu{^y0ZONifz_gryA2-u5-i-jVp9 z<}XxX&Yzf_tb<_XI=0e+XIiWSFW|A3Kg@zFk*B!IA&5E{odir@b5~Y z!sJJ;Aov~fjJ|NSSEI!mVE~DR&L{Yu7xza7`&1UTFP((JOv;Hdk<1D0OVk>%R0X4h=q~z zRLIp|5}2bF7&JPZN8VO^n;cR0qb4h4g6lvWI*xX@74exsp~ygq*HaLj+nNTCVj*R; z*w;H3l(NBOh$g)pMW(4g5i&MuuZ!mNN`UMuLvI>g)V%pzPr?M@DrF%@i)qs{ldfL_ ze8!i>Okq6c2`^|N`j2H2J;YDjK zTBa3J`@aO6am)t2GF5t$)`eGE<>%4mIY_~)p~|9q_KEB2Z(DpLqss?;UKV zU@U&R8gSF3wQfiqHFMz#8E3IXM-YDBgjR6ymT3y73WyF~nrEO4m6>X<&oLXs`6u{mBP^SZqQa7upLL(66sbuFg58uFjRv-O zgL0yQ2zir8laSzlie;fDmUsFVWZ%Cj$;{$EU+P^BylvZCt1U;8_5iJsa3f(V_<5g0 z1>?KjH{(+CGKvFTb6E~$gZOeYfJ>)Xl5*qlE@c56ZN!{4+t6t12(!3eg)Rl3g8k>G z7$qXr>G$2x*UYcoyp6}`FFDxwR?LV#$f=Fy20Nk-rAxQ87m3}~ z5Bz6Izv8EQ20du>=nf^T^EcI}S{OIPM9HN6b7Xiod=^*Q7oipAR0{XiF0Yq!(-%v2 zl3As@Netx6r{1^d4B}W*9kH?1!8ek0jv93d6rF!Q-({6a7Y})>pbnjcGscWtpeL&Z z;9gBskS-&}(~r#;9be8c>yIpQx-LtJen;AYXKg*XGmK zZHPa^5fjA7u7GSZ(+3*7z`T;hT<0eC8>md6-O(sY%pKxN!9R!c9L>EXH|mEVKS)px zM!?>RhdWK&EgP1L(OBEO`JR}lrdsXS}0lMW-G@!&&^ZZkbl=aUu*3~FY z2#Y)B?T4bo_wyceibTCScYJNGmP~rYh}hLoS7RrEHH-&IB1;ngHVyq(_=^wDD)pjQ zGKs(P7c|3I3r~LFz?+I+sP;2Ex3V9*`DX%M1cCXI0@0)_;CB4ZHu#^pD>ZO_b?Wt_ zCQj%tqM8Jl-Jv+lll`IU0^Tb*V0c#`ZVCT9kQQv-)27%TL`+pz;wVyf{06-K#x*6J zDy+5WgvowM2wS%Nv7p2C{!G`DQ>R_wGF;NqSE`=Lz$tA}q z{Ra@p?bjOkN?Wu(@9O2<0xijy-x#(rNAhz1u9}B?2B&*6h&juTb8BLLGoxwfNZ#h> zRgyKNrwA`DV;C<2B>@SX6`%ftZjc6TWDCGdewQ^L-JcSMseaoUlE~I7uEEB~&3kX5 z>bIIoCZne8iC+AFm4e_b*eJAl%EJk@zgZcFBoS-c0Kw?EG{Lr$d0d7_(X$*$B6OV! zZ*6Z#nOpl9M`vkEx|{WtVdLk+oG%Zm9MBt7kh)ig&RWckygvl9RjF3iX!Oq|B!4Rf z<2<)Az_stlW7(`yimLziT^syvdk)qUh7V%ierK}+!|ayINny?VkTD)` z3AJy&A$}CUA~+U*@B5hNT2nM#ykNd(#ibA6HY8P3E`HYL3ON_sMSjUN|{2 zIOwuB9tq};=6ff`_>Tg4KeOHj9p#_`o=(*~TsNGg{r|zT?o5gZ4T=?AOMTUMfUEF4 zX)tdywJBB3&a*~AppFIG(+^^tKk(K#8)TFsVNPl3r9`RaW7_Jjx*CR_E$?+)@IuB^ zQiXbE=ED!w_jO31v8Aow?Qdf89944ZKqJmP#1o`WJOhiBupTawk$=$RRn}0m@bP|| zD@i#NDXb=>4U}LoHBZ{%(YyfwhZkNcg^mNRtNhCT(u{^`F_g7*_4^$@dK$mPcInjd zBP#r}|1dO+ahfr=FM8u$z%k9c5w3twwEL)JykNAx$^S1}G+?wst>MqpVsI$VdTqNO zG|=!;m#qbM=?lzaBMCTr19x`z_{-%6i&mR}Ats+!SgDmD!Z@pBuNmqNd`E?<9t34W&%liBP+jMWFZ#~RV9~Ad0G42bAM9KO=I$UNzHHp%u5bqQUMIcJNJ@2O4 z9-{%X-ksgQ4BQx-;wmxICUCcB~jwznkx zWjbUH4)kp?KhugTxxQ>hfPZ0s1lb)XwGz&Mi~Cq+ftGI#%r z7OY8sEFk5*rPL>|a2&r!D_+9Kt=7@uP>s{A__5KKUrRZAo?$I%Pi@CVk}B2Ve}y4R z59)_rT>%32n^+xs+utNNC~x8n5kW6F#$6po&#Q)2mE|BSLUOIQ)D1~H zxhB|p@9`Sm;#4T5S$cVH%gsUE@u}Dc9Asj&+lW{V6CiP!A5P`LLZq+*6JyE)-Lbf- zpm#Y)tSOo_7?TF5cdD^3PymYwA~bMRHjkqZ=Ak9%88i?y-f)iayF7f!GsIY zheY_E@D;ta+IGlH=Raf0G0+W(%8Hj8DnnbXsOkfxj#NhA>p}GwCJDK5Hn5=)HTvfG zikRA$P}+aOxieTf#=_dXN#+Xtpc^Xp8k}!!pLPSOhI88PZ*V|B0(=iJQ#M@yV@tCLeDRW|S40#;k%!&M=H2DwLQe|(T zp{)CQ6pAyk1F72RqZ*m<7g5*Gv4`Er!wzgv3miltfUc8Src zFXUb3N_7Wzx8Ps_wF-RCMvTIx4RUd3qq~ z>opz(d(8rY%ZbRu*?(X?42MgjbZH$#$Xx+uA%e{ii5`1~T+Js5TSF6T$uhF4wNPjI zx8K3{ByCj(Re-#rX8KvbXep!xqUj=8*Q@aF_u!79mZ}@be=4siig8CF0(cuk_Nq8WU!*a5)VjNvVV|qK zFIi=Tu1O2=r9J&0d(;-7d1Gt7BG9Ukze=Xsg`$BL__2=olj_pbYL56-TszeuUtipI zq-GKJ=%uReeyRpaav`4+Z(*+$XgWk8gi@bBS{fG{GQiRaW~fm zS0;A!@DBs~OdOC?IW@tHYJ7$!@echBpxrj-awI8^n&b2=PE`q(A$42DM4Q*7|;Mp+mf=thGyHn`0g(g;r5u^NnARt{a0k2C%TaK9^oAKQ>k78K? zhnJLx1{iA4;p9eu z(Vaz!_a*-a5F#IXHbgg%6aJ}WxG2}bq0z9Fe92SFs+!;!S)#@)g3DkLwn8VXlW>PD z6dFy9+wbE1wYO3=Kn2dvuUD}`s+29I{M)dxw35m~u$(aM`Tbn~Eq!{U!7_<$XT16N zqarTg$N;`>sp~p{GhoP`%IK?Qq2IdaXb}=PHx>DPS6`MFP&vf9V0*`KrnvF<>4dZ& z@u{cBLl_Pn-OC6>ReL99?@%=X8gNs=po&EPZ6-m1p(T(Mi;0;_A)tRW^i<1Mk0zAO5T!?F$;D-Hg!wxplRdwu}ePn%;$RXZq^k^OIr5(~2rk zc{KY&jky=$l@&(|80a7_EzJZ^l6kX6MkoZgg_!oM{wc9@4 zSfKTfatX8wlT2Z$Q~5#Z#Mvj?R_P!tVg_6YQLyaoJ}bs7RDh*Z-yTK<B>Q-%c0ZEg%d4lai}V0$PU3xJt8J)k)toEVcmS*H^hJ5b`CDHVKe=>a>rDsyW=d%v5|OK;E-uS@OqsBS2aK-486xNv1bO z8_-jz+%c46a7HMZ_M>KTsBkVMU|sb2770Rc(-q_UB}BgrX`Ln6yzjzfJZGj;7yM%h zBJ!gk_BLX-fR1I@vfuHk2-2Rrlx{G_Tybr2e?Af~KSXU^xdfE&^s0`)CxXu$qG#2w zF>M7^GkaTTmGKWC@7_g0=3o~L-Nz_Z_C&;9 z$nLU+@{?&Cmr~y(T^xP!P?)nw;0*rM-Lc*`m#;(XkH^64BDaEQYtr-bj1w-oPu%-I z#`6BzzWDxy2Vp}Mi7TPMyq1h_FrWVZ_U|c&Kr?=grNFYCg1+}uY=67`PyUI*@xqHV z=x=o0{1$n)0czjA^a|58Q09LgAJY9EyMbhc-LC3C&B_}_F)CMqz$oUt_ebH5_4;T> ze@8|gDhe=)X^?&IFaBX2N4Vo{+OP69))Mp@0O_pYFFwa~_D!Q6o@gF8{3?)3NJ?<> zgBvMws1DWf-Bu-i7zoOv3l$TuzdT?`F zCSeHadb`0BgYg7a@PoADVnmh%Q-}g3N->v)vW(d9C1RL|S=ExsE*gB2+MDMe!THHo zS_vc$fln0=HFJZki5|w-Kyt27nPgCL<_9p(jpvqssaUZZNxU`vy4%RG@B|k|7GR>k zHe*ZS|Fpt%xMI7(7OC}s_v$zxk=zqAd1&)RR2BHIV)rLyki&>+<*T?%MF8;&qW->$ zFb2r{%w)}waZjTc)gWL)3)DRNmqP`ALU$t#=S<8G>pZ=i0r<+v zP%xpPL*j{46)`xoIo_c&9?>`ovPH!VVwf*cwzKs1rb(h@cDGgVE947hDnb|fv_>QU z36XXTcO(NAd=C-)fK2{z*((&GLy|wqoX=aow9(ol1b+cqME30! zx?EjD8~AX34<~guMT=!O%;tt)sTf2jmPvhdid0P7y#~JYZbrkPwl1TWp0}wISIJMx zh@FlG*ks|r;`!T5f_yi?vIVhzf5gx`2`n9U2e-S!5d^-e*CAnXzSgjtEe~t;I=C_s zs{aKpbON|~u3l&qD&v?r9$$;OInTNGiwGy+|4ZkPAmSEQ(Y$Xb^Zwmedj0EUnl%dR z1^2+?QZ_B>)fMn`uQlHZ{;w8`ODA^@DlhKOpe#L({mNvn>-jP_?qP7W)4*{oJL6t* z)+6Eo46hBGO_JfAcXlz8V*CB&S!68wXutO;F0s9Msk`i_U?~(hWC1XRV}1u)E={E3 zE=TChHptb{KW0EW;k=^1L?kTW)rPupd&0Fy+js6%~+W`O|3> z(xZoWyXLoE+ASj(oxBiim@y`+U5otoR+kV#6xjvX2$l ze`)+|Ganp8j@L4c`1hUE3w4A51v&wKXOr8Eqeofqyv}5kf)4NnV{<#(6JaFNaX(~w zlKjsWWs-Kos|GFZKy>|_x@T=mhr zG0ML)`Qq1e76Yge!!gvTZ7g-)oypcH^!zC{oLjmMsL-NmZ8t{;g)d4zO^eAwyfX5g!%3GpnDsN^SI2@1YRT?5`+smL!F@b$<=C$%m%+ijco^9d@j}G~2K`RS4*7eD z-v*VCtPrlvQ!c-+-yx?tRI6_`E&iUep}IX^duK<>Wd|@H=rBhFDa4rdnvz8_nC%t9sx_oeNYpi#nX_5VAhOKjY0h{lEumS5Y zz8^mTnO}9_eTi3-z8|)J`!PX47$!TfBA!)YObUa3K@#st;$5IY9puwjxe`tqY%I~M z^s}W@E%1PS3G?awdUpW0d`n3Aeq zqGpUzZ124H^Mze(pUe%@3Ck{ueqZmR0NfJxEm=ERF_dyh+1@pUuh?l5^X#v=6k%5t z!`l=Eo0`dFA8P*zW_^A97xv-#9A_w2~(~9S_DfzGa{h8sTPYO+#yl|Z%L3(YDDn0>!SassV zpUVvAsK1?PGi2X&K)sl%^tOvOfmx!(%Z0NfVHknEzn=M1mN4p2Cn<&-qVr9OTh;V zsuE-R3hOnm6weD1hz4k7oI2cj8dbdl(bDjSVEo(`p*0L<)O(KMz|2!=eK&32e&k9;|yg`ysTYc1Z~$-{ZirSs1>$2Zrsk5T$h zf=(f(lRK4f0sF0Kl5QtGm+5^~znn|!?YgWNlhUodL(UIzwKv~(6TPakw!!v}A&PHs z)nfBALkYw498N$>3_&txm%or?e&Cius=R8hNwx#M`g(!UY;Sf| zKd5M#-Z~<{OWM(3uJ0GZVjt`k7I6I0x+r!QGC*zI>yRNMW6*L2J-dyva}2J&^a6J{ zH0zB6r3*SQWOkR;#8k#Q^;d+1ZRI;_$=3q9Mlk;Ig^}#}`R{E^Q#Dy;OBOb-B`AJ- z4O(i$xG@X>UDlv1{dY_kXv7s-Q}1xSpGMbhW!T2`#(gU0&dnGzt0As=H@ z{3;K@w?<+i0*73S=Kr4Zh<}7w0q%*&|4?qYQ-&30CZt67j#sdafpCDx=$OoPg3N;d z4;Rf?S9y^6=NPyux6}<9ecaQl)qN=zkRr)`=O>J-R(yHAffq$)IqC-qWdR!CmuI!* zyaF)=TRYbe&CnHQX9Re`ISB&y7ZAj)0xRR$6X<@{VyK~3g^^XEc3=f&7X%IAJo{^UW0#ioZp?q@2X%|q4yF5$otynz``-(K z&@XwIwYaCjZ&N6YZ=NpdFabCDFvhO%VvR9)aT_Iqc0JE4jw^?xZd#vRy|@;M#C8nt z@3_XZC!q0Fgb{OaDkJ)#E8*ZzY9-H=2frJqfNKSIP@je|GnmTwH3Z2sQ)P%VN+2*$n&2Q|1(0x0E8I2GS&Hi4PksC z(6!)N8^|t|*47pBD4j@csH=sK?K*ixGrUB6O{}9q;=G2OI7d6c;q-m=tDARN z*t1xx(b>iC$Lj&yXb!(^{IJSs-v`JJuH+76&`k+8pRjF=gD%*xzN$`TuwYw$W6wU3 z6pvP;3Z>y1RVK#U_EzHGq47q^l%UF+36*w*H{SCf{xPM$v-6wyjQwq1yIjgFHA}c8 zYHw9Tx0X*75l!ux5Xpd9z?kejrS4-fyLuR7yHpJeVkJAcm0>-=r4X0iO!u*|hs#^O zxtC2yJ{40zP9}tDnCOH8sV#^T;r}t%hzvq;Fzw}dM09S`E7ksBke|8!q*U8n|I%Ex z>uI+bUqM=i4mpweO2Q}I_Oq+LeMWxow90OSQ6KlZ-TO{m(a%t`H^sO)Z5x75+ZU5O zw~+u;Tn9)})hA+(aN_*#tr4BQT&Ooo94~6)o{2Ft6O1v$GUnUZH(J}GSCl)YKY}Zm zQ`yYhh>Rc|m6!jIv~Nty1VP8~vKG^XjjL(j5WhDSvns8_hE2RK$U;q-@{qH+VcB!~ zTorla@{-CIY7Wic_`9;EweXhZ7H@{r zbGCdPc&9|305B#b8Nl_VUX7TkGCQoS7$mG0xbGM+Ri^)0iuipKt3{SpDQ2Nnu>a7c zMr3!T(0}ohMg59;>GP$MVtKv9fnHBdn&yrn&`jr$CPGCvq|mA7QhYX z&6%?N*S;M|7$t4;kAhm&;q+oH`5hYyenx)^meJ2Y(fXu<6j#dEefAzoA7@n>-7NjK z&?tb+NH7XHz&|$NoflK7L{qr(grFz=SK?8N5y+Rdm2fs>gl|8Pa=*($o4jYYrCIi) zdU3v{ZYOYq)59_Q(-CcdG0;$P6hMM9wFWr9t%1Btq(ig2i8&~``Ct`E|1LU6DP~Ce z^(P3!SK}Y{rWl=wUYi#cJ<|4@9) z`2SGc4@B`c9^HSUH`XtAVLq^nTkd>;u`7`G^d@uB*5m{jF+5_1Gapo*HBTo80s50@ z5-a*IOs|;q&TPLQA8wnlbE~Bj^lMrmCrgF(>XQ2H=rY#Hw829XLPypZ<3kb<0WV1n z^nko<$VD-ZAgHs|W^^zOoRJ^TY+0p3C0H|y=9PIougmiVZAkb0o|M!Sg-32s2|`kc z;D9SaCct20F4t??raed^?44oyq#|*aIZ$X}v*9N`^XQ5%@&U^FB^ZP2If*6OLOicyI892f0qL_c(?14FbF8$?fnJ7LDBsU z-colv*5NJuh}DTwNc-)!mEKVuT5;6vJm1&AmB-8oP3#ZT?Zc5Z@g8Gp)L(w9La-k*+#{E%F8>S{Q_RYj zAw*&a_@$M53`a`t?2sc?MgdZ$os{x9aKX*Iy8AV2Q_8v5@v+f+JZccGFlGzX)?!DR zOVCGUcDf|ErJ}Sq32q_fGC6Mc6VrY`2bQs4III?n56G!8H)r%={(B)ZSnZ0G3TSCr zumZPWz4-VXM`pfA*;e}}u!K`2dJYMYwc^Aa+RZbLKCXV|;}HY(4_l^i_DZUS$?$V& z&E~9B?3>zeU!;Xr;l{b~$of|B=lIJVYh~chvwWEYgdb7K-FtkotRdIV%@3u|^n$?q zz?&tv+nPhD`clW&oz_a<@N>>NcPHM#0P8;g2CwzYyetUg1)W^0XB@VVhvh$+pmk*JeZq_sQ|ZxEUo&&UN%4OW`Y>cxM89T-TqjH z4*8#ixe9p;^J(T{!b<|Me{hM!7-6S31arY``v2lRz4!7WgYjmHE7HqL9mm;%jd1}V zfg<_*wBQq&d=hv0S<;^_R^JqSC)f(Rdh1Kb0vI9mWkaiTMF<643wVhTWLm&9<|XJg z>;D(@oSb;%k6_RbQQ4XG1Lxy>#skewxLg0GYK*I%mFbvCbds^5QQ&6j;5_rIDZ2WD zu}|U9V1ripTIrj!myS&w9e*w?z6pLaXN%xX0h`DL=6}fl@?SXh_?_cHHA+pKZ~8(e zLB#6(@&-U)2-Z3Qw^-eZPSl2$9(0o)biNKbjE~djC-U{F(yJ(cMJe3joI*nMn*z2{ zE$bea(bq3>l{oJIa$Y+6j##yOq0z_RjZ0}zhYZ@}d$UTa_EULtbGL=D{gP!OSg;@6 zd|Mr2wJF+4DMzCa1#?Kj97xj4k7KF8#ep-j7{t=75c&3`V%-ZDKa{XVn9XQ#)-7ZX#&NsPS?!`M>R7Y_TfflIR-AM>LB zPau^wlcdrNb&}|A;S)!_l5X5cOYov679C`ND0S>ydY@o_{k#R=^I=d98`?E6;Y@_s zE#JaWkKm9CaVHa{QNO^+&^m)rI`~faArm8@A^8&S`k%M#M!ZCj=u6Tc{g?EwVi7@I z$D*F@LGs62F!s@_OUr))8$Gv^F~gRYsNz)ZJ&}U)tg+gR!JtA ztBD;cH-3%6w-g*I_yQbcc^ZU+Vu@=$nAP%Nq%GY5P$i~@|8UttuJHiA#t#vL}Z+LS#%TAyOxg zai8OpftUrM8kH)cIa(;jTf=&mr}k(eTy+G(7H7kqngpc*K_Ei9FVfq$3ZLM1=;ds5 zu@uh}QiqA8;Qb;&ighGIoBCkqFDu}QFEM%^$q0q-bKgTT5PEA?r-MVCc3S?i!n=8V zKV;c?E67vp8>c0PO)2j~AEhy!3eXAr7JH+4q+7u+VM!Y9GkR1_MRE!ytuZ0V&~BRj z#k$O%t~z`d_z2Ij=jr-+N8LxK@gK5=C2jD)L^~2fyU_t{!vZ{wKg{VoGZ-@HUFj|} z7~&Y?4Cxg!7(#*%so8@?#EYRSRg@p_o_2vBjJ+Arp2E2qlbw>zcQ57QDOM1JT&Nj0 z<*04{upUn2C_qoAn8i>8RK>QrmBNjhLeE64l^5!h^bON3Ji|MBU2h=7oYGJP5pscSPpL#D<8UKGWl1NTRx8>aD$6#*LhS;J zDx3`J2Y7r<&>5%1s9pL)wfXwLsyt0HciEePc;DbU(M1-{SbSjucwajqnKKAbbqcI~ zwU|hoCN|Gmq(cz|@Kd$#U~bHaFMU>#UeUxWT}jTN@`0!wi-;k5qa&_gjIFVDTw)IC z8mYieXfVF%^R3*2G<}MM16%X}GkG$(Ol`}~(~z=LFEW_U-X7#@5=IOQC4Ax_?occ} zI;Bk?8z=FfN&(*nhPY`$9wVQhyI$Zl!>6m77FD}^(nFW)e`v1XT^caako;6`!5ezD`E2N%&Ft{PuY&Sj75rmrZkR4#6by||k0(lox>LV?>2$vJ z72l!+es9SNN67Gds11AFQ;Z(92IqH&+>L8%0-?~iI= zPC%;d0rIGOl%J?|@Q8m;~tHk>nwgzL`+!w&4PvY5!x zZx?~1=HKat2n-T*?Q};32A6c(Oj_+;_ZPd37V{e4mqrF#e?9O>Ek*rjs$p)kJ@qBA zc_bLpuk8=o1A?CKus}SFqyZz?P5mNJkdHCvwqps)ANu zuPJyvpY+wjf|6!vg;pHMW{kpE?p&r~^$l|x5S>D)iU2pO3jMM%*+*q?@jYF3(Kkw@ z>!wQy_NPyw)4EoIYG`A1$A2A#s3$poEWG)5$BK;g9~AY|8z2feH(>w%kjt!qliS0! z+v3jUnoZlckfDbDH%52n(7G4&{+e59FLmZM-3$?HzURs28)U)`@D))TWu#AmoQH!Kl-l7~@x+JnN7pBFCKqx)?YRDTV z>g~z}8s%v$m)hHu`8}Uo(C8?0ugKetEs~To69Le-D4-kac9`40pOz4)jz_o{Dk|1c zuo0rQbHuJHed~6C;pD4K_8*T(Giv|N0ttZ?w5|BLb!vhi|=h3?c#ut)Akkf*mch3eRTA2V!jO8S>)67(97@3zpgW=xoVi_9kr|v ztY_`h85S}4M2U|>OJtTXid?_sqvSkWb07c{@!`#Kk&p|%VPvXs2Q8S7@l%HLiH z(2ZkAStmV+jy7mNwM&m$#NedD&u_TgwksJ5sSejHoJ-YwJu`_VDjIM{mp-zH!GL~| z{(BJv1gNOIH9PZtS*1oBq+YF}AfTZpkxWu!w^P9HyYTZ{7Qz{4l7L_CbAT-SVpjb% zc^Su%v7oUa^D1ia2TGYyx_pcU$J=E|hE_&&?3?FObs0J7Lv9&*h?*6Klvpill~KTeK>NHNvnY`+4F!kp@f6mtnm6mM)&ab>;C6?fFhou+gyU487Z2Vb%b-v zmte_3lP^7hzb2FL`*L{V#COnK>u?gcL}C1o>{7`ntW^%TLUaOe`{RcuZf4$!$UeI{ zz)&D4prq2>PX7F*kIOJ`LZr`}0}EFFg<#BVSl2UqH;%xqcOnWb!2ObziQwlU)qCm6 zrO*&9q2Y^`hccB5PMajjB{cLxH2+=-PVuA6cLl6JbOnYJIpe-OhM5oY%f?f*a}aoH zkQJhTe;M1-^5dng%rCXYbcrXw3cj_oyZ~lO*lH74Y>K-D?q-l7(a&GY5}W<`RjEuj z(RC%$q)pk6t*r?AZ;dDJHIq8^Rwf`k<|sCfyS(zW7skICo|V8vH)4CRr9GaO2m6T! zzEu?P-jEgO8w8i0Zdqcwt-(mCzMsDI#6^aJhqvT?u#8{QMo~}F>kO!R!(2KEfF^9g zXb0uJ*F3*^XRWS>nUA>f{;v`bM2LlbP8_dNiHLkUvr!tNEQ7XaA^Q0&hk})T;+$Qh zR9DNpGkVAh|DAmw-UT{OMT#cbZN1B~EO?f|Drc^)I}0n27d-1+Qt4KgXih77kP_|M z>$V6|IIUR_lBoag_&ig{7Vs3?I!k4H?|5N9uJ^);@r1L&5$22PwwMtsqbc$)i5+M>>=s`j+6a)ep#=+V$cCA3qm&J2g(hrQLG%TyP z^H3s-b^eqZDq|?Fg=7vh_TJHyI-0EuD!+TP5gAlWCv#%!AhRzg!NHotsBX24? zwJVex`qm?~9v$oC==k|2(&Y#BBqKEYl7_D$7dUuqnRJ_{yo4y5>)O7FQq^h$s6B1R}jX>Zt7uaGj|lxnKb(Y zjn~sry~^jIm09K1DdLT%y`8Aki)wsMWZZE}5-sSs%-o~o-nSz|J!A~7e{@ORK_h2& zd-h~{z~zbPs-#6IFMEP0sgv%3Jk(4jp2u;h4`vAY@%Ky|2-p7n#%sAN68q)Pm(#)n zgCR^j*PvDz0a6H?rS5oRdkWWX$H4j4JBIM?tALTznF+d=7h)$rd;AFk3DofWO`}=* z3+HtQ3|XdVS0zHOCT;zL!*9Y3C2g9Ix7&G9W8a&_i{hYl%U zquPTh{zwcT0y3s-1zqAM5Z<^C3HNZ`EAfOw=6DqW^)PsKTH#k_y<*Ip7xm1c!C&6O zC=zS=Ngah4n02d^l>*$wV-3yJ3 zcM=HpF%{wc`)d&;=OiV+UJSvbQP%xorwO9i*jd_SAlK8iDh?a3KG=y4G`il%mWhm7 zjq8pKS^vUJG^k~252F9X7thPsYe_1bX1nEUGJKPH(LMC>d28SD@qB=oo`ithDc}SvbxQmIQ;*ragEQM@E7RVVV*Wc z^?Bg;9T+nr+L%Azw-asn_$yns8JBN2r!6MIVCmPu5^i{Lpwr!!L@H)K(O>m97IIA3 zKNOd8%>%!l5*RGCkqN2;qlpzp5so+KBQ#-l_xLrt7aqugHXlwd08 zkM?Vfr?-+bySKm;N=X@>%K>LmtR3gSO+MiA@Wn{4&whj;OY->0j;XZ-cw;OM()HX-4#?HEyK* zp^Y9nwtLV8gV z3=Vj7_DnL5x!6ps=SIFGvhVe=;6b;NO&gSsM4{IWm%6fq>!V*kl_CcPKxadl;>$LN>h_+y-SJs_agmvlIfME0vZ-Yd#trs&HnSu&I z3ZhM_y6h2*DS)ji=PWwS7kfkA!yM)2U@7b;(ZFVNAMvlkbnZ2d^~UDzlm2nCueE~V z`^VX)I`JXj@3vOV!b~V;<`={7JS!_bg`>-Bb}OD zpn~76oh;$Z2k;Lc10D1>N_63z)mx!8NcM{gN9CSbf@Ibw)^#vC$7c)|eN+D=LcQmFwAX7%$)=<5)H z2!-@{_$%}HVig2=spzgRhNHfhXxIBxfd5KkVxrp7(54AGjG+P?hqS`0=}8RamqFar z&-^YBZ(~98U~EHUOltYFbRGJ2U`)~4mv+VQCLcm&%!%w>YOCQ-F*{(ae|0)-kHP#F zxZ?#rVE35Z&^@GhDnwoL4^oOos-#$d{ z1v07a>W&qRg)eB1y-qW~iWnk=OvpDIr32Qbbnld2h(hH{n#?ujAp~5I-nWf4Y06uH zU8R1I;4Xfw5E>RsuOFsrhLKfn(|q@`IjV|E&kwrm*pTEnOIwTeZdGHrgYseJ5^jRl zg<(Px27J%mA>KZK{YMu_fbZ7R);Pq=Hw+04L4puM_*titSmH4!Gbcf)CPmq6A(R^dHh2CwMjVc-_N4o%&ZwqeGx7 zp3aS!8kbsWHt?BZ-A3=vD9Q^GQUX_lwm-C^`rewQ*x_GVbI%o3T8C}FH-(a5uZ)@h zE^tIFMX>F>BkLK2bO1q5EXR@o-Ux2H z4M%yGz1i@Ie0}{5Be19tKOc`+z35>CGwF6UupQPBQ3i~$3|!RsYp$*dqb@9Z=-fv^228>_d#8b^K`^LZ1?hcZ)WD#@FcwlBB&bm} z)@e7Wf)AaQ=l>8PBmrp3P|Zg7^UK{6C0(RZzhGg1scq19fYIJXh?{rvYmh8VW;rqM&I zq5ieu+|roOp=h5|+w)P~nmvPrw6}>rQeohIUYwEkCwTR$xDO~JYbqj`AZQH6UX;hA zmMQG~y$JJ1s||V&o>1ZgeGFF|?onJ&UdW=7z1OnrP+kZSXSK}QC!QfQi#M-nE|LJT zAjR*$$17dN%(5Wm%*q?`O+nn7lhKFFQFsHg(`+Atprl8H49EgmNlxYP{pLb}J-Pg1 z69VIuRU4W$7^;JfR!5bd6taLVC5nqi1WE8P%(z#(fak|ny~RWCC>{izZm688c08=j zY#d*E6?=^J*eP^9CdCdel+afDjsq|KC|qxXy-ugEex!(joZZl!2&Ck7fzW}#GO0@@ zm;}5Rxi4>QQob|iRBcPZMT(tu4rBR?S1i-0o7jL(R7*(X6vG@8ZFGjQ6XJNwfYYkE z*!7t<*rF28B&kquTnP(4)F`R?>88U$Lm&L4=n;BugA_72R5dK`Z#c6wP|LFMhnHP= zFiM9{UFfaB{0@o9kp)ENC`R$CM*kIpccN=I`cjc%cGy0uQ^*3kitaZ3J41myvwwcJ z9f}NpwA};c!W)-n(ftm~ zt~)!EEd2+L-MHNK*<(-#MW%ed05sbiIq#9a$6<`G$qhd}AH<&@jybPh=qB?{Qj94Mx~i z(F<3l-^3|R-Iw?>Y9F|52QAE!fETFn(NDse(2Lg2R>TiizBLwVOiAqHiV|OEG0bs$ zwHfF>*dXand(np44XoV8gv?5GVEKWQsFSGYI#a4BLBG^P=+bK{76Z9u1a^AgBVn?&8C<3KP}TV z6?G5gL_fiX+gB-sXjV$+qtncP_&xCaaV=BCWNIrRhO}~P9j3_~ih0e2tQ$|CI>4a9 zhh_BcCor?SI?SM@UmRd?0l$9zYWO?&&+PbhtSdt3+U?E*LKLpEW6Y%}d8pL8M`?ZH zS&tMBKWSz&i+?0E5$@-Y&=BOm*Z358ryJrWPk*SBadeq5Z?g`&OTP6Dv9;3t$(M(S z@xl_le=QspFg4M|a!j}a3U96rg^85QA13*UE@a^T3732yZ+;U|&SZBhl9?WJf) zRM9Pg`d4X|)2;YJU+m?|5i}M+`Yetlz?)}EgjP4{jK49+fVAnrOU1fe?I<<}I+Tu} zlHwYaj;PjeXlCT1D`Ha5Q(4$xd0vK8q}wHX<7u3fr<4N2&-QTAPi41o_yrdo9)z71 zw;)YKA3-yKHiavMt*j#Xy|B&M&REn87+%KFCsH|e*vFt*#4}#z$vrTj8K9C8sk{Z7 zY>kcH?r?ObZe|itthRr6yxz^cqBq+!hnDBUSb{3<@!r#q_Q%oqi;fqJ4qO?hgjj(7 zxI<`!9jmpeKXtkSAh+wpKq)b5uDr3SHWAH zmr3JQKbM)X=zJBvgz2~u7LdYlLu%kd2z7o4w$WDNPmSN~*xKw2;_FIVBE~5RC@sKA zkvg9vfQC-W!Am`YA4eBt?G2Q>5chN~awv2mj=)QHed`HC0OJGt^qdcj*zeV8m2Lyd z)SKAYX;G(z8W{1vU7~>ht&vAm#)E}Z9a)WByk(Ww*d+)J1vm=Pza{9t71SE|4|hE1 zz7TbRV9wddwo79Q3FXR;#YTnWlE9;;7%=zm0D=-f_QnZE~m3_SZ#gtAlOW z7l%Q>oVuD)pzCf`vn1m0>`V$&L%0u&h65iO&)>9<`T4p@K%P|Xu{3UEUIess^QnBB z*Q=_kzln_&JJV2<%KnZ8HSWrvNEJ^##}*w5(F4kh=&p_b7`{d=)NqXhS8iiv5tG9dru{&y z7^58-4|Ko&^jt?a%$BmLD34k`kxWn%#l=jcE)LcSh|GNNUl6fZ<~6Yu@t_F%xVxyz zEQyDcfXaE4yK0~x@{Th_g;eW&F*MHsv09s);GlBisuOiaRc!C^T9rLzvs)qTNy$>!E>7ANUW((19Xk56gsP-(}s*L zkU4iqtAtw-&BPlZN*_m~3PA$5AD-o$x<&W_s%bB5YWB;aDk&O(sl73oJHU*R-+pH0 zw3#iHIJ0Y3_KcOJiH+@4ukiH9sPqsv-NaVzT%kiQfV9r+NKLrFL<+7Mr)Z3pY--Jr#k%)TA;-CNce3W=cpT7 zLb|C)5!@_p6}-Q!vIU#oNGh{O{xLr)&@ZvAW#YBte@ z!GG15$`uMtesQJE%a4L9?cQJ5~nX>^m)wBrFPZw_Gx>#!ANL*%FZxQH0P zLz86nO<4Dz$*8!E90fOELLDI~)M}Ozf1crG`Gl!6zaz%;(6WOKnv1gDE!o%Cr$gNT zQ`(33x*&|hPQ3Z6O5>RV<%w-eSi{GQX10@;v_t6=6`ewb6$Zm+*DipSF`2{XV5#ta zG1^wr4LJS(#5Ba=0ttd@1Nc!yQ-MTMxN^@cQSD$32{?Wub?NbS<4|!(B`+@6~(xDrDC$9tb|Sb;uU~ zdXw2`eZ?pD%;*gubu;)zls&U^T281wQSKHY4ykGPH|5N+7y z9!ret2<4<2lWwab43pVLHm$qJWs7N8vVQt-8PC*wh&iBkY3DofLwQRsE_NR2(Z`3d zJH(u{S`)&P*Yu~&x^Ee!N2O_#L!Y1N)#W39QOJ|C6_~s^;uDYY*C^@1gLn9PFA^?^ zgCdfKPip|L@!0RPh3xt8FcWUd7e->Q31=V*WfbLx{LUwN2Hhl4Szh=gNwru6R29aO z&gymg;WbVO}KY?O-?_)D)Pm9bgFkS%(r@2?SNwf9?$UL+vE*`=#jW@l5LTkl1fjF#wo6aL_wgMdok!VFAegLcQ` z=xvg4vnQmfr{dCgrhcJOJWJ0-r|(j^(+e%+bd3vGX{1C~7b@{YlL9QYpe?8kHj~HJ z!h!v^kF>tkx>62u_xwLRN$pG{{HQ*W#Y$tQKu)MKO$@0I&Afl%a*?LCigSsoM5?xf z<}OwlUiY8d2kxvAhb$(|{Ax37#qH^X8HZ*)NX>tWHs7#`i7;Q5&mawnp5x=kKIoHs zS?AW*TBy3iYSA);&x=uOxvjTO6sF*2 zR=2=WR1w>)rMDOJjfKCw;>Z*(78?w_P?VvF`(=ne7SnjPx=;B+jr!FG_+ce{=W+Va z=OX!Mj`_)oT~1T5xi5a#MH-5}T>xS>9t~uLO7W{vYgQ>?5WB4MzCz8SXsi z#x>x~SXl!{v{&EvEbehwUxY`lJX?(D+CbDW(h2f0P)};%B-y^z6I8AM>TgH9=90~% zrXy73!fr|e&G8IMuu;%pRSUDGQ1I_%R_SUm1I8mrqqN`@))~J{MtNj zKWq^H*s*@;ij=yX$6N^oRQVcz`6DadWGJ#*n?HMX+;9l?XX!qNH2E|a`5w0)!B>~X zP#=E$d9kQS-!4UXmMQMsyuDNX`j^*S<-qE12*8Ajlo5a0&c-vHn;xQ}mCbhzMwJ>_ zixM}3ZCmmRjVo?rCLPT@Pu#&5(Id3a@rERk=X=cNAAi0<5VHcG`DRIm5+r?Ae|?T2 zat6IV_UU4AgXk+>E9|q9`7<;GoIBhO3#h|fuDyj4%(Bh^PKE6KaGzYY;p~8$>XNO` z=J$i>6fcd}G@6*yDp7hbH>%3}hSDDIP?5;xSND^%r2H9*60{;=e(XatFwOSj6a1Z9 zV=_=Vg~4M{nKRJ3K1?OBa?)JHsbhLS=KOK}*aLZg0ITlEdKeeXQN#%Oz{*@O7Q20( z^I$CgVc2?D&E6`a_2cjtq#qoQKwY)1SGh>t|JN?eW;_EC67{XOOXP^;eu-Z@?*hF9 za}87U@l-#jdvN2ajjgVn>Pv|4bU~CPvH&E3wW;p2{{)CZ0jizReXW^eCl%hljE9sO zoz69Tt(4XyF&L`dv1Ed(h_Oy+^C4n&+52qlbzIv?cv-gB1_`*+IIMMJkP40*yX4?|jyfObcZ^m$x8{=tZaZXL+hfgd~p`z-9ITUJ&`C z$gAFd;EJ)1cqRv0GiJ8T@aBrx`)WNRr{f}BXh|}VfA@i-_=5F{42JvZ9yKPi?O%L4 z1E(t|+a=xT#lIDI#frB+_iz3!X9qV^5=Sj9)_-0VieF9%=8-lEew=%~?DBzWXTO*} zKcs2>hP(3T`z4)ggRMEQv%70V8<=z#hktYS)|AsI~Y$lilSv0816fKE{!47|VIzm=yI~@At|C zMW%Bt2p5w@Z|J0?+8QkDm^VwWGKhi^mbdrWabkF-Q;5@krU=DBy<1I`jSsWyWc2*K ze>L#Yt)}Yp5l;qF+XpHZJ{4KcPJpec%_6d_sRU^toNQZBOwgm1x`XA<_$b5L|K z^g}Z9PhBpvrXtoDN)xxCYs!bMW&D>Swxid!W}lDVt%M$~v8qy-`3z;myp;IIPI+fH zn&A%r`4YYM)6hoF!+CJb%bSxhscVuvcmlsa8Qc`UUo#TmHq^Ik_KC5|-5F@IaAZQB zpXHc+TKGVDcdi}J-_dgS>T82iwTHxbFhMzY*!Jgb{=j)nygUANQ={p) zY15`GsM(#2kNC}}$HMgZ<|?Ez)~4DpeZbYjNPv&Db&~$NIVvwrQe?Zkv!%r7c}eTs zE;_dh%LX3y&F&-`9(Z3i-=(LwULa^to@d!zw6^2WV{!12 zUEOa5^(+6K&*`Q<0nTmX*;n1}l1RbpxpZG@t>28i=L1(qQ-;z@`6;>ARwy=pd`IKx zR3vbR$#|yh%bg_**t)YCe_YQ`kg)S+(WBE`7)miCIaE}4dss-2yh-fCMPjikg%p~o zij&4p2$@{Yn3J@K;+2a1AH6K)cE5JgJw|_cDc9YUx zngm~PHV8icJf_Zxj2b2jE9a;&0q*Ba_h{tzVm5mc&~usa{*Ot^-9$+|X7O8%d-BsG zzZ73v-OnV@-28SQ*xG`$&@~r%v>PN*cRAlye zBfJk~x;6EB3Ce*|OFifv)M-A2icF5uuJ49t(T{s-q-fw;(uBEcXE)r0uHW~8IfDpGx%3kWy z0K*;?aXsY(lzX2gZtkE^!fJ+C->Fi!5Xro6Ca{IZ+b%L?843*!tQiBQ3n=~J`xi*l zxL{!R4)B|j-+g%aH@W#T;d~Ja?4i!z>+hL9Il`FWdxE9i--I4RZ~}|*%=!L|BXhK@ z9hl7p5|0x;6D^br$)0}|$PFt%peSG+n;~A$H5k{&LB^jlg65)3IVb;*rxZRVe2VxFFSfvEl(E2bv|jR* z+>~+f(}Z=}nq=vL>f~o|`~B&@1gU_>&4ge7=JWr#srjvQI(K{UWvm8!-$@4D2Uv1p z>xcUNZl?quKQSeo_d2uholM6}6j@~ZZ3L~pxn9%h@ZAfe%>G*>HPuqIn{&$(Se)Z? z^|cu6z28?%S}Zm3=GN;Al8g+<_OtAEpLh@^Ir}Y;p!~IPUg@%#txJ=$v^|6bju(re zufdu@09U>v6o|qsnVM6ma+rktTjpb8M}E3^;;WM^{)c)!!&Ke>+8T@gzTjSpX&UVKvsDVPaEt;0stA6*& z%bTSURS&fj5@NuEF)H#hqxuDqh&ZqOi~`k5Mp4bIUN#rKUiEtO!?*HGBY}U^r&qgs zel+9cax>vVhzTdZx=)$!Z;x2K{kbkosv%Ie^IPs`QsR$zLxDn~q?sLHYmQbmtPf8VP7ap(Qui}*7C69aYy7!NO^DOKy^=c z!qWePBY7?=z^3#HMX|H=14(LU>{mJ7!yT=O&L%Sp#XvSdOSTkX`S-KR!@QN&U*O;~ zv2T~~224&$1T6z~Vl3i`7`{9Eie&t6&_yqUY;(MggIR=9x$u!#MUB@|a+n&uYCii0 zgb%3mEHS5r?-!5Ay}SNjFH$o z<8gd-K1s4PwrWh$+D2W=%~(dnXFG=t*Atny@a?2fXf%fJ?8QI9M}yjxYS22&W;BCs z?D1={{X@cdHeE%+0SYr?T2)B7@B9a@@(}<#NyLkEX!0ccMPA(hBC8CZZc27veC`Gc zG2b6Fyo%Y^5Q8 zxUS9xg39ZF!?^TSpW59FQL7&lLh>1=okq^>uiSTN_A}nE1PF-P^r1TDz5mlvgz97? ziqU#8T-W%(=ZnaUGA0YOM_YO55uY*r_6GXn^?g)R(f*%Sdi0e5kDI!oKkc^)8?!by zb;ylaCM^NmJARTgqKu}_V6`k@G4MOW4bAgE6wF_w5f@D2&W9{RQf-M-e$Rx9o^45h zr?NcfP1Q7}9lv>fOU?&ge2l$4jeb6Yf}b(}EScW0Sg!bgrf$^z8mtwsR3vQ9)M-Qu zbaGs!P6&;m&tQ6a^oysY^BVnjhoB5joA|5f=Ed`o^edEJpGKQI1MBR7euH503=sXP z!n|%YhGOgtWxKAwd}+<6x|{zG2X0vzzyx;TyD$W|suLHQ42wPt?cj`r|7tG z9lAsA`71?0y3sPII5lf~|JuxePbwK@LguJ40;&&P!3)X~(|Jv-3T&B@M{`fkY;Qou z_ylMhJ+b>hC6BQPD!{o^aLo2124;4~zGX*t={wvn;8{57I`17;2WacZ%!8o*c;EfM z^L~S>xD((#2tI24bbRb~YHt6&bU*T#INv|>k_%}aA|*YF-=KbEsuk-ZcSjko6kNcO zvX%vM%nw|qxwBP!%qLTlCj!|o&aA}8W=3{BohJv-i1?uWhrv_r8r{?x^EX<52a-Bb zpwa?Tp;0t1#(IA7GhOX1{Ra24RVUN1G5V@*EdeJw;-)6Xp6ffI<$I`~mFu`W` z&%;PH{l#f>kw_Ky&hxHw;sUEph6S3tRll&bWB30ni-l>s99G+oBHS68yW$Q3Z(u+9 znu6JwY`uj2hla1m&N&h#!nOateHJYS>x zIVN&m8er~6uxHM)ntzkDbS{tjf+)lh|LsRiMMbG+J23}V9EkChF-kCIh+%u}}tgIY4r zz@OLN#HT)BH{*Qvkk6Q-<+iOf5cRzn4!!T`LR>+s=73u5xHiKR=FT z5x4BNueR|eL1nscj=gE5^sn~A`*H$WM>8Mf+**F_zyYAEKOx=kCLKu4d|j!YwODQ- zYU_l|`uB4;pw9Cl+ykGCzwG^J)X3e-L65SO#=IlLljDQuvtmDPVee``IOK~_qJq=G zvp2X3MN)(=5RqgT&j07wZ1pSQR*!> zZD!D(;-XzMLEU0RKmo%xH^$FMc=lNrtod*?v$2KS!uju98N~)Y4PxwZt4IFvthn%S z#c10dQudxF;IYjc4AkxMW~Mp+ny&x&%OOYcz_59*?OiRh;_EHr;6KVwl?GoU_v;^i z>H}jA4P_qxuodI=vKA|jRZtWibdGe+!utN*Jzred6YS^>E8uRz?si%G{io4y{#?c> zJl?utsZ-f2_phhk|uR=Q&3Sr+Z)blfnyV zI*Vnm)ey-Rus9c>PZLI4;kA5spCb{8vb6g-?%T&L>3ic8;m3~EYp_|<(W!*)nQh0_ zf}{J0iPJ+<+;3z7YXaFDsayv0I~D#UQXfn6)ArS}_pRLP{GNeZ_dV|`vu0-QP=`7B z?M{{eswZ!PN$5GF7}Mxze1K)No{uPpH3s~;lX3#|@6tQU=UY7L2^+o`cT;~OxA6xE zyQCZ*P?#Q1bzw(C1Z7d#lSs-t=2$Yse7@-%Na#^N-kf?3R@FWY(Je07N!UMH)@foh zIxTss>>GlwU=)Okgq%;4tD_69EB_Nat(t^zMu-;f15~fFN+KEc?xwck(B$S&v@oz7ppae(EFRY|MJ0B+-Dd>cC>1V*j!mI273z`COTP1h`E=EOcu||aA9$~^E0c5D zKU%8Meh$Lho#ZFR`|8REGOfR}4v_yak|wo(GQ>`kC8XMx5ogqJPJ7Kya9bFv<^(zr zSW_HCn2Kg@w7yUXDEoMbh%;(@paKo-Xh^AV!GxV3bV8#NX_P~zoL2!**2tHw84al& zIC2C`yd36$FMe*q6kDijO;7(JBIN5zxD>I`B_f?E2i1U_NoPkekd z(WS3No*7xov!>NBD=f|53nHXW%Q5jj#qV$HjxfyV(`&rARs{RM9ioE|mC~V-5W}CI zadUy@zgyVI@%s${*{t+mG_5$Y`76^icdtL*C7z082toA*0K}sltiwD*JVt$^isC+- z&fETMwaK*&Rb;&7y64`LbRVwHZzzQ4FKlhEui7_@0#;L#chx&m0rxp>ZjhYE^p1fV ztjFG+N+)ov*B3X4p(80N3to1f@-~c=fBA_`NJaN4mJwf6m*-irt9e3*6op!^=Hoqrt*QGRXk!0^Vh4D?+Yn|Q z*@4TAmr;pN;(Fwv{_0LuaXpLP{6)N1_(g!exM6}_CB15}OWpCknRrIQByxbcPw>`T z`Fka?Y|M!LKQw%54i!w#`CO+Cj`guYJY${ASE>Qks3@Z*i#tK|1sin(Hk>a=(&NmN zZECIEU+dFJw9nJ;7+e{XE=GXK40w-7--$F;Ad4WGptPkSU22=V)HgAgR9m}URi)&~ z_pJ!ef3X2cn^wZkE2BEm77olHcv*?5+5|=dh|I}VhZj4@)zlwf-nx>2n_Vj0_QTB# z8Zz{KN|NR`(dHtugcY*GCUvDg3=UAfV!9FTPv2SGEEQLQ>0}iYI*xf#9 z_wUjV+N0Heq^p~LrZ^r>NOR-1*z%4~>^`a0!P2I~_eY39N5C7Jf<0Th!Jr+L-Yi#{ zgtIe3{Di-`JPCtZYMxf-e;oMg8cCPh<*>t)f7iHbJ1>N4jzzH+*XZs}*ZMj{aNRB9 zDL^9@yL#F7X3#;S-*EE1`+)Vq)Q}#Afi4loG7i*mS6Wj;MOp-r=jkawDbtNZyGNNh zN&r>$dS{|D`p<&&-3LM*McI+(+a^*mE}ROUXQ*7Aw;5Y|DiB-SNW9O5GQ-j_(Ge|# zgEJvjwp||#Q8A5nm7xG-r<&v294&HO*;<`O2q~(!SyZ6ImBYD#yZ6q@&|CGh^fhO& zE}n2uw8&ojR5DrOre13sUPCE}%n{{RS>)sU$_)g@2KXHLx;)>vE4uT!GQ8P~CG)Yf zmZ8WfFkd1LnRis9Mdir`XSqA6;p=V5mK&3wHC6TwIcw+qlyC@jbA!@YVI{t(qO9p6 zNG0mFKDdFlh66Ch6l=5e+9yb}#$xR;SvMX06(2A&EwyxoY#6E6| z**`bcF~>xk3rD;ze&#uBsOT2znUsMa{D~@jzl{qL4{%CAX=hhQ`P0>Ta;p$Dw z*CHNGWWIrO%i{V}nH3aCN6nmWM~unz;tz3{rrWmX+agqJCQP!jMhzlt8TB?$yLvQa zfgQ)zWh>BCMz1F$4ru?*o8CZ-DN6sQ7q38K+nk!HL4B~MEA3QMc-WXMMW9^N?SK$U zm`?Z%lN0E8dtkotR>k|qVxwu1U6D_@%d-y4XgCW8GuB7cZDSJ2&Q3xWQ9p&a&L`2G ztv$ath{Xb4q=HiX@&7AbggE4;MzQ^%Xetu^kalN@s`VQxqNWEwBjf`iRu-vV3{9#Y zAFvp9QQ`F;%=W1?%rrKx+%p%)qF+rgn*V)e28=u#;o(tGZkc|SQo@bzKMANfuuvyH zM5gySkA~N8M!IQwQ)m1HJsmll{m7WwA()!yaqgeE2`lw>Esd=T%Jzj2c-++bNExZ5Lc$hqXz0Hd-QnT*{!$!c7C(Jq8L@T!T8Gkfq0Th(r(=YipXC28^2XP1-2lyE$3 zh>Y?zNYBrJus7rJpfX5YUrd|q3`kgButYsC=v@?w&nkAoqnx=Pd+>f9=6zdiE5Ed1 z|7&w{ck4o!u^S)y5%*oJx^Q79LZ8Zh6s!F$e5fVI1)_hsgA?92wtDc&kl|AoQ2z#@ zNbFk0sxse^7wjbS_7by{EYUZlf-L?fxZ;Z_r}ah8EEyuJ^#C7g_UL&|_^pK3Hs)m( zw5H3_Xf$#8AeR8GcqONiGqBZJmN?TWhnK{lT){y>EqYAYwo2^)TEt_y6BoMzyzP=e}|}m$`im|hUZD@$`PIe=ZjRvDX(Pi z#s6&yfE{ss#|3;;*?h)Le18lk>jvr1VMn#NP2t=Za|qWbc0<$8E5Lrh(e3IZvC&Ve z+G0BYJH`mGz(Ex=QPO4xv%)ve#m{uHE0CVnH~z4M_2|?ToE~iQcv?~a2~-La`TgmI zB}ZmXIy1YJ&3uqqAa4ZrbWD*ppOIm|pjaEI1xyzSqr)tLi9h?Te-yzOIo%6q2p7>a&Q;>A-J zpSAC|!yHN(3j7=y5v>O3jAAUVGVpfJe<@Pa^EX zYwD{ZjqyfmG^?=0og{2NkyPFRJVkj6(w?OMmL^?n!QV0400Uvg6;qe>8YGwV#N*@7 zqvj;~T8g5sFR>>c!cpa5u2Lq*Ph0;KpB*?24I%L!^88{rx#oA0WZUB}L@#=@tU%dr z-G-Kf!yzZKtELgh5N@3!Edp4rJmt8Mvi{qzN_ud-*9=#W0n=xQCe%fNBW>qYvChxD z;0oS|XX`lDQo!t0SHm+kOMUm#VJbxLjYuFCaO7t{rLIa`ER88||5our#8mZY%9`5_ zC+E_~3RReps>nL`B`X3dc7OUERV#J97V^I1^5*L%m{=Tnal-x#f_i$1)EKeqI0|)Q-#YCp<2_^73IEgC;yWo8=f#}Ah?B%@wzoZ@Kt8sDQ!9B;F z=q2^wU^std1*a_<|Ch1SrmV$RyS;Zk{~urP9ZzNa|BY9YBos+x$0^Aw$Bsf)4$0<7 z*~hVG=9Ljip{yJ;%66>mam=jjaqPYK-kkgG^ZEYn-+ll7`2E!bIj-w^zvlDxdOojt zNtYc}g1^5>exOKwoNfTxkmp~lVMvZ=q4yAnMYG*^$hlr%Wk7jU22o2HljbvfCz&~p!@)Xcixtvb^_a02#Qqw3$7(8 zIt40KM;RnDAD)_bHCo6qeH+t9W>%ebDB0oS3;jDGAPt3Z`9^RLwsWfBWhbE@P? zJ#m4p4`n;NEQKX}U=!og{M9V*YMz)4c|R}~668DXxrC2+WhMV@OEQ!4C}d!ghcWC1 zsF5WTPBRI+xU+-}tv^@J_6cX>g!S=L#_hJ8vtesPvQ7R*ea|PLlMs!LzMXFnRz$XN zwalFZ!?sN5GoaBwR*t&gA7CxIGwyEgN`B8(*O3@Wt;YH(1^1aCI;zXj%S`gN%5?;? zBNTNke6sGAc%kd4n~7hh%5;6Gix*haqUMpznN!7=!F(iTdgciogqkys-m0D#irbPa zG4~1&hugKXVS$?-^k&*(paJ1eB|EB z9vdosntxso!2|TyXxvM{d9>2Qvg63Bki01&DOm(F-TRt#4hIe+eR-zii<|fQF!!XL-P}w!+&{1h6YVYT%MYoZ@m6UX$DX|*oJri zi{3bZCiQg6j6ZXSzAC|7=<|KssKlM$t3`E3=jNS9bwXHMKP%$Lq6l3iqN}>fj2Y2t zB$2mIJh6ea_lw9iQ>dbOd^fQ4&iC6Kaj)Lslc2}8A8>K?(9d;HH?B#AL@rs7I z#Hs0t*E;34In`gsEWkkk`UTdCsfoVxS!5jF8-2FUGKZc?$+Hz z(thx4F}n-4EwR<}tk_vEBiqeA^U9#C7mh&ELAB z_j%3#`j^7sY&fD9x-o>1#xL*PwqCh+?or3!dUnx{H2cyiwg_lDy2CN}MMf59&`0_? z%!*g4lO1A;drF*QFm04M{)5LXW`ZF@{pI}3$KAWrn75Y-4_`{f5C&ovPN8EAA&2=+ zf*T4@p~j4^ZszSGdcQ87DtT|#3UbTyTw!&*@Sbq12whC^~%r z(lKzh8bZA)VQVT*YyKz3g|H>rc@kl5?}KxG^ZwEn@Ysyqn`7W(dFemR6dj^p;)_%S zI)hm9&b&5Nm<fNsN#eR<&h>5Rs2;c#(TT93Hu-#EH#I&(rK%L ze=}4si)cU=&&;GBbGV!x>)m)z9bK`Ud+owwE1DHr@u9OLe`!T5obB7O<-Dz zY>H066B#}+@K`)Yjm#3xTv2CILLvo}vzTCUt|JMsv^qG4KC`Yvh80$IDvHENR)k#v z+$*?EjVU{@8l(5r*6bo6VP>TYnQ(IV+!Q8R3xmMeX*ZS$2%E@Iy!H9N}n$EuTf<@7LJC zAb?()vPaMBw8ER~4PqZs;@LIB0{*9C8kw z^pwEdimTy$0tVY3fJdS|*`6^aDe!&BtLg+xw-#eQZSq%cj~)`*{B2~iO5#ZI-K_K_4@$@QYR7}`VK28+x9pH- zT`+m3$<;mY)V0ffp?~3aPi+xxU)Zz?rH_2vxxCsqZ}s+ugy@k`^i1LAw{McE`KoZ&q^NwOA7vV8(AXA8}68YIqQ z5`Wf~rChR#dyoOc|M8gprk%jTw>cZONz!OM&CJ|?0sqIfwMKmQ(^T&yrUaa@OeT#J ze+*g_5ErM1-C3HwyMWQ9dfUqw=2Wn9KTaEO))GZ>Bi(0u(lvWCg=)oy>`mNQ~VuhHa4!I z3;zPP3Rnk0&|^cP#wR7PPp|_Hv}f!jrhKocKT5;uu0+*dgw~s;!4uj?@^hH_oJV*W zK(Pa_>}|jCy#`+S)TWVyxnfB40&h&#u7WS>lZC`55=PZnQDo1W+{f{sTpV+ml7Ro{ zQfvDglr^`y>Tmf9nb6m>v)+qdu>iEQx1>pz90+UC^P7+&NLF`kDMN3f(cAZ4=8r-v=*|xp9!E04K zS~P6=#D2W8@E@yc;uY|*X7QB+b{DYP%VGYH4K-q{hFrT>))s6LDiyXew@%C?+4|?d z64mZYa@ry|oKN4Y-giLMGa#*}T9Z!;kAlNoh8DdEbd}0dPs|7>^=`{!uVo4uhOnAOxPQ*A26^TR-&~y6>KG;{<^uT+)ns{hoo~>KEf!U>E7IfF2&`Lp5Baicz`iLhGE!K$CF`t71GM!>sKAw;0w})q@0PeA#^Z32Of9GvJw5?5*sc`l1zMttT!h zGwTBl4Rji~+q_|8Tf|w0;P?^XLrhr$+QGmP9a2qkW4$=P0XRW6y8G2R`;#$|2it6O z_5!j)JmvtsWkdhEHa4(ET!#AW-^KJ=`gjV4u~ZG6Lpp)!M@Gu-e#_kQ0$tg!i1YFZ z<8+Op8o=wzUn#&_=}KUY#dVzZ6b5QbQ4xcrY8mCI=uK9NESp{m;L;;5y%5Fo6JIg4>7+(34mwC0r>_at|Pw=btf*#UZ zVT=!N-zZ5r2_7Pq*W~Z|Bez0(`tQ_5ZtX9>1!1zC6@SS4{KHM?YT%I%uo&HK}kC0D68|ABZQ+25j_RzMq3 z0z(gqqaYkCi}_fuBP2e+W+5Zb>k8gagdzXtR?VQP9AKKuYALbdZ|MZh`YZ**7iWo-S&b;_Dx zK>fgZ&)Mu>(aIYD)d$C*sH!Dcg?+)t(;qe00f}CMrUG|GmI@`3#oQox84U0U$=rSK zW3sA)7+c|i?m^QcJLzsljWF|rLwHY%40R!kkB*l@Pla?2${^)40~}NY&(*1znwt_B zP`81z1_1+PD1q(Pg@#66&D&nuzW07H`l%z(E~zq}gm)sSu1%zGafMc2wY%IUaMq%a zhu#}F%Z_}+9|2XBtE1sQx|L<_ z&LhXdh52Fa=Y$}pfH(TJilzLbW?MJjl^+ogJ@#Dc)jYprzk!t>VEhQi*r$;=Y`cZXp`Q`e$ z9(b}QKZK=CK^~xc!)QJGUrgDD>2ut(*xA7wi1tg6KCY_#)V;$Gysz*~-~GknBYTD9 z7~t}cJk_KARKs;#R%ZmHDJIRpcq(Zz+RUqX@Pu3EvCVqr{-6zx(SPj*qrq8AXwLOh z#WNyt5=yp96tSHn%N zQ;iAyk5qHGlbg}mwA=w!1tCh`UW=&+FB@1~d)?4UaL>%_(F0m)xfMO)LUmOdTh z%oNdiIafCD)365Y3hgvgR6MwQ_GC|fhKtE#!%IhguvAhMY9 z9(&W1o2$}w0<)B_H93(~k`mi`*OscPq+%*|Vc3|Vnso!zxh0+j#DX30P5o>ELj9|C zgUjM(f7^#n;&_0B>EPbO6YDS}=zU@x0RpELOI_%R1AN|e+hbEQAY(xd)uNQo@we~- zQ3<6>w+N?t?GXpG;SgIAYNTZNWL1fn0VLGLCOXZDj5qvf10v^#-77Mwl5V(u8+mu6BhiKE}Wh@8@R@QP=_6P&9_mq$9Pohtb zWOrQJ;)F83TENWD9A%5V#Za!LiL_Q5E`B%(tBhN3JErCA(KAAn2i?xYR^?Ee;Jy9P zVNO?JZW*FlIHPkttKlaa{VvnM6PK0mc1t7>+|jW1ris}*GlKmWZG#aTFz9Jm`!Bwg ztIrxiF2z6&if=j({~ipWXEBqD7)hzCDRUA134Wo`DJzl|Vptl^!mURHI@H-BP1!-` zL&A|~;X`SP_eKgca}Y-H#NT>3lCX*UIh`ExybjL)RQ+SnP@s3aF^;DPtt6{^Mq)Lw zYrt^+M}R>MUB}DFJ$f@kXza<7Y;wt$_~#M>(t7&b-bW#z#A9I9#GX}p`seHqd2!Yg z;To_@ROPK$`T8n8d;oj5{0;yo+E&SI02j1VN(gRh2OX<}$VL?52CT{n~W)N4yiU|dS<@~nQCM_&#zpm>%R5~G)e^;7yFE?bf;Q+k5DT!&AX0(BD0D3#!fS-8&qt zQPd;>l;v+|SG6nRCX74aL+US-AV*#GM_qnWEB^q=d$9M_q|5oDCL({#kgN5%gM(qX z)upS+XYSa1pd#^~z*gx$kGe+;&&;Ly8 z=NMSEt}hEDrC)yxG!71d!pyfwbZs=3wFGOqg}}1|jXhrmRtyX=7+?@E^P~JdFDDVy z7CJpZ?LU9aSoU}yt$66aR_wB#KkP02JJ$6~jn>OGb!GYswR5xDouHc^Ro=VV>=6DH z(7y8t`+LGgyU5(2wDZFUwMDQo5cYWlQ!4rF`=yB=_l$9^<(?cf)MNn7JsGwvK%`5r z1=BEYLhc1t<8;E1r$2itK`MgBgP9jJ+4zM@ z@8x{!QpyrSCHROuu4FvE5g=zk4a5oBX4^gj+y}b`k#aBeIO83ybIjb`*2HR`VnZsQ z{3DOk1b8JUH2(o@m`D9I{Lu$*^Qq?)bXdK-U!_glRpz0Sj;aZy<^*jMaFamH9P|kJ z3WK%aCKK-$XFd2!Nd-9-`YFmh(zEmPsOCtQvehQD7eowgf0b>~e>zPg~ z-yO;s^foN|wg`J3RNXubVwcaVHv97L_{XV;W5SR0(BHV+Pm25~DFyCbzdt~FAtTyE z9+3AlUJrBs(3(VsVa>aXRBCk^F#UVrujd6kz~Ax;z#6te#q!Rz@XeoP0t%_y2y`lk zJ|(cpJgw_KPKdIH3ZAe1^KT%eNcdA1Kx1%LcYK?t%z8S3`3)_>YSe8gg9gZ$de;9W zlx;hY54>w6ayeM)f(Nr6uU^>t0WsPg;9W-Ycgyceco8dH9VxN9R4c-sOwJtgvFUZ0 z!b^_X8ES|dSN$0kC&PmITnO|i@)r|duY>c{HnY}2Q5_!ga)_@^*+_}yw!FY8Qa@|2 ztRe9OiUhORdwa{r&y})Ob$!a3%0pfggmQ&S932`@%opyd$iQADbbY?HRhZu$=$sEG zQlA69iJU7?DZe1s)P^IeXPo0J&ny4D7-9%WQhY4dbp|;b9;B`lzc-872_j`I|K3Sg zi51J|%>hhi*s% zrzP~htX3ruk8%r4zY>Lo6EQ-(P8;B|U-u0k)soF)BC~~C1U(R-#3hbd^ zZqsbgtd9Kt)Mi2(A@MUj;?i$0k`Peqo-CZ@dVD6Wrp zMxalfXE2Xc6N$9$vm(Q`B){91q`>uq$R;s@r>NClPA~{@eJ%twH##b($Eq<%xU-A1 zxU4!>Cp@|?|Lt>I?-3;|?SpyJiSe)ViAx=Egy#{nq_9@RIOZ!>n{r(nRfICK%Or8S zKm}6OWSV+_`E?spO1+!ufCQ~d^gaQdWLcz+fyG*6h56~$M8>);?wV3%#rWuytpY}P z#gqgEl?QJ+)H-0Hc%D@py8kDuWZGg$l@-8Z<%P3(WdEIhxW)==3mibAncCaj-{Wi% z0gQH^zFY<>AcddwGdN|3?GWvjwG;+cqO|xwWp}WPVUpQ#-3e$PYacW{SPs);k10yt z#7s|qzNlODc{>{>wk+BEhdwDVBVE&u2ucgdAB1`3JDs~IdnVC%NckA~YFvRYqF1;53hkEAOTw9F=Sq{~4gce>@a z+)r@ji$k*^h4uY4ZU#Z0{)qPeelwMJv0@hUAck8>cj)%(m#qaz75869%iuEY{{ZuR zSUBqA3bdGR^7~nsdQ+1m^v;Hy-@|}(IQp8quU6LK?Pi`8d@q~-olwI+wD|fBJ=tuD zE_VGd!6>@B1<#AO``;&)z|8uqbGBdU#@(1@D4SoGj(NVM3?bUCqT0+zLd~UEwRPg2 zfH1!CQV{6D6q<%TDpddDl0^5EIKU(CH>(gYUcjWf1ZMcL2d%BIL!W9=3ghI%&~m++ zOR9<~$S{8l?)_mv-2W2^0YF)I6P)UKLi)e+5sDYv{7z~h(%WRa0}^*YjJWFgybT&l8 z0TKQj_w%0d@ANdt4Z8@`fz56DUh~RztA}s9{a<+w#H#1X>YD7cm_11^$`tX`H}?5E zMPLs+uxBYm$W%GvlE?NJ-=@=Cqq?z)*)@u6WY~bN*!X#ViyCwDcEUXk0oYL#`gCs! z<5FI^?e)4yX>}HT4fJz@mpxO3Q@9)Pvs(!4XI{5FETxH>()LGy^dOUoP>H79~DpE=g%IHS>LxTn#6G?Axyw2hw|HUX7m?mUD$%;>SM>4&r%-OF1c{ z_hB_@yXy0ZA>6yt#ntgPYu5zaSX2D_+r2tXrW8wPE}SC9+7 z#_I`20Sn7QJ$wLx3H8HQ_FQJbbv28W2TjnxI0&^tIo*lY+MEI=x*awu8xfZq>-N8H zj3diI-!TQ^r)%7{nBxk0yS1v;o8p37{^@kgZJVVDwg^RmRsJ$FO6Io+<#A)m2YTpK0QE_%;OpM}U(?C!-Zw~eE7Dvy={ zhkER|U1a617<2A%F0Y4GK+Z~K9X4R~$M%JP_r#BbUOHDTV+}?@yPK(*Eig6i;zs@n zR#kBu8yL@1C+n;qChBYwSkvp{NQW~&UYMpl{GZJfPWO?%XfSZ^jdsTCl3*dwGkB8% z^Aa(oEX!5nVc=%w9~GaJRnC;$xm%_>F7|1JQo?>Fs%Y4H*s6%GbbXA`JnmY?BV^Bi zs;Qg>-9VxZoLf)W^bho3?fv1?G*E5_IXZ`KUen8r{`PEZc-z^-X$vyg%sdvhuH4n^ zR;)4+Ul@N4mXO&Fzh~l-+F2y(zWXn=CW`1{Ntcoh^MBWYq#t(lPQHnZtjN-S0p?Tm z)VPnD_Yn)UfRJ4&rhfMeoA#hG!dPH=ReEkcPsT-B2eSk?7b z5)%NJ(ubS28KeVn4c|8*{qVecvfiK*Qu*qLgVB8y<#l_=ggILYjz7ixm2{@oS%+Sq z%U|`%-F)C)Z5y>&G#%>}HR-~HMV*$-e)N#uUreEl73%%R^2XDvKJ7H1RffgR7Mn#u zn#$%Bmk%Y8CIwRN_?dST_>?WB-b6j9*3Bq0)!3bTeQ`{b=%^#UT;ml}OJYGS$Q%DW zL05Z;(kA(lKugU%yJw;tO!iuVDPir?@S5i9s`gBB@lJ`Rekb)`+Qru{lybO|c7>

    <%6J1nix;p?TVN0dP&>FE$Qs?W6bp@C2D=4S zd=F&8_>@&7du%AV`ZQ#v?#o%V!3*iT{LbrOew^`nWhNW8{!ed+>4{)}kt#+Dx|=^$ zh9$hBb0&YS`$kzd4)yI~x)W&H?+6H)gK@Yg=6|5ilQU#Z&{K~v}N zZhhWo?xLRJq>Bj)3)!!L;qe#DL5jGa)OxK#j*c~bG4Tu?mHA+HS2F%dwS04R1{m`3 zZ(hqNob1JD10pM^5zf!%v;Y%(g%`*P%$&xYO<~bKqu)b#|A?ak=K^68Ej@)w%09ml zmQ)(}JMn@KURW7`>MRhZl<1(>3!bMATbd7OPL{4+V!Q-&jSE2>F3PRCtJaRAG;pbXmak8plZR zAbZ})F|Z}GjOK7!y;5J=Er13K40_-$WAd{9sqH||LM6dpz#Eh;z4YZx39O%XF$qT1 zUX~If@nGSuXb9TJJRcbr*}`eT`XuN&@Q`${Wucy-D7)IG=K7-TXV&>#?2=cr>CF-- zC26E2Y?fg=+4sOdIOo`b>4TV#zLhH2C(#hG0%j61WL;AM%-cscJxdD49jNt}fxQH)PBh;6T*wOKyx%cQUJEr}E1?)c?$MoQ&vEhh z#}Fg`&dWO77H_$y$v`}uf{z8NNpN1;;MPl6i1@RaOo<;l#SCPTCN269242WhvWA1M z@DaC#H-kKw$&8l$JvmA;_^gjFAfnJ*PCkre!*qh@`RGv1u8gr1CV0ZUaitGK96CN? z*_KcKHvOPE5X?{;a-?i?)eJTg#EZaI0(@Gj8Ngy17Ujg-00@D|=zr(4apG_7UCB|J z=Z*j?1(u&5=oj=H&MoGU)%#V}aP55sokDko@>LS&zc28&3Hm@2xKez@h7a`U*S^rK@+b=2`_clGB(3+CZfScl$l`uRGW%csg+%O% z){W*0y-%O+0QhLeSU)X*9{P(_UC#%tu4Pta&7f8wdlI4ER0B-9BAj<6F~$!u1vZDC z?v$OZg=7Uz)%;-;F>bmR^Rv}UT`EbN6_ZHo2i0bXOj%LAHKP+9Wl>#Ef_?r;V_7v* zo}fs5C6DpG_c_nJmI15%obd>y=YlU7JfY%zrFJGI{S{N_YG9 zd`vysGIeD{R3x{lnW?eD^x$zT5VgwWfjI+Ml0$+EogWy=Nw-HFGvi3MgVs-1jAf#2 zzq%j5K)M-=?`ORu0{CLS7-*R#>_w;SLz2jAYdJ*F1wy_Qzll7)^$Tm<_m zM`=GS7dL?3Hu~BxZ$UPy$d63|rxg%Ii_@TZ5riXI8gb7D%H=tU9vmar?g@zmnwk`Ff}Du2q(A&!ZvPYVe)lONwBC3huETN z%mxF7@5{x@wc#i^f&R$sWAYToPNgLH3-0{1K!W4tz})r<`GNUF180g^%*+u;#YfA~ ze>YD#jcsZC&L4ePa;UNxn4qQVjP!%%K=Ur+571|k(CcT4e=1FBXHXZ?LC<37Bk#$m z!9l;AM0%%!EyjE?D&+gyac&Chi{>R&BFx~(CNTD8pKBAz-u&2!dnS-BC2&(9IV1G) zIz6^D=xdGpfdUmpqLB*gH^_3Ok0$rKt(S9jf)UWHq@W;E0b#~AqA02w#JH%+&)nJe z2zSO!atTV2+1f6E)cm)lZM^%$z3QjfMlVtG>BK!bf18ERg(de8r9dX$x#~QCHzJ?> zEgN5x^Mrdy&ktk{^Zj!ecb;MnxcRn52|!uFctiiViO0yMb&H;*^P~L+`!Iy>H#?H^C3qJ7~bhhZmAnc88&SgxqJw2dY>(O zqvuDWUSP_ppC6>p_3=jYgL~z#ORc#T=Gpl&O(Huhpa*#NVgOV94i*H7G{a5L0POwg zj?2zQfYdm-kI@v!wB<2-0(%1N$3{f00&qeK1$p6K)1Rr5e6gh;cw_0U z|E^hVwWgxzzU7QivD4k060N_%p`o_I<=;v%H*mE!l<*qp8qcy|FGumFC6)2>V42gc!NvDtR%}FK z^;csLZ&LHaBt&L?!w@5K=`K)BM2NV;YYy^*qtuXSqlJ#m4@ULLg1i zA11g@n0BZfwHG&@pc~HmWd|3ZVZJ>HqphmQ-Hzf^OA%i=wYz!Uf#XnV+Ff!)$A1tg zHM@K?H#GdceNV;0@j3g;i_d)a7hz&Uyow!VSWbknMlzqhfRb9%^tnooYJ;pYD9Q!p zwkg3~K2xzqtJaezF_0stW1z1|D3sKLkd3!qSOs}*(o~cobxzn#m2X;l%cV!PXu_u@ z%k{T`urE^#?U{Y&)6F`tF7f|c$y}VruwdR`@;grV4eVOEi^WfdnPa^UJC_2|t-d7( z|2|}^W5xU^uskxJj^u_CtPc}#I}Cbf`6jIfZ;np4X+uOprt^cUjx!UW-L%uQL*@XF zc%$+-iQ;Lz=60r0J)=(fM)=7`2zEg^eI8&9Wo62xaK93-_UxxMsur?cq(##SvE?`6rgw&7GkY~>->yrY5H_({Y{*}_VU3v&E) zsgy(OQ6%OAdg_9Sicp~WwY`(ldl{;OVQ4BuuU}kf=xv;AR7nbq2{j;Shk(xwISRbH z=Kgnp3c7{tQNa<4;{SwPuw6X0N9?>butnI)eOLR!M3#H1u1ElqS?bGm z&lY3fsxN$IHEIAIfDvSb)vqsdPGEdyI(Af`W?a&Kqc?i$Cu|z?UVpQ*i)iSZa+&sU z-f+i*2D3;cz_mV;U`3Aqz-a5$UtmciIuVW6pel6N))dB`($MiCCXH3>o&5rqdzKpC z+j$RxxsOfH=8L~2qIgS|XE3uVAa53PkU!Q7bia-0MpjfP{AewN{4h5V=C6Ix*Pu@@ zvG-EK>LYe-CIh#?o}*6PC6+j$%*%Vf91yR_=hh}7=I>@+hk}jj;itR9=BQHr}|ZKZJu~e0Qg0V%zM; zKKIERLNI=Hf3EV)QFqi?4iIfIhaT~FSh})29Yz}S8%Ww?7dzElbPBC=GIJ#6xeQ9p z8>AQS$GBNGxO#jtxWuf2B^})`Etd;?h6xTWO;B^d3n#%U{0iYB-0@$i@Vz6Pg&gcy z&L47WCxR)$;-kj1fj~liNKUagZ?oCY%9E-q)V#J9obt*;U!#;USWyjR^T?qUoJ;fQ zbJnLL-q98#r12!XxQmK=0HYadz7~O zJ|Zt?8gp*A`!cT>>`#VaZoW@1&)Sr_&mS~m6660)mZmI%bN!phodCn83##>2y!(&0 zZAR7I*L3>~gF&LOPiP-39fY2@Sl~=V(*BVWI(%~?M|tf++1_qx#$GEtfEXJC|=!hU}hq^Bn26A+@dg#QIs&3S1)jJRCNWn(7{VQyYp7> zTaSh$DB#y%wiKFUPWy82^D{jrj9THFl=X7$@XZFOYzL|4U}}2A&W=qN&s1vD-=xf? ztHE2kM#@$+%829Ht?rlPc5r!`AIi1chT{5cbb=|a{;v826GebmzxYT$P~ykecNty1 z61&3#i$k}ZUWo|+2S6fC>aiSR*sK?0?9uI^qk*BGSrLMfPE$j+52(|voaHL>|Iy_yOZkg7igzhT6wE9r9$HA z|8@;=;nX=S2gyyuNtl|XKouKijo;ND9ObTCp{HJ@!HH znqZ4@+u1mRJ8u7bRK+jzY4t7Rm!g)p=@7eN?cj8Ty5Fh2;o(#Q=dq=2Bx)IXFlrr$ z1}pN?THjB4cGed?FC10EhP@W{G5!U2N!>&a9iAY2Xn89?=#{TQWtGPc5y@|_!=ilS?@jm&hW*zb>cO7=6b2Lef_&Hw z8+f1DaQzpCGxvhX^I=kdX^Tpu&3N%g*PJ?3E)8ASl)$@(46RO@OdmiC2UZ*F$g`nh zrqawsk**xm4WL~-*ov%%e#E&r&*M+zGv+{dS+}!06l-3a@j2{m`EVF|N+$aFDQ1Tz zJ<8;DNDi(SSxgGr5}9N2U}bS-i|K0ko}1%wzNwL|2cqVd4~0_Et7c9GP< zX{+_Uwz1q7T;4T5SKRuNHrxH740n(c=Rl~FI+3K!G9Avz(cP%R6W8{=wDvZ8RD*k$ zpnup_%$8^O6iGcUBd841TB+Aq3cKaO`nTf|>3q++EruHL=90xuD?Bgt^CIk1iVR$R z&`S9tg!kJwD&u_Gt=Vw=7F@aE)lJtYrUc%!z60VqtMXZ( z8TQVFK=hJJ`7jTY6lauq(qmy}9b6zf-$4<&FTxP!pvs$)#`OH>T&$vfd72jRXty=< z-+lbswAuq381U6a@w4np*v0m83H!ZyY%bSg z+TFbKl!)|L8 zD-k}gth6-KA06;)-MrRviHiT&?tAYX{;fWdm5%PYMF|Hr17ojcnJ{97+|leNpqFgG z@vFERWIV4|>B!MbJvDF*W*aFtG9?oHj9hq&r_Ni^l9Vd{*y{Xe5SVcB(J6RKzk0^M zxT>Kwdt%(fFpqiTGVl*?Ec}t?Fi7t&ZC_$cFw`NIZB;o=#rZn$s2<*z9H@(mC`tL= z6q}+aYq(+Ido*!>oFclzM20%ziad^-N17b_R?@i*3^p{WLxDVhZzjP|UZV+YaGdwDF@=1=;gM%sg=kIEqJ<*g`o+2mFxI z=l5T5T|4k-Dr{g+oBMc`J9(LcOwTuxwXP$T6yQ8G{b})`^>9vaC70(0-&;IQWnHN8 z?6qdL#E;*c;AonLm+}lBPz0N^F3Ozq)WZ!bK&WSRJU;m)QcZ;#!OvocP+J*! zC=I@(;X-hMa75!$;p;owjW~-^_rwE(O;#ku`;}fQBOOb>FE<&OvLD}j2q~<-0oJ|f zQ*#l7Woy2WY=P5|C#_U(I-*v^w&mH2VLs@`SbrHI9a<5OS)t`LCIT!@DbuzX zMXR2sLW9)j-xkZ=5znYNY#L0BS)ofs{#7W>s-^}|VG;W6w&vK<$;Y!-%)Zka-)9Cc z1)?oD{O?O$jjnku)4VKWi=ckDielsNEDK`%cHFD;$f8q*`g0&!>KzF91>H8jlx8-9 zo|Wua)RD&!{u8*jsM3_{u_Y;-4(7Nz1*978b_~p83NyvMCU21#^S(L|JzU@(`5+Wr zRO!wPCYM!3Xfv+-1LC2p`&{Etlz|qJ!}a+seB>*ok@M))5H+SnB%`xGY-SUD_Xb5k z#Xk3(`EgE9t0p&{LdGOcKjzX20ex%2AaDI>Nvm>(gV(jrpo&L}pg!1niO|7(a`EDY zS@X53+4h)!X94kU)f+?~lzlhEW~^*H7hk^FigMQ`;)RagMN{J)R0fGmn|XxOJ~QXP zlwXya!WdpNH;1n$Kp7v&bA*$MsMYEeiZ3{l@L$01OxaKlbayb*)k&o#5l`?}p9fdo zvlFJ%odV*Mwc{0~;pYY8G$fBb20A~%{BJuTpq&8d>Tqa#O!m6e_+e4;Su-F4HaBnIEDWLV!8YDZF!%~Q761&d|wPOgKhP^^j&H|{!Z zP|5T(#puhj?-t|PL+OxLBk@b@oTr1WZ~XTa&T@mZ_1*@PorFoA-K*%Js;;Y> zTYJ07Xn%d<(1#M|8RBv3Wi!SVbBa2`WkS2!p3??ZOzRHcPWB~^;0L zt69g_^U+;*vhNc$-(la>lCz7-_mI@?6Z;kOjC0d z+E`4DqE(<+dj7c=ffuMociy$a*YuO=MJ zLG?OD_)~)%S7Wiy{D%~bo4Lng2HRNNawnpD@LF?$H}TTI{5}*?@Yjn3_glIaSH2B- zHGV}K9<3i1RsJ}0l8uUD_E}^ecxB`1l5zc7a&4zgVqoR&k$U~fVXHy=OzpAYDfs_V znYaNLp^AL0VF};UFa~od*5tH=E`q zq`M^rrMtVkySuyVZom7FhX*+9wb!gM#~d^A(XY$7mt@Qv=C;*V(*5vg9~t}Tc{&p= zMT~4G^PHHlXQN9er!^6Y*zD$<8m*RjQg3y$sI&=IW@5ZtlidGRD7zJ#`-cr}I;DZa z!LBCtQ8cvK-Yy=y1*|B@UzLs*ie9e8Z!9bGn1;)Q{RY9jlldew2LpuFx~Gi7gqLOtt;wIZRd$ySfY4Rk}#;>P>eE2?39muV{cOj*K}$gRXSMt*gv3oM9V=KSX>dfjMbRYPHcHn z<&ui276^RbqOCL&KN|ni8JX-+5ZRcZcR$g6IAV55ow`9)d zbT8leCo`%QRg{efemhD(H%aeb+gUJY?uS|14hJ&a;yye>gz~QWX3wNUnYE;RtZo(L z)hbl`&NZMxI`IU_&O(pvS@7Orz;$2z0_&u7#p%~bUB367l&bC$QFD?e;l-X(T4RuB zS;Fz_P5;CI`WB~$YbED{i2!|seH8nU@%B##ek;f|O|;nq6ORWoTGb6TpOi2HsU$5| zo_|@RJMc`TiQ+yV2pY={4h@*LgAbkCVf|0duF8-BIyE&BXAd)whht zb_F+@x&CMBJ7X6LFNRDc2Kn9wM_6+}@CK{*kZKVshie}}m{N2d8XtA8G9`hfy~VU76OzNToYqpv1c(@#b{0S z>?2M~_>TLgykWkK!gYSk18;yJcm1k{{-`88a;1VA&dH-RZ5D4qgeWMxKzyJ67EO%(VZqBYXoa!qc72=h`M`{-t$vl8@M6)104Z_D%iiie zeYfSq)i)uI1K!j<4pJe|-d#M?XYE!)*_#=Nw%`z-?_LCpbTJSpbZ>amt;OCO@ti4!w5?#JUh8Y6Fi=9Z>_dpl2XJvnw)H_ZSp86XUie;e}iXHprm@0dYTmEjr zm&c29)PQQpLUqyWd$+S16ycji`)2S(j0oMREzY37+z77_CgxX@(mb@5XN_FE*gA)e zy=q%cJ(G4EuUv)-W%GA^?MW7SdDM`iA9`nkk6|=m-*T5!^NA&&d^>=U2WULiJ-NC6 z+9jJM&jm9Tmy~DAEzx-}& zvMa~9uNvKc^SQ+9S%mPO=AB_=Qm9Y0vMvW*=~2|HzeGVDwPt@6IJ7Yd zW)-Cp4XAH;awAl0!XZ*boE$7Xk`?L;EdRtHy(`&OX3GAweU={>Vyvhvr;b`MJNA{h z(d@H<3pir_K@hrm5W4x<<{4)u2S4UsD=U~T9ac`RPOCna?xZW#F=JVzt}`d5NqAd^ zW-`^U>cTfi%un)p8X!EEhmdNHN)tuKM&XiLSvpE-;(o*OGZEUl3@G!IIi}0$tn;gl z8{pOku5=;GtB|;^4#j!Vvs7_Og&#B=e3om2)O7USpNwM-T}lPJUrCd6?7Cv`IPNau z`pv>sSS|1{t$5xJ8fy14w*MIDjw7pjle1>2X0u{#=l{-M9C(N}m)7R0q*e;vCi17; zVCnebyI}>Xhx2=AT}7~ghbUE1kR{MDYC#yD({ulsPh=wlO4g zE98kRikRQitgFt$E-^CHJhkQd>#k}|2lo+#{8$`-SOkel+r#o1?@Q^5NUBSv_BFha zhux2VM+Qe~zs_-iB|=2S8>xOtV5Gnm6^T-oyt~SSx>nRMh(0+-FpzUHikSrCH+e3o z?pVW@c4^5uPpyJGtf%L~qip_E8EOB?Aa~Bwek*$)! z{~=7=y^!f0-gX=)wXC?3)+;IWp!Vvg{4xZ-($J$AM(8v&n{YTizT+*H9rNA3C1yV? zHIlYpU+aUO%(NZ#%fHzVro?F#d`U4y;1l%u6s8WR_2U@k`q-hLUe)X}FPivbe|)T+ z_~PaC0EjePCFL6o+P5r^5hfC1+9!wecpQaFlbLX^JKc-DxyLi)H(a^?Q*@cTF(M04 zEH!Bkvq-IE|N0bT^>Q6c(a`rc&w~wX&6;f{_79(wVK2sFV<6-D*p@-Ha5mR?S+)KT z|4luQptk4{-?pWXOAr)_YR&)dPE0dihDr<+ocoj8u=VKZW&$y0 zJm^A=dD2?IZqyo=9OT}j-L#U+F_8smH7OR_8Rmp5xn!yk8V9QlKv^ zltDxZ<@^&X5IOZP8V9dvhzQ>37v@w^VPJh=G?p~Cq6>JP&0XIq;MWWq=$6GW#+Iwz zPd0_#xp}L^V~P6z>JI&0VGuBMJ2b}AUQg7=6vf220t+SSq7?cv>Vgo#6ic9D(}sw4 zfu$&o2Q(xRw4}f#^C3fiodM*(cxHBwkpP0A|oD0IaU8MVF@`BLi~TK%5LqO`tKtrz4=$B^%@P3o`5LnRKA)R zfx34E+NPjpYzW~k)t3Tt0KHP4i*huc{K&A2+>Ca<`AKD=TF7}PZGgRgw|(LGV>F$l z)LwXV|DctOhzpY#OAmT;JMjQh1sSP%Bw$l-X+UaF~GpKPk1SMeS*To zmSqpc)04Z~ZG-?rB=LR{?}=Pk^$*Q14vG$5o>rzG_xHXjSsmA&1P`Uzd_hXg2g;t4 zSeY~CA0cJ_3>^*>R>STF7aI;nQGvpBi9;ka4(mUHZg_1pNbI30Ji_s=BIw@S@|Y8z zmFhCNT1u3yVS*^}KcK(&n_6r;3^`_AnFQSkOaLmp$Q6KLC4I)M-g3=e#8_mu_&cK1 z+O58ygJw_wk}loerRQ}wxxE8aZO@sKKW)WeiImyibz}Z99AvK+EW09PhR2pCNm_kJ zx0+lnSU1;b6IE^d#I*T}sGa@7iyqImEcdTB48hRZ>R5CCKoQmeuH(944H!1)j)VE` zh}xfcMgwZGmI6cx#zV|qWbxw)ktow^mpGWYyY zGX4C}A`}P!W3ta!+Xm@(U50<(!137Vk*S-2E?pj`WT{n^osDA4Ml`~$uCY-2{5Io} z)mU~|VPEq`hUdvN8VF4C#G4qO54`&00p}71Oz*y>^8qnC*EPiV^3fFv$+Sk>2}s^h z_znm91<4fNqOv`kJ`uu-t>7w`l@B}!Z7$@D)YpVh`w1j3PpUAlr-3OYku%8g0FHSt zx6o7QPZ|JDDVkzh$Sm>L-(x;T>F>=1g~A}WP?>9*{PO^qyxKvzF8fv>OXf#r$m>8; zM((I&I|yR=N9pFmI9S*18O*53*T6%-^M3v>< z635JB+oESfF~VT?!|~l(lk>_jfMho}?r!IrLwoad+w2ZFu=l#Z=D=cm>U#=3f$MgB zS?4&xtkC1> z_+Sw@d5mihjVQjqL%ex;wZk^NZnRX-%`tO1n~lY)zKE9daD4PCTE9L*WT!}@O0Z!8 zK2w>^WUGH``5{86`onhecj5C3O9@VRy~N|}q4pkf?cf`HxJJov2%;RzR2Q-q?v5@F z>i#d9Lbnsn*+iH@@9+aqgF>{C3@LG4IW#9Cs||x`v>e-d@N?3h?DYn_=KLg?X7gOl zw*xo7-t;66rSLAdY=(E;s1x7)(nlZ%H$VI&5Xt-Yw%edX%9N3LH5v8GiKo10h2Xfb z0Eyv76NN!{3haDY*G@OpQa~%_#_J1}r?-ULh{8F0JB#P4;P#A@7lTpt#+?T*FB@S z{@38vpt=7{8f_AfE1kF~IB)kT^l)ardZrOmM%x(O{^^7*;zaLA-eFY;m5|u9?A4#F zIGp&&-xKSxEpegpgJ7|^iFsajLnrqYcN*?Tb-hdi8KPGpk952M+sI)e zUVz|4HioL$d}+R?r1lY}s&!VyTylH=P1Wn^p=J@!9(ph>4mP5hkMw1e`#9MrTt713H*n;{^x?R7a zM}JE0r4lHu5eWfZZ`Z-!wRtm*4Hpb#J)~?rIF=fZC)|5}d;+naHx0blaN{0J^}{9N z2dgF&$G}MguLDbI$LG34ikDrK57hBoph&`!ZI9J0GrD)UZfmF3gtqhZZQwyk?eRjw zhHjSeRDY0RvH970!{EaV4xH+U-oIK)fT$UP#mP~6^N?_6jff9)foq#{eK?BO8i6UI1{IFF&-u>bnjkk;zeiZrLZ1Ogve17*aTIxi`SEizGaXJ5Q0O*Uj21N<|YJ!z49uO5W7O<<+WTfZK6kLp~i=OO7`nGxG| zv-`>A|2*#!@FL`rF|`s6#9M+^b~ln>vOMzrMbxL6cDhC)@hLqR$}BojETBXJ!*KE*(Zp z30Q%x4vLV1R2GOBI>vuy1`^ zv(a+fd}*BkZFn)?_CDu4+@Y!cwi5`#n%IUU+rn^pajN?c-Szc z18io!jg$OOr^RIt={l8UC0`HG@XConVCRr?$1znP`)+^gk3sQXs{L@XtulC$css=RfFH%;2NFtpQbO=i>Q9#4J^ZyY`u2oln_ik9j=KU) zEE<8QtC?=W@h5o#cC{bv1^G^mKtJOJd)+3CBe1lr&Sv5zI#eLh8%Aa9xL8j;%hr6W zYsZmhdxRRzzMa$T$6qkwIz4VU$nB`Sd)A{7qIMae_&TjCjCUs1TsQ_;6u#>V_)>lZ zF;~lsd8{aS>QhQjPq7^NXy}ti(#J=55v=5)a1rI7O4}C+Ab7~IYuL0t4Qc@ z(IN@@op04O9v*i@7SzXt&nZ9f=z*Ts;h4|Vc;jA=o;uA%%UVNVhjiR9o~qoDwZmU) zLbb)M%`)WUTE`#NC^3M3qb|>SC_=OGR_AFPFSxx~{AQ{|XSS?09EnOkXU&Gg5yzZf z%!DN=^zOQ*`1=2i!)3#9`9WRmOu!{7d zagAPXpECi94u|}zY*10@c*6=Mj~={RVBJ(S?J=oyUdrOt^ZrbAbIpY7msJ-JNMm z)d*b6{K;TO)k_t_>At$}F_#01CAqsRV$&U!4>T`3GA#J;T~kD?f9m&&?$}1!0Vw&O z9X3;e>#`V-6cR!nfF^7qvT=!&Zv;2lyz2W7yhj@pFNqG%vptG~;jExLL4)Kw1x9!( zhC;&LVv3vvPZ(uP2s=ghz56ipRf6j+YdUOvxaW;AX)Qln%DW}m2;qAE1lR{=V}jlu zEtj^0Q^Hck_nwx>xIdtTsen$;1N}(N@h-r;ojfdXg8m8z<&__+wi__Wt?jng1^3a! zWGT%o(ObIyuv|V;FDh^zDN!EY!5{J%k>+yJAQ$cl_|AG2JX;y&}`cS}b#02meci7J%isSv_!p*1UBB+Cg^V0d6~7fA%(@ad`8 zwFQIL@sue2ZyuYE#_l>uEN6nm=JtCSC7H3&m0&0~XqLE%F-qw*)bEv8+CPQCEOv66 z{Y)mZ$N8h-?!BuBu4I@4 zS92G<@>NqU|yGI8P$P_r{l$qtI57`Ufc z9m>`aCQ;up&)LVbna%dFO-pvN5j*&~H@Ge@l>ON)X#8;VdYBl9q8*Qf)j!`KoC@Fl z!j(Zcfyh1{Zs`lUM7+armE;Ka%mBn@pFDs2E|!&f88+3{6031s>hn&2v3B-uur?7s_>X za)Ueoxl|ejpuDwGLoZVTR|*ydb6XFF{vlT{ciVc3DAqWi-G7)VR(}ib{QEB4=rYy+ zpjx7FzFUZL&XK|^bbi{z%=zVys?ezmo#}OJm7lbmSyxkpVCAeEOS@q0VKM%|i72s9 zvFl~q?(M`{GP##oUkCHv-Ah#XeCQWVzz$(>KMw0~H0c*>UpNotOr_hD zGH&~99Rh}-M2EC0D<_F?J+b_-USJ~DqQ=xR@Ll3|dXUH(Z0f8}8_Wpyy&Dl<455nsZ38PoBdB;8X+%5rbrCGE7Q#M$; zA}6f9Q6Mu6gU#34k{y>8`2W62O7(`#_W~t0BAt!LM5JHz49LOhLFT7bZ7kLnloo87 zgX!Wh@T6_1L00sQPDohQAX2TluT9CxrVtiKoC zS$wR#PRwl{eCYfXe-8%cGj1&AJ5EuIiyMmFSFImOSLD1%NQXSv`=gC|xr@{9zl~R2Pa$>;}Q^L}J3QD>hqaavGq%E0MdDu;Y%)_MHa4g2D zI>^0-q#ljX9G(Fy^mTo{+cD&WpTRQ(qfo0QSPO0^r@J5Xtt9-f2ApcOT&QNU0B$*Y5l+abWH~G` z6^CPUmnjFaU-{k=%$Dv&f;%EUG%(CesJBGP=oHcWe3#3ZvTVHcgs63K$CsfP+k;HJ%!DuH5Hz77%=E{~!b zp<1|qP8%zN92WH`vMNgwHw|mQ9b5|=tfl-Ov+<)Z?!)MEg;%CMF{_BAVEmwPZBu)} z0VZIDTDWK4Di(ks(+C0nIz;U>KxogpqkF?Dua%EMkqDtvV_AP+5ZM~?(3EEf`c)=7 z=wskA6sRHd6|ipD=%vDX^LyDII*s%Tm4v{oSi)kNJ}v(A3uesG;%4%9L5IykM|X8a z^2idyGB6}BfsH;ntr+?*C_~(94vizUggNaJYVRN3EFob6<$Y>V2`3A?GQ;=6|K%G! zY$eOx<}PZ2kG-BO)oV)&PDJ~p(a@vEYU+IipPzqL9b{91j4AK0tBk@WjpvraVH?iK zhkDG#dn&p@en%nNBZ5t!eDBoL50OV*$F`o8>&Q=T#j@nT;70T^B6${T-IAIIgVM92 z|1P^V?q-pI)VT-V7o&tu?z;{;TuQf`3XopNVQ6UQyOVtV&`RPu+15Dn*ZDeml{Zek{%`dV}>bG~aVzGcW>bI>SD z*at60+}E=5tPh@T|B|b`W&}E)7^J*b1pX8I#S5kSeN9$T&lpPZbpcai6g|9;ztj9o zf9@o!S=hITOFxaK!;}xzKL<|$tCj=sL2|axQ~^8}(jeTK%{k<78pNkJWHf%WfugA& zD}Q|>Qi}jvkvDfCx?nDMgVN8f?SToy+_u} z4zEuP=ALN#B;o(4WF6f2PdXE*b#&>*ln~WBbMJNvn&*W$ZgS2MWJQ!Qb-ShjFmkz9iErUm;!EYYLXcg|o=GY3^f+U0> zP675wKDRtb(BJ`|&m6r<`gS%cRWLzf8z`}6v>{1|`OsGVkuoPaO6}8Pui6;&TWuZ= zazTlvhhvRoz3jEoLX205d2gf2{hK8jJDGh4Hch==3EVuze^2xnHev14nwdV8nvH3y z8D9A~p<~g>ox1`nuj71NfweP+vK>6S;V8ZvW$!_50cLW@RRt?Y$ya^sOV=)v!9bTF zy`KOJ0mc|^1RMrMy#!z#c`aQJovowkE|n}%wRs?iT|uguA7w2w)w1|qJM4c4UJr6^ zhaMjFX0TJ!>xq=m|091#Q7_vd{o;tB9?>MHYpJaCBh(=#tj`Oi3I@ZJNAtwWTQAl1 zptlmZJe7!sAnjQ|G~!yoL2`s!=;HFv@|lmeT950z5vslop-h@TMVSrZNcL}|dHi|yJG!`JA?TZX=Q zNGAzV)>deOPGoH!;WO+v$h+;SnNzdtlNM*#rB6Xcj7nWkKK6uwz|eZKo?YO{Qx@EX zR!ot4PTpoFU_94N?h;g<^vntLLXC9(J+;Iti_e&|-B05F30EZ@2Q3<1^(R0R%QzR?eb26Q} z2hwKahvIqF=`AgO$;C&ML|r*E0J>tp@TLt7l*!JwEO8f`Va>qe&>_8lpP zc43K$W z8s^Z2cDD<*nHW?Rl?E?fTs3YYHh=&->;n!O&F3_&Q7}y7o||*fJ&QP0ae#35t`=WA z#$83A53(}Oay(LZh&2;PoVbv|C*k4EbNa~g^qHb#M|0aQ0wjWxx5|o@e^NpZ10{rb z1-_Y6{0Vxujzz~6$=c%KdqGz+IcpxvN~;Ou>2A|?SS^eI%Y4U=S*z>-f9;lD)`k)# z*pl#Vwu=yf53Jz;+s7RL-j7kSYN9WyaD}mUq*tFUlZCx*~1Fd&D4 z6@?a)a(n0&(O|f!9S8pppSEBQy6t2O zLj-b0@DLPY?}0ZVTN3}!vR8oiKcoy{DBCE7vz{rq@^xUbYc&%nD&kpah)~QJ4`$E* ze%FpeXoA=dBb(dJ%wFX9w?8aIn$R9vmw0T{@SD8*LgsW~RJ4pV;@|&2krt^G$^kwN z$wb<8_;4IG7*W@~6}Z*(Ja*}h2p-RVQRxDeM{~SShr@S|=+Wp-k7q9g2_+w+`Y=JQ?+%ot$BdshXv;hwH;1X@; zUqW;3b>f3Y>&dqibhp#Jch>H$8rbY3O0B=U-;lB8cwwNxx=N2FegS&{(jFIg4Hh`)`I%mU=6j&H7^G6Rm1xy4beLl)wRDZ$Gqv?C_Z;-KbYfJtP#2NsraZt!haOx21ifb?e~F58 z`Oi;cCBhJ&?cSmkvM1o62DXmeJw(_%gNn_L6t5<%e4-|x;J6chFkSY?TRD(Ngxq-@ z_T6$lIZuE+ckJgVf}t3fzE3ANlC(DyMYbw<9v11ab52_D)4(}7dvGCxi{GU?v^2ar zr0sXCV1*HIAn*3?^C6XO$|DQ#(y0wJ5;Wf@6D|M{r&15?uAtlMkkr_jw==}iemSuM<+_?_fO z8^0ZkV}PX5%mJU{{_Rqz$V+_|=%I8Tj|Q=h)}yGv<(z}#`HIWbH6?H{4=~BoFZVMt z9;CYdXCjg*4}WI9zUCw)Ot@4Ar=g;@iAM8C@^*vU4(o`<|J#h!6MRvj$=AgQ4@ZOp zJR4J~6rA@55+>5hokAY6Ob9rOTQHkF-J7?;gs#F>L_Q~gD8bU*(9V_huY@kxsITU0 z5hvHX?a8d0mI_aowumo(^Y?vEe)i*6&gT(s?Z-QhA@yTzF}BXHGSw(9gZEc|413N5 zHkbN%c6ghFwr7Wx2Dc1jEg45?YS?puY?h*_P6=~X>F}OgoAQL5T?bgWrk=lpiX%7) zlzdB>=XLiwx%G40XSFJA@ZNiQj!`wgp5Zz<)c!9CoxO3jpIM~F;z*~8ERC2Beef-M z82*_5&=E`K&czjM$zGB7ZH})*U9f2AtgRlkwVdM1asaZU+$5&J8(} z?wfQe4z_yy0a5b?h5N(BPZE0%I}R`tnpwN`zUg=WgegC!KI(8`wMfUCH^)c?q0AJ& z9K07ZQ1GxuLUhdZqp?E{5^YNN{MN%@X79+u*UsXya^Q7!uz~PE6sT#^tw;Cf#fojF z6WcC{YcXf+OYfGEAe}rlUn-y=grSd1#2L@2fiahpNPM>Z{n1C3{QP^no(34#^fIyKOnFu3IX}4?72aTFMKx!B0Bi7fQn1TY(v-DV!eT8Wd| zjf%+9`naTNlJ1GX3A~(!r@|qM#)i&aAJ|W-zU_v~Q}SNxfHJ(le+{{3@7SFn2*mod z(F}}O&X2lHo<;qj<_c5=HZx*SnRa1l?A{Y}H zI)(^WN7PHPiP};8K3)Z@gHd=Cg-`Hg^WilFj>&+4^n$o=4Y+tx8wO)Bktu=x8{xl( zq$OBn>O)>ZM+Weaa|z-f&`nG{Lx+pyrU6{dYYF{}X7k}}YGN``yUk|*8y|RN)%j7D z$Wmo+ha~)xx~>mts+pY}M7@81SA|U6*@b3zU~@FB?`?N}^Igyh{QK5VUAL9jtLNH= z7)#oP(&9bmKpmanpRuHyA%wPFkRXMPhynRhPKJjpkGzK}*MA^hM;>S#z)~dH8C|WU z4mRj%TT8#YDa23rgS0E@#8-kdMtvFP1BIQV>tj}P^)Y!O*#kIw32~)xg5S`PKVf1p zA$cZ|W>`AYY^r;x8}nYF~M4E8NpD7OW%Is$c$oveTD`t^&@sy-u-%t({}yt zy!O=`km1<&2hw_I;p-Du-rVf;#3pIy^1c(2psV{EE788CKBX-(yC|)|iXVmOrwmbi z;dbIv#E~VPx;VJ@V6IptyQJ_Z$&A0X3EN*If=JV=B9ys8)a;5t%BYUu6YyieHa1GoS(|X+^o)E)JPHiqm#TdAm)$4BsutSua;e+% z@`o9CNC(?4K(5HZw5q!Qsm1aPve(C*fOEJF=lW_>K*ATV1C~Q)?lrLVgH9lhZd@B^ zTYa*_An5&xEzhk!eyorim{_7M5@UfH_>s7%q(n==k5Lwu(S)sFdPJ=e8IvNV_?(|~ zRff6|Ba-E@PZG3wQb)JmIcOY$lw4tVR)k`sRACe^BkF2KH;W*^yb`-yb=kmZDC#^o=~yucN#=aet>~8Ew?tlx zuQ`&i^WlHdq_4%*ge$3LXQ)tD7txC|$r<&F%+i^sx8;i&-M;sK{x^dTZB)s&!Y?)- zGj>1!7y}Z|=d!7nk#8{aU&#;<#Uaf`nER9pS|*!Ow`uE&a0x+~Crim;;BrB($KhXo#p1T2*y z(8mu&Ww-5$HYva4Jd9=eh%$K~v``21QVOCwBM)%a0JO34bOQo<1ts{?nK0kdtMNP)I zEXr%41?+7P-S)6Gqux(!O6ntw?*MjDHszrH6Bk8Ry}$AWVQU?`rNelvnAs4vMmerhA4Il#3hRc zwC9XVOa*72T||DaVZfmYc-midn?EBN%9m37m!P-h#9_A8@adyp{}QXWk@q4ulI2Hg zkFDA^SCy}b$(cmS1B}E8u3ClL29LjKMWKK7ID)w$_8|^gEP4rbR{63mg+2lk%()) z`&|9n!{Xep|4UO9z2;#Hww(PSRgE0S-~ncF`H+FmH(bK`u1w{{01G3hsm_3Ah$zIx z{B;Ud*k4nmP)(rKf(S0~@q3@{1Vu|val&`6!|&DJD4+4jTMfdx5Q}I^*)fO#59X#cV)R=*X8rgOYxUc^ z-8kdOzq12KsB?{app#jX(O;MKK-N}#H4ft?^(J2u{NeJ0s4#8gCvqtiMWs`bsc1FweSJ}=8*oS697IDHfZNp@}m}t;3<`CvdzS6|@ z>-+O^Dn^x*L6Ep`8E^Zj6fS)2s;Gpmj9*N6X&b3J}{Z&pF?;f8S`tC>B*4w8-kfwPrdJx0B;;T*~ zc(ldDlvj59v`{1>Eaqum?&5ts&HP)X#RrS7SFb|Cd_sWuK7r)E>BWG1)t+WVH~hQ3?O{vQ5E z6sb5da-z-ea@~!}yxn4aK`DElR^W8HBbHQf@Fy(2UpbwwFg4FN;#JGIIh`Bsm+Qo( zW$p*FN=quA0V{|L^_Yhz;8MI zTUzfJp{Mb)MONnB`1&qa2`dEwhuCCAOHq&f;^WeUeCITID2v}!rZ70N4S+M-tstnz zCH-IJe#Jt$#BpOW*+s#cgIeo}{FBsI5^~?3nFxzXf{ytOb9U7)ys+UvdqB^+kUIM9 z;JPO7u;%5^yL6h}thlH`U9#o32c~6kc=uhss5+>|CiSr;KgV!v+BYPDg$f(~gF%~l zq@^749Yr5NuTwULVclj5m~WB-Rq~Alb8+Qb7I>@}@k4O_xRmPKO@r+V?4O>=yL3>c z7K0BSQz#QZ-6~`?iE{3mX@E+2g3I9-wKBZ@zIZs!VH^DYZD>IVt}ZY;NGQYj;P}~# z_Y{1a19>7Pk12eA@T8FZe}t+|y+RuLuGhx8e2>JYniP`A1R()@J^#xrAPXBv5gmzsF$aX21bplFAnfCt4R7Lb6Zf&wF|r z2UJOOSMvphL%vN)#B7aVB|_txS&y#|GH+_z63@$!1PA-$IbDC+e93kV8LSm(sqb=z z>fvMbf`_T29a{o7H|o>xNP?kI;ZG({_JHl}22Z`TisVD6xgP;sG* zeR{2fn2jeYicX3&#raABWvx|XFhBJv+4%M8bM4Tw`zG~k!7U{=NpcJaDPPGif@8nw z4TQ*B7HEir%G|q>6UzMZ=<_C8Jv|{y8ats@A|(phT&HV-jN54VdPqkk`Yx6HIejUL6TeYzTtmC<@#|PggQP4 z!9DBmh-Xot&G5T59@8A0fvw_&K$>-sYXara_5RX9$b5cj<`4%+eDNIh_-YgPsE#1V zBFJ>5++wBPDr{-ta>`;e+&Ib=HLvmDaSV(v1qP_Ops`E1+{i;qNn=qrzgs<3MRk~g z5&$#om}e>=ik_n-tk1j*bx=7n7lvZq(N|YrtWD9|Te02UfKtqO zH4ewZB!Pg#0lKu4(Xz8`X2}i653LO$@O+z>-65YfDRbN?a$}3q?MYGWk%v!DH18GD zMyD*s(Gz75dmM;sOA?Cyj`Aau$f49nK1MCXI|I#P=42dBd^(VNZeiX^CaG|QcjK;zmb6vJM7@E&FR!? z64u+;>A%vEINaMvVt8A&3`VFWHH$(GCP~{~qL&00SIfGRM7r!Lk+gq{w*RuQhrRZ8 zBt#!z7i~JFX20D$asEuL%ECBWkA%7rB0Vq{QaITsh)TKAOX_1~^#R^%qdq zX76)#t^P;%^;@yjMJM++XF29xP=vN~zb^mqS6|htXUPWZLa<&lSJInl0-sm-3HKH{ z-q!P`D@$}{3!{r+vSNDhxhxrdRcn;X9-gC4G&WWQX1e*9`jSFcW?}O@c9s%;UlKiZ zswU9kFjwxUtolJXHC}dTfxHS%KG38FA)U?lJ}Xxa*XO@D17WvsB`aobJ+>%l328}) z*$Cw-31Z2Jv#*RF8kG~sQxNR|({!&X!r|GXd{Tqu2rTvtnWbNb-?|QrN5h-0F0HTjq7LGk=;_>d9CbGbY)nP2Jep#E zjj~IboQW|!I5z4kl%7l(Nb8SoTM%*D*YOpfrH@n6{vNr?jF9U8VECm8PDQ;{g7_m{ zoT$hDj8ac+?~`t{NE|Xnd!`^D=4?52OU|JC-$uRR*>aw@$Ntrs4g}6Qpwd@2T4uFP zdq{%VLKf%WCFwr52RQN|^wdIA9hALHP+#5ef7A8i-+VuH`SXkYPipK;sLgy5;(Mpn zUv!=-%j|%cMDub=7V5@9lPDhL=Iav}q#6ChjJpT@zp7&qEUL2Kiwh(F?=*NIqA-g8QH*r4s_vimkn^^JxPdl3UBL}FWJ(&J4Q|TXk5zzhcm_=0b^L{4Ofyv2a`5R;Z_- zulUI{&6RNmi7>U~1$1arwL5TkZ#cnL-qI~1@UDZx6_8$u&Ff$2|v zAyo~e%zdvV_E))R{BHuSivB3Udx_wVa}`sx0-Qx(YbHW`1>RhBU*HGzH}*?KzR|j? znjsVe#j;1Zt1l7~IrpM!dZSts?n?7rBT-D^x2tUrYPh?3dRQt#vxS2WC5ah?&CQKE zqzb3|`?Q;z8s1M~w9HmXv34lQvngsUpVb;l7Rj__rNbukVYu>`JdEa3$E@lp%TLuS zGbskw^XyXH;C$y40`usLs2=%SU&$oAyza+&~5C>AQ&DAz*KmEJ} zdlz*`sO{$+*&=q|8Ex% zbAFVG>u#lq^cr>}dCWRjf$u1dYd}uf&|-Rxt^JBzq6uTT_C7b-Lz6RBmRWI13NAV6ZMp&0RAzhs z$JTpBH5GMRpwdO88M+h^3>`ujP!NzFKm_U1dljNmBpm4i(m_HIklrIwL+`yKAia0# zJrH>D`|f@3{&@c~M#f0?nP=^}=AL`+wMt7+(W_sZ2%MN&_NSk6P5mmO*TjrW@bpu} zf8eqUV$fWJRmKhk5O3}{|32I1u&4eimXB4_yJjPW*qEt2>Ed71txYz1ByO0jLzu>W zXf_)TM2Ym`QjL>9st80l_T2=3ScQd6Td0txq8|Ov{F8n2kL^s|?h=DZ7DZbh9VE^> zxKT-SUR3$cRScx8zB({pH=_S<4)ie!UXePTMjJivT6?IWG$jD@y}&Y9DVD)td~B05 zZ`16AGDG#;)Mvxs49dcvbsd1MB=9G*{F9F_Xnuaxa>vEYa+r)9U(n;J;=70c%rku$ zlN;+mDDoQgkhh?0UERU(cWP<|6kBhJ8(%9N(c*@!u9>U&Jg7uMQ}OhnQ(#=}AfFCv zveQSMA1M|2r$x4{nweO*SUW2`Ugw^Enk-{Texgq`F)A+`n&`jXrMe5aTU&ip?3(8O ziLCaUacrX7)oP&V^=&*4|4|aDF;5^%yX7oT;>p4VaiFcw3wx#v-(x?*PBqDYn7I%T z(n$sNHpVi8N1N?=uz+PGP6*QJN)9KkMy0fH$g$K9{aSvjD?)kwt{wxw-u%Mu*ZWO` zToQL>rqe@RnX+>dNO3vI@r9AxABeKxk1vab`UQzvnF`$b7hj3d8IO_*Y3C};$E1p1 z!`Hw@Q8DH0Vgv8##i$7#a7a+I<#}%=)=gBcghV$dJ9F@*hRwZ~wkbt9Cz;KLhdoB> zp&GAn|Lr^&=6)=~V&$D*Q00=W3ooI3o+n`u;K>in0LPzxy+kfhil$Slv_3WZtRA_> zT4(u*rWIy|Ho}E7Yhq^1{49<+0{6#55Y6ku@R6$;Gs( zcJzrm9k`=F(+pRr_V?yf)SpKp8s~9ecs(F4u9DTcs5*R$M{DlWpoa`}d93@~{U1I~ zrE_F>=cl-@I9o5!59%(TvC%ap6O3d7fq8qX%L9<3#0vE>V_o$iKf`7kS|+mpLdu64`_5U zOTEfcYabO9_Jd!)l;X{U277A9C)ChDirp_20k0$96tcAWWt8L?9F?5eQ^otjF?8Fe z*|A@THo@^O7I(KCMsTIGF>aNdf!q^BaSE{k-s=1U6G~jxbKHfrW{mfy)3grI7v2Uy z8(vuNKQU?`^aXj|%2geSttqm&M!S`|ZB+J|BmUZbYiHn8It7L;6Kzf?O&4jvTpSIq>^y!5CJ(Sx8-Bf)G91qiSKwS{^9k4 zHr6y7R_JPn)A3;9%rOTkZh9rb6WroL;Ji||_Q0p6A&*K!Ph7M!mMBD`3W-vJ;ut!Ew$1lr${5Ef z8Vn~3caow(4mf8L-;fniuRrcOvPfMoWuapE2mt3lVcQ`{^IhgOT;sUS+-aNCaS7MB+yjauR`O* zg4K(fiPU{4cO8{KSNd;WJ9H4hi4V1(BHk;o?W*}Y|D?I=geBVDYM2NK7^iSC#a+vDt5^Xqr-i|Rl7{L62|jVAZ-frg48 zS$S*(js7q`-fHX{r9m`wRQo1aEfd9Jo<)owIAh*c3fcGeNV8s5@zo9$$wEi_MBWO; zS7&?Bw+(e%c;j%>T>G5Bmvnh&wUxE*>!eTn;eJald_SjMjh}CaQ_RFp)bv7!N{E}T z7ZfPTyiU_Ql!Eert>wouV?0%W&}6Ab->23x0fj?#vO9`*d%&TNVLjjcChmW6bhv3- zWT;fn*}e*t?RNt=+Pru1qhmf1Q90^r$E}K_u$flpLh441QbrPB65P2CCgQmYS$-5^ zKJqCoGKq0J?Cn%e{BEY&t;U?V`XAQjxIZUZBw>0M5UtyQoAvRBviqwcV5WwIB_f`H z6U#~$1iO0Ur%m%dwQlMg=|E$ei;(6eJ%`T_E;hX#e!suG6QFFz6cGDQk2mEVX%pX# z^z=J?*m$aDH5-%uBdx7W18xLNRtsf6_%+lS@J=^|Az;%nP^f_(e#ed^(1m$rXQyD` zWaF_MMMp>csx!6Ly+YtAp^oMPT=n;C$!?vyW#bX)4Td+zb;|U$pR`rFTA3xtrvxdZ7UTy zn>O^(uHyWPo<@7~wTMD|m3G%I?hoUySm*v1yLY=-AKcyE<`sWExPZJA(gVSeM`Wnhi;iN=e$OVrjl z~8xVN$L zNa$UYA*DoE4)*jBwmBU-_8(+5zYHc4g#`7r6AZKkOTRp+?B%n&IeXJhZJluPlyVB0 zi32L0#hs3})6Ds5_*}KGj;{5s;*WMUSt%^Fv*!QSrFAC)jUk&1t^NJGE~=Q<1$_z5 z>C*8F%^)9Yr+?jP3E)KGnQl_3HjsZ97muob+#~zkb*0e<$kw9C!0H5Znqgyb&H^S9 zzLM#>*RukJXcSHCSts8eX1^MVA&e}?f{2X7f*8D{OjO%_It_`Cxo-UHW3}$=mfViV z`kiq8WDaY3uFLl=oR$>Rw((H&knmS^|=TMRr@sDk>~Mz z1JCQX2A(}|+!Ksfg8=AtJwq4-1`);&cDSIyLvO7#(8R-)sK0NfeEkV^u;6{trRee| zJH`8f`j<@PQ+n@k9J)}^>)2`U2YVhblNa^b+|&gZgocxG+|&abYz#n{C)wWK)$F;! zZa)OVR2H!r@{18bwJUxD^8Lm8f^*r8-?=Cr(7=52ye3-Hd)ySjUC44vsUq*yKBR7h z8Nik|YC1ihtQP&*;Qe*s?Wg-T@nmlxxOs9sG{dv)To-b6NG$U$)Q|lyl>aZ1PR~0K zxvcNw_P@IsTwiscf8Mr!X}`D~x!yQGB-$%vXhc^VM<#2^<`vGg2}~Mg)jq#cK#!S* zgV=QgUNX>)d2EbB5T^02O^}MBY-e zpOw?BEqFYlLsbz5IPe+=(pUVrj+LB7W!?WQ`fr~vsbJ*e3uDkoQPLZE9?_WS?XebI zBT^WNMKm{!dr*FC&FRdK?LzP>yWwYrc3s6Bm$lJQ61*lHHgZRDT^$+Oo6*M))1{Uf z7`F@9C4MuO=tUiVa>C(Mep^qapIK!ev(VWq3&$?v!MI*q-gghB|FpXb|S%PBUmt8u8_ZgWy|8@^ENj75~K3i;^)u0zeCz{h5d^&n#oT^-A(kQ63Kj&HQ? zQJHJ%?`Z?5*5nnp6U(*eof+RZQ?;iMkRwAK9}Ebk0Koes9zoXroXB^#h{v1GLk@1gs_KbwPvw zs61dcF-n9bN#RuaK8Og=En^L7?Hu2lx?-Blb3m=@9WaZn@dm@ibk-jBZ3QdSs z@n(rrTRH9F_-PbZeP8>n9oGLh6?joc@FC>J&4XPt;vWIv|MV}&bILd=dPIDHY2lAF)8yl{g zC!@T2R0;1Vk-@|=*d_<1is9dX&olC8seRJztuBCe?TGdrZ7L z(f5dpXhmsVBmymMZT~taYDGMmy;+#IG3&Gz3V>xQ`%2s{*V1?U zLf1xvfyrEzk)E;yZ~rP)x#8G*jR{uzZ3wnWSr{W5cA3V^r(HLWU8c!Vs&?6o5i2o{ zAcMOat|%OhEKUB5no7s;jH8U{o) zvB4XK4PNgK?mO4~a|aBfwMMW1$<7Vci36%J|Su)0kH2}7m|cq_JM@^)gJ{TCL_ z$(J`9c|%UjrwZ8i8t6@}PX`TKX*i5`{&Z5Py;*lXxT}5e@#aU}IhCA}?q@(6&%sY%J#TZ*D~iue2v`>InNolmy~8%yp` z{XV^zamZkB=ta00iiaoe2N9jB{>CniyuUxKXW`oDggR9`=;6#=!V8~4D<98YdaHa% zQ8Rb-qtmTZ{3f{ORmq${=%_a6`~|D_DSI9@n#fnlVK;#Vv$|Ge-F#xPC!EcFA^^kg zFanAALmG9QalLp0+~dI`iw1^Z^X%A_eY7qvK0#at*Q2cQs@NWZ*ID_}!-YLFnf!{> z>3?)fUsi+V9ji<3TNB^Q#f?-p1+oMRobN2uO$$kq$Rq>DM*CT)###lQxWzNMk3A1_M#`qHeqmC$w2 znKDCX%vX&y9D^4|WGIuKF=RI0Hax?EKKYj*LCLzpsY37PF0gTy=$Dd0Lm*PC)8Q4b z9t`L6B_{jYao~T!|Kt({%ZrUZu(5|Pnlx5>y+W>VpEg6E+^auTMi%y(IECK$t;RB2 zvPzHo#G}1W%7TkaWH3|pYdn$hj0It2g-%5oD+uC5R6OC#wzB)C9A4K2cF8^&T{27q zrjTl_mflv4{VD+{K4g<8z-B3|M zV8&bWb#aX5l#9PLxw)X8)*~n)tv3nvV-{pC^y!-+e5Y2mts>UBKqP&|gJ2xqw6mgS^#i!4tnpjcr=DJ;a??38wHpeYv z^V#80Q;F3=tQn5#^(Nd`ErHm(G`J#+Y+iRi&1V<_Vjh<@&a*=#KP>I5s?70mBNz>! z>#udFupId>;v1DUBG8%qJ`KDwtN!OY5kE-tLwV)zPz-H%JmmO-FN(85qyTq0ep*kU z7SxO@E$lgi_U?~Vdf$MKo&soc4V)|*Kt&vZFLJaRo-ojp$=j_l8m8F&TC#Me7e8_quItDTa2ZY9D(tWHHvLXQRTZe4Q|C?h_uItx z=5^Q-Us13+{jOrX7{*%?l{@^a8`48OT~0mjnC&~0TWmqFdVk^R_O!@LoFXn$69T-y z95wY$awq?x6a~NpY_{8sO5?8dX!Yaf$j5rRzQ@ zx)$CW@#cEri9ffKurDTDRN9r1)kh#bP;NG#gme@1!OX}J0HM4eUO3!Dbg z$w`ogkpRc!drq?}#^^Qr`Sv847}n(l!M_k*3C5^7x_)(6m2-FDHE zEwQ?1rTRpmNm=fdfZyFi2dZ(Rfs}f_?bgZLt$AcItzo5+7n=zE+~PFaz_RolsXyeg z8?n{aHkl}Lp7~nh=Vwi_`6`7|hk4Gk(!_ZD_aQ~}a+hl0eg>VKj zWS#&$e)vCvm$k+o$x|1JXTl};Tas#mBuR(Td6rVR;zvl*s^p}8@g5~5Mx83i70-+J zFiG8s((>>F7$&~O6xL|xOuLD>FO1*1*Yef|F&S3e8Iuhr z&Fvh9N?I}fObf>L3our+dzT=;lFaL!{>TlHy9R4pjzpI%3f`w@!%wW1AJ%=~{*cfeyvf%#qP#}HYpSy_#zl>zfQ@@z zd)xvb@l6TgHIIHUwCf^SRFWQemXgAw$PFuRTjO$?f2NEfx|R6!D%l3 zcB8=X&&I-+p0k!-5n{Kwe8`E!Q8TaOV2>>g!j|_88Ax&Xi6Oz5YUeku-<1L)EH&9& zXD;=pOo5!f9E8yV1o+*%I?OLK$UA1y#>9od$(B~;-_nXxf~dne`p!=+Sn>Y*gNrQ9 zfjnqbDU!R7L;*V!64iEgT(oEvPT|DqQf9hVyqyXyLrla3ezmOE#20ZYybni>a++fw|{2ewi!5L4tmHA z8mZfx@XV^Tz~Z9v?ie_G<7?^NpP@49UPuMUAp)&1PBB(76Kl1mpBjE#bOo`(1kPCd zU_$nunZK7?Yu-XQla(Okfe`)`!o|)SzQj~iP*ZqMljn@^wf>%%z4s}dpV~b?dbQ}d zPknVJk!DX7PMsqvlzm^Z1QvOpN8NV=@~gdJ6*djcYXsg_ixU%?(HUvgWsJYI-xqwQ zATh(d-)-q?7@y8eX>rfNR(U8S4jcb=xtR;mmo_05^+46nFqg|}4W;#-b`Ri~X!Pp;RCpRD+O zqUo5a%9s8IQF3rnvDngb;O4&QDE+W(r!|fJ~jpb+=t~O-L@FC>7hA=+UI~ z&&Me`xaCfZ)hugqoO9o$NjOvg0A+tR$9OnS%I{U{vaqlY;Zuy?uT44@|!UgYQqQ9&kscyucbH%_su4dsZf{Gsf4e zy1l3RDvkdi)3VZX=;3=j;bX8knLcNBcm zqLN&x`XO)g$l?hO;*MQ{(f-5rZw-~CKmU&C$q%`|^%?8Gh4qYgdDz}*vOw3p7Si*p zc|AA9*K}tp%M|$iFxX^yy)hRn@c$3r3=FK#BbLPS9~LT2{ z@DK9*`;>DF?RTO&gMLMx%l;hamSywX+uHCHcqR_@TG_AfUoHP-$aYiMDmwc6mYbD4Li3PqjX+YOHS% z)2n?p%D(7?9Ik^ZW6~O`E7U_1pz-=HUdqTe(|BJUPp#wgZO9jFnp_A4ii1VY(S7^i zs_XIib_N@IX7s(r+st>|41+Hx9Vg75cm+~kdb_@evKM+Mu+VpM+Gx6Ox_~6(5+!Q| zhcIh3qca~#V69YmlWbDm6Riy*IuWNET^b0fS7q5(c9&1wAiBZ@E|wImV=`i4jdvVp z0Fn90Xxy^$oD{SCT*DN2_dC&d2mbEId)jLaS~Pxg->cap)`n$Loq`s&t5wU0Dk^q^Y%+WC z{E%^2wZ+ItB~&w(qo{;EyVbbr^T(>ccmrf%oK4*tNuhL=4@3#7C{VOn>oewO;g6@9 zEC8j%?iM^Re3wrjH4_zD@sjXA%qm$YF1O-I;4AT7KTKq4!((IZGrbwLm4+W$Cw|Y- z&3%J{`f=1|pxUC>XcetarI@Z&t1a8`Sa5LG5(C=t%<+-p>4b@!?Ra^>E%0go_LF1c z{0EF^GDH|M_M_tFvu^fx?y8T&V$%X2b3>oyHS+H{!t#r~$V%hm>|LmivxAcD%Q zv4t&i{uW<2A6TxCXKx9BUs>Fb{_^ANBy7)p;Q1$@bO*M7Ub}Dw+r`wUrKbKZpD;Q1 zol^>Ef!e=Fr^)HSeyB+>{)giFraK+*kb!dL;5I$s( z@IcOtySFv(_NRDU77RXVzh2zMjUI5^yP8YfpgofJfmyd2FX+V^({%@&DR^BUb*#I- zMvz}9Qp>o8xzpEkjF&(J{UtJ{LomlF=gf&=M;8)%@T+o>!>&)jGO#$UvpQ+<`Wr z`oav`YV@otX?8*a!MnXy>JwI5=w&N)9__>`mKS(l{@tYdrTr|cw2pRD`wK_vF)U{@ zTUXGOk{!<`r@6HWyTje2$sVH_;LF~TMqAI#E=~G*$ai>=LiW|gv$8~=FLJFPr#x<* z(EFjcl?P21WBV8)VD{9NcJFE+l=9Bik+bnxCC9v{2{*R58j6y|Bvn|8*|ZPrdc~T) zN6HnkCgiF4j1-)PZF}v4DPzfHjI75X_^y`Jh_3{!ii#6t+Mi9atYz+mCk{3)V6s&$ zv0w=)irkNwiE}^Lc)qa(X#t5hio?`Dz$d8{-!x|KWbTHyQ7xe4Cu!LQZrpDpNwTja z&+zBa?SMfOOPtl0)e3Y5%Ms;_%=DG*JJXwt^9#R@d4c`IOZ8`~_%i~sJnWa;Q9N;? zZ@XkC9{kEpC{UXr&+walvW|=BJ0cqH?BL4_^HFaP(O;6E?hxNU=!;|o`?fhtBm(>6sQA!>`&g-rkGyrHvv4ejd5(A_pW{a zp6SgeDmCSujGJ1?h!;wL)($IgB+m;5@TNQCYUi!a=0V_n5t|O*r~JL=Fq@R>nIX4} z+Mzqn4XXyO4|6%ck{9qqyW1P)dAn6c@r$W_k|73tPd2NXA2 z!g8hE`JD)3AwUH&>M__KsOhSy+Z9^@HZH80X_j-o33Ocy-Y_M)4#CX#{(da0dl$=G zrM6q1dyVa|u>aSiJRIXPaNe*lV3LqNx)n}4H5B16_|nT~w`2XBw;$Eel3j$cKXG~H z5!{m!L5yOFgnJEcU_zvb)R$zUKFvTBRBG@2G>#u+DA;`RZEW*#MSIi*1&_H2@JvaKx~nQ(`cgjiRd19SX!eD|GWLltHE$xxUB`Ht|D5_B!>K0O9cv>4il11i z?|=|IJ{k8{)x+s-nM6SVzsYNq(OH9trOT@QlX*w4$S+42M;E;Cbfv9Y;eM0W zy>zZy_iM{Fm0$2KH?yIO)e+s8S!65`=5TxXAc&37U3Jxkn zyY6h=XT9s~v08J8ULAKsvbwtZc)qa)Zipgv!amvkl#?t|N;YCN`-qi@PuX=7XmXKUhff7ML~pUZztL4fq1&m2b2Z>N#YNroNIyo+w6v|2(vlLp@w7y?I`fd5++eaUD}_$_bpKko ztBD1OW3M*Bi6#o;96EpN&(!4PdkjW6S)+eqV%V3Ky{P6=SE;M~W_&e17|Z}Q)L@p4 zO&6KRS4TdhSEWctm~#*Lx;T$*Ha76oe*%=(s5Abu-bG=Pffk(X9N9r+BW zK0C*($5I!`lA+-)UPj+(OwIk09i5D$i?=#ncI$bvJECKNTg#?vp7gKfUa7;k*kXT} zp?TYnO#4q@0oRGYqN<-`QizXX!1{nMo_AeA`t?-Qlm4x0uN?vqINHVe6Z+f|e7lr> zf!gg#Y`R`ETFHIpxLV3fYtHIu7$~7Pn9l)cT3;r`#+syyEBW!pZHRo-$Nsokc!w1K z5S3OM^4acg62wT~`GYV@VF#Pr9*OxDzjGsYwInET~))Rp%b3?zLB%gcz zrk9TCRAxt_-))vVVY)>6a$<77xCii|yxj)A2lA~}d6%!BdIn)8ZxU~h#ho?B($Qo4 z#1IMB^C9;}{s%W@DiYH*Sp0GQ=nT zY-sY3a@Nd(p$U~_rUB3mx?NHBzv01>**S(5nqFNn-qafTYzx&%C}R*OpzD3utMn`P zLeKBWiFqi)UeZ2su6(V@B>SyH!<%jut>(Y*LHgXMv>SBJEG|q1I}rw@&DG2i=Y}GP zhSk%dPZF*-+52mDkVCmpmdoJUc_t?D+?IBo9#Va?)w0LE$3RJ9ka){W#aea5pA;t7 z%?lfZL10gp?L#DErGhYJA!E~mPyRUwoNRlko>x+`K$k26W3>4v39_7z@evKpsXeka z;-IxFB-1scTotj;Z2wI!81brNKj$(PWSIg>F4Q?u=z61ZBWy@>QjIGAvIJ^N@0(Xx+s?>zU0JW7u%K_mn_E<^hP$&ct)7{9n)X4u_RlZGhx~~F zR>2pC@)WTZS0C0VB;tlXKvPnM4Lo=Jc%pH^poA2&%&}Rj-3@5#YHytQ%{CD|7@0cu z6q5Ol0G#Y-GYdIXyx6&&$tzF1k!Sb=?$5P${8jR-6{2t~0s}!aZ8Bq@(e|179(RWz zgHV_E5fFNdul`eMzb+)+GP(VNbEE;A&bJ3H2p6%qPr)K_QP*z4*XzD>*hR;`m|rCX zn^$}g7`O`|N+S1l9_eo}A@g-62kj38lt4{qnrecw#!g+fmkHcJXOH{U;SK0DEu}my zNJ_0SzlEUbR7ry*#br>cp@BQb{Px|OJ`H|HS&fO+@C1|kqnKi@;13VGfV=lshPq6m zj*Jr8S)NA8xT4BBif)~6ZyTRO{|+x}o-`zU+w`D<9$fojdz^|vU0uMwQua+ir20rn zQ%k(k*JrA$(7VSpz&fJJB$IRq|^@#=iuf%cty`EFf zdPaViW$EZs1p%5>BXgHpV2#bR@$+Tm`Ar8~33LG)`$|$agNlfljCbo{w*wsh;rizD zq+|Q_Tgca5ZiDP;grHmbbi(q2ZV5&@tyl=^J~PW01O)l<rz=BI+W%+EZDl(>OlE}D7Z{&4{{0;BQTFE0quIYE)D{VLtO91>FltyLjSf^^Xb+% zP72hx2iZez$ARM>K7od}2Uo?NL)CItDjjfuu2OHJZ!1e5X>;81{p(WGQwlch=wzHD- z%aZ2$r%c2^`+t8zf%s5D^G})7)-iM_>a~ zmP>2W<<=)sBmPHp#WyfsLrB*r5GRYy_e@EI0i5G1*|A_u63guwHDWfxNzbS!dHLSq zXJqs09z38YL8&`gBXCOYYar!=guqbeCH1Mnbyi;uVUE^zWnu_UG|VP|xZZg!W}rQb z3R2yO5R>4rQFm)WH0L#2WxAJvUHgdu4Me~~(}iKO3c`8uh`{X-bCVbjLD|-PCYAx< zO#%~y(D<%Yud=i8*1#T8sK%Dwj0s>)xsLYddD5(NMl)c%pNoApg$uNOwB{!DxyIcR zdtYjeMJ#&4hLn=K7kUcDjhDKqdad~oZ0LhEZsW`8=ELFwdh0^S!3)B(@Yr{fjnYi2aZhJecfxcWeLQ^bR9X2515dyO!>lfO!Tl4of7)YT!) zrs^dDq9T#C(+1pI9fEP*=qG^QH^p7pmDgCr#>=;sx|o+rlaQHsW(#mS@{{Bgy4UtH zzIf~A`ot5!ldu)C?cUhAft*}`36B;*Mcx{CL_G%DJd=O!fd9KF0Ibv_l>!{4%ly9b zTHwsO2qzl52S}`>amg}2abNtk>Qa$qw)b(8d{T={+ety}f;UtmF68`bR?lS}1Xi1; zu{pj2)d-j+cD2cT7R>8g-vbea2qwi{lCiWZwpvZvgVpw4{QBTV3c{-(9pj|iDcDt* zv0s1ecu(d$#49Gc6|g51G8>|gE$39r6>Njm`qAw;t3;*4SJJc{V*sNAakF1w$6xQ^ zZXOd=TqcA4{VT~1Bjok(~RTTO^m+eZB%V1BK@b1tsk;>3Okvw{T%;6(;OV1$%Po&#Z zCFY>e)*2^cAK+?cL(g0TNG>&#j@5Yxy|4StsXrxs*WS>_|7;+uZg-AKZ;<&|o&aR} zCwS|<@WXx}yeVb(fIiFn>dC`JnQTvj{_XuOf&r*loJKWB`&h}M4Wc+Rp6 z6Y2M=)W8m$pq!}gf{~pCA3;}e0+?r@t&@8=_KM9_5hU5E>61m##KACQ(cDYL{M@ea zHLUdjdL)n%_v7*JUYLXZK>4`ucImrqDg=z4fl9^gbO?_Mtvne05>x^dH2@y9`wF8&^L)`)E6RE)YrEt=r;l- zH%+rYZ$b~;ko=I8=t(Z_89fC$)D6aNa?a`+PajsZ$edvoJP(w_rof8*(Z<7Q)QQC| z3gU;~FBVDLb^^6IL%p0|@A!*B$)HJ1FMD0TumG1$7<71{Q!LL+Z|anp^!3bw>Cka6 z?Q^lFelRfz8BCiV&lZ$rR>heieyr4ZveR~=2CP`pek&blSKIv(2+%gcXrQm0*{FBs z!M>+%U@D3I6uWflksV9GWyH*mJubAk zL7)}is8>Ze$&(Q<*gRX1cnbYDR8@`U_GS90Hcmvs+x8gecYm#_%q1;4NnwH_SEhR# z{bJB&C*Lz03$lf!&6DHXDc7lW=--fYORDsJav09f+cg4cH@%Q;)rWmnvt`%B2%w+y zhSdM!T&23nUMz88R>Dff=MOme?D$3!1^PpKFCBzEq4+xtomC*o>iY=bV>T`xUnzkjn#b+I7;uf&&w5AH$1bVEcS4{T2I zwIkL{z8uu_fg3xxeOEzvvJktL!OSh9Dv~4!)@g6+$a~!7`na$WJ_CWTo5Ix)eExtM z!g6dKGrWcF3QDRuDj8dUf}oYG-9y(}G4#_mUOjBuHS&ddMiSTCf%#8e5buRYd?>-^ z`G>s4ZSCI_#XvA#@p)uR{q5DGfvB38ix^0m|D2?sssEO@lbQ7KTb4Au0i86}#!xPoDs{$_Se&b{0kR$?bqpl=qGrKz@u#*AMdn#3j;iCZen< zs&PTVV^!CzzDm4iRj;h6`b&65#h_*8clqS8bO3rd$p|)=q8hs~MnLKZ=;^@^zNTLW zG~Gx!9{r67p7|#20`a};wPgb~JBrfcYqe@U#)q)leo1mJcVmMlxjO+>wkgeWCbhd6 z^DXW7jL+PEIs{C~C1?SVrRxcWrvvTU?+(sVkU>c@M>)t&b�_Wn_q)7%0o9xqqij zlh?b2+^BVe{XqznxRJ^v1Y&bYdzx!6#G=c{(wsP-#m4u$t!&%_W$;-6+l zE~KfDezT$hS zCylaJKRh5+~syJ2t+*#(Tfo1&6X2yiGy)z0!peOC> z76*y>i~@Wks~&_T@wqSvL6Z(<(IU<^%c;K0`15VE6?nvmKPH6z`&WLT*T`M^`v^Xl zd%_I;Tm_Q^P-seuSyfy|#MB^T zt$F*^Z`ocaOHkz1KjWN4jnRlZx1H2LW?0g`)&X_{^+y-R^~)>vVO{3JFzrQ_o#u({ z_zbSeq^~I!S|ujolWV#N{TWw(;%6aA>1fP83!XK?Q!DL(2$r<;WrU9EjNl zBYMWdEC=63*69bbtTCSJ-E3&yoM_&jXkPzFk6^hyzdcsJlBiclAlhiFt8V(fq%az2 z120)7?1I8g>7I%^_P({?MeM+wfS{ViDk>J4t<^J2TM*vy6q=GC&3zv|foMbu1%TQ^+-IWzt0kQsjQ&hrZj#!DNru>weS4pd_I*uIt+ zOq1hT+tweNH}b+T@G=S550nw~{g&i0rpOp?)lD95GZ;i%SYrmg<2;Bk^WV6@F_$XA zCx*}#32xMouwM2_rRqj%E?%{@FN{#RjoqRrWvGE>uAA%E4x!{_WKJm!#z;Wp`o+Zi zn3-qG0z(7I?pJQhB7JJk$f}jR6{%)M8N7L%^@}nR1m-d7_E|4<1<5Uj!0u#FQOkQX*;P*I@3eK*mFHkr5-|| z-I(49VE$w1$iaB{0y-#A0%!~(%w;HU?I*VHoQ8bA^V1YUfY{AGcEJT6nOWGEfO`~B zYI}pm*PF{UXhW z>g&FJ7;!3abY}6?1+zwoYEZ;hr1u~z9vnz6K6W;0h>{6q5kb@d3jtB{OxPbowW*yw z?Pt>6*MgL7Gp7oBK=Ar+nk(V+Gz}{@79_N$k-C$Kh5|{%Fi3m1kNaHPIQ9IkV}$&t z>l*5H&6~rEl+m{aW9pDw&*^%>{>k+?endc?B@gsIM$DP4wcXAI_IGZK$wosu&ILj= z^x2dICZsaU00G7?=B>acdvy{k47q+YGYV@E@Fx7k=`aV86X{rO#p zIRmcz#QP27?vXiZN6DMs{CQpv#5H-XBEiRcbqhh1iTQ+DmFRX&5;;TvA;B@3jRk{F z)Q|$|A^2uJ#2!MV*|oRmD2&(NF-o%J9ykGsCh=w-kw|wqghgBK$!&TBF13oKKp}u*JnODrFW%1fQd% zZ)6{ESbOo4o}}k6KxT6FZhW%iUU}~6kFS(p$ECoXr&rz$R-aZ_LT1h8F?`7zx4|05 zA5+R`<51|evo-R&{U84p>KMnn+CV@3K!i2dUu*^?rQFbyokIrvE>SX)-O%QCnJLf_ zIicx~T^sBQ7j&RwXk@ z1^Mxtbt9K=psVp1!{pxFZuQAc#gw<6*oH)K8HbSoMDjZ`kT%89X1%$+jv5i}Y^&&> zrNPr|d|&%oh^FCB8}K4hV`gXHur>V2Od{MSm+3p13#-ZdN@|zRs`Q@ZWaDnF(i`8JxUV}+1kVD$0CQl6m z-usA)^MR;m>gnjeO0dCt3FtFBU?z)7rb|YynscdR-L3n%-8%02Wa9%=OHb3)&ydT% zn)OF#vnioK-SG*<3K*fEBc4VFCW0CZhittOJ`AdBX^#*`2INn-)vM`fXb`kPpGIBH z1urTh;yB(tqv|rZcrzQ!rFix|Db9TPaMrBK#9R0iopxp{q)h&cb=49I;D8pN5t(WV zLczji+S8p_1JIS>>s7^3VbUk&?>9mF-qwS&pkUZ+hctU+$jsRLS*Xu~M?O_mi>vSZ zLYfWZB=NRG*h`kkmqCuYgf}JUjZ|YRvn-9{MvEuUL$N`cFe4yeV+KyH_pIz_{TC#g zuf#yN41?jc#$4E!2+X)U4?gYhhHTudyqZ~z^beIU6@!uq3w>pqrzZf3=aa^@eZ7Co zuO%VlINDzyAR#dy8nR4m#uysr)QMA$(SF~mjH9jc@Pi>vE(3VbjocjkNRJHnjnU=j zS1RF0U!)6l0^LJ!e&srNpRXBaw)#&!yJ~n>qHB^>v4_?j!U0y4b*zNR=p?l03I2aR zV#gpqq0>;KL|}@2!s3GI@n=d0)SsfZ3H5r5HgW`ra*x_Zwpjb|S9`U!D-+1?CRA{8 zIJ)Dj4v6+77jDfZ#)-c16%ihr$lu=6?Oh{)<%|`U+{gZrVoP^u81~8j{r~@d#y;iL z&DUOgz{!SmUF7TTEh)RB_ttHU572HcoVEO23u2`{KGka;j2(xuf|n=-+{-C2`TybV ztK+KLx~`?WK~lP;TR;H`0TEDA>28p2aD$+P2uOHHm5?|{h=eouKZgQ6AJP;{19`G=_Hpr#ZPg$n^<$EQaklPuArYD4pcZY#J##@UZ`s+Fb~ zc1u&l$gdZrdu^e)F^)wnF4n?I)!CJGYUOUpdy8)M``}eb z(VvuhYao4ksPrn0qwkgN z50r$b1i#3P8}=@FoROz5(0+$gJ1e@EIlHW~+p$^@pQtYcL0Smm_WH`Twf2@zTyCt+}1uiM#?3A&B z0>@+qtraxQRZp=8P~Glqk=IIrmT8@b5Vu4tW)*>{2TTTZOe|m)!qiYHY_*Hgc;L!Y zDl}b7*79hEQAqDFKOuvnEp7qsRK@_)1iJ?|uR}R6I^zaqH>Jh%ay(87WjjPb8SFr;H#gXGc} zroF;jGq`ld>6us-^-EbdAAEUGcznWOSdIG!N%Xa3fRdr*G^uBpQA#UfYZg&KK zqLpbsncC2hTuHfpjuW6+82!yY`Y9ZoLWZv!O^hxcoUe0h^vb930aZAaMlcJZ^1_v@ zd{DLdiar#+%8m-h&}*y^P1X97y$*b{*-!2JABJGoF=GuN6@t+MFt)=Aly$MB^swcp z0$%(8z?Kd)GDI3G{1v+n>`DeT)=&0zU#qj`DrvfUXMKw6@3z%6(iYy9SrH}IXG4Q8ul&@t^p1|$NgzrS$EHqY_j*BglR&y;@=HQHBO;oor z0XH)bI+#o;OpX5;0;k5`4FkE+VMyQJU~0Iiq~rL!TxjxWeH-Fn0QSp>{=0w%e%RsQ zF@?2+T432H=A);oXKM6{CG`<<8Y>*Jt0!^L?32KXq`P66bG1iRVX;#Cn(8gCc(W?v zobD#8s2-h>&;MZyA8%{`M&sy?wlmFra0nt-8#B0tXNqk!ZC-UArEohZSY%~_kivSB zK2DO?R}lG0T>WWS0kwh>AxFgO4k+e<#4hf4aCyXC^gI@?wc44hrJR-T$*{zgiA8I$ zOw>3J>CJ$lNBe0|IE4>CA4&osMcAM)odlt?C8G;uD~OawR5s0G`t^O@^XQYPOMSMck<^48PCz#}REW41@{*0H7uiSVmo^R~l* z*;yf8$yAzwr5a`%%OZFuSK@UUFc)l$+cBS6)68f5g4cmh)RXb4uNOJl7UY8aCWZaq z?$+OJ)_=+3*Uc(9(6*i(_wcp~k~~8|$`J}5lyq2r%}$EfBX=->2!4ymr!O*B(qjK$ zw|=)nPi^PF*sP*t!WECdQZC>RIoB!rb!rIa#NB^$B6xRPw>m;61VLpR6zD;YsqCq{ zqyClkq^DoqM*^?)w;%u2@{sXEY)=Q0q=@nf}XQqUMKIy-?r%7ixi@ zVJ(dnRQK#@7OyZmjamt2;h|AE_ivKYH*g126s{a4L)iJH{82ywAQXU<@jFxi;|#pe z)>B;Irm@LMhB3)Y0urtKQ_#vTs0$&;O8MneS`bq&U5mf}b$vrGXO=K(sPNXtv#=|X z7P5uh1p}I2lJjOGM%tiJ*NxMK!@uPO@Z;NV@4i+UPw0m2F(a)(KHDmAHOKOp*CZ&V z6X`<@f*rOWS&%iM_}`EGT0fnXbbnFN*@I91{7IpAjJaUR1xr*$i=Fd*lTc{c5t)`r zhf&EDx-Pz`Z;S0X(qWL!syY0w*gl=8PSO|fHB%jz<42!>?JCkfu#$mVMNs*G!Ve3V;p|fsqcYlLg#9Sb&v7jC7*9Pv(7#exaPPkeL)T=?vkaGhi9? zZl>9&(BhxPg%PR%k{=1x&r@{W#c!8czT7bGoGO@@0fqp#X_^*0C+K~Ps92wQ9C6LB zW+?$~{KZK_)J&OaO-_=lu_1>e6Qk3Yf@m?}M#nvOv>y$z&ql2yvO&b=^5_z6D; zaTfrA_edN26+!>6 zUyld62SIS$;LQhXPSsxR-_*LZYkZki)WFKKTU%ml+uRNYEHUF(eL6zZE zbZrQ%AUmnDbCHu_>gzXR+7D}7c&sdVj%j9>OIr-BXFvI#Z2-S!9w01(6NXU}P}&UP z0gD3|!4e=JI>LivAnIRzUmS4)L?`lt;CHBl;ep%#Zr-skbLkxut9V6o+Ia z(|aTM|5C^~S$E(&edlkgzf;@z?|1PD0s=7Y9k!!Uxk0#$nFi~JSa5}_Y`QGtPc!## zAn<4x>+KEcS>Kn;A}iF-msax=Y?8mck@fKBRsPRG3HB~phEyk97KL8YAkSetD}f%f0BiL=mFdnv7Enq012q0A9L0wd3Vz@2|& z)L{1eBqso49E~!uW5A3m=*!~;XZcO%0&)}7Mo&wl1kvA1qo-8TUk$-^J(5w|;doiV zIijN+6@RsSr=|yhYD36TXNIi%xHI#&Rqel>o8#!A8P438O!+CJIFTz-74Co;DgG!U z0bhl%e^b(*Wu#x~U`O>emP%CavDgZ0d7LcdiO4R^e@bKreyOsbD)UYa|EA)DRRo`i zflcDLZ_BTJ%angK8sI15>c0?kN__WRB_CIPvbebDZc5)#kjrYyO?p5bC=sBW5$jH7Os!#Zh_`kIjE~E z_290tLjNSFWS3ifk8!_)IN*DM`{=_z!?=ValFW5w`=rx{#iXG^g_Wp#?H^1}iTi8$ zD;R*uU$6@fBHaGJ2nWl5S)i8gn+ZPB_6POrWV(OXuR7Jbf(^l3x1Jo;3WUh1`eZ65 zf};8TJI~8M=lo|-{fh?GDc%HLQh_hn$8F5z;7ky`VO@thU^u0pE<5Vx&^nA3|3un6 znJbL3{bw})4$NT){)4yx=D)Mo{*n5C(^~E~P23~#;?J76r-A$TvRI2_HCPD1W_(gt z0zWA%ojl?`=q+K0t=%&fEPyIuFj}ed2ZH6kabeqwsAj7VV0&iZzt_dSMK|CpyzN-~ z_d8;N>80_q9wm+&@53ypQu7<;7_J^z@q;V*UvwyNCBbe6xb8)_@=3=NKNs*c9ZQ@E zpx$T&%6@GRBXofZGB6NVKK~DD%TwU~wakR+1~M?Av9=D@otMA(P7T(7neBF(8RQJ- zU*Ep0cCE)L2kf!}M{QPz6W@6p#D8*tKZ1DDCP z{kT$xZ4ecO(PNn_4i>6(JAxOkjagQJ;KoAwKaoL>LKT*I7aq4BC0-ZcN!>*pgZn8* zg5K9S%{);cHb}g|=G~MG?^j2HqN@6D90{j0JlIb-Wm$m9EnO-qQ(UVQFb_!qsfnp- zGHA>&O9sk??ZKpSB;%cwY@lpv9)xbCx;k%#&{-VOn{kk@`Q=w$fK$}uhv6q7(6a(I zuaa!0chtXWni(Hzn-?AlmjKw0_vUl*VAqHg*anfs_7ANO-*3bdZ-hU|F_MPBM9Xge zn@#_zAP4&||9$i7pMv~v!28>J9QYfu@-J5bv#M^?iEfw43r@8o_s=l&d+-MSEbsjS zz5f$ z2Y(e2k6G|fY&@sd*eVDe!*q3i^G%_q`@{F8b6O%O;B+3f3WD++=-v9w5YUIUUPI{W z!od%N{SHzSFmdb`DP-9QlpeV_esnOMtnmMb<}=uP2L8PHocM%so%q;2@@;MYqiyM% zwfxx%gBavoA%CI6DP^vX~e*vh{S)U$bcaHcN+22!0Kco;-SR*Y!5d3*j;fHr&=880xD48{TkAyc!@7GiQH%RE1=R@ErHW&C(Jl$P~Z2{M0yeD=(?Xo08pB zd!ZB^%M5cHIs_(xZbRT-yWvm4=Ld8ur~B%wZF4;0kn%8xT??oI9T|>K98jWE8Q)lG ze@R?{?`^fGN$jyqQTX&xEAFD|^s*8*#IX237AwD#x@mx4tCc6Ny@JN;J*w_qzcH!)$7~P6+b{4u1%T;! z2Ei*Q_Ea!rj%K>LDz;T}qCPZB{14R!7kcwHHl_Si2AyP zcPjfSlhbedG{9Hu6RfiN-!eJG1~ zqdO`7@i+l6(*M70a{7(O3HZM-IsL{A1N>i@oPOhR0$>L2UznW!_Kut%sROLT@%wEI zfcM}WtC_GS-Dk(A+nv7?-M0&YHF79zO0%jv$EO4LoyR3O>`c3YJ6+L)~BYf}@S7gs$I!P}y~+N>OGs6huO zS+|~g7E;=ZKoh9xse+DjY!eM-X^!^P$CH-)!u4S62PmFh0Hy`w?TV&3A*Oq-nZH~ELw)nGS9Y-^72|24VwO65b>&rOjchN#Wb9RsMYr6UkHCdYj;!d#TsAM!O+A1B z{Jc-!z(?ST(WjBE9@`j%Rl36_>X)fu^!1icEbMUw7N+CpGoJLs zH}!_q8z9FdFzw>Jj)z}n# zP6_ew{xm_D;^7#T2h?$J`27K|h6)jSY*V&|N{DqKG9{;&N#Wq9kIRZ#woi~&JuBxX z)QI0T17e#)dR2hSWP3WBio+r?mP}2Z?(&wM>PY!(@ofmWH{P^(465qv^?>(o8l}g$ zFP5!~CFY}CL^2h@84UO7!)ZNmjM2F6)Iulm{^2Y4{tg*qcpekIHJpQJ>`+F2CR{xPjT8FezLkQB%d$=MdSTUu}MpR za>?7`ij;;q#h0O$cjl|M$1ycH2VFbErMeH|=k_bz?s*ee&l4G#+8P~_@_Lf*w#UFi zr>Dhhq&R_Kp1#rZsn=m~3ttS?RuRs$v*Na$H|C{E_`^)Gq{C=$&Nq6sdta)6{YUrB z7MBjUM!s+Xi;F;p?{+}dMt9ZbB9-@BY=R+vE?{%fyH!u>FxjSL$s@*)nk zzw)Du>whHp;z;wwt`B~sBC-Zr|sjel0ppV5eabz zTR|f|EdIcTX>o~y3`CqBk$`n@1hfRhR<|*3L9B5W4}qlm3^JDw9H#!6lc8@JDYX@k ziCi~ikp__p4hq4cMWn~fES(SY-oP2Ov71Fb`hJ|jzROZnYAg~Zjn#<(F$)lT@Sp_M zLzpq+gd77TTS<$1kOhHCCx3`I9U>8)DYZlxBYskovCrYdh1_;mg+cV_5UDUi5ydyR z+rqqdTpvM#=n(0#?GeOwY!A%$w#F8>Depj*=@1FAd;_>}@l;Yt-*w?s1{HJDBids? z^yv}R(0rS!4{;V>>vKUYT@cBO>p2lgg&~!kh?vE57KlmcZ~~BrPKe|XiUn*6-~vC7 z0JW$nx}%eWiGdBe8(g-IRpfxQ%YLXHoYf^VWqg`)stxH!>{<@<_W2u%d}VcQESOuKtGrpm~Ht&dcLjn=LYZ25CVhNi`)aP+42L89?f%Mwa~) zikZhV;tS7(@?m&rc_Mv*LVA6-MQ1m0@ZP|o;-Ua^?%M9i+;SlW+#D~$WEdZ@ZkCd_Hl&TDwd&h@zkT%H$$# z^LCi0k z+|geR(VeH5CdB@7OI}4NTV)IX5rV9w%<`tiIf9M7OtFF2kzB$dGZ|szm`}#DnoOB@ z+PF2=J5?oL$HeaCG`B;0l-uGMci4@`5?DmcCn?4Ko6XHloZiwYL}a$uw`YBF6=Z&? z zND=bxH5t=Vre#*zjMh7sb%&b93is_GviEAV_Xcu;?^xb6(T|3sz1zN7CiH3eo&tx7@;<|-F(f2r?&9LW24eCWMbF_tb*6-pPEU8n%5o0T2@t59+ zEq5*I=th328^^;5Os3+@_5o7GAo?+(WPQFpA zmpS1#q-V+($Ga+@#~NTb(>Y}?C97yE?>nV!cojX{A{aU^d|Ass0gLTwQX#uCCEyhG zxWk_THJGEa#k9y4pdi#L`^ zrouR12_OZ$#&gP>Ns7mP5+{0PjSLSf&o}d&GNpu6e1+o^w`rOCk1S>w98s=f)JjJb zJrWOH#~8Vkl;$Q7}`J9-bzIu@X})8RjdUw)v2oT56Y-@>sf_;MRM z3r&`M`?^{re%HG6^ThCY@;Qb6mYpyD&ztRRZM9Kw_caqRWJ_IwKSX+WPu6~z1P(XF zwsE!(?;liHl|G&A$_g1ftm_@UvCi&QMbBI*5@syZzgiY{7ZS^kYj-zWCm9;BXc14O zMCT%O(Oa8EnA(t*L5pid4Fw1APQ}QqYZn-@+^X=j5VSVF9T0QxKy-UWJ%uMCg0&&* ztyy8F?5ivm^6ov9kJ<1Lyg4J;{VewqpkR*C z`gX>AkmO^^M<0n-8R@r?*;g^bpSV7vO`nrX>ejb%oJ_s2Egz@Hv?J}RMDWHbuvn#) zcE6+WVwaSWn9Pj?NY-9!h4iNc^W-A)cS-RcA|t&Xbo}s)4gq9#(^GKcQ{-Gq!Xfq{ zWuwIWdTkNTsgiz-IT(hTEwTbE^FTdfEe2wIb7{<^ij9r|J7S+Zyw`(8g_@+qfx_0P zy9hbJ4UuHSjw|Q1>F>VdSL1#AO!P*UgoBY08_(=VOpFefpyH<*w5{!Ik4)cFyOds+ zz1Cg0DAm!SF-UsJ=@#%j^7%pf!MjUDycR1>n4vcVjbdmF{5fJiU0m;u%_fuqtiucG zn3L9otT(y`l~H0*m<*#9-3GOCdBrDRGj-OVA2zcxNNsVGV{~M0XBOEG)fc}vJn(9>95#D+} z@MdznsvtoC_v1a|!^5ZN@VTxsTmq!mhIJ$#SI1WJN1f*}5f_LINEfWR8xv`pCU9Oi zXB}CvI%L65?y@(7lwm^wb`T7zHB35}(hn)|Dk5l&kG>$NPi}qKbGX2yda+H6e=0c>Spwh)P`Zkl z`2iEf^!fG zR)R3!T?}p1J5wfvlYNLshd_*N>ysZf7F-gBl z37*Wp7aNH$E|_rH$`!P525SK#-F(~`&Ee*k;jGKtUxu$s`X+v>v{Zx^WM)8|veoftnF$O}Qe9VC)#FRMcVfqL2;+(K|(18M45RH3~jI1euBd z^=mDs+eVhmj9o#_m23rX1nU_Ef`ix;f+WwMS$SY2i;F@&*k!opQDFEP@Wq!59>s#{ zaMf5{BF2$|4-Cc%cDv@|^01%}b1)vuOip&q?ULlR=~%%q6;C($_Q5{Mfv6ynnL%GY zI#$Gq`{x?rBTCLH)mbDkx6pFDr$>E&UpU)h5{^Vs!^eub&aqm+tnSx9Dnge{;U}l_ zoM%MtiY7CTVtxcY_T7W|uJg`r&Eg9FjYi^Tc?d?<4Qx0WQyzpz28u1IL-&Krw374}6u zw`s`3z5}7J^DQ80J`6m^?7Bq!(CBK^5b2gjf;eh5X(0Yn=du}A;2aL%Hkxo4Vn(q< z+Q(RbaQo>Prwex@NClU#*zOJD_=MFkWSlwhSQ7rYDDAzTit~*3O5;e$hYAPHAFd*sG4~)XyjP*TkjI)^udz zdZz8u;QFs&y}Er9TMoAsu#R0EQ>T`edgk^DkL#tfS=p?SmW%HYD)#W8LJcayt7=!D zD&(=EMWw4xV|>tb^||g{eSDaOhDyPR+~CUR?N<3au{sH#^dE z5i|DB@@o98FzxGSSg*waMdFukcFtKx2r89r`{tYE$9%q$C)tIG&vi34&mcsfGgJP< zMoJRij$HDUG_olQ`JRF38x7gj+pg;^>bZC!deO};8#fG|`=Q#M89aeY7xF~p zHYT-TCp=gJ);387i5^aE%_tl4CIQa!wgM7A28KQ4hRRM;a|e zwF1EDP)MVO@Li&nq0n7ccmkAz#_}NJPgU zo?_iNsgxt6mF&Va=HKmqrbZvS7-reX6~|0wg+;Tg7_1O3S|BZ;-<5!h7ZGFVd^e#g zOjFj7Cai^{oV@yRS1h?t_rZ*^&CN_HZSKi7^E3CXQp5Cc*YY6``s_^qvsAfHM42hYVrQ^SOxre4OlDveLiV<487w0$A4pU~;ZDeB-N6t`+&+;gJ)>S5&L_ zu6bwMR-A|&R+!Cpk9HN17&d)KK{u3V#>tyX(6Vutm%8d^BUdN1UxlV2_8I9r5gOc2 z9xSs1ONio1O6T8SlNzkclpLLPjT0g1bJ~=8>}K;>c9w^f`T7Wf&t|xjn@>_RPI%W~ z*Ss!87)|{X{L<{2d!>YneAT>)sQu@qI?TDr8yfES8AxH@;5!gu2J|O7){Vl5%6Y9E zuW}-I4wog@E6%w|->xuuWkBHv_2cR_+-oEi(LOJB3lkmton{&%_GaGGn{>g!_!lg8 zKJY{92_Ldux~FKp(7GCX4$+X!lOyVZs1lcgVkc?ZC`#w~5~=x@hM1z4u%iQcryXP4CxKc`fgtxqZIq zvX!N$SyjE)&z@c88a&!>*1xd3(pGv`aJwLjM%I<6J}?E_V=k%9r#GzcL37VAb49qc zCJHy4t_3jFbkQ0u*E2ka=CYLpd7+A}hzUa+zJx_~>QLp~SLjVHoQ;E5Wsz|127Kt~ z4nngRDm5d=I#B-fG$R*-ieOkGPu@qu>@9kwmYD=iN)P{LGXygbkqIw*%QVTuo|7kL z=|$Ce{8~X9L@E$b9EghXb;TGo2nf9v$?WlfbZuY~E262HN5S|^UKbA+>_pFYO3n49ua`ZL}2_w_8f zV?+rB&zx9V7(6~1;yn?Z8YcE2q(|V0PGtsDQ|h1wPPlTppagM-ps?|iw5;;FyRmZh zywFEV*;cNvh-zN~Qhxe@VQRTWCGmCi=uK{Bs~v^PVy*R}WTGjyznE$s**X zvX7ld)I#vQYOi3O@jEAf+GL8m!cEr{y@pa!#`md^gU)2E0p-p2Q`>kiMKup(>iSC( z(de9~ZpG}37mFrs@}$jGrj9CPR$bmIC77Uf8qzy!G_L%)^d5Qr?NWZ9QNP)@-OnRg zLZcKvdPh7~j4mhO$V?pG-p9OrW(uz9R_B&Y;9yJV2@01UrM>YKE|@n+Ez#ZxbU375Mvca84Q0HyHh58sR|-4HLWA)dN=>|wlx;9P z);TeS=(9~YSGV-TM?ZBL~bH4GmQ|m_Zy?_2UQm$rFHj6ZS{Pb>3eP9 zBNR&#Lm^}#h_nC@ex;y$tIV66#QRdOcxYR5&3BdXOR|dmc_DAukWlv|$r3n7Xwa>1acQ1^wlw}u(Z#qqD(FeX zZfEc#7R)VI_gQ9H|8V8T!FUYeS=}~{tJ_Z`JA~`;)gAZ%7nM$xYs#Gjl^qeRY?QXd zQV)IG44V`ob1{hI<#Ff_r^r}CZ-s4zOTwd$@2s2MxiW%mB}*}1b-=J|(-S^ew2E1F z_O0I7XoXsHt9qwIW6dH#KsRmIl2$2=bQJEvE3w1afuI~KX178Dj@rIaPKs5RIa=X! zy4~zn=kw5B0qnI6+2W+Ex$LZYNUpjiZ`e}|OFvW#Yq(35Sg(brWIEYJVyZZmugMp% zv9Lud3>Q*RtUrk0vN0gsv?e~2(i?a|FYFeS5bwp(=PGT`O82lXFY%FRZwS5%F^$hN zJJT|)TkSREwKp2p#`S!w2QO>~L|h=62)sGljFW|*6$&s67u)8gyv-hZmn$kgWs6=^ zBJaSN7g-#h&DApDc?XUK3#Ho!5_Un^HTx>{Ow@90lL6DP7W|dm<^=It{Wa8*p{UPf zBD29_)6&nFGwCS}2JA9WA~5OuHB%IX9!nKt1F5(;3kc=y6-sqN*7k;vl4P!g;?>uk z_i%|mTjT{qYkr&&YaXN%dHe?a3EF+Gfg;kij~CTnq)6VQdSC@X9>2Xle zrXRwt^ouiNZL0S@YH)(3+zgv9MGVP}aM?HNxw*ibDUL#1)s z&9!-brgVkbPYcCe>itgJ7*la5he)L2D?8)>a^Q6>9JV&Se`Ewx6W#*?hw8Vj5Zy3D z3ZNr}^^ha$m4ir&Ki0~XuGdq%9l6%UPbnS%t8=R3&u~RZF)FcZeJ-dVyr46Jqd2>& z{2G;Z?H%uE=Ms_!tQCkAGQ<%bH%!q5~m zpX)6-3autWzUK(Mw>yIaF02|B$;YQ(9DNQH5czZCebF*#8&|edJD!w%rnb zo&W33{g|6KC?v17U!r{Uj{W8{;c>|IB@bH-4>`LEmUHKp9XYZ+TX>LAyZL0S+SnUc zXP9S$uL{RX+|fAyI6-!`ry*P7-o((z7>(=QpnTP3snunB>54N~5`tJZaoNzA5`}5y zJ^+Ez=%Ek^!n?Lorb4^EVh>eaU9WC2>Cw7ud2xEQKW&s|!l+_2BToJ@BgJga|B^B2 zke!)UOa|ZaUhqzf+hnC$m5rLNgZE=JviKAp&+~UTbqhMn)B|@Mn6J`Qbhe4=7ErYX zm(EV|+R9@>$m;t>gzEC12b;BE)mf>(oZ>M%u6|reyInlEHPt#8%ovPk>SX{_o z1g;rp=rKH-7;P!ykf}_9&#?AP+705$BE=iV=j0vr(JcTZ5GcmtFE3ww*~q8mz_z!B zru-IFFfN;Y!xz1bV0203`fX~>Exy+y2+57?(0WQr#eCLfC)reNH#vD#jmk2qvoiKX zve3H8vHT=ChulyiqfJR(A=>>2KPmhWZs0ZYjg~%z2WBD&ZWS_cqR~k7@+mQGG_!-j zLG%GJ^0umM3`od!iRil<&JCs&&W)@^Q*9&XAWU4?Aq zDh+!HO3rG}7BmL@7egax=yx;qP$Q_5%9VvD0*TFKxr-Rj;qH;lUVi#XQ$R68x6FAo zT#@xG8rgi_fY}@QJaWXEk4s4PbydZ{0mfaQ5D$NzoVd#m8M$_vEaBCwVkaCJrltG+ zNR}s%GHk|N0gT(pA|exMn^QBKoOVcbV(Y;)&(qAgg>R}cijiXpjBol*MvYLVqraG5 z8&$i;>3%uDPwc#l4`u`4K9)O6LCah1&0TgqcUGv1a6OisiH0J4kGKMRz;|;EFt4of zX=NlN5lw0!XS!77oKX{Vk4o#n5-oWn_aHOM#5`KhdaiD`USc`CJ!ZRKASC90HoYeOhIP1~T4HNeWj?*x zi(R+=M53l*_w$g|Jy#XM%`k3(;`jPh-KAF%3sy~g0v)%CCJS44rwgqXi zK%|1it>VxVa0^j$Q7cn(O4{CbGP&*KNX;z>>0HHOAOKsAu>S$J9ZhZ<+ZtM;a|=Oi zA-LD!xFNdHh{QU)$A71)%FRd3!~f4e1gLqr{`rR>H80P}x19Q-qi=?NqZT#Kg`@w? zBY5;5ov(-Dh2Sn=GZ*hfBc3OQgs$Rn;q#sx;h)pwg>pA9#L(9WU&G+?+pFTaq&qd;cwTrnS*PL)T z;Q1~(OR%J-z%&*~az zFl4TKeIQgqs?JJ3NAZBytfW9!l|_px8#|{H{#CcrT*phRXMou2UH`{7sNwKq5a1_9 zye;1yZobry-Ro;6&EXEY3{0k6Nd3%5Sw*7t$mDKzHs{cTm6e(@#Hl5ditBr=tG;hK zw0dscyR3U>M9BBfL3cIbjmZ&L1ItkF2?JGV!GIfE`8YNfbIUaaJhd}kYd38lyp4UO zt;xv}ogJo*(rfMu^jVe`Zr89TRn70w75c-Yg;!JHhErCIdqV^$MXM^xcguIam|>6D zIpAKlNLPyLUDSPQE}Dnuus(@^aIR5?u4+%w`dmUBgW3g3IQKozMM8B5XqM_Lc;g9a8`1@mOsUtqoHkXHDyItS*2$%d05q)&NqH`PFEh*7f+eW0 z=me*%-zr#*2tzNV@yQ->cR}5&aa7V3Sq;Bc!25XfJe686)%_dID3uoVXEMN*?2v@d z6d^-Eb&#=!(xw**dirCx(fjGsQyCDP6{_{Gd@-KeDw7w6zm

    &*%KM`Ns77o(J+x z)@a5;zV8U>m;pVxEXqZS4;-KPJd+cd@;>y;xz0P?nPkuNN0imaMorx?e;Q^-kiOiJ zW~}Hm-PbITeMNuDTU}iD&LVQu&Fez4lF?oTD_vR<*_*a?JyV<3KJ(Ku>+yrieL%k+6#?@ z_sb71GxAM)u~TMR$QpLDl`Z|cO}!BK6BJ&u(JzfgH52-cSkSv)VZYUVhBwpx`g2(3 z{9S@GXL6r-o9n~5@n6C#SHZ1iR7LEzxKyaIj-YbcSD_V02dQwm>P{7O%nd0nKUgy> z)Rjee-StVDFu+omLk@AV$P7iTj#=LPd@f|mY;%{rf7k&62vG>g6i2Y!8^?bhf5?oEC!WEh< zrT1<#gO^p*n|Bvd<7ibcP=qQ>i~z`fw=6};VjP0EQ3)9j7W^#FUPMmwcmf76`XKnL zykvdPU7UA0lIqT+-Z-O+b=|+dM}e7hu`7pP^P)f!Es%lD@_a{J2c6aO;|I4%_>82? ztp1LxD2Q?SnnE6&GX<3nVr#EfA2|o>e7^AHE-GGn9DBBAY}6$WUtyx81B^MP+ghB) zQO_=QM5!IhLQ4bD%+GLSeq-^x56k4}H#SS{IvS?zn=R<4jiky!;Ie8dP0*T_0-!3B!pHXUGM&9sKi-j6br;NtzTpK)y2__Ef z)m28NoxR(_5C?8(@)?=E`v1+|J-3A<^FM(=zDL71r|D zZYb701IT0V^D|kNMSCHZ!#!O-nW8P@~-YuUmV|`Rt=im%_ z3C|3hOSkBSK=In!=*ATJs-kT4zM^<}BEm*dkG=f4X`F+m=*S(}s7wT-hZv}~9WAWN zRotc1d!D5t>n-Q3KB@xf>me?p`Lb8QUr@d(x&mZ8H_rS-mo%2nV!lmDc1>0vjUaD3 z!=s+&b(F|TrfaCzeEqYgh_L#DNs$|Q*H%kdxzwE?VR4)~2zuR)p*}U$i8_g|y!=sO zal^7PZjaM5T-UbF!X)*&Vf8djQ_r0`$?WVP>NU#?sy$>3T4L^w`Zwyw+#6-w6!B@g z7d3MMyzxg|{x_@UthMkOR{V|USspJeAPv^lEVP<&;t0H5!CON1GA}f1hrIB{g-fC;nkE)3z)vVYzr#HMCu(GPJVU?cC$=YGqpR z5W{XaM5d}cIS21`xn)}%t|-l2SiGOBUvtmNnO($Vd{GzG$+%lID7~zO8S`8*4wRGx zkn&c#CFJEgRPhm-f_R}9zID0Ejq^UCnAjWMn;pd%l2wE9`2g#ubto79;71a2)z z&w{2GUc@yWG!Ze{e-vJhgy3J)E1_~oirqL{)})VLT~GHxb@h3c(%r~a>bCc7>`?<( z?(_~`5hb%zeCxTo&5GZcl>qTS8vx` z!$%HABBVvJSm*Xnu6ug@tQJ<(M1>0!jTB&D?#0K;<7_gJX*Qr@$b7JGaj6YQ#W^*v zz-VPj*YyzoRZk{=;K&wAT)rhBNaac0*Kg6R;#Sd0qMJ)vYsFt{)bAq3b%Q-C&6Op% znL`k|UKlX1T(zw_AAPWbVs(j;JbnC}*t}f#Tkh_q7vZ84wHSQzl5+ga_ljt?=Q3_5 z(`8>6uxR6;L*`yCz@#y{gScDSd@G&S(KO1QuOtXjmRkDRD=)%V`Qc4q42qrCl3nKL zxHX?DZ+bb#6daMB1u0Vm^^F8;>;;jMC`I!Jbj}|dI9|>+MhN=sLlMCW`+oR?2`?(0 zD)TN5$jeuVfxue$eZofTzmA9Tpww z#NZnsk+t3(EbTzu3gevE?Zsekc=C?7*;Z@7tz%_BJpshNJ;SWC4O?dgobqgMaAZY_ zd6H`RDXfTpd1-rzB5HRrdN(Y!d2>s7Ldu{%y%s_5+8zFlF;6Y~S)L8YC6_t)M_**# z+Xr?g^S}eOke(!hUD?ukW}SM0r1_q#xIp?^=Z&6D| z{t^R7mlxkw)@&VVGkZ}uN!Q{E-+IYSORtppJo5BpO{GUZ3S2xF&`BT`A7+?dc&B=h zGSs3v56GglJ@j%mAdQ4Cc&y*1m4TJiaa}ESU2ZOTimqiXX;chlQ7c!zX|(x1dvv!%^l%?Wk*gMnU24cn3_CDoX*#Xw4{QCXq+ulQT?4l0)`OzVXW$JVANKp;v zMHGi3vrRK8D*qo_?;Q@;8@+q$5h8joLv)D}O!O9Fh^T`E(W8r&=)=~dPjt~m^j?Mp z(Yq*#Hi#BP!st;(eYfxLI_G___ndzuu8Y~TpZ!?RTKD}~Ycd^EPv&oDuK7WSDw$p> zK+CIuLvhwseP_Ci?g^SjFoHz$6!DHbUsjtdf*;EE&!#!eyX?CyDfTd7vSA?kS{=5q zFfqGwoG&_p+7tY=_=HAB#sBK)nY8nEv!ja|78M!GCtAtxsBMj`zFkXm=?u1SJHCth z>xy2-h(03vE2`XIWZs3!nDu~Ri5b3!8Mk|qJBptpCJ{R%FPKa2%n_d_AZ5*da{|MN zheNf9wXKkc6eCk7-ZVqETQN7cALKCBq7zA2EbTaZH{FATOZtHRysU`WW3fWtWIu-?w59eepmbK}c>ofq?n*fMqbjMMLLCMI)$cB;O7Bcqmg zj)r4Wc+xT#e^(h8RomUtHcg7lvS9BmUtvs;JRBbi15bz;`q!PHKV}f(pKW1BcU#?F z+tDQ=MIidDloyrv;~tqPYQGCV%eK3(c>nbN@sVJ$3E@EZOf~^2e&`c-t`FV^=H_=N znULlL&<}6t-|Ms}Qgg=vxbW6jYn%;=4FBpE*hd+kGn40JqCa%SY`U6MNl}K!^$R^)kduL^r%|@P z4t<_2a+S@i%L<^3UY79OQ-7)8<5ISTdAwwUdDzP_0VZUnaLNeRSCWiXTi%t=p(LcK zin#}r8TLO+IEzt_l@Ai^`?Q>%h;0n!ta0w@Yb{?ExU^+^2c&aB)J-u<7h0F-LSBFD z222lr8^Uz#L)kcA5g~KIq%H|e_Dcvyr9ok^|bxv`GtcaByHPx&i1t#XLw=z;V z>jHDwvG&+Y=B=ijMYA1Q=IxQjL$rvf9oGIrcrm6qHqdL6ZcG9a>& zwiRqUI;C|;`TL7yC2&Sn7AnrgCNly{QT8Ug(-?T7#L$p7O7~n|V7q||TIM1tyTK7z zx>75Fc98YBYOuciL&(R{=4HBR=6gVimub6KOWNOleJ2PwN9ea>C%J+oj0+kT_1neh z&V(k=&9_ryl2(xIpN2~&UUzkGa3;=iyMFjiN&7n+d>jc7kpRuZEXyIYMC3T;vM%o+ zLDoD??rHz1NTK6j>_AP-dVzDXRo=!4@<0+=ORna)cdK>_!BsiK{IV6xcl~TUI*k&g6`Yy#i0h`i|}g-c>b z*0q8`085;_o%k$n*9`eL#qhRRLCEd5x!ge4OYL>N^u+q zX4eW{SOgbL;m^yfGYw2?)b@`z; z@tp{9|G7ga-1eSM?ep(nn6zIvYFt*;1G;9$3xT(o0_}pSF5Fb7Hk-1d6Qu@VD2=6z z&w&?Ko(pu}sAXy`xgL3uW!Jb`9p`I0k`;UL)oNqbWToXHD>DWnDbF}^=bY`-+ulUQ z82v6_y~5nC45!5i|FY?LiTx{RsAO|KQJjTh`{{(|`K$ZcmBbc5Xr0rEM5(9j#nc@kuH?5Yj^IezuhH z*Nlda30G=X*=e%(*q*skZZ^r1>(9$8g2f#d8z91=$6 z)zk%X$#}^}0z0@@wZ0LM^~*(L)6hKfs;bJMCREYgM$SE8Q>n8}G7jduKC=B>`32zx zomu7V@Uo$uDx*wSB=Ct0r7Y!GMz=>|mF&~X9^o+#*VK6uRTaIw|10W2NiSW@%=F~e z>c~oPbyj)E46}-_1@61P@_ZF0s^o-pks$P&*pA0F0{>GW0E`SYt%f5Icnc|0ihzId z$0#Gq!`|}kM2uB)EEH`bg%PDJ{^5tZM{$wa@mli?w_S+039U+Ckx{}rc{S)+^L;|%Sf<`C2LaU>!?n`7N9hCZ~+%o~2 z)F-B_MB1Rvd_+qg1@P$)_a-!(5=9IJYuT0eh8W*};0pYy6yscQNQ-t`a4n9Cv8`;u zX+b*;Ex6Ik9DAgdS{vIx*ecYVGyXw9GGZydP$6(PHL=k&cb?_K5q=fbS{qmMuS;|>F=O>TDZt4^E)Y8Anv|iDiNhqA;iAgA z?!P{3Zaap~&P-*%&H9k+k^f`uroyQUUppjB$48 zkrYX{o03jZZ+fkUAKL4nN)p}yO)lntR_);aF{%=* z7yao9O}&Yoi==ylwOBNvT;;+(++d2t<1WB`@I2n3;>dX9?W|M^4q^)hrDffn{Dq=b z_XOgIEIir$i|aTYS?!0)Sl~`Sy%Le>oy&fHG zh~w2FXkw_lDd&);2>4{c;ab7^Nw#&RQoQC+U;DX!UX+3V^WMdVx(rUpS0&|5Eyc}R z5O&0>X&qPa6n!XV150%16QWv0^QsPOpuDnls@5)DkseK821-NXc&xknUbiP-p;{2H zvhP`-o5=XpGVFDOopvXu^=(hU`)tYmoXdcI<|)uWDZ%9*$~kRg>#N==lM)p0-;FKV zJTNoa&wDsf_77hE8>|F$OrR<2>Bg&#!)T9`ukhO6EwPeutP`=*%v+*N0DgG5YM$S3 zj>@IB>iwNV0oblh+ON}S_Q``Q870d#Y6+z!p__8+K+(vsaIlw6kZ)Tug!TKE3g}HP z8FuhX?cBZYK&d6~)p~IgLBbRFGIJ7-HpJP0Q24Tdv zZG(kGOj<6`jav)-`Srg(4CAqWN) zgJ2)J6+Lfzl1RXNwW7>dk>hEf_tWPep63FXE(sM%r!XM4dLNQ?9h#~hP~J7lyA6~4 zY)nr%zKI7Fr?OA=f;`v!mac<$Q@Ey*Ba$4WYYxPktR~igukqcK&WQ%@(#Mssd>o8p zW8fBJa3J7~B_`Tlra-T4KIVD9ft8l({%6POftPFCf#X+v^cTIaP8?^>Uh*M$sc45vbX0bSe`{$!m?hgK zA=lF9BG&ecrYBcZ5L_ES8aaGh)8{&DF$89ICxDk;L`iZh{l&wPzAZrlZhO;6k$Hz2 zjhc-1;ZMKFDlDgdrBwbYM$?yk^-xuJRIWSocw|$Y>s3B*mHzb0Yqdb()CXpGQ)I4e zmp`|?N`joCLC6p4}dnD zFlPR3u5|1-Q~5J&xl)+DXC#H7&V8F(-`IYGnVUZ?Lnfr{DXXvL;vr>`Aa3r3DG!Qc zMZzXn34B0v8g?;4kbG6;t-E{gklZmqLXbilC=MHDG=G|Ys4f2#717n3j>I9#Lu?8V-Xjs?F22ujyVYN zkI|Apyw~4wG<6Garp|GfVf66gkAk^&+_>4?_QVCBHaKt3(mZU&=g&(P;g)3=!n@RX zr13|w<=E;OvK=Tca+zX}@wyy1=|+vgj+nJ;DIfR6k36rMdcSw5Ofy|2%^?!0iWdr+ zeHW2oJE40bC*+$U5D5;of8Lexv>_RDn#uGJf-iXeMHQl1FDFUPCM~8gHgqOC>qfsh zWxRY>XSrF|IsNf+Ur)P^^u+{jXgl?)6=V6vf~3Z-sx;;M!WBO^AwU4wXB?!5?qePFY_(cc603KM0sfZJpl<@adog`~s5 z%9fkOai$9HL?M!<^c90%&o;gU&5LsP0k5PXVupCER2|mzWk%v+$@&s(lwksu^qFrzoPNJ$k%i^MK@k{U z4zi8v>1}btX~jb=ZYQs|4sZ6jxDEKGP0`GH2|X0DexCP1&WU0jQ#=|n0Dqp~hu8iB zg;hIFoLq)(Uve^ve7$?D=_v@OokB{*tsFgCe`!os23YJu2F;oWN$SU7kGOJ?HB<7N zwx;uci$`G7d1J6TgQDE&x!AF1#`V6hfGC+Uv$EBeSd}dk*BqgVUT#8C*sDw=*XTv1 z#2?YxKmptDvr{eJWs!Is=ZTN=zD+$*vG{|AN-8vI*le?v?sL8|0!xW?tMaN$l@6?S z9%s2Sz{^TuKeX9sK9m`->7AAR5xa*~Efh@kVui2I^{!-ntW4834!l-H72%PDeyxNX zga$!;OL<LHHid2ZmoOEnh>J*J6jBv@=b%yH&w5=_&PvfOFn@Kl6@sTH}iDkL@gQTnlfB1!4Rq<$0|D)@S!P=b5cc}*cLp@AR~2;R=ZX{(gl2julz)&$>-_4aoE$+R<@Q+A*?mAlpzW?eQF?%7=aH&zQIGVZV%=@jVCU`chA z(uaWRT=*Lhj|epioEGC1Doen*{q14!*#a}q^(AWX+K-iUie;mquYkV86I9-ah6)`t zII=r?6uuG%^hljx?M;0Cx`TW*$v7y-iFaAc4=wj--hd_)oHZAVWHR>{FHc|_;t-Bi z8q&Ca;gGGll>UAzr!?yZVLBe*EP)G{lwU94r+JI-pX4Dp zah<;y*i`I`zcP2k(k$YZ%dfu$VRh0KGS(K`-%RW7f}NmUyt(@7_U-pLx7Rqw7Yr&8 zjqrxlCGWdLeP=S-8ndZ};`f7?=o@5Bep=3dUdmPON-FFRj8W;^feHY4Ls*8vl2>3-_%N%RXf zurN}){Y_iG*(o63s9wXv$oZ`OaREvA{;n)^9|;k9P;SAg+Pe6=plgrfWo+Qp-OqfG zn!~r(_|yu1ch{w|!ISSXP>BZvPlj-%7XA1+()Gct^*A7rpz`iot%4tcW4PZDS!M7A zwgj7BZee+#kXW8gt#BD>ECeQVPWL*VfU*q9y@tSztBxbZ!mz|SC@&G1_qY733^uB| z*{_OPc;F$k_Hyp37l)Z3RvninT1LEqrTps%%y;Kt<84MZfLt3S`(tma(t*K0-qwF| zpqocTSTYNW=m@T&<|=+$H@7(cPZ7zcaDxKyu`1$aQ>CYYGVfoIs^}8}p%B4sacL3# z=~He<3b|RFhf(6>UUjvikk%su!FG0NW&74MsN}&uGo|wS-hx;xm)0BENl?=g&OnHV zKgTMT0688O?~!G8Y$W#g7l983q0YswjIuZOQxJg_!Eew>K8IZqm>p0ODYq{d=~YNG zuIs^_Mzn`6cE*z}fv>HsLk7wK5ycCN>QBHNEIyo~lS>%{0{qTC%& zP40*X)!fSJUk365;^u9JQz|7cvX7ghgQD#4>ZMrhJyLGor3clE8tg!St{C)`wXkPq z68R%=DNAeP)Gxb-Un@ygG+;0Z`Fr^|>)jv~6-Ya&aqG-E*nlCEvznKjLjquvhj_R* zxBlKWx``(FIWeFDY3f|8M&YCWPgSXZHr!A+EGM|!5WLK5bJg>z45&X$CTO_ z#NWjZR7L8^tU9L(r}~sb>$&WUk{r(xM?LU{*n_hO)|vzu+TM0zz53dYixn9ieIKbi z>ay_**okmc;^t3~Vw5&`E zzj~%>i?*}Cal8-M497Y?By=~HJIYhUeRJ!@@v0bNmRvHm~gI2b7kgp8=}wB`hj@N8_ z5J9Q{u7ZJ*ym48I&DWc6MS?q_ zZci>ML{Ci`3Mj{a&E>F8lg(Va(#2>Qv5`)4+&rpy;^dQsQZH<+8rcYaH7HdAjbb|S z8#~f<(+PEJ1=BNZb%6$KKi}M|iEwA*(7c*2S9{pWVv|v z@Sdffy;SM9e5v#mq0>hmVG1BpZZp;KDJdu(H}A$i&Vk5{*oPe!FjDFUgBY4F1%tFp zZXZ0eoEO5NB4Y>sp-z-8y)83+THd{cQFA^WkbImn1HbisNFcBkKOD^K?lvCFLRO6F z@Q!z@Ro9FY@~Mx>y7tO_4-%MYz&ai*4Q}n;6~7M)+x=CZ<3aHjkTpZAe7T%5u-cp! zdw<3oc#xQ3>eZ>+M#9Ix+my5!Nzr2YPC^PiW~4~ z9gSnt;rgggz_4nXKxy1_LZoOAxDJ%hMIieU?ta9)A2KY5R_PEGbPtogw15s_ zJ9(y`0j;KK{t_KAx%j_JHGIBSz045JWax=2>%eo%N35kSMRj@|V@m?hKkU&S_*!t1 zY;U}68bP*QzQ~J05NOJ1L}y!{B9hbnP7#w<2j=o00b8e;D$>oEOr%@zYN7PK2kjE> zukF-_M`c96w*uCnOLZ0VJec$5G;ww%z?4(%?R6jw6#S<_M9x#%Aj;s;0L(W>diW5L z{dwzSQ9brb_X-iVXA6g4pT%G@`j+dnz~?B#CDPz>-DgeDL!D%zoo3@UvW9RUU~94c z2Eb0|_&0y}*e6XBx(fbj7D_}iX}f4STlxOOOYfcE5WVB#inYgNBAr7yBiUMNj=-U2 zF5z1~Pj9_0BGL6~1X{;Zp7{k)01 zo@B7aVS?h}2@)d#*b!)efhD+569+hn%@{L}LWG(3fHIt~(EXUDt8OSBah6v$a?gr@ z!C5wtax(<^fe36N0(TGMax+AO>hGB@ zj-)$HmOPrLO&#aX4g%(vi9bqQ-L0C{g+3|C@m0(F0!l0tgNimH<7)!9f%hF=YV617 z1yYKXnaQ5nNUL^*3{BJ2AD5gf>^`Aip5-9c_J5DH)+$ph$CcLJET%s@XpMnJWqfPk zS92=}hoihVVX~dCam-TXGOu9VyHT=>Utgff^!-e@fV(s{x7u>AM`$Fi>Nd@*j;z!9 z4SW!^bY&(y^EK?otq1f~oKeH^;Uj(2nfRm~;Rn;tcVJ;Y_GBL4{(`Eg<$ZY_(vj~= zpGoVk^?rcZW*7aV*+OjByso_+aIn^QYA*kJik)*o`HkD|o;4muSI2yUF4}&<}+g8 zns(PE^N;a+eQxes0`K#Bu*}~;Mo=3}V7Efj{d=!>ncB3XitSly??8w%17)CRmfs%$ zz9OAyFuG;bkF7qSE&i~eYF`xM85b`D{^37(f#-8Le`?;^1g0gR4xAoJjtd&6ZsG^E z%ytS20=xAj3Bx}w#tqnG?V1}t1@;@^z9}sgP%L3dy&{{fbycvPGHyT7v3P2@zn5tc zipd^2l9m;L*xx(tC=!z-BiT=aU_OINp5qtE6yCl4B0{K1`##N7E#5#$JaLT!E#H5I z6&}ZUF*D07YAY&DM3@``z{@!mG!3A{zFE<2*O$7Rnby|3CyiQ9;xDfv$7TxIWaw_* zIv?Jt>`2d2pxeQ9TL|v!c;W0L5wHk2Q>>lpAT88Q`wgoKelX^Yo@9siIyKi$34wVd ztN%(brQgdN@{q5ye7=Io%rB@5JR0>-uOHitmnrpWROUwkP1GetlQh-tq~iN;U;eT` zN_YJI4d$ak^sJLT-uN)ZX{sW3{+Fh^8?I;>XBT>==_5;BbJ2e(^r40Yo9p^NQAogF zGmGR>lARExz8E|a!+8W36eUbSaiB&5LWU`m61qK$W6R{ZpB~E?L`~65M`E?c% z;_J|{OP~NP*BN^vcFI%>0^30Zze74KN_7N>e;~G5lN5m7Hr~o=+#c1x@mb(%uhC#q zcp;is{Z1tXrd}7-GoW%AG;FM~cCEJ9*pzqheXYI4`1%A!`D!n4H+}&A;pP?3VC-H( z3%p3Mf)F7t7BU?}UR-k4w&xw_I;Q9j0Eo%rM$LM#B9OX$O8T^J_4qyV;;5OSVcD06 z@yB+#rZoijpO5v28T0BS3%!YV0IIaz>>XER0A-M&V?46-tnk0J@Fl*pt55LCox(kXJptrmYZS|60C`1x4RjbulS36-j&~GZs;l3sKjs6lLoUB5YlJ z^!D||W6J|`su_8R0Z4+F?5h)_ZWr@)?i+;W1t)IKzAKHVCF***75HD;XR$*(@I{Ks^^x>ZVOOkH zZwnZb3PRYSw3&}?8?#=wHvKvD%Pv#_7uceWc)4s8l*v&VM-|FqA&ln*u)Lp1CvHOR zcA-b4vw{7BoJ8%nT}gU(INRT9)RcOy=<>ZQ$YU|zx%+Zh0KgDr^bXoO^i!JdDN2f) zw7&uJA_m}Ob1}Bq>}YpSfye8S3oBOtW_F2R4wp^pTh(Y)dK|7h!9yQ3rkX&9Zi;k8 zJks}yZr6Or{^&rJDr!DT%#*Ib&jp${Irj@mg6LJvi$$?x-_LD*Q4VUqn3~?xq1$T} z5I*m&FFyl9fwsvCi(30-a7rsNP8Q+3(EW=9our$cLnj%G#;BZ3yjU5uuCSBF=o&g@i}!A+JJ#>8dufQ z8{J=L{gCvL3u1{Ji>IlegA(+{9TA?|p{p1OLZ!_HVUO$S{tO7T{T0zXO#cd>imp5p zM^PUiYH=U_g3|{VwL9@>;lsj>31tlLE}0JZ^54N@%~?0BzbbxKNBUn>Dg~zJ9@kQo z3_4=pPrYV+db6D4PIw3BoW74gYttuNam+u@96h*7xVR$ju8aM)$5&6pA6&prB*Rb5 z8tD3%a^+~bi|h1$^(}oU$$!6mAFptse?K%}tsp`BYdz>1iQMG7W1*c;EzF9a{lb;o zLJ}fOJGXlo)FngR0mL0v(VY11JjX-IK*i+GfopiqD2?q+Y8|r|-*dPPwB?Ao!CG&& zEUq;WpM=}ipIyW|z38~q`=i&mil%|)USr^Oz9Kb5@!3mq@>1K;6aN@Eg9>YZ`-wcX z#d#vZ@oCtQWlI;~&E29#`tuMLB^75cdPyO8P@^Yt`f&i*F#|r%_c*^*82T};*o_B} zS@jQ-CA=Vsa{hJyQD_iXy99^gIM;hJe94#GH^oOA)q6yk-KJw&>yy-J`rS^qFo}Fi zRD<7J%%u{A^99>9h}lX`M{X>Gdn@=n0djM_ks-MtO%uA`x`pCq$^ z{Lt>mg-Hi_$IIUfN;q}K#wfj!M~yCzEie1v5!DJt^uA6_0iNWG4}vR?=Ev@UsL{Fn zWJwDmOr50yWVQARJsJL$c8(zh-t9)1I=iG`6PC$-^*EjenS;>lGNT#;(6cd0d-uQc z_|N@dsTN?(i38tuCJDS7khNb^PE1_B^c*05;VeJL)&{)V?^C=<9zl&zja^&5Z0W7Q zZ({s)J@U&gee%Qa%VyL*RL-+soGho86w1K5s8Oih8{ct-{=$*Jgq}xo+*MfnHORd< zq#0W61;+)WzK;Q4NizZi#X)HYf21#Tqw6tgdxsq$T0Kl~ zPRX$HA*b_?8=ljZI+j(wI)??rDn|e4w~mdRAQ3(Q-@dv|@J;_1dvG8{3Fs~Egx;w# zO0i@yXARdH+klJlt_od7u#W~v!hVX1??@exV?kvHeL;mi+eZb zD!eN%?EZG@b}*+3TYBQu(D!Zir-8**t=sx|=w^QRfZ5t$C!>@Mzhc8L&HIs)?8PHU zmL%X#@wBAPO{Bcp*u*=K{c~7Aq2?;M$>w>3!LqYgFbe&vJ$dfUQy;VYd-AumWGV@( zPQiY=88e{(r(!yNV3rV;-v)waQ;E*`;I-W96=qq9Eb02{E8Xwk>^U&+Iy^n+w7jRF ziIRBG9N6a4`M5E7{fnI4(VHRQ%6B(QMlbHacuS0X(~ySH*#1~>sW(@P+_fvI0^S^= zye9$6faNAoQcj-tZWE+1l)D{@E#YNwxaslwOZgu03Zj-%FWtpo^n{pwFA~X<*BWyo zM&L#FTj20}m#k21H28Cix7Fn5Rse-su-OZv7lrs${0{d-7J#G}A{}Tqy{lm*CE=;3 zc0VGjO^Z#Q@a-mD>8}j%y3}R(d^HhxcaYy=3>{c3y%86hh;H&{eyz~1Undl2f z&IYG%a8M6YovwArl$O7CDf4|07Zlyx&toH%TYcEBFtn|VIrmhroFbBc?}cx2dsKc%L_J@!i%O-A1_&d7`2d)-5gBx8}n|j z=w-h}c$`yybQ>FJUMr||l@D341PC7K#`N^A(px`3mF9bmM4_W!##VYc1g9jt@M31SM@%^7(9#Mj#gce2QI-m2|{%>fPL*jolh)f%DcMXzA{hx9{=yp$E633Kdar{TpO z#9R_Z%EQkm3~6nKn`i$n*l+h^ipPlVPo#;^%=0U5X5K{DE`J8lRtCGh=!mup>(PgI ze3;3_!T)6ehn)Gz8@{emMXO7`0>mB%8`WJX5FTVnLHQFna#ou!{iV#8zuCs$aynSn z3gkf_66`Hu&uV^~jXd}{^x*`NY`o46$-g{3harFkObe|b_`|O#N=ySEv`L5U&Jjq@ zE6aB!*@pUSo!Wq>kNDG;9h~r5S2>cW&9T=&um8iK`CU!@yYsXrFtZluBHFF(xv!RaW^@->;o}~+Zf8ND>68@^%?yT z*Ln%cV}EFIecMLwrd#>?55O2cys=8wuu~)Os>MbwDGaG6I^G=96r4fBqNhK}4}kAI zNCk_uxk6V>aHO6s$u!*-XD*k-C+Ft0El=v}e=CY_ zK%=dOLQ9W`XtI>}b0vOgV4yxw!gIvh=8~Ne4o^lmd4&=^Rh9Rx-+YmeHm-t=rH2U$BX?yFfG6Nq?OCf92m?;`bf54`DBb91WeJ5Lc@Cfrc8ag6gW#7IV< zKgVtWxGo_Ddq>D+EzEO~ugWL&W9PX_5|qKeC8~gP*-&!f?oy~0@L!E!tC9DzE2_ct zBC6R__l03C@1hsH-=k1$#^^XEoU6?7d}r*@dZ(4%4)4npB@>&JThZYi!(izdq~X=P z3?+`L%VkTYf(3p!&M*0?#ZBZX(1mV-WO{6G^3B1aDL6$^s3S&!b7Eal3xVviApUfy z@_(0Av)H+No1GrbkKYc6pS%lVQ%|$Jy4#*+ado%je}Ab1z!3NUB4{x!8KKFfgf6UXXJx~rqoD;Y<#ekKu}eXI1!;N@xlbLL{3^|fVQkeh=9nXP?1;vdlz z0r(CWC8FRAS-MFiso+q!yTO7V%)cP^gd&TN3jNh?$x`Ri1mI5fqL}ehAAUrajbusfw?UnqH;#|9Cj2r~I7{HdH1KQ}K6sSPp{#&n$ zUF63PHYM(GS7I6xhAMY6+~0MvSAE%h{957w{&`?W|8nk~qYr6`c$}9ey%-!aBF;C< z_r~~jYKA|Wn5tm;culhEM$?f!G`8{IU{a;WFJISg6kI6M8EYQG+CGavbXWB5%hK{{;7kW9QKit#ERDx9ixaCEJ(0OCuf?=24< zGBX!nDtw-KmG_}yr)5ICFgW-z>Z9YVR;I9dOz7u}tGKdV;ry71;Lcy-!r^#cj4$gQ zjV;?~vuZ7t)(+190ifieC>4@xCL2WJLOM1jKHjt0x>oRgY@toCOM;0gz7$EuEy)sW zdn^~J&)G=9019kO-A*ZQ05ZTGBTB>}u)x_@46r-!L?&Z`f_%!`l7QPda0pI%J_e5}7dpllk5H?+^O3dMPRJ34I z#Pn&mc(z|W!aHM2O+j;09xD05hJ|$a6Q`72+CJ9aZCCxy@<>`2DWDSAQSB-v$haxN zvZ!kjpm)4tBhzK0E^gXF0NRcdE(TIw_wBF&8(@lGyY&O4e+0&R1eg#MHCYUiwt>YT zk<9mkq3%rLy1>8CucC(5cATI6kPH-vn(BXlCNqdKUSy{sxc*?wS>O=nr?2#Ep&Bi5 z#g4xpBu_h$MaLch9U;r83@M}oM)lKIJjfe?ho?fEXG&qdhP|cz_fH63Z;kw=xe%mI z0bA<|kD`q$a_6G|aY2lVnK;7GQ?}cpAD!*Us7#I@f&@U7^(D=*ja$voY?H?WA>j>- zC>Hif>lOl{XRaYlM(+K}xIG}(G$MY!*yEoW)2B7gEL>xNb>P1S@XoJY@bJ0yexi(< z4vmrjD^+JqkQJAQ09DnG>OBVulGz{$JreGUd>7cqol>t*R5u82JNftkIMdh{C+Zp7R4#Rja0c>9?}1~X zM-k9JJ*hj*&wl+2yG-OfC5Pw@Gl-$u?y03Y$ok=m!{ogR9F2=Rh2FH!Imm;aY_TF( zP{rQLz~=TwXJb6y3b8tQJSs!$_ilaz;Vr;oJdDm+{$S$=!w7|lUsK}0e*H&UonIkZ~ib%Q-`ke+WfF-t@CtL&ZY;X zroUKq-uUVgDd2uNe69Z~Hx)@ch5 zc}$Ae@t4BR=u8F|=$5`z5aJl&VTmQUL#^dNKv)Obz-TfndjL+da9b+dM~4S10R^xM zgLt&uc-Cn@c{f;3+5=z;c>B&hHim}!2=v2kzvN^5qRDuyn&jIAq}v;keq9%3|5niN zKxRQ$w)S`1==-f20qMIge>ZQ_qDap2*ix zZ@-X_W(9kyoqg()x0fw6v52rr?AUu5*1gItsrS|^26q=cXNyqB51B8z2)Rc#s#&n7 zaUh*I<^a%lb3;~0#FDr5Ks^@&MXU}Q^Z$eg;Tu~$ikTNfZ znW16{#RelGzhBnIG6A-IvDs@~$@&gx9)9l_2iR%$2DrVd+XQ_p2*;30Y#`z{w6!Y@ zCwrPUyE2wTd}pZas|>YFktyiecO(bSoef<|wLEiFKA71W-`DkKm@zit@S+{wjQr`T zS;_xb)Ghiw=3YNdd$#U6NUcmihp5R-e@-f?^4Ei-h&u1yZA6@ZgS8E=V_x2L@Pq=r z3dYMaX%;89y38w4xA+WpcKotsM?wPdGk=VRGChR!R(1Yv2WZ@KF3U7etOU=~8xOxH zH)b!K>l)wb(^^67Nwvf-Jv98)68jJC@WEGWCGW4cGeUE_qVv}3ty|Tc+;WVC>*+*a z$;)d4Gu)qX3&=>KGy3-Cq+HFN9OKRbOPxlcZA4f-_!&;FuCg_?AO!lqK|Z+3k;#~% z`ehNkg6Y-E299wat)E-efQ`i#<6c!D6qFbBT$LbW zaMPy0%;~0@NglT5@)^1-YU>poUttIiLa7I}R>S(wkl@5lxo+Sc&Ua3oMKiNzCdyGB z2-N(G(Cvej!z7%>th>H9#NeZcq6GOLL(tKh%UM0X-@gZkRHS+_^%}ki{1)lr3Od$k_j0nxpR;m81gGG4p1+ZelwGa=4P z{XV{V8>?bBb!88Q1jSV)O=j(v6_FwkmD_9lxxv;=dOTU4s$!yBTdZ-^>(kKW@3EA=Ih6d@v&jT-Q-GoM){b-5 z<@@y>9Ow^1%VO!xvn$;)D9zB9hBJdsFQM7z(%Ax$;3mt$7(uffdRhx)y&^u;YFriU z#-V{EIjP-5YM}aF;f^DP~tNCM{8rqJ))gC(j zsho9YVQU2LY|pa3L!_GC1bR7-$RHBRW$@=+5KP$3>s8v&oSYtOKC>}2#bDNKf4u9C z<)2UZlaaI+)n!}WS+8ju2g!3G4E@>yc&NGFzi&upDeJkWPPCpBdmY`D>~s-^0~C8! z?>anl3@*e~7jEbzQ@68xZen?n5UFIBaL~{j06ToInOSP@Fa;NRGxdNY6t#Lq;~h4I zz{Q9VTFfSVI~_7)KUi9|-_1Bx+oj08UaZ|~$SPes$#Wc#Mp^Ii?DZWnFUImLSfi=x zSt$#A-mjNmfQsQ+$Xip@w@-nVc>WLntx9qwe2bLKpwdPX)zY)3b@)Bjv~Pxn0cyPD z2fmI?%=4_ivT@HZyQ0$$^Uj4~@2x_WOE|A<3uOjf72qj3U-;%-;Bn>3VgEDHz;6q33~32stviuh2yP$3YpRGO(5 ze(^%3Q%wH`I!pjwbP<3mtj(`$1YaXK&ZRAEYq7g5HyKO|R%2~9bn`)9m~stl zuiSV@)Vu@1Jzw#;3~40+fxbGRDlKsxoZp0Fyrpp>1Qb3MOl@9H8VW*cH`)-y{vQ`$ zyWWA1_wZ)gO@?)Wg_ELha4tL!tc?haPYix+ zxj$tuf6rDvgM8EVH2G0%`#$gu=Kjdl8CT&BVc{?4Ez$!Z>>az5kRUJ+Ix(N;k?oxi zP7$0h++rWUvV^HpCe)AocCVys=xx+^nU^h1i~l;5%1^IZ5E6i0ba<6FYxrSDu6?Vc z)MsW+vnj)A#F{2E(5})UVnWd_v#1Gb@XHiNqa5pt7x_^u!)a?6epsMv*d*vbvzJKn z$Z$vSQ|hU)hG%PC@)lqY`KDiQ6nH&(VL$xW$n%3o^XowI@85nTi-=}Lhq%_(8aq$q z%0E@~O}%!;c?d5pk?TY6JTOda(ZpJr{;4UiD#~M5mfA12w(-Qvrk~8#Ta=qXQwl)X^z$A^~R62lLp8 z`T(2@RHErFcML-kNTX|OK5iTP{aXXt?t(h>QgG$e(K{tobH(9?(tI7kV(D=Cp<6`r zX%Dz=-*m{-a6&b$PK)U+#~a`L{hoAV$Zd6ZzUN;DRC? zO2zN(eCb1(<2di~&Wp$SkJispobYEr`Cg7Lon*2@p;Y5*nPVc7=UT1;$^?FNLVlfN zw8+7JCSE3(EGFA$h`p%zc(YpZS7Y9UL{4dKw3$5DN3<;QFFm)yx(M zxf@sWHDxoEg0r*+r*i`dhGww?(zKy%!!%5TiVv@{i&ZS=mmmS`r5e^B+~+@_eKx7m zA6qNGpT!a{Of;)!C8^!v8$sAsA;rYA>%~a2Y9yjv(zZZKjFle@UyH##C5kX*+ zUUxH5Ooh1-%V!9e3T}ea4uYv?o zw&ZUu`BPK@2{R49ethX`zkYCPzv3JQR#_Arr<{+~PpDLju_b6@HZ;ri;zSMqUo&Sb z&q2_UGjC<=clmP~5NdqOo3@E8eaT;1r`+_waNI(^?sX1!;Kz%(15gvdOc>(|VTl7K zguO7E;t*daJ<(fOzTb1;V5nEFj|6Kb5Xnqy&Idq3mWF@51{Bh3?CSQ68{+#wuM6>N zUiL7du(n~HFbCP!ez=BiTA~&*Un;v*IDrt~UnLKlF2bbk(@Zm8V+gskZ=c1GXPZ@L zp02zpt-3XXIgQu|o{S-HMv-y=@{bw7L2ZPTAquK$;0!mDTSHz-%3W=rzZ58?8pbK+ zV}VW2;E|hdo<|$p5U^n-`2D|WS{MF%jUfEfL>n9ni3N!TQ`$<4h#(_9ovnk;a_Sk^ zizEaA4Y;*`O>Z(s&tIKf>hH~&_wer-Js4l1)4esZi;_|v?a8EjaJWNEL; zRy}w>)e*_FE$FS^g_xwQdQ!>a+Y%9~b)PB7*VUJ(D~F{uZaC1BzyVwP>88~k5@ z-pes-`5zg^%XHPr!$;DwVx7N`4$cg zdl{wNZMnw;uGI$#Jr8_BBRUbueu_rvPdl34lt;k2jLie`{6;B3aT-nN3y`cEiWO(s zF;K$6*;<+}bKPr>S~TSm5}tOqzJYGBMOvS~P?sU7gMz>d+PM9)f+p1Iqyzu4|3o#*Ki3srFVnEI^pXG7aPo4MX2k&os3Pg44+Sxp_T1^kqzmKRculyxDGkJ2UnF zaQ4cNH<8MbayvM3WD^8O(P%;ZlnasO}9#Sr!*+tY`XhQp69Le z{l4d%-#BCVkHdlPwbydZIq&;(U)RZ!qw0ugQO!OE4l8JyLHAEtn+gqlc`+Q$k)LEJ z*IxZ-qTPvXrbRO!`zviLssLDPh%B2I#!_~=`wennyep%Ca%rkgR7%r(>*@7X>Rym_ z%ouCrsQnwtDDO*ll3f;x=kudsCvWQ%N=xRCZAdzhdk@aOjrFA+PLDs~!)4mCIVltyQ$XqKocuHjI z4ys5#ma3B0?1^N#`u3Vp59+{P@Pfj_nJh&6}M-L zH}z4x8P_42it22M_cIp7{mUThOT2^(%Q?Z&X6mtcH%3?Gw0ws+B!on+ZQTeG&&x!j zoEkKt%!H3{o5v1_^O~l};>93!IFA~1-&5hsc0M=8m>NFT=)w2dA^2nw&SHpzYjX-7 z%Y&cC$!d~KV(#Th06TZ==}lgu?pA&LEhu+WI)f|AzIfya0+v^^fB>-Jv}kJ`dVi_? z$%+x9$@NR6Xn79=wy&sGi-X6dpGoyrXN?E+iQXutk3cH-3SLgQBIKKudZ3Sem>-J= zx~ih3od|S@V??n>H~oIlw4n@!xh>%2Ra#0Zno1%hXxqI69DR$A(c)jOc6`?AAkZW; zM|=*Mv&~3If9&pF2m-;_%%#Ok&0E6CRJ9*?IX4A--;`xm8eZu#QtAF4s35uP%SiAK z=420B9E_@eVDmNps9hHz0li6Lu~+b7Cnx^yr8+gb^^3jN!xHzzl<&waM_UnuQhY0C z5y_fD_+DOH98O^WAo>!}MemU-&=ceL*`?6NBSe6? zM0I}4-6rEc2IwqyL?}*!I{k%vfBA8?6A1Bef7G?|knb8x8?kdpITay z6q~e2_eR%rE}kXlLmbA5H}AlUNdrgY^$$`ZB`q7h91S_9;$$Tf_ z4JHj#v4BMk824O zBGiIoLZN5M>A{>Y^A>Cw^1p~si~)Yv<)Tb(6D`)(_pflYqG&n_4JBbVHu|cLr}W%G zA)V=*=d9hiCVOz&VIu&V(kKO-rThu{A z5pg?qnG3HUjt*A*t;Ah46vq;PCp^|P)G;0fS)}FqIlk*K7MtHQ$EiFd5kB|4WoP?uZ(!7(V9oW zpGumh_Fe@2hAeD5;#wSApv%uhUriD{k-VBjCGNgjA0OFI6LMJ&+Bs0+nDIumEL#0} z0_`(0wY51dchAaZ#!UgKvbxz>>vU(<@aLY|cDLKiJTV!jjdS^-D6NU#dJa z3#|a3%uhilVbqvgZR~*1Gk3gjo8vGo%FdXvvqrw~Ic8jYY*b~E-~8c2n|SD&;~;DR z@k)zLr60u2Up>8&M&G_8gE{^D;W|7V{r(VX<%Cwzj^zH;&cQ=V$8*`RPHzhnut*iyzJ|;_L%ou)bpTzACHrnsO}`Jfzws zra3` zj4U+j!a#dIwdGVMhvf$Y_0`5}V@Ai@I?{$gA}iBpf%mScRITXzXh$^#y{#XJrfKl! zxSP1&-4gyBFcp~E0T^bnPkAXa8iF>s;O3G)XUYyXpa0vlyUJomt6z>XbHsYUIk*2*RnN^fae6!sknet^=XX6uu)DDw%=3TMxi!k^CULD ziyb%eqliO1gfP#9sA%*8cNvj{cFi@(>%#37Uv1E_v-3oC$YMEBc00ZBa=;AMT@07x zYxI9AX-9n{YK#@|c6$Hmmzar??{eFVW{8TNvH=SyexOTWoB${htm{v<^ z-9Zn3_$+LLR|CK!yA@TTk>dX}8CiGu9n|4FSStRkK^Q{TFHpsk5mkS6l~;4qFLSTN zkgn%JX;@3s@%(I@veost5F5u0Oi7|TDp1EF-t6UvbWHEA$q7bLycBUM*xjJSieSMB zG;tA$BVUF-`u6;W{e zdY^&h%{Lbe53=7K2Za~(LXC5R8N1lGi+iPoe@PVj-z~;kcIr~7;ooZ^)VK^|a1qH0 z)@FOM-}0cN&&Z}R3w;|u!^xu3v^%Hr4z^b`Az@+HKB0sZz(*wY^R~Ys@A*Bus?$&Y zf6B0u!Q)dB5G!t`lm2;|OSyxwVd9Go=}4u04|u%teV-!RHYGyy8QhBw`V?_pqj<;U zloP$>0}inewykQUxT5_XkqkZ<$li6N^4xElW(G$iF*X#b+>vdy+gZCLMZA&?90};& z+xv)B_aDc2eIvJTeX>zW4Ha%FWNTDQe?Z%8G~?;VHOW}uaRIk-)zujr6wawWK4G9> zE@q+PZCgonov?s9{#J{s0*fs>BavFdc7?wc^-ySpv}Zc97~0i`Tt7xF8RJV@Fl{%8 z_Ixsg{-TW*D!i$75Bs;>*MniC67E(iFn2b9IlsmBL)9GiEB_>X^a4dHu+X( ziiB2I1(rDt*{PT%mS>|q=s8BBU9&K~zo%I4@(j7@RPmExQEIX z#dzc;ksXgxD)+J#zd;oJDHoVV{bHL`=FG~okV%5Msai(d@i-6{qK?O%v_iZ_{91wJ zHQD{!H=O4+P|}`}QJC}>2n6Ki`+K@4^m1-nCqjz+Z_}%9rEA7Ir7Cl0fZa#C^#uKAp}8k_MYT8s7UVmyN}q!jZY<*)uTieLp%?&<^EMO9 zd^Pi4*Y84}XM)5bIZmU7&P$PotBInBrCw0V4K4dHbuKmVUeI}IU^Nr*#dwp{GVMMA zbp1nE8)S6Yq(9(0Rlv2f$)wh`>_3xzbp!;`wHFqF6-bSmly~*FXPk7*jeQ z)rf>7eynGqwjhU(8B9ig{z%zbSqXJ^zeTkdweNU$U-Z6~neqzQ(UyYs#IJ-@s~z4* z!RQVWU)GNq12_ekxA~A#SJ8__qru9i;T^BU$<0PNv1Oz6HBaD0pkl6T?}&vj;p3~^ zU+*!L-__!L%rIXJ8GPQg*+JFDdV}`RwI)n5JE!nL>&*E3Yp!!c{@@@9O(;4$1^_;Q z0$AQSpik~N$!pjrvxOLRph^71MgmOMdXjgnk{QirnEJg$?o-rIDd=%ED5BWglwRCC zDs#i~hQ6c&|9h=cStid_97km5+jjE1NZ#EauvDe}QOKRp*|1;QLYVs_tAyqShG8G2 zzfcJkL@Y}t79)xxjjx~q zEf2$?o5q8>nhO6SJ7Ny9gsL0>k!C#eVf?P|M~@+~cQO7_%dRb*g1oJ(&h1M&i~e@J zFZp#sV|ljciHR#9O*VJs&g$^jc=NENVhbVJfTC5qW^Ls)UIH{j84ynYVBN(5GU}Wr zi}OR#^OXI|Uf$KA76WCJ^T8c9#`Li*@)yX3UKnhdI4|xR`KD?M`?vHA+W8^T29x>9p3XTeS1u9U#U#bnP^fit{}Kh+_cj2oXBKVR;jtoPg$%OAHE&Npy= zQ5XTeB^{-I1>&NW*QOucuhD)~OXX4+Kqa@{nDC&~yqaqLsow`rF)?K!pg+5VHu*m- zW2EyURlH`Iq6vRNAwy&dX~4_P=}uhA`Fg(ZsR>tHLGj@^mnQF~f7&ZGw6T4i8F>)% zdfj&O;Y#z90&8El6N8SyDC+sq+OG{P9w4%;Au{j z@)@b%B$z#B=C|JgYJj0zdw!PbpP>Lvre3>8k8+Q-!P#TN83f-&1hm+UWw)>Bw+Go@ zb9y1dB7XI-;TvkCsQ_F))bpa5&p&8I1Cb!$8p>^?wrkD=a=EXJ7{k^T}l9ut^DT08{7~ zha0E*i+1ds;HXJ$2qM!SK9oeLmvZuC3A(E>=RTf>nA1)7Nx`8%%CWDnGZAucn<<+h z@ewdRz%-`4*=Q$HGTOWg$Koa~#X6;HHXb5?o8qJ~5*%8CMG0(vfj=1C^%fp|`?_VcJ$rfnRrmhcTr|Sz*oqN9= z5-YxK@r*YU$Uy_A(=C_8TiRj@VTv7uf2|_MqX1~Yv9t#Z<`Dx$2Gs|Ha0Kn!{%`x~ zwllFQPHyMYpVmH1=R%e{ivi5Ih=K75)6}^%n*3CD2xz_7(+w`9RX~=Z<-DLpa0%!9 z8s$_yD`@NoZYDaiOrM=H_|fJ4PmQUZ3_TdncGzW8j3R= z=>2Cc&4YRD{rhsq2#G4m1S;24Ec#{-KBmdJ-HBp877YTMKitofqcnXy<&5hpNAl1@ z#fS{|j1xDht0XXO+(zK}n&d_qSTFAIS@xTW@A52jk;MP3D!R{B3F~mfTG(P_A_{3jt$fzZCfq8? zp7|b&QiEc}2EWt7bQS7eP{J?0*F>d0ubk3JVX+=5R{>_yxP_zGJ+${!P)Xd5lt}MO zp}g>~6xr3YAiK7bSYMT+DcUL#ePR;~Uho_5U45t%%8Rr%^@Iw9UR$+4pBKkM+#S|N z2}Lgb?JmI%D?Tdg_=UT{9@%4(sQs96KvpGiJdA0hatnO#7|=c|B3_GI-NUFD z=P%oB0WBfVTQN$QU4Y`sVh&6psr?$Tc*%2pyRF|W+ba6tjg8#LMfltL&|LqCXDBhx zu+pyXvHGJc-Q0F-Jxj&otbIT8plpw_3nAd)aOg@~A(OP+{)~8!yNUhyBNh8{%J7G- z@cR8MvA}7y8wicGhqI8Wb*Y)({k|qsgk}WZou19Rq9M;1+sksbnMt>IY9D5nb8d(D99#scn?Ao^SJN((o+>TUg zvOqu57jAPSy*SPN2F(?FYRfc!`bN}sm1bycqT|X<|By6koy)Mx6mQBZW+FW-*u+zH zH?-o*`4EZH=Dx|q=EK$AJQ-OJ_Qw17DX`d-$jh`~uanZi}+}j!z%YH}mJ>NIrv1_GL-Gyayc*^ZGRVV%;G%ZFJO@ zn)`NP{g^I9Fy&nZ2RT`lAoBnNt&s6z1f!t%!INS~zfV-SX?7fcU!|%jmVCZw(K7{E zMn?v=7$m5poXNKsY)vd_zwqRSTfjWQi{i`*CFC66 zHOrSU8cCH@^zYx@Bp``M98-O`bBZ~CaI!G5hdsE)BZ6&Nqr5=otjB)#r{zM4F zM0CvV^XC<&gn1DsygqOLCZ(vQD<}&MuQ&9u*Q=|#{81KmezMW3tO5Ubw(;jQFfL1e z-)PFur{o>yAKiz(DLwq^$ij2x@7&PL-Ds)Pt=y0NzC=?MyRD>c9};J1w^&}*JGasS zr5Ni^Aa8$u@UYJmPe=EKxwLeQoOU{C)sD=$5GlgfgyM0v%4(Y{#ve`)Q|Uh<|_Y|FXK>Dd+xnwXw=bNQj#_ zTVR<}a{a??2kUph=YVo>-O1hlzF%1G_fs|O9SEO4xEUxR5wa)Vc4|WEx+&Z> zoU$G%I=e1rPk!iAs$#akH=cAFKd|ayj!%vT1CZ5#C zrl;Scea-M}_}-r}4umegx2(S=iW91r3N@95+Pul1MYL9+Bu0FVJLl`^4tO6sbV)9x zP7qUANcIzt58`*jO@73_qIY<&FB7FC-nHd}eUEREg|Z3X^+p#l>Uor?-(;jHxj$os z?POjUt%@mBGw&vm`x%D15B6brh1V(n<~z1m@}!(3L}Ba9eH^CM-!X4!eWQ)%?aRH$ zzeH1hBsj&8e;E>k$u73G9ziR%zs`J^FoY)D@A&Qa0@E~w#oO1Faf{u8F0ZxoX z*Q{aOyv!oilPDLyd|4JJLgcR&8@nWADiv|T2e@VxqD+bk;`#g|04%Gpeq5}I7kDL#;5q~Ikd{Gu!H zBYwNF3AI!8W?-q|`+zKKSSeMd_)yij#B?VqVTqjYN(=>h3w5o**=pEI{rP0UqxW>&C3sdjWu@P~n{TbWRI8SOvbeyx2W)A$g&Fs~ zyPHi!`uaVVCdHMC6JpPcYW%RbP6k+)$6(pL1D3y1d+?VoDjt2X=jMAGWTS!pvQ&Xi zKu`0tM|PQ_`!<&zTKE;J+!-Fl0#ixGPKU?nEOv@vN?ZNLWn6fBH*i=ruq-U1*Kif% zDz@kmMMsp`(|%-moOd+b({!T*k&U`V8m9{Hhg~?~ul_AIO#GLdkduvz=YO~fVfM%P z=+M8-gqzk?o?Jp{q;egxS{`%Etn&(ndyG1tc|AI-KWUjS(ac)Z8Jn?Hv!gw6c!1$2 zI!l4MfcqSiIxt$aD~{K%&fKK?z|y2!?osUN+}!t7>9+&F)xPJ{b(6Ye@e{MIzX*4=>S&bdBe`?w$6)AJh!*k-!O-OpX@$zps;d&--_9j=_ z?@vWt9L?k7upKFn^PxWhPG{w_oi{r{q9*hGwuR!9tlslicb~%;i~iv5V@NgJ&@*aG zn{hohd=En-T+Pb)$aqiqs=%#nu}_Dkh&Z8VT&f_zwz3Io$NgveYMy(@$Z42eSNx8I z^cX`r%J8J&C0Udq*U!4GmW$LioY@b$z)iTq*0Xh5ti(kzjq%fSy4f#sIWxn^2fg}4 zsV^2#{(}WC{+wn+%|K8t=^eli$^bXvLXGQo_up>9Z`D-OesLqY)-_)F%5$EUi=H7d z+t3!OWM%EzgTPUP$FHA9e`9H1@MN0i@0JRhzSlGkre#rX_E15G(^;DdIElRCqw=?+ zMDtbx=D6(dse_5w^%s7l&m!)l{G{U1h#ApM5fVp#8!N^Z8&!%Ko?;?yq`5z_N;N5k z3DLm@xoZg5p|kbY8(NEMl=74&v#^KpP}UrcD7VKp#Lh`V!vh4`He1K9s$Yp5Jk}OR zqGrQJQT3`El==}mGFi>=h2W3(Y^;LqLv4>nqG;G`ue{*sdM&jjKCJijS*jkvw9}k9hvU}aY36u zL(Eu*FphE!jyu&|n=$YCY7M>;EWKApmWDCQ@X>^T(H}fD4f6kT6Jl2lH+uX}H(?6= zpKd~jf4B*e{=-eU_@8dVwBLp1)D+#KaB)G4GHbk_D6h@>hojI)OWanH}%lY2eAfh#xKxb$;CoOubRd*aXIiPxUi2m36rne zMT;7anexUQ_zlK-LW;y?%w|yUfY7H#@W0%IK?<4BOD43kQOGFo0^#A^%uFl#7?UfG zyo(S8kqyz0)=DJqa=GoA?%Kdd$kF0|sUyjv?8H4h8oPBftIP-(q5hlcs`(V7*`unUBDv zOdJpg!nv}JAY2RFgX-B^=hB;7dL@l?7+HJ`O;0E{1!kLkN*UD>5D-FgHE8Gzh1&k& z{Bb(qa#w=b z+p>a_pJF&zj!eZqvx0XRjX**SeH9Kmwl^;J%9X}f1c zpbCwK`z*{Y<&JB^-tglv<_%*xv_bJ81}eo&6V6S6i3?bNy{ALqtpo00ztmU-erq-& zL#f_u-HaDq<1>WoAa^&|-v9u_BFes10N>KN=UILllnV!K2eDbWLM7N0=x%pc>Syq< zsQ}lzCsyPwY~y{N5tydqNW}#IBdTK?Z+G2R?MdTE6}c~C3Yl0ujTz(%Qy)%T;OYo8CzU0Z}PXVFJ}nl|K-U%+w=ZXd_=Lo zaHY5}O4&9r!pmGn+LH7yf6$X;Ko%o7(>eCCQ;Mho#C?Z;1iWQe$VID{JBi1d|Ux6q&@d?7+XL!e6vcr%}s z@ErpXLqT+Gq@DxMY%#1E4WAGi9t!?a_a(98qkxb`M|{Pls+{^>siL_$;s$?I;$LG` zN9$!!cXpsCxTH?o;V5ErT=dDaG=6aW#$+W=$mP_uAo9^~eQYkN+k<)%RWQ6gs1G3d z?v?UIp}s}_6VrCrH?3?|O7rZ*zO-qz6*i2QFSQceOtvPY3>6WObEsHXkma-e|6bBl}JrssdP{43fRlHZP|D1c>*C}0qL_5 znNx4?F=)AsXYRKj%Zp}uMt>|}7e#N4OO9Y7+Dq(Hd_=ovCR+I{k?`QRK}Vz%hUq3` zs`+9JmAKtTQbbR!>!%b!qJsTnXs!hY=^@qRsX>PT(HG9lPnq3!L}7NM?Ul^Lgmd+s zU5ay=DM*-GT8Al}1qX5qmvdT2Pw5>K2-S~n(Y*pXx8MFjT#r0IQ_?k5LFsY(eS`*$ zBl0jupg#G^<+|saWY@pO#ep9o97Wc_X5k6FD??=9T>jR#S`X8FXbHRe&zIcHTsEK6 zz$h^lX#(?ZKk^K9FAWyVts;b)8Uc@U>Z~korLvFE(rWfjp(cN{q4-!__@SAx;@}sezQh)gLvu+pL0U%spW7>6iL8+(LFa1 z@bo%=nd*Z;?*k{Z8Cv6`xw;M%^p)PUS}XyOF7?;9V#4f`U2FLZf0~Te6P@wN(DLwM zfilX>vt0nWPu1hR)hpWL*bqM?CTGsd4WNje|P`|k1iq{ z4fuWL1RL@=zoRUN4_bwZk#M*!K;s$cjpPf?XU;3DfTrMFG5rg=1DH>tYM)OLoYYb} zp)@8~W%XQq3(QfKICuLAsVHLo1GG zh$*%jT_aj(hzrMRMDNo$$wsN2niuFWN^NH(tsrZf#_5+x{siQ_T+6XzDaB!S#dgaK ze!e#*A>a+d#V+J$xdpvJs+lZ*TRd7{rv~hFU0J zYKK)%07+~GGa3e6g}S!c{e36u{4uV5rvMK9rubfw?*S!s3P14K1=)CnDZ)wUyYfY{ zVf91qNZz~ZT-hKvsGYi4U!tVz@GMv2YaK57xATjcv7AN|SViw7NmegTO0*B=gpFmd z{R)-wYXY0=aqqn0zC)G6^8%Bil3xx~h&RFq?q8yqpoc;9od+=`*d3x=T61$M^mKdW z*o4Y2kaL7~#h*V;+03(eW!%FMz20SnC`ft%X!x7jx!)*Z*BWA|;JR1Lm59{$6az?1 z3(o&?do7I(SSZ;t&uX)&zT20Ti2`H3Uw~cF6gXY!j!|YAKYoha8|{(Zs=AnkBk0|W zpgCQBI?Xf1YQv}B^{?59+=fnzw0PRdR3EHW7Jv_5_Bh$9cl_!wb9B=4PN2BW!4rH1 zvMO&p6futIDI#wAE2?ajg7;UkCnmF9H{RDC>S3zFta{?MG zg8n#ERC5qP%x6;(t9Sp21r;t1am_~Pn zmG0{{8}wO&@nZP|fSknh6e^Moq#1C?2T%80gCd z$AYjsHkXCQ*lYS~GJ1-OZ1WugIe$>kuabI{S|XTbdF`z4(?rNhdXB;T0PWh2_oMUl zSVt={wJYu3w~HCrmXessQmCA&+u(rIFAJ32tY%xKXV)iQ%KxDwgoynw9U+>7xd3kQ z)@dQo5gvXP1$XQJOGgO#|F0wD)K5M6-#S7Yxqs>i1%QrF6X*yZ`#t-gIzs%Ugv^S+ zbc7oLKu7qM`{!@(XVb~{Yh@$7%x^55kwE+vBJ7=8-uXKgfhhoQf9gvXz#eV-Po=sM)jSz~*HiT-k+BV782ju4>4 ziJ!-UeSg|G&=ID9+wnhigdAY7;=k$$xBk`NZOYCd0ip4BzV)wT-!5{|>mslXey+mRhc4|YpYqdvJ^!$IfDd<#j&l4o zZ?zGy)=CKtCR}19Tw|jc6790ld;;bvP#}Oes(>0=aHJ>!G#I3(Cr02NW`glA(h!M(ZAGRRVyG~t8 zkNn{r7G|{|k1}U5^lZN6RBbi$i(?x8Wd|7ep{Ruj$7WJ|D4t!(oY!Gea##gD!16V3 zR2m+N?i^RvM;&`;k%F^Vxm7obXdN>K{URb^^`S^3dS9cIA2IQDSm(JtC+nR`@%Og_HQVe^&V#Ezaj&uj@zTvR24}V+2&$ zP?!50kLzjxiRNtKQ$7%%A=6YuN7;a?+578dOBW%AL^@Z~R%tr~8K1{(8>0HZ>j)eA z24W#=kBB+@q-w9u)pW66P8zlK`u@Bc(JS)rImuPxxBb&NNV1tIe?N!knNAUT#rJ7= znwLA&|6({CNr6<<|9tpvr{0%@s)o-^ZiWEJg-XlV%D>SV39VMMnKFUp<_ zto35!IH_Nw%-ly2C=Yv;#+ICtxBEYIgxYsH!WtZtGqMG5!aP(mG^Ds-bEpb^%shsT z!kfG~7nZ^VS6qk1E+~IT`Kb};34$ZrU&tdlTAUPs0m>st6d!{SjR_eec`A1{(q zdB}KP`1UZ5u2(r-tMt&%E6ln-e|m0kM&2ElS2{;12Y&f2@`#v2HoR)m#hOB1dGRoY z{%j+6SN|J-D}>N^SOX&6{A8SNWWK5A#x<>02(QNx341A_u%bic&|&&7*R68_%r$)XN=V&Y1IOR>(F>vSt% z%|!#19Ik;6Z>68uggA|=GtU#X(eJy9;jE>kOfsY+$`j63KBT=3>-1QG7#C z(XuKxF-0@g{(;oCwAN|T;sx+8+PtAWg`rS=Fn9y#?yGaR)_Q(NFX<;mEiNUgv@A(g14szt z5=^&%r-;dZ1KLRIjIyj`9&1|_0(vg=;SUx0lSZ=BuHLR89}9hd*zaHhZTKat@OPr@ z>oX2vqCeveoa!CKD*GE{X#%O&4&O5*%UFd6A86hDfgVEfgc}uXSl%I7Ra(`My2S4$ zyoG)dGIM*UYmVY*sXAS+(YS;d-fb9U3E)i&bHb@h9V5PMnVvlTy6a-Ix4_&3K}oE= znu=>Euh40Ma3xm~hp=+#a{c*K!$x7zG=<4)@+>fZ7|4Z{Pgl`P#P}PeDB{0Y31GvU zJ2|lxp>Lr+%LV+G0P|5X2pRS=7uG!r`B8+`aWMSrlIIg-X&)F0(8n)M$zD=aCL3H} z1&K;&9cBeDvfzRtN<@SFt?-hcWqHl5tjmPfhLp^)KM)d9LC;u#kdQ|ZJ`yw9Xc?p% zpv-TB|AXYRmKe#bOARO&tlO1=42T||3u;lNT!eCwH}9&TrJ`rL8$DEQ%qVC9|Hi4eK#K9?( zY=Il*KZS%`|0hC1sEo`1vyjjO2?zVpwo*W&vq3gLmQu_*O;Qdk6alakeFPw~Z^0bzo2F8SC#un0 z!{3l5X5mHfz8!F#ZX)kR*h{}`sz<^dq)*VK3VZwZV*TA1?_YxX0!D#`UhTT-MKQBz zjg4H*{#Qf_>EI38%U;z1Lcc4(`)S(mM*5!YRSszp?>N+g3k~ZqLKF;n={6pUE*TJO zM$UAyfaOapZv|OP++=;}yXYJXGTlzde+UT$0{<2g2JohY9V`wlFPX1MxJq>&C^_JA zl?i~|>L~X>p)$vTluQTth;-yL?||=2qP2+Pqe7| z{EPtz2}dpCVVV(nR9*C99^l;P^THR)l;C%}0Bo5m5T!ztI1!LETC%o;q%tZHQ!kPK zDI^35#XBKkmDGb^C0rX3iRiyea0<%)@2#bmZcjBPE8+K(hrk!8EXl8%UIYI8q!nLO zO}B(As|>m;gdX6EVBGzVbjf2>`@9su1dQWPiM|i+&|pShkAx^|3!UzX{sao8&6>;e zJbhUgK&%=MHzNyNtNjGfBjut5k&cd4EHBc>P;EfkmT8+mKPizt10@gCd6_A$XvYXm z&fmW4%-O&7L=K^vq%K(eu$&wvaes2m?)szxihMnA@DOLZ`FUyg*ttCA;Gv6C@W!Cz zGKz4OD@X>VT@ldkUM*oU;iX#9TXUh zvv5=xJXp`nk|HMbFl2{n9+*^*7{iRYp)i^DC)%y~?JxC)mC9I^y;eTOjs}|_uh{h{ zifn7fT(c)QfsqtLCY>KB`A;IrZ+@o&A)yfVe+mgnZ>IqH&1Q_Ojp>LAaG3SDY-*!=@}?$WbH4oWox>zXBk+$Gb0mPwY*lW z|GSW|&g6d!2@^v8AtcU>lC)yoKC$wNr=!44sS|0jwX40?*~{`n&#yJG$=^(9 z+vaA=<@)kdGZh~SL=t*?aqYN$sB*nJVjmb?W_CEnO!mmf=VQxs6&*U-u(Yuw*zHop z40%6zwt6FGu(w2u5_(fSRwk~jRvUUyM-vT3-&Uo{GQa8qtY%?SeV=J>yTmZ<1asVaLH5AY%-ES=gn zoK<&7Jb7=_$`T>P0|Kwh&&b%)yu@SxX{{)!C!ZFnSlW!B@7p!vfOywW&{5Z&+0FQm zAKORQV#z|NvM&F5X2wN5z!)WCXqwbQR+bOV7Rg?Tlj4`cIlxYXa-{nnVGDuh3Ul_>g-qb-$6!x10g3Eer=y+x&^^;k>lla2-minab=e;T2R623|9IMOvB|n`MMJ}Cb%|MgRH9%sZ7Jz4} zokQP~)*|&IbOPw#inu&}omLYzN^sVm52m$XS!gBJz%S^#;Zo|5Z~G-b&!^8Cr{p`s z+AkYrRIgj8^vN#;%|s93^5=KNuoD&Dt!YIOd*O04Z{gS?fZ`p`@wWVx)K7IO_uJz{ z_34}iHK5@fe)xjN!l2Ff0@TspuR7F=!9tJK*uw{kXY1A85MoS$8k$8h3ndaVU19V2 zPXk8VQ`rcLFTMG2a(ZGtmp{}<15jWuf68~94h5du@3*S62(`woI>LS_Ru0BEQ|sf8 zj1xQ|&S5h3kYB&hdGoo6lt~cwsrh6mS6y=4&u0`E&5Xbkzcd`KjxK(8J=`=LR@woK zOVOx3K%{7O&rdf^<8DD+*h+m#v!$}q{QYZwgQM5Ol^Z5qkmC;b9*YFixI%`@lg|6%1qs7VTFu`9n($ayhO?tqB7sX@Xpt)&8>o zJ?6S!w{mZyAK_0ras_{)vRxDRFd4cwa}`?pM;ZvJY^)_%>KQ!VQr*Jdm!hb`N-I#r zponFZJ^TK$+rx~zv%fc9#Hl{oglluIJx7Z)SL3OEu=q*NfQ>-Wh@T6(4Uuvtf+Xip z7*@-4rOh@YjyJ+GvA<)cSm7`Ap{N_?T_yrwcpPLl-1=9`#&_K498GvpRRl5hNMy|zD8Atz@AOKw$8YhI-TA5TyQlLNAdUT zJI*^hlHBfG>C4z*DD;Eo;X@9^vLR6Y`cZ7)tjbi~?eyuSz2z)QBsLH_4MdI$roS>} z+uM#$-e6O;#D+(H5vB;Gs={o(o)HFCvgoqRTbQn}-}k|MCBCOO6uYn8!PEDpLDEBC zjtw-PFZgsoDnS&$0YA7Bz5KdOSd@*Q66IHR6UnJoolO*R{Rex9brh}ip*ZWRR1xbR z{9Yh>!@rWV>Du5@f(nyetSgjS!fiRGQTaIz^ z(6@QUpmU)7DCMD;dq>`Z7Bs1Vb%4zZ)>C$wF8HMaaYiRI zv?==Re5o^CGf&IS>Ud>P3Q#n$v6pVY=x>u>?n{k2S&x0xCAiNLW~QL=Amg|eAFcS3 zktTk$ScGOMer%e^0kiL)YP`fa2(Tibu%b;;8p~s)EC0^USkc~oC*0!<6UiMxK%mr0 z??k1xULaXglse=x#zrA=(~I^iY5>72``CL0_J9Ce`RCkOh@^b7zfkv9u%;Bi_LPeP zwO3c2a%+3*6~{SIoh2AVUHAi0kA;kCYhyjuPrh08sw@A|%9{b_(fJ|P*-s>(l>Wtp z`hC(;Mgu~{vw1lav#tkS{1|{u10d=`YmTCNCpRwv4(ye8@BCl=CO~>-qdV`K6FH#U z1CUFS3sl|&)3I)PSdy--kLs#h$jv}p6chQ&ne!ORgVU%h_G7lcp94~qReNDFutB1l z^ZafQ`|tqFxjzySv{YB-l~L$yxUHKmv==U}o5S+Z79WEmnfR$4~uO>2?uIm zAAAO_$8dW8<1hPQtCmEkFwW-;@)`Boi?(sCXZdp;EFi3YzF@0jC`=7mpPugiLWW?` z1b(so9l3={*Y5Wp+XiF7H|uxMwlQcJE)VpGaExl^A+OAgF4fX;ssxj% zx$}%L4C)dHu$$JXhTAAV-OB;y97Iln;HKpkk`$c%>?@MOmU^EllqJq)h!}86be;n7 zUnTxAJo5HBhJFS+>zvNQ=JQ{U3v+^|KXD62jlC@0yQfRHdy0zmGg9-5H6wP-e;l`n zIcTXy5F-=lRV$6S%6Kp0H4u4VQ>N--s^}v1mmx$H zDLldVYd$3f^LS6WA-J32iK4ejL}Q0h<=8%Ner;WN=JNQO+5+GE*kPov?j|imTjV&N z`IRGsgou|KaLf?6Wq1F}KKS9zK1c}#_QCOg*$0p0zE5X+Hvh{$Skdxt`(VxM^gowU z2LHuA$O-I&=>JFd!D?V1G}5WvM9B)itNvvBbV8@-JDuI^b!_Ey4pg>)Yso0#^7I*Y zp-GAqIM3aXsmhniXomN0Dd)|329rI^*zXFWFCpi@wr6mt4uAyy#J{qHjz5^2@QoBi z>VzzpRosaU=%{_S1W9IN$oy-1F(GMEfBc^wmdFYp;8S-pN!vvKRLYNpHYeqEW22Zt zqiV8~ezo8o#O%B(ie8ga8X;S_veJEhmtxa?fP$v@yJY{!Vr&Et?^|jWByetH)PcX1 zC0k>`!Ihc^VosjXvjq>ZvLF(f^=p||$VJtwO^b45#=d`fszv2g)Ya5|iEeTR4gk`) zVe_f7;1tyAh6?%#t#11oN+Ku%&!DV!-+te0GP1i|PDx*ziFoStv;6$Oh&$`3DA%^_ z)2*~L0|Fu_9nz^H-67pEbT_xO7z_>4NP~2#G)kvPBc+7W-SJ(x_p_f^`}yAW{_(AE z`3LBl<;*>E&vl*Gc^=2{JJvBmkBh_C0qNDB9VQkOZ-Pbt@F3jLP1*gk6o_+4i){ho zk~dou&6BZ}pawhnF?!$^^58XtF$lqsy`h%4ekMa?p6+Mft*|!2x{|S%*ObwkOf=b~ z@lEPhgO)h|N)14!o${0F_Cj&M(CMLza{L;)m_4Yx@4xNWCv&ct%HAfMXPLD79vxQv z2rqYL7`EN}261{so%XKxf@;2f5P5Heslia2T`@@!@B3p-W90WLV*9-y+(dZKPosH{ z`WWX#q?$1F(Dmc=ip-qP?V*QaL3wFR?E_qXVsZ+iK7??t%UDFgBn0YgNq^@(LUyMH z4Ve(o$}ioxZ_^#x$(W4qa1GOjc37Q0YhGXU>u3I&D73Um#j93>lrGEJ9{H>lJs1Fb zu5RU>UPkOmGvam!1b_}(4?)8iqnYsf9%F9ma`v=xzlrr7~w^W_)_=!%m(AKxhmG*lN4cv+EN4 zsblK{2?!D;x(PqVAs{q5>z}h}RlAmSIuo~uLfK$=NC#UW<5~*#Na^qSUU%j68VMzz zW`&`F92tX3+3>~3d9%F0$pI~p)HUlxX?LghAfJ&v{XOHSsfKcKr4;aYE)f5I@~mqDUY6?s@N6R{mOxXCzV=w)_1F!#sylCv+)4MwdT$$S@G)}VE-BD{U9VGOpg zLqz&JZU7UKH2Rrwqy?TdsUPrbztkNeT$Fl7IIc01X;Bh32Tyi!*1Es}GYE^hyE`Rg z+I!9YmHjT1uvIu)m8Qg6FZ?jHBc{7V-Tp0gq$!tqT>iLQCSLIIg+@8UZG4pHg6)p3 zD2iw>o!C(cHiY^l)8RCi$Rok?Nnud#<9NtkC67K-Y&Tvy_E!gX+4dkhi_yy|_)gq^a;ZQ_U86((NY=1~{W~3U8LK|1VBkG@*KEo6m8v1Dvb2#m;v zel&px@4b;&H?T55Oo|zGo~U*LeSpy8P8zT}EwdpvoY?Pk%;|x$WO&uUazPrYeL;x& zhX4BV8-N))E0I{Ng!}{@tovm=r-JwMvUW7xyDw6|Adw|A^4#<{t#8v2Kr46Wp zM>VQ{Fbv0BMg{NX1WA74B|AO_1hfzyY-IVnXkxR~nU-r0GD?NCkSIn8k@-tdej|R8 zn9+RPf*I;P44mN*6q4UHuWEUt%T4E8Iq-9#U3_zea(J)2z9w-n1b1J|FD$~KM*vYl zv$&kUtKIs=>{ww}#T;zsZK2=g1!o=F^0t=JgS{__o0yN^6wR}fn>XA=G6PT-HT5$TWO_snlA^Gt ztUvLi^$WbqZCO^pDAZBhQNh%o&Olk}mmxOX`zHQkhPcm5Jh+VTH;UVsA8S7mXSDKu z|M;BPWtH}Z@30T0gk79NZ=rsfv{I{~_wJWt2x^KHnz9(b@-e$}g7yAg&BM#VMSI^p zR*()9UVH#SB$60gM-DGV;;+L8GCk3NphI+5fcXR~*lBfm=N#1NL}%d9xmpyVeKMl( zXbgL-(nWib;LqZ9}g9Pu310n1?eE!GUDW_}h(37AE zGGyyq%af!cqu=jERCo*6&0k;qw0LE3>{4xzTsHG;cOtRr5f9?xmpevJ`Iz4c7%Uy1 zA}vN5eTA2e)4P10^ZO-)7UgpFGg@)t1!8TIwfLL%eKeCF>_q$S6jwVk1SS14fOOD0 zwv^!$4oaDTBk!U8J%++UO{l|+y!_etbXe(Yqr0!J7@a|r?%+JTf;1yUCB^9l5w#H? z7UkW-bk7igcj0(fy(t5>4*)JgJWG`~%lyi825zkS^R!S~*js|F_p76CSW*!0(67-g z3YyhDtP^YQW05@-D-^p%W$H$(3Tqk-XDf|-tXS8)?Q2;fH0b+MtZe+L+;uUq`~sEl}m^M7WahqTG)n6Q*o#bj2|p-hJ}f80_FahNzy9=%FA45O^6&T# zPOL2WP%`JyN7#OoO18RseEIW>!R2`D$KyAumtNED#Y&H!_rG##cy_a6Pe$rD&@gEQ z%@qGxztn{ACsbq6wzZ71l+YiK$iUJAF%B`Hc-_0%bnkWeXD6GxXWn6@m@2xXL2C4x#N(x8Yu1XAy z#kUr5S=c7qZ2hENB`Cf+XM5lIVpE=YT>QL6VOi$=4w`yp9R4It>dWljYP3iQWfyGF zqb0XVl50RV!H!}$OLFH{N|Bb`XnsMO-!o6vH)I(fv3M^Gc$_H(SVveVDqKd=b=j3n z2!3wguS-4&205bY#iZ%Q!B)A}?GXYvB4I+Ip23gi0b3N z6DBCFk3nqzawXRXeMrtoM3!{NS7&k!d$BhB=S1TO13Mdac65|hb~D_ z%F8xo{dv^Z{UuvS$>Z=+m}kz83YSx1-N-$ABEe`3UiPVKGPu-RPA$Eg?{1p=oi}>E z4otYdeI)=hV__sWAMsIEOzz-i+eAs~E9o%@u@@gUApw{y(Z1!1rr7R+Y(&4zvvp9| zC%(6&#&x)TeWf1Q^YOO5=^xtIL0bxNW2qA)4R6v)NJDh)R2te5>x+FW>+@4wU|A; zS@L;anhMqSQ-&`y@5QNzKlBVy{VoB!S4qX}C4Bpsm~gcI_|8xSOMP#x?H%Yph|;QcsWz3cW- zOuP+0)3`QRScZso7|o;e!Ly*QUd*jXm#e2tg)IE&@=jK;F-m-UI}x8Ri@T+`#E{H* zI<0AW9|mcccE@ZKK)!ks?>gf-UyLg+67VemD9aCrp~2+#i{5Dr`u2$vwy8lW@U z_)Q>uiR>u~0EDltNmq|b{{bMxsC)OdRxO<9 z`fYYYJX>V&J$r2a4e>KQ)=l1EP-YheIRX}Y-z&bJRm~LOPLXBd4E&Qo zCim4;b2K$wH1eb!?+#t_}sst3hh$AkVzL$PoC=WdISP zmcG)sloT0o_KU7-C!-N#oFBH}q%pQhT5zM$*{ohB*b-e%YznL# zz6-SJI6#$49p^@I(R*ZyR5zDWKwUwp7jD?h^#_2k>MsD{e*J#|5FW<=1t7dI1^~i= zr^6&l&~F0at$z>*t^Q{MA&?PY0|-eSW&Z;}Xz&Ms@CO+f9Q*+w-1s{H;o-XGHGmLC za19`wg8qX*2xIz_KxlTg3kZbsA-@TPr>FlU5MBca8%+KMKo}KkASo9}=@GOQr z>|X$c%l{4_y!9CIF91U5KL~`V|099$4*;RuzXAx=egg=nU2;YL1Q4z+&SY%#T(swW zQ-05S0rESJNO1!bD}h?khJQDG&#IgjgV+ZQLcrlQPpZ@ zI4iP(GklFl<&f}g&Y*^nCbBxGZP*`cZp%8c1bewUM!=QzAwIGw_#UropndfE_0GVd zB0sY+O;F*6SWZZnToo)NEzV*kn_cW7*V*WL z3&Wl&=FodwFg0sqdL}&X^_=Vk3vn=3Dr|9h?{=xh`fK1pd+~}+=5l`qi+hPB=rA~8 zr7<=?ap&RwXZuqr9`9Sax2_l^wi`U1p`7SV*YEVMHL>Jn+Uk5uUu=tirQr}4$963j zu5Tpt$8L-zj&OOam~@IeU(0?tNlVf_-|H$t9=QZ!@JO<{&2rwQE2)yVdGDU>viMxz z&2)hZC>3*`EH_6kUQdD%39h&md0 z=K$T{G?qoc05ChOm7FS*s$SFBB^;L9eVk?+bF?zADIV2%#pWG3kQX*`gbvV!R2~&D zx0Xh>xci{pN?sxV1FCqbx@mRmbv{3cW%>;{y)PSMoEZkoy;JI$a-Q(;5;(g$pOjyt=(psAu6`@1$!kO$ma(GpTY zk6(6wzDCI?@>1cw&_*EE!EW&9A)0N+;oyfr^-;as9NvG`l&RB~HGJ5w(1{3GCE+)V5 z1e3B@Tlk?}R7sggoL}zu%o!o4aR=bMtVdkj?r8al%5`&E-fCl+!7uF_WymXKsN>VJ zNRN!TFW+;5s%APMDDfeP#1c!VwVu)zb#rq2eU}Z$(s^8U4NzJJDx~|7ymD~~X7ISj zEWGToN7!M~+y^AcAL4oH_85$eSSt1y0_}{{7=^4gCeQyEVT&LyGo*N%jjyRhDtlqR zyf75Zvzd7Du4wMy7GM&bkCMOLu?~#cnzO=7S#;eV%k;x34?ZDmV!;>QweVP@$>0mI z1w7XSA)S`ULw~sm=kw;-@`b{0UZ*Z4FR^wHJT#v@E35uw?A$nlb9DMtf2>IN@ zP{8tNRYZ22%`db#RC!>yT)mL*WisCtjZdE@dps?mRQQ zkkK15S>-1^2@-;XMU95qb3S=vBZCNInBIczi#2R5RAv~#F$(+LY4kGjM~xsn@LT0g zoC7^*5DPEza%+(a3J4%606O*X8D}??aUgoB383%2!2*&(Nu})CJHL;*01uB!kdbkr z;=7yvyZoBvC=R)Wx?rd_lXLCB(rKAt8qN7#)e2%8w|q9XPF!DEQ_B$Q{dG?zcEs4g zEP?Q6SCN2bzhP?2nIB{6l2+u$TdmNnxZDl$(8;fZEp%G`VmNNQ0`bO+Nv8l0o116) zO=$GRF-Y_0_RvT>kL8{T{c|!_P%2L3DZL)F)nu_A#4*X_smyvoAtd-kgRZ_eHD68ZZze;J1$z;2&Rk77n?|ut{(gs7n6!+#Y3ekT zx_(_ycn4(&w=P-~Uke2S^8%bv7v};8u;uTzEe{zC7wXy7Z ze1IM~aHrZ=zCoXUKgEC&8pO@Pn_wBqzifsOlevervCE7x4uUjZ zdz2bh$J$$6oD*IyJl9YEhoGWB{-96#V4F|eOEGMDNXAi3Q3bpLk65s5+VB+`W6!I6 zCzy!&5Z@cV8R?~K^bxGQ*2cf%Bq&bJprauEDm*@i)!TtU+iM}VdmzJee;OI)61IHb zu?_0Bw>i?fh^&%nJ`=0QoAqMtsT!=d5*(@hrWrYfI%?D8X3$}Xdl#0)eyv5OaHkNk z5&wB@vj0L?wfCj+$N;&22a*qa2-Fxkjnmabd#XTc`W9PrQ0zXc>KZ<6;6Ulsg(~mw zS8y{>3i%V?z`YjzgoU~HR7~v^mXPrr{xSJ^Y?j!bnwoKrXK@luW~;IJP5nhdbR3VT zPQ~DSFf7;LHcnHkuo#ogevR2R`{k z_CE`T5xcACwuFOSuqJu8Z88k${s?!Q#<#cD@;y3B7a7(x7(xi@eRw7sW0mEn{(#2rJu|o-^16Hz`x6>V16@O7aceI9 zuc5J;%xK9#`Ha*5x60=lECDLXldcCanb_w`49-Hpuae1gx{*I>2SVsjZC%q5Dv}}L z0{+W4q}o@3Zsl9G+Oyl4GC#b)GB=Fn{7p58pTJscP?UPfOF)Is6(@FR)Vm}09NNQ zei&kv1cN!_PP>6w98?{!XIO$2vS3tC9J=&Li^b|1KH8}_<^QS4v6&P}av0+rZkwNkKwB~&xx zx5$8}6vzlOA;;(46Mso6JZZb8FEqAjr%_S(V)V_PA7d+&iQPZ#w>X%~l}vBE@4)m6 zQD`UEUhHdu1qP9Q&qa{Jco}hk;qzVZv0mw<>#UA3#S*Y5S|GHSWtw_(_;V&2!*m#% z^0@kqot_t3BzB$_c2ZHRbFInbOw7;kbih}4)Qwh|f`@~!u(im&FGCQsBkn(;v0nd##sWXvzd~aTNT}DoY&HA^ zjZJ{yw%5?u3e4Zo*yxXC*RWs2lZcwZxlpf;s|&uGhV?i1M&q!CEgoMkVq1Tw5c%il z!pMwKpjvLdxUmc|2qxZqXW|Y{ptarY9qh=sbT8>(C)xLzXcie-ZuPJM_%2(hQ_MSNr*##LSy;(0@W> z*Z&JN_T*1!tkQBew!LuuQFb-?tF*S0d61&eZ1xTWH2%sT=H5$fll+=7ahyE$G#I}F z|E8gg+(&M?UalKHFfFqS-XBSF8sPKc16z;lZ2H&;<S2pKNZ-%i0X& z9jy<0nG^TiliAFAzFL>@I_8uu9~_e2TSwGp!GXTx>XayMgWK!tV&9WE%rP1)^j8Lf ztz+7Ct(hD8z`HC#T=oi!H+J-%vdZ{vlJK>=iJecj<7mwL)`3*F#6g78a?LX%-_lte zh3UdK9A6pvtxTq$i#Is$2*iR+m4h(GE*TCvlnI|(zdPLJ5z+Kmq@`+!12jmgB zB<3~MF64S9H(P(G zl!o6=YwIvhy;AYs1y$fWUIcNMHcC?{icanvR)Mcb1v|sx248Bb!JYDqiq&iWE=9Io zVnkXS(~a(nhbXTx`Rqk%o)VgfJtq=rxrG+|7@dZfb3&G+R1o_N1NargUX*YPFj^Xj zE6&`jQQ1|6b~BSVf_DOR>kk({XS3^#G1W}h%D$Eti)odF>eAxVrf91p0#REPp^tXW6 zf8oR0{2d=w=WqG2p?~pV8~=k3J3kUU@+TiQ&5E?P7hy>9Cm$AC-WLpAfBrOP)i?jG zdi|z(sikheox6v^XL0-o)l|t!+-E$Kjr8eWsLCh3+O0D z15>c=z+j9AXqdlyM4(Bge2CJk&xB0Wb!P}DZEHJ9gh}rrpX*Z+8$xx~lF}`j`WkX% z-&}NuMoqRE%b#Hxw*{428I_km3$iLmtf)i_Oq?%ABY?`EV~?;ydlOeDDt3hq0&v3d z#+RD+VDG8OzyD}6sFqb1#KxN~zqt(-M`meQIEIjb0)-H+1u^`^$IP*>7~f8(7>4fG zy7=F00)%fB+S7^tugPiBq028FrK5l&C-oEg&ySvH>)}5RaCcenfB2a+`@v8l0i0NF zS_7GMGnB+yqp}*L%!(=|Hkm-kdGm&wQxdn^t)4q0B<_+W`8T|PURs(s!!BKm7zfqS z5Ov8rK#6bvOA3KGI2-MaJQu=6NK_1Ivv&`JRcv^`QCv?Rcp5{svp+mfIvu)Zp1$bQ~6X}R}a z3wO$t89-t;03*!*%n4>C%8ZtYr$`< z`Q>4$NiciSd&TU;N_B&Xj^wAwM32MNRkzvrwO($Vs>@*C9o){iL1)QITGL5Bowl?W zA(0MjaR)M7;g#TkI`ouj-6G*S;aagPK#o1Fw+KBz-uKn2)(1BX2HjzhG8h<8uauhy zcUs>vR0)njxW(cCQ(}+{1oSW3@*~Mu}4sbmnA=^*;JZd!(ie+ce z+c1I*MDx`nT5EyI-Ob#oT`O0 z5A+Q3#g9?c11XQ0-3zs^V?K_dkebKRa;w}bT8f0Az)5JaJehyMl)Q|0tGK`gjIlpm z%?YCh*W8;8QUnPdtT$!u!y?qFlNY0a@T3OPr+(w2olABdcFh>p{TC$`?_yV2c)*cfybP?ysNR(O0gWK7^>%eIv2VfhLgDi(*3;WNmoUzx2gv@`3GFAAvvm}g&}Nl1K}YjL_1Fl)V7hGl!wSVB8Z9wbNt!~B zN-5KAuZBZB9+gcy%>mAf4{l1fx60OUpI{M}wn*VQW`=3L)UN&hWYTQabBAd-%Jk&P zJ|sHZ5-Fbd)|g7Iytlges}L!!wLyWq-RY!30|l4WIIo97RsE}vFBddJQ>6~~@P;ky zFv2IvXH#hl`L)qZ6_vh6xUYUs~uy6X+}=hRl7{Ew@zGRwmyIm zp`C5?G0MfUt8?^;)KI?=84v04<||G}pP|gA9Yoh%Zn(~8hw>1D0hMIPJOYbpMxK0Q zIU6Xgja~Qa2V3%Td@CYGAH^cV0)A;x*9XQP;=^gaX?_zk4mf%vQQ~o;ClP$oRqMUX zAaCZ}>l%ZoRJKKbzsRt!*gR2D430!4vfcL=vy*Hy5J9nxZ>n3s`3)Y$16?C`H~QJf z{77sYTe;$ay7>wAUbOvQpG-o>_k)9GZ2!AAwWJaDL!u(odtpinm1 zIJV-r`%7WS$j|!7X9hUPmEC8WEyron&(t`?evaJ)d><^6xFbEnhgg^7^!{RP_B5a) zc?0|G`*I}4Q(Z2!P-xlq0UCcNI5$kBf;tSuI!F!cX}`~XKA~$AFrzjX{`S$9$Rp0<~a(!7|y`oB|RLF4>4C01&;T=O?2woVgJVsDkA zUsGZswL;o!O03O4D6untQDWQwq{N#150qGsKPj=X|4xbZKzFSBZ1PnA>fyIXjd}l?eLd77n>hz)#&SFMbY?t~cisE)G5LGto6a0Eq{Bgk9IFkq+Tr87zUC+meZd z`Mu(QlQec}=QST$5 z_sy?pZ5>2>7U&^1`gnc`R1&gctR=LP#-EyiuZ{2M z5(l7$0Oc*1EE4z<7zC%kCa4^jj2M*RBwr`ji?8TSsX4(q!!axiGZ87jkKoYlU%%!h z1b%I)EVUe@)L_$;XyNCNSFe9nZ8)k*A2wb)yKZ&IBJNgjp>xlu-dKh}>sS%Q$?3Y$|w8W*u7$yS0;?dL> zp6t4hm38$(7R9S1ohf%8hUngI1Hr*6hRsX+nbg^D9z2iPMUGur_)gMnlvKGTK>p4(~(|=yZ)2a@JT$J2O=#S zkDDw7feNBOq9oX$wHs+KX5_>JjxEXhe$Asq>op5npize~7Z-MF=$4Il?#Q`Y>2c_K z6-AQBNl$GGDGt^dZh-=^iwnRBMetJJXS6@h3qrC>l*MH%?(?MB;;reOm-xgdkHo|N5$^DiJW>k%l)=}L*%9N&jTu+xIH^vYJ)qEVcC-yx^hCs$cei(y`vnk{I~t_| zT8(>tMY!WiDl~2@w8GazjFhelGV;QV&a3X3+IP2iV)Xc5Fs)(Xdtl&H>WUsY6=P74 zg;W%}?59h5AM8tmXr57=o*mz-7MDz8?Y+h9o}Duc3^OHY$Ix2Ad^=a_OQ&r@2}e8- zRTfH)NcEaYrQg9cf)k^p7rl6zQtLOrcrh9WP!=r1L}z(!T)8O^%eV9h(8*P4$Yrvq z)mcLB!%xZVB@P}vacA}NmzJuA<2Y(RLw7C;C0I=A&@CHX*BO|zKr8cO_nR8S1-Loj z#Il*f?CW+ zVd^z_S!)J&gkh8HX4wZB&k_UR(xLY|NzC#RR<^j+)b(?#1L)7#W68HT(BWf+UUdc? zhw76R`38@R#Y>*;++Zj%GX0T$fgZk2dY;rKo&_<&FNdG5AIVhQxz^IC){C5UT?R=9 z?I#X2s9Sf?-Xh93#i{ASQs!@m!#(5Xc3&!FR#fO zgM``Utbnc4-OJeK&#eb~ar5DaS~nnz5(qOO2nbH2NZH!IkK z8%;~Jf5?)8RR~Psm7xeHfYOmIcJ98`1zobhg(-(ySTGCnNIwo+47D;-l21*XW+CQ+ z?u?%X%T$}sv&D)pR~mG5V22HH+`Iv|14d~PgU8{7Yh(b_OB`L_!O(cH`@lA2*dixy zSh-{g2;VeaZ=!e0{A`!RbD>i~XRBlO)y1M0Ac#Q#i8N?qhAfz<^}Q@^l$2eEHkfB%P?aEh;6xUHdjyxPsS!sg#q*rsEqX~ z2n_=g<0A z;(6QLDc4<&U@H`4nOo%}tE1m4nKDsKmN563Z@8sa+$%SxloJMuqF^@Nb zpl!_=Zw49M8H)<(mg<(#?U&d-!Q$(2eZL}SwVZY@sQ`QlkJD}jxT`$RCJ<#lGx?MOrxE!cKS*5(MyQvEJ#34eLBi~z48cQ$_J8PI=E{1 z+TJh+70&t7-V8B#+_Xb}pN_ovoy$A=LMd9%NR$EGO0?jpb@vY-7oes0@Z`%J#7X)d zn#G%2!Qth?mqNNEWT}n?04|^;zKumUSVXPfz$tS5kx+kKF4oK2_P$&-@9d4#HgbU^ zZPqadl~twdrfRX1z5MIoy7XgF5A%cBzk;ApPeisM(S}`W+eN2st8?_|s$e)vBd}>t zeZ%n}uZW(gknb@|D+Y0ZmKzgGX)geVx0}2^O1+#kyR;+@w7YIel>^Ew{%&;hv2pyy zUHjg~)O^?0#JP$pqL<@iBR_6La@q8yl@!`Pp>=$h2kdazvZ>!CFmZd-%?|k#HGA3n zws^-ZP|hmU-%GHW@r8|V#e7M0HpZug>hmPUZyYKb^wZ{oWx4(=jKmGlbk&6Kt~I$% zSO6w2;XsLTI3ZPpI6+d|{2LEH8OxuqsW#N6{TlqoM8`g?2bM=VsfEu_O|w5!?0ZF* zI7@Q5TL3s~5o=^~mKRcw7h-V7bZw({qNw<9Ty=g>JM!G%icVK~FSw^3D6yUnp$*v#Usii+rmq+)5 zi!*K-L4f=mRzG4!_gr0P$O1Zs7`K+d{i@8wv7o@ z{Z6FWdOJdNm7Cn2K%%d3gF9ik+5n@BIQ*%I^7AqBUYSXQ$J|gE-pxamQ}A@;AtjML z)`yfHRwqv=)tudSBN+H5aOoj2qAeFXq^F7VXiYQV{s8h5*kil+3-834qDt|tw&>9k ztuE;5$zhB-orVa%aZipyw}gamEv;sPhXbEK5nigUMW%}SN$7c+yqzwBwNohZ27~Xq znmWNbd1Mzz6}4ZLgU@$LjNM3F?{U<{Gsn+UaFq6 zFGttS;Cf}iAnOwyfb+0W&wrt9l{=|03&%x4c#S&-u}@GuUyn!KO-ePY+WLLtK1^0x z&V3c}rBB`|1>=GG>G(S=g?RjLK$`nXo@D#XGj5s*@>@HQpwQbnh;5-$YFFKasyN_S zNO~Kh*~Xsl+VT!?C)YA_hIEKPw(X6$iWgh%dAc3E_Vv5JF!NcBJ6k9@^WZp!#UhRB z!81P;4~R%CVPEGPP|l{dH6Ej?R8^S0fVD}$95K`t3AYbJ?Qc#5q%1HpN(Z;Rw^i0t-wtsx!Yn8DKnSd%xjv<`lg zbwzt*aEp7pZa53(rMXj3AB~u8)GN5Wl55wnID1D&iS1^}5JUS@A_`f2#wN2zlW5`t z_7nI}l_b_7{R`R(@ZbB8w1_;I22mP=wh#KZfpFZz1?5->uasmmx7u{~5nMEgLFr<> zOlI>|>SPaLacr+=yqd@2`9$j%-`X~M?}-~(*e$Vy#E}Bs$S}RT63Bho zIE}L^EKJDjvpw6v97B?rH&~>tPLnOyzr9vGzWX@nqsDqzg|`VREMEGx<#GFow<vW%4+{!5;(Vt6NR0+caxIZR*2H28cO7teVO5e-Le@BG^9eJoGw zvV0e!`K@AqEB5>{4@T`loVE`}6T5+ay8G#a6v)|QJQ3)i=lnBD1QtPJe{ub;WPRW# zU?qCQxdv1~^TBc%ZDg?=xE)OUCHZZ6( z>3Cr15|&mqpt~i&3yf!PXgv41d(li`Mh*enl&^B-*@Y|`Fhgl4wsSk4Q;Uz&5 z`Ra_z*|j%qX&dY*)8NyX5O?aS&AcjC`Ks|V5H#$2I%x5{VNZh9>PA)A1CINGG2`^(jAzZZo~Vjm2(>o-Y83F+O0D)iFXQFF@Y z#p5HTpSet1NZ>J<%|W!1S`TR10(KreFQ3umW#zL7C7+e08CQY)Boc>mbQCVQvGXf1BlgoPbvoG@LKIBfFe!l5Y%rAR_cjecOF;;tvqW?{LRkLF<% zZ*dU%<_{g!xu`Xwg^vAdNQ0q2C_z(NFMaW9;nuvu9gCn|U3KrpH3X}I^9%V?-P=L) z?RdBE%225YAHwrB)zRx4}AjC}qPo#0IlQIMr zFA%g)q06^}L@LMWfu39mS5D=`mUBC7iUN)~zhMUZ_%me4ZV@8iShX=6Ht*7`YW>?3z*FWzPk|aQu z_eeOoMv%^b#x*NJpk{BYq)a_c*7XD0hb>uo_zo{Gi`vEyv~AjhEN+gO*#O{ceiLPm7QtjEg7f@vO`?_Cegw-Bye z@5}X<*DuoFq)om_yKo-Jimngv(W4q7oni=O_+ptV_w=c$-R(O!oO=h|_I&i>apJMh=}w-Y{YKUrhxrDK zsEfti@=*N>a|4L+TdudxmHHEAav-8eEUQBIO*<@4l4*v|5^0QoN+MX&{D% zS~_afOxrF_iz+WkyH$^fAI6vQK9-hxjrT*b+d7ehp*qLXRRTiClpuJc%2pDO|JEmU zh^Jz7fZ^;iROExgv6*X)`G5@kg+c+kX?+cjifOOO!zke3YaBM+i=MZKN9md3ADrwl z*z1*rkiI}?b*SS}pvhIo=u1TaLHCXNT!!4Fx;R&x+2Vr#-4Iw zE&6HL&z?Ifo>&hW&Gq>Od`leL2}enRs&c7YrnX9z8k@e)MQ-_()6xZ26jO65d|2mz z5x(L@%G&sfNuS3-T@t0KF7}<}oz$AynWh`f8p9~bQ(d7HDm;$SGj|1_R##(Wm**$e zoqm{r@b0U*=4NVsV(sG1@w$3$^SOm#+Qjo)0n)Oo7rS3MdLI64;~?XFuYALUA7T=* zF@fk^b7hOc8ZZ&C!YOiM7ld@&E?D^Hay{wMfFUcbO!Y}Yg@88N7v@0}2eGFOb1!~n zPH&Z|4!S5%=$hU=bAJcneu0Sw3+M^Bzm3Mbsb!icV-uLXOBgs*cWad0YVx~CNNtI1 z&RMTan~18l;z<|6zGiPH-MnTWo^EHk2&ev3wvRFYyv0jqs0*$=lA zx!xJS^Um$S%@wO%u9>|@AN-hfxEM2hn6C_+#CDj zfuAP}JlgMe=Fr8b{MIMG>~4v+V~Ua_fVYhPQy3DS;B(b5t}NVUgNJ0zVyp+Vmr|Cd zS0zP-Oim({Hl92UD%(WP9{!ASPq#?@QyU) z``R?z68nU*m*oH1w^3!fO99_HFl&Xu29b*O^@C)^ip;V7c9}l6B2HY4J%oP~d>4sm z(z8Z5t#EZBGJ2cOmzaII0}))*j4)aGxGID-@^SaQ2`%pJxd)!JQ)&2*}w&pw84)dUAS#u#AZe9}oxIJD`BeEsT)^=hsHct+*4lV#6U)4b+nx(eq*EL@U7wL6SP!J71w_I1*jgcgcwsUx~E9n^n0M5 z9EDtN28v>uuBsosZ9^+lJS$n%-4S2%}XP{AZcqJFRLSwk1u8qLACY=O9boew9gXZl7hBwm{x(YlP@lo_1cpVwQa}y z=2KR*;oeAx^pJEf>MMPptPoN>vu@G-S+zVpP2DVb{g|+6i$8f(ik) z4Rs;W`EDvrYWm4G9I^pxO1dRA>TX;%^pzgFK)XO)HiBN68l3*VDs*^d3sx-&9PVwI z!WPBG$v*>cJk)W`_Dzkpk6|nKXB&ENLJQS~a+o_9F}l0-R-sq^?Vn5aTgRA2Y(DV1 z^EG@oEC6(L-p8-{C(x0LpO>GGa2fHp0%o?n}-PwMFDdM`4rar4T@a+Rnm4U(KAcYe~Dza6} z?;p^gKmULIfc|(H|6iV-l2b(tS}EUMDn9Vosi4RwomIzdI(pxz#?>zE+5RPcNq+Rp z@Gs0P5hIw&($Y&rn(0MDHVK`$Rtiees{Kx;1rDsacoYy8&p)^lKhN2;NZUw#yqVVd{xiB2xc($$jK^1( z-Aq7e0H?b8VoQ<7^$JOR|8TT0Y1J&niR9^Hni6Q!A?|3sIhZw^&BlLSnB%U*R&+0u zL^tYDYS#8ny&P%5b8%0H+cKBuCTN-yHoS|50nVh)o<@)uvb2RmKbE61qL)T|2sQX= zOK#?UL8&tFt3LexsO2aPa=)ASejZN%m8S*i;Abm-y0-uEyY7uFo_lraQ_unBl7vaU zNu2hkehS@|9{z`FOQX;%5I#^r_ctvrO9iSIEVLW{=EEu>dod{n-NnVA>Mu@(9rQaQHCJ^MK@7AIoDsxxz25 z_~28z&&H_*3bCqB^U?06dC(1@P~prujgPUU1>qtQ7z$lTr&V6_#BeR#72ZibeuDfvVs{?q@%+j~bf6@A^JAcE47-a{uKy+{uTNNCcL z-g{Si2^>+1C>X%dL~7_Dy$DDbq)C^mAVQ?~-sB$qefQn_#{1_RO!L{+C$H&iHSN9iHaNvUFsz9>xsvrAAhV3jFh%dKbq3$4#^R% z+@*ar2+z5%Sw_K$(`lEUO4kps4T})xV$65g7&*U}rTT)Y*^v*zqaB#y_~LGagv_Z< z9+5SxA5Zj{oE=L9mxFSJaw4N?CK{cfg70o*=$=7N#a~e}E$!f`k2_MTSg#>ft5;w> zZAjoU11!3V&TC(vkF+1lyJ-0-9Kr;hpQ4< zjzsu-NR299YQ3GQJzY-U9cjFjf>ZGV1Y=qXEA z*p_hmgM)yCazdp*sb>Iwzgi^XSsUc zj}fT*G&UsT1j-x@`}yxmBbITXxL7y8ivi@vtNlzlF0_i#dU zq!@(6{GyHk<~AhwEql8^pBDp*mcKw5kl1T|-l-2*q>J38{CpNDlx*+=2F{%Qzje@* zkD#%IPI-K-8$`{4f)$$>i$KY2?Mq)XUAFLTr69rrC4rzbSz8w0s%S0Nie^Qckmojp z76A?iWIOx=~R~FA0#^LnB{BHPa_w9$&rZZz2 z@2VX)Q1p7iu=kbpqJ}OZu-rh8jXJj%*_20w!Bmet3XRr`n#BzJhTtVyTl}78h4$TJ z_q}+a+NN`L??kr6U(b)^#qNTBmEvD7%Yr%-)|RgZc4S0CDJots)X{#{sut%WRU!{d=p1mm{8GzB(@PA5%y;VRuX`@)`n9w-x$} z(>$cME_bn&o!jHhp~bf;$rkD}LucGhG|e10^kVHL zLD0EG*VZNU=!)Herdc!@=^U;E`Xk=a^cJiQyS+Z)xYG_Fld(J5Py`O63V8XK(IdG@ z`6;opN9=RFMR}OX^v7Oi`}cxW4@;heTcji1W9tWuV^bt?2xGA4(0ko4$M7#mfIlSW zJwACE1Ms7TW{UcWD26rn_rZtLZGf?jvk@%jYoQorFmeXRhBxvRO=P!{|DW-LBLelx zPX+Plg+KzO*BOw-G~oU(OcZ>;m;ql>{ZKvQNWKw5fWejhb4%fOqYS>?%zPu*Yy}Rs zgjLU7Av{qW`aafbYqY7wXx#R~@VjLrCFdteqcH0F3beWBp`{PuS4r?)ICiU_w`S<;Eb!Df4~X*U>f%3fJdk}zfDwTt=pM)6O2$$gb*1&&E%@P4li?&} zl=__A4ITH~eJw>|VKZj3H#JrkkLzGW??80BDfx-@<}AW~JYu5Dd+_{T_?iiy8$G5h z4*Z{F)g!FbFTeo&r#(4}_CDCKk2ORrU8uk4M-nm5pDT3y zD9DpN){r_xWW>>s3{Y73<5A1v1md>@?rN>G<6E3D5+uBoL=fdbtES$a1yf;8%bD0& z(YG`BfCEB%Z)Qsrp10U+JU7oU?rjYh-GmIti;?*zK$O0aY}|#UK>@ z;3{797eEw2>ns3?tR2kvZVt2OcJS$2kXnE90X0f=cYIK4y|ftv;tj$V;g^9QLr(T0 zeIKSnN?6z$BMZ0*b8pE|-KHkvjE+A*|CMXfs@e+9_@B7?zYA!A{|kx#ci-{<>J859 zIFTn4dkj$T0p#jJi5nVdl*%m$NfD0tk*w8wtOwGKJ{L8AI0{WTABJ;U1) zrAEDbk#ZM1Mg8}1891^1N`y|Cup<+iExKQHdetZ4( zHguk0hN@qW=8A|deU-sdVef0#NlB2dF%x88REwJ3RNA{jH4!lYoH>Cb)$UhezU9K! z0D`U!kemA8-Yh?U>EX6UYTA0mtt}$@x~s9#9udZo zp_L~9+Nb+(H~<{7eL@+b(AF%y6dN?hufn;Sql?kTE-Zmx8r(a`A@R$;bj*_*WY^%Z zEfny=F!M`;k6-T3d_;s6w^W3As5QHDZCg+rK1u(btQM@){YPIFhYT-Jrt9XC2GTYQ z%Q{}9_RmKL>|u&bIgCg7nrJOu0d8j$a@TEwK&|;Npy59V*v!~I)eAl46R7HB9EP`i z-3*_FoUhhZm(yI$#z8mbJO!yp995u&uN2RULeBK8OOToTREqTs zhrpzKfP@}VdEfU&MAaSaXdz&cP(BT*m{RB8{)2km;MsJeg@)IPdtEl&yIZx?;w#^h zLUf%Ac_)I4{lHzr@f>S9>t(~o6fTEK&YuO7bF&7VH;*#GpEcT(2b#Jwgo~$$<9KcO zm9)jra5(JUOOEofiy_$H-&t{jiw9-PfH9T=zQx$mn4A=E{clzo;cBOft*5WHjnL+u zEOW&sE?XV?LY>gH!SCmVSo3xFJ_T!0gp9oYKW_pi$Ec zQ|=c(kiv9Ff}>@k@oCX<50#_=?Ap2a4eS{OBKhpDUxfEW%KivRDpNmvbw@KBpwuZh z?@X$>tuT}nTwqNQgqv*HPbK?!7vR3i}NI z4uR?cRY=jmA#+9;p%GgRreOb`vob}=^ zV?X=>b!@nEd3_r?Uf|anC6p7ZjH7 zToyK;Dmo(XjT~O3bsR55v3c(d>RaWsh8f}%bmMGcbt*C+)8GW}=98o2t$Z>tuBT2A z27+oBn#JM{y^W+mHi;b&X#C)_M=NmlU^t~>`a=a zYKYAyzBz!|&VC^+`j+VF;F-SAu*Iv+{XguqDre4{R$1w{LKfMecd9>m)2JkaNM`VN zTNn|0*RIpH^=Gku;1_LpR6;@r5Ln%+In#8w%(AyY|Y3bES7pa(z6tq#cIDIN zbG0X62Ln?U%GsIn{hCG3qrb#4nfSr?{!W6npgP7sy|vcYX3kzU5sk_e?Um=wmOO<* z)J{~B(GAj^At}u3IB_$Tv1su0xeD*_>n!nU=|>?7sX5sCrZ!R&@wA`?hF}wt&!GCF z{;l?`kxNyV)IXkGW(0bGKa7oI)Gb}-m8D82g-a<9Al0f>JW#1iZfaKR+OKq{;Y~Qn zjk~6Kl+9y~F75Tvqv3g6`)7|PH}FwNylo4s#f|0d3zaBVs;SPskH4;+S2`9 z{z5)%#2n7&q@s-LcVn(e*Q=}p_9f!$OehXN&^6M=F2UP2y+e$J6&0>^Z>|L)s~b2a z%>uY=ShO^B>cBcX@7SsKk{VHpcsI--B7(}_p5LipVa#K#o_2@vGJn$KDZAdg(t&zq ze5*hhOncsAd;UT+GS8Q1xDz^E{j>K*deG-agO5Z%>^lfoyk zspp24CSzcOxZcL&y1B{`|Frd&3^`KrWtpy=H>>pvii zj!YvDBp}_xw>hhxy#1Wdq8-}@C)f6d{?xX7Jmd48ugU_CFMnNCoPJ%TnEvxqe}L{T z20eC8^=an5)rTqx$kT5)L@GF9IB(fnkJG=wKTVhZXnf)|mGz~dP+p$bDv*e+ANk$b zDUT)k9>Nb>i{wW}yuPXE-g^8<^^xrkda6XBaub)!tQTIyPD}skgb4a2o=wx`8TEl~ z(D-7^yMT}Sy2$HahRPqoqc5aZxW<5I-^A%qU8?0X)l@o8f*rNoWV0*ncMcgQeen7L zj&~@TsV6&Tf_C9$O+<-JFHM#+*s&a1}X41o81QwenW+lph*rg{n9|N6aE&cKN z5`U{FCyPNR7|+W~=piS8rk4jyF=kdru)iTN*sN$=M=23DU0O`mQd|=fgwOrGUL!Lc zL1|Jrj(oMRJ18Etf?lhUYo_1jBC*_puav+VO+1riwBSKDU;DhW7v%_1zq;w749n&3 zaf-$x`&XEfQp4OS(laT)02DGgHXLI9N9D7kx)<##lTjKns9tV=Hn*>T*gsp~8un4{ z_kHtDwwIwvOLc^qUN#_oDD~pKzKlO_TwRh-#~RrGUf5gI9KfIy+ec~bx|H+tcTRJ4 z=9tSJE(3W)vf>z4?jJbFYIEw|f1oL6Oog9lS?sf1ip+i3Uq!gW1r$K!%sP#qxE>r~ zGj^^sqhdohOnRMV36z?R_abJO6|a6yd!(>()LGKK1*gn;p$X`x$-F=$;jVnjjGL>_ z_1tbEewiV18Q(RYoioRK&Sm6@aW2iXReHN!rIq`#{$?S)-~8!J3X4Skyng?#z8PbF z>arldRAZj%Ml{mR44ATeRl_yBFx||=>?J-kgn>a#s4c1jSyuDCw?@Sxg@!%LcOJ3Q_sb~8R2OC!|F+Vn>Z3kVq zMu%d7otn;FjC+JCurb^XpV(z;)QuX{yVvt~QA&XVNzi!1U^u>dOGIk0%%6`lLc_E1%hv$=9c zfE_3N$NN$Dl*=Np8p#)k_a2n|zaR_J2p9Od5;?b>2mY%h&YT!dBw{UAX;Z`O;5|hd zGuOiy56kGKAsL*Z=l?GF@BIO8KI^}C>Hk+(Lh-!wGcR=>d0Mo{5dh;qJYipFy>Z^j zA5MNqoE(Xi)eM+*w#|Lw`K^O`=)KU?LTAjDtV6Dr&-YgUbzcj@_u=LrA&({Qf7wj>k6`Za!mrY5a*! zZm9da*DYX~9r|@=v~<6~--$)s7;tP~9UMlwr;1wrK6Cyf1z7D;%R%^0c|CdCbztXi?<_13APtm8Hk5eN)>ozPV_I9=?2x)j0sp)_6-{oOu+ov`ci)xx0dMEq&WyFem@fp^(H&lT`uRb|mW2LXEjWZ1f%sCqMbQkaa zdXg7w@VwUxViUPn}?G1~X_i=_ExKyfomzKpVGQynd~mP}k+DkJ|$ zO`uQ{M;2E|eC*Pg7!KywZq$(uZ<2-#&_%0XMwFEj19MXl&%GJh&<2V?v6j+DBern| zI}~H(MxZ+-3p3_i^?rNs2qwV=A^zbLHGwB3#=~%R!A(Wp>}~i+ zz*KE{WX`BY<0HPRoE~c*NG^A0D%-wKb4@77B3Bck)emgKL83+UViJr}v$fW4w~!Oj zxkG_iarHtd?N)s~wa0{VREI$||}rx8tq zVqQGP=;cZeFd}qL3$U*xg_rgyG*4)A4$^aS6eSBK7i;Bv&p6mi4NO8^YAm8+qt?aH zM^Q~g7r#2q?Xp2u<4FEfAxM>UcLlry%)pvk<7~}|KNeBwq3JKoWXK>h)W~`^pNl5A z7L-KZ<<9j{^N7EwkYvW3<@9~+3qGF1<^;J1w4!amsc1l@i@8aT{qn$#wdec*QN5i= z)|k$!lr=n+`R_L~6Eil%V(XWPR0!rg1pB_1v@HSA7g#GkCXxw0O=geE23uOXOljRF zVBJJBh@m$GSw_mIs3MaIuKp}ftuVmxFRFO3f+|{5cJELJ{ zjc1-)araR}WA8(C=aUuy>E=QX$>X0XI>ypl3b^I4;0NiR0BoHnkDe3azVRr7_ryIV z2NA)muS%m{?0=ST98F%!0BS>DAX0|Zff+cJ2o8nHz^)T-1UiB5sBX`|Gw2; zD;NQmvr}DCx|Z(gB&cKR?|8U&__J|PVer7uH`1j-W%U*e5O3N3qV|wPp4a{h4^amf{4{U>?Y5U9G!nvZba+wx}=ma7~C5&r74h&s6Rij^l3PMdY<$ zvse#sy(lu^f>M(2|Kw;m+IEH`}q%gj~3bp30CYt zBan4!s)1j3hL!kMbr=KBa_u@qNAGud!+Nc$vpE16NZi%jo0wTMEsTDd!dcOIugRFe z@@N}CaPH8g&7|Te0J&Nw#;}?)$tn{rXD>gW=|PD79hXqB+hR|tWW7Ha4C}0Yv`4Yv zpvOQy&!bN;s`?z&F!aX)rl{OfdQ$a$h4UZ*JA@ysntv4Y(})x1g5*_+=!rsorItCR?jjzp~9nTR%fi{`fb_x zyv}c>CzUGCHW-AdNzENQh&48eIX?j8O;*ur>aKjo!n#pH1w8iGRHch~p*pX%23O66 z17ub4zq?!^xAhBLjLK<;_Agi4=GBjM=4ZD?E}>iy5H(V48$za^pC>Xrxv%s1spfaT zuAiqDem|SzI@khrE@S^LMO)AQLHCPutCF%VeVN@zsnH0$B8$XmJmHCS=FkBo@1OO) zRb7QWCG`QQ2rWHdyj$I2d`k(+XX6KalEY8m$i0U|y2^_yq|SKu}-XOf$CyihWo%B^kHC9;X!E55(Y+$<;MqbJ@WMmxlj z&MRfg1d&@@R`ex58c9_7*i_q}oL%;HM1w56 z3B&C{2eK5#<}%$F!Sd;}B&FnNxfMy6U-7 z0yuilvA&_LC+#*2a0&l5WX~NP3ZfczO^M~2Z*3mM1QVX!9aQT3HNJA6)TQBMT5j66 zXkeo46sx3X2$?9=*}R?5UZUEIG|;sS-4E>-BgoMiLMjLnj8ae~`ePJDT~w61S;b6O z)r7#Urz~Wu>PtBPN~NioC<--Mp;NB6(ak#F^Y zcJaqW(RYBJN36Tov#%ezY3Cez&E|sbnluR;C^@7!1`x_&Jq?!M9Tcxn6S*}ter_lp zJ;h^wT-@WIL0=ShMhBjS3N-xgx6r$S|49@|rjoR(*kvVudW9CMoeQ~yfk?w_0Y$T7 z;3*@Ad$wzGON56yIZo))XSHD-u4m82+FLeE7zhEVfs+QB=;ia2&$Rd^%(iqZA}R#m zqlayYBH~BMTJ)&;td)4}=?n|n5vriICjoVh28azxb?&qEZyAb> zx|xBMGdYet`ntpFN1VU9#ReOfpR@otVgr8Ke(J~NTO!Ma9xcKa1;vO6niEQ?T87-I z7l6mccMGl&?HCF%qDhcqC;;^z9CPt|B9ueb3qHMnW{}c1@VK&__ElTH(EV_UB&1_^ zmt)-6;k!azGQ|eU_un@I|CR3lh~u30V{fPT5sMZyQs|zI8SZ`yJMh>JoG#e>Qe;4EYAE5yLH}MbneZc?y<^SVVxW@~c$fG4xwj$^n7HJY?9A(Jhv`7aXuWZGKLWX2b^ky#~V=2a6Oa0bbUpwQbIQb{|+CHTHEI=Z3-sP zuQ!*zV|RTfvb*c^=V0{7$=KC<=r_!Bo~#hb9|s6j$2*Ak&EseJ(%$}aBbkK`xEh`l zeUFTPw%@pJw*m@Ra_y8_A1B+@4zKh8yRN@oqxVN6V$|O7VJODUBm{`=hB`6LNtSY>(rm7LJs$P?scbfIZ-D1f6M~OUNFT{V8r?O zv-|aU#tZsSD?~)GNeuJp-h3YcXAq)eW!*pd%@UzCh+NNVW_TZRyzp?QaDvQ53`Dvu zq2jfD{9Q#6aeuGg^-DCV-Hj~yG3FMR_@`3~y>7nw!U-(+_h!kv+dw-aOj%t5qPS;^ zTZ3|Lge>}aj@*!+RS%5r5AZkgKRB`~H@#(jxS{>_Y5}=?{#p{W9tB#?pi>Kk6kTnh z44Q|VHCF}~7IxR?jQ#9!jw@*M#=A;&Q-aZojZ~y_#F)Mhn4bXZHYeVGua)dA<8r-V zn(tIuNLN9NTf|H9+S~qbuYMU$nomEAs7t`2IIE(^Q7yV&Z0Ywks(PNd@9>p}V=H-B zM!opR;@?bXqf1Q!5Xw_y`+|7J7$GiPtYsRbM#ko?Z*bw#%LEm$*NI#sHqlo_(0O!3 zu#gvpq$I*>UpC-`K@v)m*}I8I3WOu=Q>xh=QVIX1uwn!4Wy|d5p!4XssQ1R)r|Qa| zPmR1tFSO5!oUWO4wagOf!!D(lF9YLj_HI2gZNwNn0VEGKrrM)H=D`W77ONsV0oM42 z(-C411rKm?d^=ltAxTA4s|fs;e1%?gh;!C|gI6RPREJe>z>jZbf`%bV%{IC` zlv*lMk9g~5)Y9abPCd9GYTkK#D{$om3;Ak4*S4L&dF&rZnv!^+!TC?Sc1d-EKMl&St5KqE0M1e>wGxTD|# zRF6HiH44aFatKa?P`g%G3<;5|Zy4?Bk_+u=_Ld#p&)fMIx5)b1KYW8vUiVH|Yv++O z6f@;-H72MyorW=;sj0k^74ZY!^ua|dWu5-#@bu`Q?hQqsyi;--5~Jfw!Lq27yt&#V zJ)7b1f#(UNFCCsMMfcM%>tCZUcpEbD482Q3m&rkCS|OwHPrs8P5{nwpV!$lO5Fk9lxDJlsy4j~KN!NL?DE6dGH# z1G#5B&k~n~sxtl0=l42dm2!iyGyO;6$w}As?qjXz81|<&?l0MmiBtSp?L@|YeJB@b zr@lImBer41qT|o_lywWd;cxzkDE>7LpwozZOh7h8s5d7-QTV<=Zuf9Q|)~%nHCwfKKJ0*NYQAOg( zB{0N=;|?l-b%16e>h)u`o}Pkf(UJg`T|XqvpOf zYeB!8V4D4yNUS+*g1Tn1$UF%DibZkVh%irHQg=OEHbdK^p;AvpKgk{KeFdc~CgN2h z3C&_%LZvFzhEbaGya7gk(f(T(?`^Z4hCvAcW88!e$Z<9rdctrqf!pOg9*@I$Am7_g zpVtm}pOo9FGxP*~x=BFdZ6{b~zX_IL=`?ODKT%XX>JZhnl>M|Mlkzn7x$G^p!Ya@~)~0IN%*yTMCVUdHQ#5iImCB($6=-oD*N( zsZ3}?=iBoPrhQ_05fY=dgNc5#+3o=utV6376()}mSZ52Lq4s+Nd&7wW6~X_e`z&NS=_yR8>7(Q>eA&lgbfl1k=IY|NvD ziVYskqQ{iBIqEK07Es9fG%>AvVHOgM7X)VSvo0plI)6WGPo9lV)k8VJX8rNr$&^h! zm1j`hqg|6%wwKWr)w5tWVEEY>5rIEJW2Z{{Nk*6-Y@y}E{GMgUq5_n^0ek9n`!}1& z^dxP3mU?>^P7PJqaT%)!L|n!YAGZ|b1oWMRho=%6so64yb|Bp=?4KkuRJT?HtJ#SY zb};{$2_wzHJHUFfPhw2pWA5?E76hU`u$Q@+9yEKN<*_Y>RVLVV7!jJjjojBgiuo3! z<&MlGt~)(9kLvW`hr}T;2_Kiq9+?dlU$5b$nk~YZYa#?6JZ}J*C8{xiCx*wn14KQq z!W|)g8&I$DLHOa{d#KuOwSM^L>2UXHotu(VDkH$zi%`y+IbuIcZzGQJyD8oBx#Lwj z8i1tfGW+Ly<2A#_qI7)oSJ5t#*|8wQv}3JvR|GM_?Sx9}N5@pLpL&zC9 zppvK~eS{Usi1>pD95dW}q6*52z?l>uK5_vURe0pAH|Y%{XaU4BjH$=Y@9ilZmNaT= z!m1B@oaS|?putK)f&n+zhhz7HeU#2tN6d_7_z&5YK>^$C!1pP2fnK;3wV;kRd-Hdr zIBEr6@){74{%hrByZeQw&PYHXz@iuW`gaRAKi+?DPK5wcQ+j7mk-0T#Se{@%(Ti*EsZ`2iNsPbyFKDY;)xdX=W_Z{-;%ZU2jOlkF9#%w_3adXR8t=90! zhBQ6l5mMsEq0BnZwwrHeh&3%pJugE2x{Ooki<$HFA3lrR&w=f;&Ot9+QoNxMVFi4T zOPJE&1>9cF9>whUj=A&9ppyg7`&dJpJQjf}8KItCX`xd}NSr-ALEaUdLb7o?xKH8U z%v(GTVOyN@{vu79qQ3|}w*%ze33y5?&K-wu^DdB}QSojMgjC_vd{gn{dh*9q*n;!V5 zdrB3?Gc}WUZ4NnYBB5s5{rqH3d{{h^>9y}U=)@2r@=gHXCgUj>=wucb_ zGru#tM$(3Mr{dW(fS3lEL*pZa7}N2k`OR1ch$343CjGN82Yz7iO|u+^^Xsp0gV3h^ zg9DaT7{gVMK86sGrLiUo&2CPVfb)Y+csKDo$VQ)b7I|=gP(<+6;Gby@! z?%ln7+$Uy@e{T1vVh;*M-Cjju-GoPQcl5#u})91*4m7rbP2 z`RGH5!|}z#dqyg@yV}IjmX951BWTeP4WOq~nAC&AP%jtM^2h}M|BJA2{rMlf&V#pm zAZB-c{QLAegb8PsXhGV|4pwqK8VbtkASe~SIwQfCn#1nB6AB5xMSn{2Hh{&z*EPF~ zcgs@}+Rm}mch?@^cfm<@jIV#=5Y6#YHggh*z+8zrTD96$UsGm1(^r*cN}-*77Lkx} zcGs%!IWJTh`1swj?ZfxkM*ddHI~3MY!W^-PwTCDr$8mvwypT{c(co`AEt!MSm2JE@ zF~bgCT6@HeJkp9?J3s6UuCIaiSa<< z9{NY}<%!vNA3R&bRbx4e+iEUd|IGQ)iEACi%vvQoArjr6U;g_gRvB?}+}21;J|rO` z9!mPT1%=s9AyuzG^uvo;(V)9Q^lW|UO|sILzXe*pV!NL%g;>UXTO9 zu04zrsc5l+59Jk*UbyIow2`Qht#o3OxJGTDwwnGe76l?4P|rbk@%KJ$^X|Z?cWKKr z+8EawAVdFnXZn=n-}=KTu#eU-5Efw+-a}p0YSxt#-sozJ^S_W32&o z#XJpFuW!qGEy)K0RP+4^16g+oMvFr?iAr*E-i9oaybOqL9(n9np=|7|hVKQD7Faz% zYAPu7_3a^G?ABJS)S`Wazn((%f*6I;l7A(vw>tLlAn3n-Fdw3kIx#%y`Rc3+4GWCd zH;XeeT$8UB6_W;i2oCXSgfiTvq?5IVWoJrFjxQR>bb8fh^|jvE*%?(fx6(3m|1KrZ z8cI#acJp99;^e|Fk@FuEsHbhW$f)v?yWapZ+m4c=`!qRB@^vM-RTT315-i*ykUK+{&dH^ z8~s11ZvSNKluG;e;~dImHea>xj*Gzyw+f5Qb^BHri;cj4QJRAf@g~l7S3{?@0*5G| zrs|7Pkc8O|v$Nth8m zY0ToJ4%oobBOtrdj;SCTxOkB2c@`8^AMzbPG7~A?QL=FZz;Jt>BPKke4iXR(-wt#M zxB6G${PBMZ!Ijj`XpdFVa#%iFdj2b|E2|NQ)au*vWl;!_QuXEV&d_5`;Nom;5Lvl< z+pzX%vjHb?2ub3NPsH=5IYn?0&9Ut25Se3fS5`T*-Tu#~#e|Wy zrH=Lnbp1(hB&z~@hs?E9a>ynYO+25tSvlnDw-ec^KG&Ljz_aW_pwcXNXHzofS>L~U zjK8SD4geXY=wxcjq4YKhoArIaaf4E#9O%<*5*&#QZYlNOk>X*Gy`I0VA2Ixrf_q&s zlsVq!KND?40FOWh`8zW7##OL62c)%hWbDrF4!`UW6WFwA3OJe<`k9P~$bv<8fpD?rf%;z*e{>7^g$`vdPcp%;=dnz$f67rbYz|BG@sM; z#Our>Zud6_htMJ|5W5dMoN4X}58iCLPw{4ZvHmF2eqC<91cgh>d9iG+fClXKb*n_H zV|MD{LOP4$2uD0Il~n7-zmg4{4g>&B>!2_Cg zbJ@l?ga;uW6mbVDQZ8gwuu;5J9tW9!qZ9qz76RNVpb7oW)w`dfCyMG-0zdhD=Vviv z_Rr3-M`iWrzf>rnluPAYmxzBJHEPBbhU_}vGk*LLT%u=u{W641*X``?J4t6dP&k`1 znKM#=CnL|xTuq^s(3tcGu3WKC(R_~GX8X_v^dhDFy)u->_@A746^bKTA7+ov#;yS{ zY9=)|$0bZsij+g!Na(QB2jO#|@uFI=l5$YvKac{R_TqvVmdoAqi73xhWY|(PWH``0 z@w5vhFZ1eBFRI&s=TjWtR28OJ37Qu_a#GQ7Nw#ZyYI{C8E2>nI(+yk*&i4Q-E?6!r zaHTfiIK?MB3s}TwkEk4i$AHxcoH{4L=ZZ4&>oZOlU&2F%t?QOW!%}0YUc}w@F$$je z^zf_d6Ol)seE78lt$g{q%>O0a*=V9K%jgNiziT1idk`EMpfKjGULNiIcdnghH*pmV zt5))Q)KzmMP?M}Q&|Gyp=L^?EF7U+P%{-LuP;mLyYJ6wS5@;1uk_z*qOsyYA?%txD zsIT-^E@ylE%l8s5e*d%60+Ws>@Fa$L*OqFfj(-kxI`SsV|bww>x5!Z{R8>+n)t)jg%seHs(W_3;|m|BgUK zWXg?Y@iKyvYx0=k=KTE;pI;*QFWuwxU?m{i9T{<;&P`_+3Dz^UzECC(+{B$2`r@x{tgd%^D?oWBXXu8ajQaCg>z=KxAHP0WSQWb(!z>Qr-f5}+1j_V2gU#GMnn)35Kb{}+aYjsBn7G*+m-b> z?fVN$40G~2xf9o_1MThC!bTfTvS8EM(DW~r?gw01m>2(^NQN~Fm?H_!^JLUoaicUc z08p$O$=X=wUi)c*WTfWk1gSMkDw6yB<9>H3OBdN3CmOm!PM)|Rf8H%K(uG-C2x8`3 zr)fEO;|4XqJmRLKCI>OIUYpPYA$WoRdD^A(FTweQVxc~GTOiy84=WO@bl;xq^i4qg zra|Km;_p1SIvVf3?wF4BoAfV>IrUvSKRLKpjr8`uEB%^5DhB8)F+WbPr%b)Fh9cnH}GxdHQuiG-vD@JVpH7*q)?59 zp=9B{Zb>v0b#@nJt6miEuh%o!{111wAJRdso*w>g3(ZA*r_Xl<;cMVnS>;CRPwy7F zL6xu>TP&5QLwt2#O zXDUbQ8Nqsr9grj4eV*W*=-<2_q{5{rP-xvvahy+E*pB!LKAjKY4}`60Bvtq7mkWZ}^?X!yXsrMPX@#wKi`DUp$5 zPLjIIsJ{Qw;n&syk$v!P+QAKvyPXeE(VSG1d+c~(u?T`&p4T(V%=?i`3)<9qo0ra; z^76Uy-RKmhmzjCKepR~Um1Q`Q4n!(|g2a5Eo1g!>CCOpW<&JqEULtjC_L>pPK?2?ng5Nv}Qs2KPl3@QS!5 zV$KsLxe$4X5l~ZtV&lY!;8(AZ3B}Y*>atBC^{^k{tJnPpz>YBE z1rr#zC8xLOq(ljc>LC>d(2x6oMy|(BJi{xAWM9^YpF9nK@kq2ezEO)KO2d>Rv`pwO zkfck=OkSr%icL9}5jn-0w|D?3?>zLZT}93KvW_$_olESI^x|_d-Y#r;#$w7!>n}nk zFdj48v)ljKYr$9;fxUc7%Ax)6smdUiN}ffpzup78%uoM83IB)BAN^Oc`hWcaZu02= z_45DttN;J|AB3L`DJ(=$y}3T$3pdkpq3s=EFuFxIdwO`etHA3Bte(B06-7oYF0>La zvJ}x~g@A_|tBfEr5`R#R!pef5U5~#M?6O;hrQ+D+jH{&YS8n|>_acS6;?4xm%{#o0 z%ST}Lb}M%-(eLG|C<29WoJRKblk}C;F3ye|LI4Euvxv+H#p z{Dno-?1U@DHiHJBCg5TIf}O2FH>lNT*FM4dn8m`sjm_ai9-Bd7&-kfCFN@7?2H0%{ zkpCMX7`le4NLxbv26?{OzOoGbz8Uj)@hfmhf2UKiFzx}yX0D^rJAu9Kg?o#~j>xwj zk*C9SyH9^bojeG!<=(Z%8_LEM@)ky+f{Q4?r3(Zx4`uV(p;EMFk@uU9e-l~ozZ*^M zz^3&dCh%6ShU>n#A5KgDhrVED$WS&c>oSqG`I)(x_1mxmm&mobO7BCnVG@`RHv3BC zhykphs`;K@&_RMTsqo`+Ts%h+NHP7UoW0Y;FU1VbK7_rQSJ>$CZK>9Q( z00)rNu31`X@H42qB@PZhd=|VL47(;eaXz@)b)pv}&uBFbC~|+6BQpEKub1S*9(TO{ zx*0Ryv}#o0H2s-} z7b2Q~e$D>azjEq{Sa}Z?#=yKg7PzKrn8@I}z6##ap zZo5`LD-rJxzu|gpbQ}m?{xrAJ?Qjk_l{hL%0}n%?%<5)157;2#V;t>StqDXA<%3?D ztjjFLPps1Wr%lv|5O}1R>>o7zifyrKIAzeT3ZWwy-K68frPXul_63^>EXk*esJBz( zt8e!#v)4XdV_5zTz~(eK_q+#xDa@{hWvrmd8fr3;Q1R@&g97t>!a!KR;_4*blW(+2 zFFuC4QL2WBv&qG{cz=@5)|_L0EX^Yh-DT{9i|92~CWOu6l7KBgX${iy-rA?pb4*)q znxG@#jfUwKY;lg`3;@0pzm`wfZFNpoK0BSddL3IcFJ3;Nb;O@;zoUM>SM?bioE5h@ zmucJ~Pj@n^GZqWn(k%L20@I=Py8dZoQT=idhXbXvS8i#zzV<=3t5JH0N2(qiOU(BM zXQ~JzMZ_aKq1|FqBK9;+#c+1$8daP{j(;W-&yll?^{lUU#{ERgwfRF#FNbP^o^&PGy4Gn^9LKVCY8G;K=Qwz^e&1@}O=CJj7 zJDy|~OhHe1!GUgorRz)C%Qdqceap=mY>Eyoc>r=`_3X2V1(?l|kJ|=$P97wbIrP4{ zUdO4T}wQi}EtaDz!-u~3O`=d-w`ZRfadHVg95GE*kZvMlY5|+ z@&YCgM(y+Q@*HK1pss01YxM#=Y0g73T#LtQb;n#b?Ew~iak*nhHdPP+pId8|S1%*6 z>)6>|4JzI{u0*pd#NQk%%V|bJ=Me;iDTAHknnsl8<}29g3uhc`_Y>T$^}y355dz5; zf|Bn|3p0_g8ip|J<`0&hnbgIBg1%3!zYlcFf4p&eR%$k+JL{Yui1!C>>}^=!((q}@ zpK%E6IAFIBA|>uRfeRST?>!gsD@zXX@DgFiY)R4 zV@wCxRvyDl8{d?4bgT%d+OF+jcwfenqwX)C4$ptlk;MVao)_SQSh_1i$0HVkzj6ju zE^9GMf4ylAa}5+H&qDqdJeC%d2L%bqgOMpnTj>-GHs>BqNDijapspI!OR_i1N?7eD zl-)|)Iutc1^jCJx8Tc}Y1UAnT{A8-X9OypKHMpot5$NzxYsT<$!ZKXjeEYGfxx8HT zKogVbLaMTzvaU*Fq0?o@C$xfm=U*O6HUxgzR_FFV_)QKfGLkB!unF#X!XEK3{@u*z zqe=;!`LKV_5;sY;%zp9kJnKh=V!MNsHCU|=Ph8ZVM}3kw>W7?$19Mtkje$>%c3(;?}IY|hq!UsR7Ui_<{uVyN+4@F;N4Z!c9& zvQ{Sr+kJ1UUWm^Us8rz*n{!Zg3X7J;GG!$B{TIh6IN5t-S?9_U9Cgvs+AThfup!e&oR;GfWxABe6dfCik?J}jlvm} zDoPRL8xtZIVWM`X6i{*Kw|&Pz1dQ#@?)nn=($w%y^PwMCE1L)qi@I0)yoYBrFpL19qrM7o5sOAt{ zRQ+hqgQEu=>)#srV8qKBbiM&s=ayBAL+QP|&E8~Se~I=iE*wyFGmvI6^Xi2znyreA z5eGlRYKXB1hpe$dqT)pA(Jg*a{q7SUVaM{*aKX~N&RuDSQrE}roLS$^ua_>2&BB@= zVP!KnM%-2o-|xSeD%lU;(5@!X)%yX!RUU}-tKJ19b$M!4@R8n;c|SWnm-JZeZ#yUK zs&_a`P`dC+qT^#7vFhK;AS6zogsQeR(MsR*XzzJJekPu2pird6|H*6o9_z*oCUwr# z!<@~`Ypz+d-Yy4See^$SdlP7?ySL%rl+06RGS7;0j&lyjSjd=}C`0CuDN{(kW+^f| zBuU1|ka-Se=qMqX37Lm7*Ae+|_w#$7^}F5w_j%rDt@pL8<=AJ>dw=(L?`vPz=fVYx zZPo7KaxeA_iX;=^KLR<=S_idYW@0&^x}VFVDr}j?th;E`oEgn z|2#A3|7K(U=f(Fy|NE{1(xgKNo{@=U?o}*@)}n_@%+gdqL~=oiA{Z_>@NjV9bXY&`-O9LKWY0l@eDyJ7Q9v&%Uvf}_omIJqsYz-R zeb1O4!bYBkNT8+#vJlq?J|Xr5CNW|Of>q)y zKPL$P3@P2co5$f~4PaZoPNczya-Y1VdPDFzXhgzI3p=P!z4g#D$`Lc8(s!wEllKG9 zw%ia{MuGK_UT-Nl?WcVDs{M63{I0T04Oa5wj5pT;|GUax<=njw^8G(>wZ$I&e&m!e zhIiTwUn0c(`DU*HoNQlqUZVNOKcpy*k9edKoj+0g6TN*=ix4sD0}_gH%t zU={!OCk^lAz5n%LV6aU`QGTFG@Ae#QYSsn*@1xF`}R@}V{>$ayN$G2}coh>}P zIqGJ?n>^K0n@O~~irZsmOLMEnN?1_Ac`# z@_Aci7IQ9VUx^n<{TGFEFM)Z?we5k;LXWA{*(PdYUzhtT) z53Ia|qwC71gu+{7?)^SHASMJ@&Wo$x_{4toW zvk^RG!&gO+BE}Nw?|dF%$x4Tq7MXp`^P)P@;ESr;MoAUI zPsNnK{vIv&OtUOD(8nc@B4!F$@v3GWK9a3z%>j5WhU=pNNG7)H8l|RU0&ELf!~^0` zVxlkmjRz@rXH?YQpPg_ZE0>QQ6U%0;DQ;y#eBxzNo+ZUU3Rd#jZ=a&on^}@qRZb>d^G``{-bC^@lb37ZgW~i?H#Ftha z0Zg9M-OUO~`-6fZkWFK|1_Mz0Dor>t<)jthVzd!Zb56N%u*U)H zy1+$QxQzhdM=$jJa$?8)fKfsT(8F)x8 zK&T-5vRzPR-3IYdK1jNnF!DlHAP;WqDHlI~q^#Q7GRtw+!+r=)5!0ZA z++0^2%H0@^ytf^*GNEfkF-wJ;WsOd-5a4c|=vOZ{=@A6V#$qWpTgp{tl0keYK$I3oSh(GIuxGru=~}i^kPQ>fWN{FX+DIRMT89v$ZqR zhJ}{aNF+O%*RZ(QgDtm?3z{vt@#@|{RVZiRl8c&F?$rTT@{f!BYlV#@FM=Yvauc>b z*+xq2KWR*(L19)*oQnD2;7rxShRnfa#;?~Px9K_6emDxbWew&S2SWH)RJ@8iQ7Qez z)*31#0Hl#4VTZM-T59I)M&LF=G_AEI^MPrAA%~$;Dertt95TWql2 z7S)RhCi(acJE|EPO&3bAZa9I+GmtG_Uo<^ z(dUaxxmUQGtVAP}^hIt_=g7JRq|_1%-C4jt@OOfExdk5?39p-vN?nht-31bVMX$8t zwN3zt^1Y}oP;68k3a$`%a|wTPHlKs#lveLsD+2!B`AUAn7aMi%(MsQx?uVnkMG=(n zg*JYeD|aLG+J&Hm#K9t2hsC=}aa&LyISR&T5a?&q@c{}l`Q}nh$ zQJI@!(sv!BwD53ahaLLIT{n59D?S~d%hKPR(W)TVD47n%|2YXtVVA-I{E2;2CvGFjtRt-}y4 zTSI4I%H149@WUW^~~Ys(A1as2ikf74YLfOA^w-=c4_@Sc6n z6YuM)+2`UPMvVgw;QKff1g zN2+)5d@B$fCw*I_9uNI+2wAw+8c1!YAyPKYL13XR9=Ru}NDx`+Z2~}?>8&nt%C>bt z?sWYw_ zV7PaFwh1;w9ykN$Xho0t@j^-RGS_I&HU03SKz2?M!+tXPXA!EH8$;sMv_k9v?zUDAg5pk!v+M(21oxqh(aWc}t}ip03zLHO%}k`WQZHYMNIGk@_mO zmxLqcfC(4mD%@=*elfh3&TvNF*+U=V% zEn$0EC6YVyqUnP0X?8*TOqrFrj~9^Mi8HL%{n%CujSDOHk__Z_be z+gKp^g*dIH0sZUdQ3e588t#B)zm7l~_V-MW58rO03KeXzM=~n+E}Zb+UNL`Um53xg z8+93auAveh4ydIr8q64JZGc+vmZDfN;gH-BL!)y31 ztcXKa{^VrwA>NpSk8l}mi9({M8-z&Z&C|hRr@MM*Z@OwBWMV^vcsu$VzWe+2Wx2Kf z$2V@maF2d(5DWrqC+J?gDl(p^T~91L=+NFg>QfQBwZ0BpI^K&cQE1EY&r{jX%3o`G zL^0W}kI75@=f4xsg5wzLrmIcVbSt}Vn(nwqEvIKwmIF`r+Nx;(wFVCr;6_|AQoGS} z==ch31}_qp_xN%V_0kR-sXVtsLe-wWyRAr1-s{&%y8JU-U66SF>M^q{vB8Mt@^6>h z8O%trq89~MDu-OnpUP`hqCTdVx-<#3hP0H~OM=77;hBl>H$->1(rN^hFyVksA5D z$uKu^b7N(dJP7qLDX7frQ{X$phOPPcWfvHe~`<^9$!Iwvw1@^CQeS9%rhYsG=lFD#f<))LAr)-k{n>Ywx}G&e!en z)6&h-?ns&H0B5%p_pHn0*deQCjoD0;R$^tx)(`nl6p$$Q7BBNF1KBeRvAl$LwE+mT zKVAhV5_&n3sQbs@d0L0_P1bs%27loj+H=fr)w2lLyi}VdR=x%suc=d6m|FDD&C{9c zU=7+aeq%E*WB)D{RAgMivHU~phCk6iA0I8L&!=&hpD3T}&il_j{|(5?x5=7+W(bj+wa1M=tKaUf;I?AONg(OS1@qvZeOnhqcwTzdBQ z^VrWQ8jLCgEJY{HjQ+HW;(C}86rw!VSGSl8WeXQ9pnpxC(NAB?x;mC%5avZ5K6&qO zI>z}LS%OrK)@MD2Atr#1CIe_*Hlwa@) z_DplBCBb$FZZlTi`ubDA;L&Zbbw2wuxl^;p`B*eiBcq7!f)3e>`BUP1mXosIq#BPw zKX2N6bV+@44lgp~&=alc<%LnD78{jjV7|Y85Ygo&$=_?r6|Vv$`U)`%-R1Ds>hE-2 z2_chlx!T9S-FRN^F_-~aSpfT47ig2B4NcAvMS9jbg>hUWxn#H9&4-i7_2_r`C&AZL zyZN$oMl!$V7vH_lZXp-0+8JPMI>ysO{z>U&)$X!g3f3p4n;_LA>on3hxBd ztQ1wlN{z&@)S6j?o^?3}gCWC_MVBKDN67W(qVC_8?C6yv-_!0KBz00omC+9#ZYipGNS)QUqZS;pQsOUMG@iq2pq;b1HPOtq^4&WFKzGk;Yeexmx_PTR zbr9U3{NCTu;@H>+=Sp83hnD;~aF}}1a#Q-CbjeVM#qVZEfsu#e<^VG=ogZom()`J# zT@wvqo1qW3JM?M31Zf=X41Jw*G;$d?8_7a)M#}YNyP*S8pFS-`v2`>JHtB4a&>x!` z%GNJ$Jj*>ATupmXO?eOPu zti-2+!_(NKYk4>Km(h#-fX(_gqciATqE@*;i?Qy{HCSH}HMQn5G7K_S1F#G1hg`O= zzZxEnH(a6CF)2unXJi^R*-bLgw@G{{SesoNIqkQ=zn!scLj4waZjTJK5hJe6(q`p? z$V-m5?%%(~X=u^#XcD}TC>I#mM7yc@^NROZ7hndmcmika{IrUnqqkSvyNEM|{oy2j zM@2!iaG4to8^$Gcg4+h17;746U-uyrsnjufS(LN>kHAwyuzi;!XA=}L)fi8uHOi++ ze^q#f@X@sxDbrWF(igd+b2XS=c^pwdRFZzd$bD`}<3RiGCLox zi&4@7=8Y0y0r{>Vyu_HN^v0hcnpDZ3D`m*4k;E%l{>Yr9c5jaS>Zl-Y$ZS8!J&`M@{lE^#|Xk5Xxmc4!Q`VkH?fmO>A z97vkSX~0z?T;ss<(Rfze<4&?y%G=?2^lN$9te}*ewXBbGhGzt#XnHEMlLm$)KD#!W z&j0%E3a4}N8qAK8ek&+r{`ix6UbG?cjoiukn(7bggVo^dJJbrPK5etJI4o|gm-+c&1w7PLPzbb^b##*kIR{G>ElB&X@) zU^R-Jol_aF!B%OhM#%nsT!R0%Xx4Xp5pUcMS^wSd^e1|0_pz6wLLhOt^?X4Y3^X8$ zcR6&xm6f}+B`Bj>d;7)BH;IJxXfc?O1u>OVraw^71HUh+e?m|o<8d%jqKT>N+n z%PTsB^>%MEkUbU_SE^}B;f%KW-vWDr^t2TR1ufS4y&>bzevXM0$-7a{%8i%)EPO^%-;qd&d@I8K~zDw->ly43P0P~(%DEoF%efJ z#vev+3^V5fqtIw&{8j{2&97#HHZPmru&sJ}Ir8Q+A09EN0j2a!QwQ+GjC9`|M0atcTWz z*y!`C2!B_}fb|{Nk<;7hwD*gI~t`_Ss1O={5~>$YrEln$l<}< ztLo`m4r+xUxwXSOg~99bB3*>?xnaML{i;5dCDgW7(HdFfQLfgT)`dj;{ zu_~QR$&z&R&;2`EMLzu~Qj)d=T5tK+MN^vKcrtE#BMKF@Elc1toYY-5K>QDG7s`Iv zC&^|T`9S#~S*#n02^!4s73%2hE+~E|dZBFmk%>W`f4)=YyP&)*S~sS?%)@D-jBtjo zOBjlmx-aP}w<+90wgjwO)NGQr$phU~!s@8HMwmhbaskbM6*&|rSm^-NUFceNdAFiU zS&T)zT(P{_0}g;o0O(xd#HG?uCa@#>*|VAD*8H2;Ghl~4;jFtH^})b4-iO0^E(A_- zquTBqabl^_FjdaRiRbY5;6BWRJ6dlveYtSZq{q0BRjNV0wO2o{hD9>Xk9R^MS>#8b zcsf#R*a4e(l%l)tgq_{wpxCFT39J{{B_za?p5^`0%eYT27&c~pD+l@+udLJ83Z>9n zUR6x;@JvYFAI?Bg*e~1gBD9L>u0-AQ0cOMUiPHX!sSnaerU@`^(1zX8>< zUvyV2Aun;>xz=g{iXV@Ij(gN70A?z2tr@}WqJfkBQQOiR!On0!#ZpaH(-&uT`FXII zHjh!(xaq!zi61scBOmNwv7x+yEr1Vbu&t#g238j)_DvJjtm*u|$dRHguJ)9T?@|C% zad91k7ot&|k7Tgm(FvWsz&PEIc9aMFg*Qn$v+6c`Ll=WDMka3Ii-1%}hDA@-IZK49 zIg36y9u_%3@#Dr>6|+!V;os$Q^yypNLT{c&WV?(%eB*tdI4|LA4ozFXoGG#VQBZ`3 zOdH$AEXnM?i%}ze(o64IQ(uOxbBTs-b8^9+X0_fGE;_=8UmKWx+Ydy!Fa8;47J28N z`ZUbZ`zu5m1QAd;C@QxIs~fTFDf^c}+rq(~e8Jy@2s1|)ZgJZ$*+M)Y%oHE4Ai&SL5^Z4yhtCgYiq{e53fS+LpE=31R*$KyBFy=-mJ1E54xNOv5j#W+ z{JXXePsE6LJsX-wzbTUy^NDarmgO&qoX?JTG86*N2Y`!kqOY=mcz~ct0-j)&idA%= zQtknfi~J_z{?bj}`RvpKy|Pjy*9#Gb+U3PYgKUkXxf+1JWoIg4*qElKzm8r_sa?;Q zd9{=aCe`fda4&E4>JU0lA8TWBVH6MoO37<=HRD}1jBVE#7l3$YEd>Z|cLrA~c@1I? zIb1X%V%8DcillYY%ygpWDV9-`5U*L_t-9V(oBly<%czcOfXb78Y$b*Vh9deByt8Wz2{BbA){s&PHIU0jP7<(B7o_Oi*+2$w;hUHFK)6$aa1Y;8;v>~y+*Kqn^>%5Vj`{zY@k|wgCnk_W$NleN1P<>0OSV! zqOj73Ic zk~ezQbD{)L(kX>s9a6{v2-P)LA5e@E4a47sQ|Bl9iJ~;@#V!=|v!}W^U^DiD1kT%G zh8&csV`MTU@eke_hkaCkAX3nHi&E;S?+Z}jz9;Lid3K|DzXQ6``7Noi>Yz`76TvL0 zFTrw0`7K$G$3<=%M-r9#9~3HH9Dtv;oRalu^~%)QHq$;&SqTlp+d6&hhJ+SQQj*+Z zm(+SqOB1=0Chs~P{NmTEt6aB$@9^Jd5!@!egD@ORa9U4VQ_s=Z7)>=OMDSSzbYuWG z9Jze+=OnjW)!uHkSWXM8R0jC$uT~m@oJx`aJ~Z_~FbJT`L@4G@x;4L*RPidg_5%ZtD}{k-T~N7ID2 z4|Y`_TN-n%T3lS>Hx%!dxSaw6gj~CIW!-jz*hYj%TE2lq1dqD&^|BpMb~Do$t^*^EVQsi|11 zt?<;7G8SK%`!j#2`(rZb=MV3#G7zxQcI0qEYb=8j_Kf>(W-r_Pd#IzMAYpt~%7BLg zWkXPEu%!ljs%&WYb-&<_<=2t3##knlpEtvd4 zf=IW4bqEO;qR%AMDK~5%u#y;M98HIvw~7|XLalNuvM65(ZBabB@NFunR&b4Rhv+F3 zc2lv_K+bRW=q_jHn%Jvo5_!@nFMP{wI`93yvI!9+_- z&!}n0!TLd563;EQJQ#wTH^_;A>EY8-#+YHs4kLIfhh9|F;jek^OGO37_H)H62>9%T zY<`t8{y~wDqaiSBxp6oWuV*W?N}mmdXzUfLSd!*abCR*8TB-$pspuWDYM^ovAIXZ? z2gLd@%P52-HBF_M8&;ES(kJ3w#f9vA*NfZ+D!6zW+@_px_Y(hhs2GvJPJzS>II`uX zrFpHx_=xL9EVo5^_Zuluz3TaNZ-P~C4G8LYg=i)t97&1BtEzht;DWDO6Vhi(wbpMh zo{Rj|cSSb;N}5WRc4MM~-)k{4KPq*bAaJd&NrTD*{2He5Bz^4bo`TpgiuY8#m3xCb zzCjPpP@!;*8-*m-Dt=9^%cho&^aU7|>MNbO@>1Px_HY&9vlFpeT_XXsHcQgM9YY(F zfAWH7MgDS5>e$ZLGP(0(1CTE3$wGd9HIR_r`?6-?A^n&+ zen6=wO#4pC=e?@RNK2WJT(6Hkw$F{mBVFMl@2Pi1&1wzKbh!r`9PF5;7tqV>Zk%~q zR2|x7EF5D+?(Sq9cCqErh?QS+8RIWJtfE{D zcxM0vd2eK0>X1Xa*KKD1^SRG+)Ck!U|78i_CcHxH$;FsY>N;#^m>zPDrXcPGa3s70)|CXY`e#Hm~8Rq;YO-eg_<%jYO5mIdS`Oacu~IqP$u?7)&dgt z&ChXSMSu`Afrf}v*nhdug#|r$p)&byDVGKV>;B>=!u@fpXi5Qyu}8bu+RER~=q65X zx@Z<+cys;sN1U5>u0Wqc<#N=#6e3-tw<1IH=Jo^h&>#MTmG<9gJpEls=wJFzhsPV1 z*F89|(4~!R1>W6=8@V$_OcdsMu8i)x6v^d|1yvdn#y(FH-p3)A1T1(%>fdE~#%Nne zS`d+wZxQHe$n!+_E6azFFeqz;ydzc$@vtbUwLmFMG)-Uc)*tLCUcnbU_GoNsEW9;! zO5f?f)Ia*Kbd&!3?_N?dl8TLrPH>6nbskGoP^y@4Ah15EkHoIJ#`l==T6-YQw536x z8J^pZGh0kC1W=gwGm}3%2Xjq}elX9qFuqYHK_FWzm|y$YZ76WDozOMNL-LNMO@(AT zRldiRMnzX*Wn&PD>qA1}FOM_u>8lCD9cI+@Hsud##=NDn9NNA+sWoNhG*>6zb@woCA*TWZiv9%1}q<^)9l7MN}YbTzY5NDNKHk$3b?{ zM|VEgUV152yZcWdQ$VS1VgOcSE38b`aeKh$M+r-VZF(YWeuD13_~~f4ks4NFk%3`3 z!*)+KHJ7GBw^)NB#E3xa*7?u`b?AO*`(ROvU{gdavrC{t%CgCAam&sC>NtqmkAtM3 zc85ad`aOqp-wev+bAI~XH!TOsP&kn(rqA}5M3Es@4n_4ocMK(%tJ+w#)PjRZb<>0& z^EUk|ad+KkOnqpg$*byd-fmn}jG*iV@NGfYQoHZRUBPiXIT1Ipl7Vp5TDDG3?5m$7 zBHqGlv{DsbCoY!d#vxlmmr5YID8*(In`Pqy(wMsP2c-rx8-}{YOeP|6-z#_cYn-mk zM_eLeyGq-qJfV7PR>2hWgo@ZqU+sNpy3n~NDPtx~GM^Unc*5N-tK}y_<7PAiy#y1w z&hMERMWunyJVPhBvxbN@9ktH6!jFhcdQkg4X>1p^*X~a^i-i9z@2z(SI7L+1>f)CW z8?y%`+ty9L+$lnEox<-+63#wrW?WF{U3<%myp+O&5)sJSzcBI0*Onp0g|Vky+V@t^ zT?aC6KJG78jiiL%|NLBYg2J!TQDyi01Qk^bf=D8JyFq$Y-9(NiKx zzj9NFL^%4*{fI2phKS1rLQ=ac=fMTbIp+S}nO{QZvg6xWUE_|n5YSU#c6!Y(Bn~b9 zoUi?svDh`i$bhpOWsHU!4j*PFq-XZ0P4<4!fjh|inYz)niQLcBRLAgSd(j)ptU=#o z)6?{X_!A$k!*Sz;MDX9R#{LkX-cyH#sf&7*7 z-mBF6iuGqIIy^UTvFz@uL&3FPz^*0zc$w5Eb9+j6>%-gU5XByF^uw}0Sl(SSAr!LS z{bD-v40`my7)rytl)xgx2}p+1JTEut!}_! zBW~ij8Ma$H3=IxeaO9YaaOZr_=R$DPTNRKt3ho+Y17@GDTAD_#HP1H*Ovk*HY{A>z zplZrRe#`(!ZLpz&1@@5pB~o9={S_(b*B0Jg(#1RN*0H>{wvWf<3yUv4Dxop0_%re8 zt;>TW-=O2H+e{3wt4~ro(mw+fH9J3N$N+Bdme4b3^6urRQlKf{+M&fc>dBYcXBTW7 z&sh6M;owKcrJqShtu*0QGq0@)?Saj^jSZ8F_U-t&qHlo8heL7Oq@L5oeKm=U@|3U0 z5m~1c6s!BJCnw6E`>3^_D%iE-TDnx=;WOS+ zo*EBb-NwB5pq_3<7~<;^0{4gv)<4T;1WU1#Rb&40wYIr@%?go}9&D}f;XUyq9nF@H zhjCTg-Fg$fpuJ?&ISxuyB~Ohrhc=aNCJH8c-NrviQD^@s%01R99uI`|d}hA(OZ=;_ zl{EBXZhuo&diT`pAbY5CBowIf#cZYrkwEshb%a!F@~|*8`3w17t;@q!E{F#r_LK`_ zSt_efN6>78dMj2Y9!~=maWhIkwB0_b`(xtcJvXIXg04Em4+iYn&<69_5Nf_xIM?6b z0v%=7nv}_)n8?kJEw3L}2)=vvL7j4X>QzcFcb~DxjV!aV8}WiMG=k<`;?l2dk0PN& z!hHM9(zS+SyTLO^`*x_#{y+E^1f{Lhb;As<$;aoYJNT}`e7EH zgzI7wol(0oWHbEi4a1`T#d9CcM=o(ezmEj#LxT;)+LYAZ3f@@b#NRnPUzz?;%YG~3 zD2IZHJpH9z<@6kPAnXEy`%LDCtlKt3d9OPX3|<}cF@wvZ+a9-dNVCU>`4+0qMj)g6UzV#h@M%M+W<*LcuNL{i9p0P{KnOU+{9}B`(+6WFRRMUM6PdHNB|K zq>QbY(r4~ZUnFdVF_W6{Mp<1;6|2y7Hs))>Qb|QcWN@S&@PcLX8B3;6uBzm-^1+a= zQ?MkGp>vV5pUbo)!wm@Qo3CGH&mT8$SVa!Us*5sd>7BJsPVUbfGP%Nf14C%*tb)+N)L zSb)D7$)_F9;=X0=4%Z*`=3T9l-{eLtT_gKm`j=Tc*b6iL`)<8WqPI=SCv?BPZpV|Z zR|xn!h4C0tNE#c%5j+Sf><26zE0GiiyNIQWrIkMUjz>!BuP8`(U1&O;6(J!CJCi}T zMud>Tetbgrl~B5_G?VW8TP(L7Ju^1Jib0_6%T4;vqS(xv^s;qxG4zze*rFKlQTR3e zBW^+%mdB2s=|AGF_tPhEV=Mc?m$jSp>zA;X3m7nU6L5xS7qB^S2D!RwD~8`b;s^{3 z35UawH()Sf@GHtAspDah7BEN5I6%zNWl;%q5NkMFu1e~c6x|GhzKE#CH=y{Zz7FEN+Wq-CqF#y zJQOE?5i%Gl6zWtM1|tnS9S?&5wfsjs83g*I2mulq6bgpz`vMAsqy8%Y5(*=Qmib2l zj11;)VQ^4+DGV5=lb?T8>Ld(~{*MNsrBN{WUm0GOMxmwto){(tLt!w8za<7?pjBX0 z|1CohhK6ASMS;)W2kda2qoNmZvBnplA zd$*o+4IK0LSp$bjOCwKp^+`N1_E?&clc^_#Mq|H^f~g0?U=_ze2m&sRIQayW6aLXv zpeFFY&!Useq%o&^Kt@^yb-HCTGBD&nS|$Sr6ZhYT667a?kol`&V2J;1PD@Fh9wQkU z)TxK+Bryv8j|?#~|LiFYO!{;@3=D?)_cB2FV7h=M;Z!%n;RqD^REt481o~8q;Ru+_ z>7D^$NaX4K5GSes-V1OkBnMalkUNe8MGy=r>XP68c@vo-@`d_mjLj zI30#SNTW|R4uL=*VSn!#Q}Ff#?}Jlgj6i?|>K}rltD0oFrjpF|UXi8Q| delta 359962 zcmZU4by$?q*R3E5h)Q=VFf>Sah%^k{DIG&dH!muJL&K0mNp~}JsSHTR&@J6aH(va{ z-@V_x&;4(nXXbt8J$vo5*52o=UEQiY(W+c^`~;0Vd87=35=#qg?hc{j7J#8WrNey2 zBgiAjEtHJ!jQbj<^z=D!4dHPMEkJFi<40|GRlUXFgP${0%^0_WG!!usE?&n21qHb&xTn=5e8?0!9Mu{~b|Ya#P7 z8fmhCW#0%63=NZzI21qjsr49&Y7JAxSpv=9$6kL=_}m^0hiRssA#fpV~c%C-NDvN9E;^ zU%UV4<+2ZpT2X?>uYlnMq_EMagn*GkCq_|y@P}_ig)lRo&oXZ(z;xf<6d|FCDt_CqB0Z28-tDUfr%Z~|z%y*I{rs@S^Kz!Zdz{MK@rhxH z6RLLcy&hWUz#k{)E!&V`d~%amXdF9FvZ-fE9P}#h)gv_EKMC>hA0J1t`G}a>zuSxa zwpmc34Vu?z8vEMEcTMzjSqw|T?s^2XvU;~ROVW!5TviOm2CK?#^Y0_Y=95NFM`KK_ zKI91v=3+Za|37HPNr7F*9;@yad|N)WLPrh{ZohMX_L+=0LT%SP5h>X00C1pZ+sfoj zhU5@H6=hw^x8cLLo~?-W?V=xVLD)~lPZQVvjA8w0Qhsf2h)%wEnmyHv!E5(GnSb7Q^wN-ZTIOop)AyH?mGrIX4KuP*mArW~NY@LN+eq2`+rG50 z5kh@!{1v5HJIEK`zB_-BakyWDNVaDBp)t*@&6kaV$rGm{dMCN$AGrbl7I+JTv5~0r zc)Ey){+*vkljktA8#5l!!t^f1)C0CY>j4kgq%_7mT7ATN8?h#L?wX)#pLX3IBL*uc z;RFtk0|=bZ!wa`!S1eyWAn8y$ThbCYK=M@1vW%YGY9FhQlyDIAi>TKQ zVJ;xcBO-lG8N0%?I6LcMY_7{-c$zN`eeK#X@8PA4Twd%W{PhJC=&TCFeUL54?8vr* zj^yd%SCZ^K#k>BP<}qRxqoouvlmm)R)DlI8HSq4wd+YqHf7Fj4q#N z_e8#yXQud8zfWT2Ku@FAV|3F$`I_n%Q8lxBfAS0k$@(rBvz+Dksv%0Ljcpr^2^(L- zRodCmm%DiVy@s9PH7OsX`}aky)BXrzd=tV8l0V0?m69HFbj(;`CK6OXOI$v1`~t?G zPW3Wtu+=JFRd+;r)a*UrZp+A1pVi>aNJHeo6BR$y7;7W{~m4{^*MBXxmym zm0{Ln+y7D;)3!tt~| zfVbwUnmm;V`^V{&&Jg%zOEx@S$8wbrVX){m$_c6%P2Wp z*xM>}oa|%gmOS@pazoX9Y>=_zd+}dfS1L#M_ss&KTEMm6HiyyfJ~mSa!`0p8P5}93 z2~zl;Jvex1oF+D81w9zml|=Z}WvP^1ux%w0c4xe#aHzQWM8 zPy|wT@FcSJS8qf=P9&%01mNSABTpSNuWe}yG}vV<#BrOk3iP%EJml4qeTj=javQ}f z@PTf*prMuD`d;1pCUqn_h;@mx%~#nnYRgE|*#D6E*G@F~yUULlEM%DXL*L_hCS^Tm zR3%|*!`r}I%3NGoU;?@eF>nx8xs-p8}vuyNy zV0^%2K$R}Y&e?jsfH{V_{Be8ch=Nz*EcbP_AOBg@P~wM06*3{;TP&Nbp%^UBYAGO2|B zT{U4#>Mt+>-=wt9j5O1#uq;zFl)e<*56J2L^j7l9GETgU8TF3tnbJLrYDR!%&~v@9 zhsf(==o&jK)i?Uhm}*k^UwR=qnkZwQikGci*{ytMJ-C_}>H2Q?`h-mHrz-hTdd?7M zHCI%D?V-)jOYMe&7p=vST0X1TU6;lA2>r7%``Rico4~(aYODfv35JK^S1&|sJpkTH zBgeKl;rHwW5a1@$cFmc{JwBXpsc`<+a=7!yE`1DRNPO#`E3Vd@9!IfP$95=(5`8tX z-`ht;Im43Yk!29DU&XeTo_J~DGi$mL5MFXb&PZNu)iH6-ztqvk;49>--7|Ur015vX z=WOZx&U{qMZl-%`^mEhvwy^-mj)=SQj_i6~frp(s5HVG`K=`i)51D;PP{;zE1VcI@xmO;us=63@+4vA;WJi z#}qumC!+aW`aLT;v~2B}W;|_S$=}y35-<35ax=cb#MiVtUIF`#7B~^e7w3(Ju=mYJ znI}_jznMl8v%syv*YQhH89J5z3LAISx@--oUvD7}o!d=_VD24POGv+r>{N1cri|;* zP;_-svx+0zp{&!FgyPJ_b!!pY4RX0})4TIw7OLfiP$t)WH&Jny>vna24o1)z^SRxM z5J=+0Z5xBZ47iNe#-?#HWGQEP8TArhIVMR~ipQ$Gn}&}n#-QsALa-KOa_z-<&uExp zH1c8jV&94BWeNtQM>iR?NW|V~E8E|(!NIIM`NZ{Ea$J6kE=<+ze~CGuENufC48(W& z>_whBfZ|@t;P>dJK!VTM47CHFQ7LyO~yh))Hc!@AOpr(=-}SFG=^ zF6Pc2nF&~4$)O%QBk#(+LLak_m)I#A%sX6fXn8w@nbj^|Rfnqz-j5MBZ5~-^&!x8n z0%~^h)E^t~Qq+xjwsges`|D5}PAw{}X%|D387X!b3P}@7P&peGJj~aUYNFK(=1I{i?*e#kE07^bsXE$m?RChPDOKWJ;v2Zqu6=0<~ZhN?bSq&9#Q5qWt3=p$5n9Vm;3mvjTY#Pz)g=!rjRF7-I&=~ zp?(Fnn%z>0a*IAn5yn(2@MXtr?#xw0bzUA1ZlOck^V#Hu0bWb4mShS>4kIqlU_}xCeYjG zW7B&6NGp_)XqC@Zih?S)8;>MQ*D<#fgV}L&PuGB)U)9qT+UJmR2Ic~aU9aC(mn+{H zFf$wR+oFh1NGyB3M93Sv5~%F-rWU-akXlA#-V;uB64fSbBUQk+b`J_}HG)~}aQpHo zeyI(kgXh90bg`JXb)~M)FRR7M%JZMyTZ~NV-ppF{`kU}U7J4)6!>-?B`Mz_~^1Z6J zj6%LLL&X=IRS=k4yb1#1$0b^4f6h`O(n&AEfN`POzOV%y0GMs ztzrMi0CL|Nf6WQMJ5t-I!y|j?z|svWBP5)f&@MF;lL@Jyg2nO!=N8iA06*l!rUA z#%U*jFAT67Ty)02xqVaX$i(%gaLoa#@DV$Ni8t5Pm$6E81kKfkf>J4Ad^*6>KErSI<$ozKDW z{?)Eh(pOB%QKY+gTXCyl!|Y?84r6)wG;0UDZ$Xs}aY;1XN5WtwNE&Q?pz7Xm*E zThA3MV>P~a7eR`%LxGqo1Fhlu+td8l<_`0mmFDhG- z_5Tk8<$dcQU*2UrN+Ow5GkyQ20y4u;%@MgFh z00}RxZ}dd9LIW!27FR&!L^!1?d~;c!I^kgd-g}uk0|v*1Q8e4d&1)?1 zpf4!_?z)u>g&HCXUkzQ+sVPv6;d803!{>N?m~vFXLeS#(JlPo*kqr{<&cEv%eQ>IX z53LsQALx~2#!cBZohTy<5{95GOv2QFptPVN8;SB)MBvFmY)Xa{6@@Y>z5I*y+IQ== z-gFJIT{@?4vRYlwk?~4XIn0L&+@Qhg`Jmhni^=!ttyBBWO#umNnhmiRug!HRJ!)fB zhP@W%tM!9aPg@JM7(FVg2X%r#83LQrCYako>9^+}SZ#GXs(`PGBbQ_gZtDfW+G7tK ziR@jhToPhjuffB)}5 z*s!bvc=km-^`tYe>HZIK@6`jnZNtQXWFt26lU!F%r<`FCc zA4*#)YdYPt;XO3#$Fh!ri`#%tXn3LIp__`&1wE`=nAuCq%OOxPr|2mI`BLcjY4y{$ z6(FZx1^OH-Ci^<3_ZGX{)QTkg4DAyeNKA?Q18Bi`MH$juvw6BmNe&{>HR_%(zZR>% zVf|T3gM0*I^n)GWU3l%Ze62tX#o*aIYrT%6_JMCu=GRiNqac(|XAg)}guI4RaA#aJ zJBe|b?S9!~_^=Xh-7pIo*G_j|tv(Bd?cYZSdCO$?4pp5brPbMwqY2aeN#qqt3Vq9D zgT7#PduGr-VN~CAw#GHWFXuFG^sHl3viLS?zK`|}Cr*wOo-<#!yv=(c#oj-Q9s-Jr zsB~kXs~W@zfI~E==YR^u^~@!h`og6LtYoVn&e^y}CO*r6RFsB^ldPZ1PyJp^YN_W) z))P_hYRf64)bVSk_0F3Wm?~jtnIFn<;9FdjHEOAjxk6KtC^8W)=JdZvEV`oVf6(QW zP5Igk>eFUKR~?3fm(6gD`Nl{%lkvit!q?{z6@q^L^{!?-AZ&U;!7rJt43Rb-UIfz} zAL;s|tnMKIzkJU{UuU?CPEL3Ag{sCo<0*vBU0pDQ zAEE3@PjoACWNaV&)(V0sD#o*VY2JFVE}Cc^)o{JO}Ps~hG*^ta6O)A?T_PT14f{sca$Mysdfu7YG;(@L#pX6QVFEV!b__6eh0PEt6g>T<;OKUkYb_?5M zIZ}RXltW%Dr7WdX1@-TiUi!C~~ptsewXrpfPEVk-n6gp;VE9V$RcXEnN-SKPC!uKc=C>ouL$2?fDVUCpQ> z2+;5vEiP5RU6*dQcytT9UcZ1^IO822HAKco%z5}nc6Zn<#YZ8jyKOjv{KS%sDC3B+ z&1Z^Nw6LkK)XJ?Xj)B{9ielo#bm|#oY#pL%S=@`$FJ04JlBUYNn_IK@+>TUD;h#k9NyEf4NGMz*Pfo@PuDE8CNICHQ5awGv>IxeJ_e_2e(t5$=_afFG)Il;sCW; z>^*i7v#+$+E7#A_4NSTPtZb*J6*eiA`-?N)5%wc?GApS)%ju!ThSdy`G=CrBKiOp& z(Xs7)mcVdA6*#vjJWXV?x;bxP3F=p@lr@NToM3&;>ewzlgzJn2_&hF-aP+*XKH@1$7 zibzniG#OYBhrnk}Q+` zRjsPepxq0bP$-7UDQm&RwTu=oiF#qHEI(usi{8HFtRs4@3Yf56c@pKlx;B~Q3<<|0ONhwy#w|7s>5{VGLBG(mWwGW%OARg9cyJx$}VM3?` zY7|mlls>9Z&QsKi{@P}A?^-E%0%^so;CJh}x2+~&me&g>g{T>JMa z{ax79ie6RnI2kn|QgSEPJ18p|yPfz%-fvssSpu@A))cA&k3cq*j1wW(TMNmLn_!CM z#GFaJyp~nIgh|Y*vGbqY)__(q#}uB=tm;hJ|d<-q|_4X{RhU_CP$WkPC>_^cqbg_{-HId$`Cw6&ECTRw|FME^R zeN($b;*}Mz8iR}Iqgtv(eHC$H^8Ai9f4*9ZAE|<)Rq7lBI19G<;99zLnk<> zEj`E2j*%f0W86+sT&8#PZEBFM9U}N`@ZmqmJge2{W}lu_t!BQd2>*njLBB3wU#-j{ z9L9S5dZc7a+rm{Sab#_D3ua-6yHB=05dnot!}`IS?Pr}iPlnUket!R>m$%Z40}dBA z$qy6h5_{BvJR z#v_h~W(L#c)kAZrt>6tp18%bdmhbd-n)i)*Uh-z{>Xir6iN<)l?SA~kVSx2}-RZZBC!nboG^+mTmF_?}cenEKD( z2xfN4QJRR6OsGacVTGG0MmnOy?DYz}7rCwO$xX1rSy#e#DYPZ{ZW{{LQb_Z+BvNP0n_WKkuoK(2O`&H>d zFwZfHjCy(T^%K)l` zRokgr8Rk0EY$Sw$gQ|S}efyTef!9+AzVq9bk^6!h<((ojwGS@hySLvfG+oI){sL5U z#gv#!yh)<8ShC{j#X=*X_DuG}VxDMuz-z}3y;1n^fJl-z)~y@_khgRte9v$%ghTtZ zUpE=0XuTF`T`o*JeGzM$S$p`fW$Hr;NZam5%&7gveN zPu(9GT`PaqguYlyhj zdWaIOtH?~zH0sx!;LXm=h)u6~IjRP-3?-=EuqIK>I1tl!QZ#Srb4**LQm~!8IyWi5 z5YmP4ZCjQD`sLWin0N{)YR|_5NP1YrIzL(@2C!6FT-DVCU#LTI;La1$f`C>`XLCiqEM`RQ2!f#$y+!|c9G+9@65xo^E$Pf2jMaMnt*qKr9&*zbB@)>|s zuZY>J+NELdug8BO-*x17J+tV^e?s`j=hqX)Iad9TPy8pGIoq$g%Vjw_Y{2~)G_;9N zOyGy&rAxV)z6yheK#<$}agsJKb+FeBlEZtx?>dv$8oCh(Npo1<*lJHCUL46bu2S`M;b+AOL^g$yY4BOQhWW)ql9%er5=wv+tCzFl#6 z5B85x8mEYgF4jLyJx#}AK;znrdYgc&_`V1T5Ooe~;z+BZ`rDMju%->-OzGv*knu&P z{PH)6P%K59n)ZKe{6&^}9{+lnzO#7jDn$TmtzkUrB%Q>Qs$djFh$ujl*1CDlHY0yC z5yamq87CNl_Z#!JmXA9@ia@I6#A#UL}^cCDm@X|uK7U-+IUhdI-Mn#oyNa@PI88VT3b z*Whtt+^626p?#l&PBo6m^+N4XlyFA;B_OO9T(TZ`5yIn7RA?a9(A@i0ZBEw7`EEY@ zF7G_6SJl+IRmy{o1ZJS4eWovEzYcT_y0g!6iW<22;rP!|i2hyc$!iny&qDV|!-|BbqUh1zenYazoTzxAk3EzS@ zqc|II;d!bcwJt?L9TCAf&`75Q$4)CL{l>Ino{t}5V05^Or?kx&Af0cpKMTui zok`s>j}Kzf851m0`o_=r2iUyhaR6&REud(;|B(v;XB7J1gcPWSh;ci{*YlfyIf`WJ z3#uwLb}Rn^`grEqOLy2F6xQBz`2$CiVE9JYX1XhG#A910Y#Z0DccVw*h^bQe1X?Nv zznr97_KQf?h_%z_Z=`KK%^c2T`n|>|N{IZkTQ#Z~1dz}P+Vhg*W{VqX zC2~2Bo&;{oK%!Owi*j*{Ai>N8(cve(p}sl8i@KQ#0nr8FBNTsh+EIVIq7>*v)Kvs8 znQUx*Q`1F{#w~MuuTA8d7|qJUv<1%s(aupt z;fbjr=#xXaLM1=nzozksi)5&D%8h`|2_0K)vrNWKd5|&5^0#l{W*$FSth?K+M)3MF zE2d*pZD2P*JlB-lrMy>gXSPh~I%U+TL`{~?0Zj2#PG%5rQ^rLa5P{c?OsA2r>k14) zEzvxuOza3=ONvr*2DU{8rd0>AI;HB`{OOAGu@=Zz4miL5XcpfZJemg;K#TEW17-KV zLnii;zsn~Ja;m&O^y&l8o?H^su4_(N7)iJ${F2llQ;v?G4C2Xr2We67*X!*rs9uUT zD0NDisI&r-=VnmdM;$h=?28uejF}V%1hBSrHTAhD$lZ9f=|u zEVwN0yFALs=AyPA>M6t+U2ID>hhOe?D-fWj`V;Linqr@uUnzC1Gd^8uysHcSh2ZR? ziKU@T5pqU~L8Q?>Yet4cWcyqenU87B?*O&b=@u`d-u(b7OHbtLZ#5U!9gWpK`&?l0{nd1W+97 z(=LKF=XRvSCOte(cCG6MQHzzeTtSx^8gXw#Pi~%PxQtJT))kOrLS}ckB+h!4ruaJC zzi$omd#a*|GGECsgEq4HIhGr)GB8NY28PGsL$dbF8x0GnKTZ5kY)1_TT#VArD{jZv z<+oA8A}RzZ>*O}Ae>c98wKmp3D46Pwzv6^TT+R*VxafnbUD&7#(hS2hLN~`PJ;PU4o*4@vMdF;>xs1)Zfx1=r%v3LcZjYADMsHX=mRLN#PRyci~M+r z0v%;$Nt};JJ20GGLd)i8GE#*&{27N!a|+oTwFoaUEe_}MNnj1r;VrY$JMNA)C3Zc# z;}Zk~EbB5sZF2P9lwG$!Vcx1CI_O>l!LwE=fd=3}8h5mEH40oI36k@ZRW1!;d$1zi z_5$~#ns5U6;@@^Q&ntSMuVE$|30Sn`l8(^m+zhPEb33uwyt-nc=Y?lXM%^E~sTi%y z{|>@YROC$rtOQIAccaizi%QouWiL9KjuJ?hYK=+#k6MO>^^xHXBD|~99HoWO(jAQ$ zCfxz%tKqJ3P1EKFTVdY|$sE?-%6)INv=GX_p%{G6Ts;buE<#RaFptqQN|a4qt0wDA z+lH=WFLxtWp+bKnV>imjCU4?3^#!%G$5hSeDb6bB~PYX)_)gEm|vIYhJu zsMgI14ySIThubws)gDMWF9pq$G?#z7Xyqecr()>}Nx?H&(G}kX3yWD_L%x;NXO?FT zLX3i)*-J+9JVo-1ePNDKv+IF5_C zL;>KMZ;)p$V8nVuWMbB#q6(hAFuG3sGbVlJFVRZSUx{QamgizF8;eWg;3 zmACn7$jXaRsrC_P7lwUm6(IZZR-_e%KaFJnM4modM@zIJ%&GS_*gz#RC^W-iu7JMD zpZ^AJY1RBR#AO`8B@3Yt)BMTGDF@LU0Jh8-BD%d>_dl6e_`Ojh{z#Z5Ilc-s9Ly8~ zCC!w`5bDZ;;}5*gZZr%rCQa;W@T;?_^dMs`p%v#}FB0sHmJdE*UmEG>nxxU)?L>Wf zD{8nw#L5HtUYV8gQu!rMxgsr=Czg#>2{>X3(UsqV3wvA3-o(<>ul&!%dP0u};C9%7 zPgcdI-8enEUiG6izLL$`m0R3Lg3GPqvzXu9Wo7q^>JJ!Xm~O7bP>~2eju$$-!me1e zmR6H%m0C0|9K3A;rMlHds7=4D^;tU|xaTc<*?P|quNQ;8KVL9gZ{M>u5teblh?DZA zSOUSfFLfzrLM;QK5YYS#;ZT79n%es88JCyzn7_a@y;@*299d+d9vA3(y+HDY7L$m> zXQK}0G22@MUl&xfJ6=6!HiVTSbt;aEU;7*DYUC;FP%!H864ayEXy@fF0;Bo*wsZ+t z#edt5j&aU7^L6YVxpY%XmRHb-#Lws9j%;%?^dGTSh;5du3>?L5C^`dUxmtw%TK^ol z4@RSv-=yXC>?QQGy~q$DD__5^f{226k_hW#6{vCsG0Y-^>x86dP;uUla(MkM%=Kx% zs1wwMbtz}_Gn*W}Wj>PxPd4G!u30+}GtZ}C7ti9fSob)+&)0XWaM^wx3mYBqxP_Hn z*X^sW=RJ`6+qwdKAk4n|IAR=bdL(}#Hp^E&zve2mhqJSvut}JmvK5yWP7E7BgL#zn z)_nSMhgaTy)1=8J$KA0Hl;vAz+i`3(ln=U1W#~ySm1wt&Teqm74+1}P1lgS!GB5X5 z+wuqulORuXC#`g1(`?9lo{Ue7+$>Lq>Ri!iR3tH_k^B1svqq}k5G9Y$EFIVW+*3ms zx1Xl+(%&kx;k1)Cla3kIC*Qb+FE-(MqU$f>9zPfs->YJ*5JXM#G5xAdn;2PpCPyW@ z|6PREE)_{`57OY@5lqxBlZA&PTF6_jy z$dW|UH>Yg?c40>nv-1@65ne8g^_Bt}w8h#X|zkvh_@4x(f3!cfkzBr+obDam3l8UQTep{o z8=up>l8Kq~4937T&_W*mUA~-O_HMsGuPt6q0K?Kq-moQ8%9bu&e*~)DeYP8|2pI-k z8TraUdWoSa62je0y6 zs7ml!phYs`>Ty8r4fM%FQvSsH#_u#8JVuRG#)3BIG_*QT;pBiL*biOR0IGnxNuW7- zgs&g1!(9c8S>;rc7gO&)Po3QP2?2ncsCj3jVwM?PlTc?~Z`Z+`}y6zHtr{HUB+{WaEG(;1U>NW|_WnQB%>H-Tc zT;{6JP%<@hw(O0a42y23d*2l<-9?${y_vtr{-Qp!S#@JnR5UN2kplllBG3VLimby9TJ+&wm* zTX86HP51sC8cXoAfQ+?aDafCvE34_xJX*HfmH%JPYWF#(2Bf;i>^oUg4t+??D+MWg z5-wzvZ_nd%OuP}TqiX87DO4;&W}e{DVfuN_!9DehfwFrV~}r zXBs$W-fs+j+L{$+aX_+r$a_o_b~}J_bhQ#fF}hC9yo*nj_kn&+{~p^4Nl!*)}_noMPK)1=3FF&Ehf6ZfMh(BN1*u!a;~(!}%6zW(`wbb-n-ujP+UE;hM- zCpetU26Yb|TxQS$@K{R{LzFZinvJteoXIWEI2z7`o6|N1F&tb4S~hxT!u;1A1iZe%|-mf?~D`gucz$9>C4yU%M-Tu--BP7X0U<;qxjXV z<)_kZwsxf4)pB%K+oIz&RNoEfUmhZIE$|?+Z;O)-B?jWFj`}iG9O07*0S2f~SrBQ+ zF-Pf&?NOmNE^*9@t6W?(@%?;Jae3?q>(k%l21cNd!B8tt#t(wsx^))ju(CB?EQr4U zx<2VKby#N--iSbDt7{U)V*+`mMf(->mR!{Fbv z`+_D8~=Gggs zze`lgj;BA~)k7@C*7U7A1b&0u%(VzA3?q#2&DE{R{#H>oxkjs?LKFiHG+ROsPe*zS zjKbGG|IN|O(F$p*F@$xTbcbQ*ZvGqF`d9F-68vfiSe@;mz@Vc;KVsmQas_HE_f|Ui z>{Tr{!|3-X5H}q4pC;?x2eJrU!RP0y*nU0G|U z;Bpp`vMV8-B^EK_k1~+v6`&8sz->@x>^q?r&~en~06wUamW|`pxnOzzKa+4(eHb1@ zp4jXUafwy-}L+6LWA>Sib7&D1wYc1D|Qsd&gVUjkkhg) zU7@RRDbRi?X*f$Yu9j7sX$f`GO64m{d&O5w-*LZ{H3A1t^BN#v=%;45A*^{BT{_37 z>~PNrJd6Ncb|r`T0~-G8i%-!1Ay1EcI>v(m8L9(B0|7Qg54G!AA-q{P)qdc3r zsabB_G|fAa6aS5q@@q82d(O)B9aFZs7IiA^M9&GpW|Ued%MKQcqUb_RRRtpKC(Rtc{sntRjz-6fr7_(SX3rIC|i$-Bye@Or)BnLxp`v+CunTIwFk`lHmrdc>_Pq2lP*E{J#AvAkQ~J7Hwddn(zFR(fL2)qe%*n z%7J1nQn);KT>+!qeV@R7;ojw%X24UHjRt>wh_z^bb2)6cdmJw_2XFa9-7l#ESra(i?uK<_)L98H3P?7Af?H zVXe4el2~#Mb!v3H2j7Y0ugya%)yf2ZQJd4)rcw;I)%&#aFi+ijzPf*fo%zy@v za6<8bV)L>0jM?!JaB|j-_haexT-(1Lz1+M&MejRV&TRgFM1S_h=9<%+=F~wA7FxH0 zuB<^Ehlg)jv!Jx<%$MT#9%M$IQTH=$0ij#RJBxE;q3Iy{J>LAs7#RIti;(7@sx7U3UE;P~)Dwyk}_O#jBgNu57~d^^z6$*qcJ-K^53D5Kn# zkKYIBf+xpEK5Yc<$`)pBgn?8MY24X1+p^>4;lp$+hGHEHBM#5N8@0d{i5^YXlO&&e z7)`(?Zp?J;=1$S8ht%9}*t@#UY^ih!SfvzP^!a&`c_XPRarFz9c|`lN`7dz0bm*OB zcA4nEboln7dbb_7ImYDTO6}tQ>SjTH>f^=Vn8Ng99IngURZgM9cMbE$o)5Vl@Gg0e zAuAh&xwWUJ@Qd8WS`-){ac{^LV$p7QJ&C)fGkTsl=hCr%aMUJhc>% zvHBGfGcWsi$|WF>fK|`L)7o*X-o^C8Ze|-Gh+6ULM}PwJjt(R{FSc^8@KmAHSTbJ{h??Yy7$f0<6~0 z0birmz1GT>W}u?YMpATpS8c&wGRye<;?U~kpUt#ZwdQKQGTmh&_ig?{!E+^Xq4%5Z zJf&};FJY#fXc0+a626DuE-%8;=J~j_8J=xF_Wsv(g(ApUHC;U+A1;dzk|1CLcpgvN zVO!Ru=Zv+BnR8K@&Fbfj$K2M|cMd@)q&)tkWjiWTIo9`fD zR@+s!ioO3upob~}%pR;~I&s~dZSC7Q?-f@30y->m+4WUN1V14!xzWat$MdzO7cR-lyw5u^bNpT<+Y~$8`8j{8C-P~4;!~oM~z~&jU?Jv$$ z(zEEkwfTv4FxWdS?1y)#HSj3bt$97YofXggUsSz?UzBYVHcTohT>_%gNJy**NJ!U$ zbcZyo(p?uINO#H7wTN^{cX#Qcv~+jpcX{9U`@PTm2kdXJGiK(PnPZNVG^~Una*fMr zRr1xbNn~1}U$gV03>NYtR@2L4-SJ|&-#NoMGp^+m+I7_3faJLG?wcE z3d&7d%ez}(3~kHdBR!r8SsK?nZuqA-#j#q-C39QSh;-gK4Bp1)t~cuyk!Fr?-83Jr zORbE13ODchSL^2}%BlOgqhR~-kHXZ(_wxN+P6~63 ze#x-ryd1|5!A3$l7k3N9m1){vE+#-S1V>lZXR3ao3D?{z3ZB##oky*|^i9;b=+g=n zYtpCYa!NIv6jy}^%00MGP5jbcj{`Vj#_bqOl~rIjyugOjs3=(oH9eJB&Bd&z!y#4~ zInz@@MV)_zAU^0!cipjq;*KSokXS)e1xp04S7nTcMQC+PG!r6Bd}l0*$^I=ZRqkCm z{@C~1M&GrJQ6DP(%#@{PSiFEL&zbC}ob#Dl*!=NNaBFq2Kp&E_ z?i9+D`{~odmLdcBNoAD@J9%W+&70i`>Dhv+pYA&-thn?`m#; zNS-~>DR>NPblL4G0}5NioEr(W-GVYGM(*Rfmv?i zZP_*gHxQ^}>MA}iv8F8;(iXYCZr%06%J!$wg3i>yDm-0 z5)Yr~JU^e=`KUb8PeCz%)`OupX))GtLPsU(Ol6S`I zpgo?Epsw^W&a;0XxPPSlg4=yy<@m=Cxh0H8ITsxgOs!!fA{3+R4Z!pmp!{eQ*9DoANb^H=*w;H0a`d}* zn*e}P5Nbowx-U(tdVCvZE(gfN$=0peWAf&9)I(pN=6uU6uCU;>=JsF> zKF)`*#y7ERI`~PU`SNp{bA@XN`gf5*Hf5JJF|?fvEB0Ijr7K(*7O7p*KQj7AG6tSB zVP`=~mJ?FuEJZJ+fw!!G6PG#t;u^5L<+(Me(l~XvXI!#*qY&M)ca^-~a)fWxWKFTa zOA=eEB^XNOV}0|t>DT#90Z{BZuneFE%uax$}!#So9!C7d?^N@o0YoQOen&} zAc*AJlj^T^PRl=HtuO-wEx*ud$N4fUn&=&3S^M+@CEZ8dv|j5pVe4bs3Y!_6?qsze zLd`ImDY3DNMNmsn`e!5l>{g_6!79Gw$IH!qjZ4@mAf=8xn;W%aBQMQ2^~i^HoA|1B zZ?1~06v=H2VOpMMVY%udPg&k%3KrW=#U+H=0%6 zg~h1=L&{rBT&5*K*ihFb{zPffUNReR0eR19cL8l!9QonAlW58I&GBgK%|QHPs68wZ zn}M4?yZ^I>s9oLR@3ng628}(emX8en`oG6Md-r58g~>QR=;yzzs9)Ku7P`N2j@Opm z=|wy&SRU`$S;vcaB`GtR6!c~c^6Gl7BU|1G5Y<2q#gd(hH2Tq{@ulwNB#d{ltU4Mj za@>)FW;o1F`c-WMU7JsF9gtuBM&Bw}B{5nQpph{bay)ey=tT?{*K+m_>D@*=DiTv2 zEMghg>8@vub!OIeGN~t!D;Y_4kTl~(ew%aDTKzIsxR30`(yYy4ozN3+;|FIp>&f|m zeVS?nM~eA8RPthqM*gu^37oCyW^DYD5`B!s}>rvM*Sh0Vu*p~ z=8Tg{&M%CVBE_enDU_KY8$kCrq=NoC1g;%{M!3O1sQki}Z=?7ZOA?Kx8B&?AzjR*r z!>q=ow8UkJ`@qZPL&}_L^Q%$o-F1M2jrqy@AxBk3+7oh)aVvf|9?>qwvt9EE$-4=G z^`FNMAQ`{H+w7;jAy2LHBMi+nztN>7=kO|4>i9j;qsxuky4ux{FScxtH5 zxenQKiME3I66iq1tkkXT6^i>Uv*R-jr7(#Xa(H*0yE4j4<_3;6RPGoCbwQ%b?gKae zPkvxyj%=OR`ItPJ_!@Nd+@Vbrf-_qJbl5x@BqZCMe1%+FS=+oBV@_`?QmgWO1h)8( zPPFn0@PKAW@EZ61TBVjj}EZLze0hYb9X2s>b>g>H&!bG$q zw3Ae9Eh$Gefi>+$qr-RBt6nt6oKwX0rnay4gS=%+bAGqWNZG+LFf{%B zlvNoWfj1Y&epC8qjSgYmH%*%JU0pPGQrItOQv2r4j^ws0G#v+v7?6)O9?#}LltIcf zEf;x+9it;xQsG?K<(B&%IBSf|F`r14|Hq++5?1>qgRA5#0d@pZrXNSL$rJ zy=&(>w1xmvk@WW5?>v8Kzh{+`^L34P*=vpGBkwM=l3nX(j$Woj z;Ag^W--re;))J%biRFe(4U>e{_%b=fD!NM6=y8jlcR3NmZaGyPeXuY7JSwq9T~eLE z(4D+eO6xklXp3&aVySPW5|0-o6U`#6VM_0c=Opmj90XK~ZRuv?Lka-mlam$ggjDZf z`&rzmDJ4G`F7}(l-@P-Z!CVUHVybxyyU$E#vg;@+xmb#VjPTSa2xYNYR=hub#4gd2 zqXG)QDsZ+YGCK!^B<)fzg}-kyx2}p=>HVZPFPRZf$GD`Za#?tlI-~4pGbi_0qlBsk zaYLBwZUS(f@6>amYI~w7Kl;V|ekc zmXhSo?ZttVdQk9X;RWYT(p8+28sA=)BRIKXVRv&X?&J$^_M}j44!Lf*tWv| zp82+r1M%qT>crixXupIAH9*f-IH;oPR3g`VkT-~(c9+~j)6I5&HfJ!OL~O(9n^?R1 z$FAWLcKyaxrdZ0;3ya47$D?Lov-09FN)K_ngH5o|*psdybOTaf3Hz{}ZMZzR7mC(j zaWHFXndLpRBHjPyJ?zW9(0nAR%D&%M4Xta}HEI%5T;W@qdqX=a54d6t43awicx#|b zw=*!4SmRFPN?)`0x?flPAs@du8XEZZK_MoxUN_A0;aA)HgZ(StRtY3l9#xZ!*Ch}6 zl+GR9&;%^V7enei$QacXlQkI(baQhuJ0~1sNw=)>TwkoEDhyB!W^SNt*3d5o3w`Wk z^kEk>&c`eZ2SU?OZx zn+B^GXtelLKaAu4deZV0a(i}2uq1eK@$h5kX`77j#?+&^R@Y5iq5zp#M(_Q{Zkiq! zk*B0a6M|g)(P9N>#L;*j4IzxR#Wb|4M_Wm`!hj>;JKu<76W|3mua<(@OY(Pu=khgi zJi=VZ--{_Au==%0TgJDsnb*@lmko^g(!9rYOJod-Vp$$Xh{Swu+ah^KsTV`B{Cion zmAXJ%-^u0@{oRSOGKYihf{)UVXJlW&eQ8SdeNlm2T+?ub?X4_I>NCWeZRgX>@Fcmrr9)~0K*g3s$&H=|xK6FZ)+Pwd#*J*o3(Xgws!deMs2+z?o5>16l2DVcrZE@sMUOc2<4r zk&-njH(cPYTDfi>o%7|q$elc%`p|egeJgKjnZx{PIVoCc3TaR*iyF(0k1NAu`|(dq z0BF^`q+$+H=3{hxU6H-fG!<6lxny5y?~!O#P!+cI&CGeUgt2zW_``GLmSQiFTvG3J z$%Zlwkp{){OA=n8xvXCngkmt=rmhu^(oHAm@x|@F#Sqhe5RB=tKmX!`>d?7vw`4I{ zrv5juxmWxF(fn`|zpwN>4H#(@aXvr&1Dw0B?QM_T*6w>ReWtdp*)DAqS*%UC=t}ew zef7LV&S=_njxT%j?!0k9`Tj)terWV&=)Ol3%M9c0w)Os8^xUa%c13TXuIeb*kiqde zU&)RtqPA7TJe>9s0dr@e-27soF|5Q129)fSlDgyK1I6zoq?WKLBy@ zxI7jgbBZ!AR_K^_dw8uU!VH;$PIXn4u!Y*+KrU{{ez3IlH;@my8hp4fF{Ck`|6$_OV& zi0Ma#$*v|1QCTWO4(-H@1WHMNVkXedj8uVDGAo{38~F&U+&CG}@R~E7ImZVwvFbK3 zxq8^6F8DI+%S^T;Ak9Q*JZB|N>IHpVaL~83fZbX-vHjrWu07w%s3ww^}() z0=^p(C(iMh(fbK;>14Qbi`XsXWT%E}qa~X~i@m1;qK_`*+Nmqu)mm`YSAoQ3MpNb|^IR+mK5U&^7Fe)S&diqO#hJU->`%=sZtxMlXO+G}zu(>u} zZ5V|`%W`O1Vc4}v3-a>7NM+?u491#yj~5O-hHJDZdojO^(X621$zPhfYbN1qSJw-} zU(BiL`MTE;SHaRXCw)nr9PGk1CoQ?qfcg5HhV^vnK5eAjWx#yU80{Gd&b04U-?s?q!&I@FSGa&DCC1y>1_K}PP=y;1 zb~Y0vP){?rF1t)#k$OUI6+YST8<5|5K4b4F*FB}G-~2pSZ4N;QPDYY1t8nV7`Q|1q z45;vpWPpUi5_c!L=fUyRL)0IG-*O5#N-LBfJ2qq0<*7}=f24b}{kDn0g(BUIUOYbb zd7fXVgv9A3>#+oCYcrT_e1;S}l}f_u^BN6#9C+rBc;u_`nWV$G=5!rvq3rW6xN8LA z+TxC0hB=V>qpFLpX=~^eC+_`i+4t2MUZ|i~gL}5MF9B_LVtYdwiO#hu_Y|CgUwBdF z-23at=fc}TzS4dO9mv*N(E0S`Z8KQRhBZtz@40NWw*t)?y79QI44zoB=8hm+tc~3; z^58{Mtz!7Pw1MqM0)KbeipcXWda>Ee7Y38?u5#CbcO0}Kj?En$1cd5FzE}Q+K4Lk~ zN>&6TexLIiC|5H)OnYEbi*v`yJzgFV=O;O}_t{GLMxK!xi zXfoOb=BqFmM3RcdQE2E@NdA#*;Bs5Dk&LX|ft&p!6Xapv0t4EiBq37VMTKA*O z42y?bck5zF)Lv(xiP`nnOf}z>tUqbix6~{-WdVFh@0HS|e;?0`$o!Pz{Q>)VG=uy+ zciN}ERNClUbKI~=eZ2$HB+7&;tWW!rpDMe%FgERnvigv?v#)JFe9{uBs}@}?o)Reb z1OCQ0P7(_F7L=)_^>S*9DRyWul9_OnB5A~JpGaKQkowM@AMvp>GodzldbcQXiNpL6 z*ncZFNcpJm5stq;Y|Pp5Wi;|wmtoObh`jp_`E+}cB%F0u`ZMDZ=gP>sxy@b*frne5 z&lj&B0c}^r+J)uHX@};L+xE=w%W^cnRt@->gl3|%zrzIEoFVH%a&$ArH|#C@umT9{ z83TVLwSVgSkDY-`_+gSy8vjYx8{S*?N}B+EUgkN_-p zay3S45UvseRL4~YMH@Er&W9*pYPH!BsFg*(G~<3BXQ|KI>cLdE`(qd*kBHF-wK-8U zv%4XA8>w337+mB}Nzka}P)e*1iQ{YQqI4&vM*^sXYCOc_(YY}<|27Gn7FvOp%lf}W zr4=Txw%ihk^g=$*a z+DhQ4K)1H)TJ;~dHQ1wx(=e^w)=^WpjUx|9lT>WkW$%M=e zv9+>~K;w3c^Skl{q$9)3R*-S`)x;mRPhsSR)1I9o2)R8To{#95hDBi5A<3>v|3 zbw#$xbHKidZU47Zjbw=qCsqB$GTjVu2`hziUrHEwCwp*2yUU>|_}afWIzliU3)@Fn zCkPqXWnIVeCGP?w!T`nqxsz`!8DGN&A@w%djknCXzciHvDGX=h^E~lGuqY#!lu!99 zNQ}sZwjYGMIgv{Bs@HBPk0Wq>#%pJb9m8IQA7z2E5nzYiKcA!=;{A>6XHnJ@E}si~ z<5&=5l5=r;goExJ@6@BZ1}Q$NX4IgjctX2>2HC%)bDdfT7+vgJWW=}blr=K$^B&GH zFFziIq#zkIzEy<^RRRkQrZyLtgcw%bj-nm`YxW}v0wLARfHG!h-z!9U|2l!L#_;rE`cTE zs=&R8?a~@h&+Y)Mo2`MRBq0#}{K((;nlidoY5^h?%mst8+)<_|1UF43g&xgZ=P%%w z^tTx3EbQcs&USBgpJWJo-3U*UEZm+=E!^(4&eR{*&U>#>i@0=4)h>88F9@#0NW9X9 zv9U3mDJis7Bh-`xR%PBFckbVACyTmVN?A7VM#zX>^}XP1-2k21MXuiY4X~c>M!w8z z0l<-Y`_4z0TiMF<=Wz-nNcKtRNp=ZaM;^Vz7z~vkL2;CWM&C27`{_zTRaI4dS#j8N zBcuwD3Fi*eX`gG=QrM^HKJQV zasIjPBz)v?eKW|^kUBxm?DW+P-=+^`K^sxvaEJXDzSobF$(>T*sDUsagr?$T+}M_Zy2YXgMbi4%Gt zlgs+ev1l5|{$WLBJNr?CptfsrSStO6qwh8cSQh+*dx{{Y+)J(RZ_j-O_2w_4Q}6UA zQ8291onJm*xF zYK`!;#In)Kl-b0>iv*<{NyZ=BZotYYTz+Pl2>?434yI`S5v+VaE;dSKN1k^3U2!I4Go9p247? zjYbexuq&j zuDSl0DeoQZIqD?*M2)c*2aN6D?pbN{YW)919Ta~7qQ8F)SF`%~l_+hUwP29-XdnUnF{NJPMV}zFv;tb)=*4DiHyw)hcHq zcuotfPFa_E9)?*#Nia!p@R$f|5Gp34ku>E_Mz}k!H%=7)E1yoSh*E-GV*RPptGHD7 zV#79B7e3{WfP+oc~*^n*4YMY0*^lFl8rh?BqxCo?2VO|RmXn*w2J+x8W&XztN1n8v9Csu5sYitk7l+x zSc2@I$$SNA!>lhMH8~(fj_a|G8N!EYqip`7C%XAI0(apIaprPae}62o?^6)J?<4{= zStsB9YQK4`D>S%n`Ij~s-G;vYsB6voB~!)MCqNz^9wfUAIwZ1h6<{k;Vw8%d(io=mUx}{F1xiNR|GRggLH^Zt)U9{ zlyk<|L1F0_Yu_N3;%`Bcj9*&=$;HXu?~0=2p!}MGuj>dEijOF_4}Y&4|OL z_lr+=Qg?_*U~?c&pt#pXpMXlsN|*KN)oK2TQ$Li;>vuEQB|LAw?j?X=k9V!Zd%*VL z5=zNt(5UdZsNi~NKJd9z?Pc~q3E+#G>BYa&?v)svEf+gABAY`5#YOf@x$#H;Y_kf# zpCS!dhnsVxzFGmITYqctX2kDS1%OLO4qk1V6j{I?%$X*lO_wz{0|8uDI z6%IT-cn?_Qx;KeNp2XIsoz(^JF0;)_mRPqg%;;%DHw3<#y3wBWNzn0KLlzs`@3#Su zxC|4=L^<&5{A|m8#k+mM%k}uh%}uCCbA=MAuGV`vu#Em!4E`1BEmCeYeYAx=?ehM_sK5u=a?1R24sio{CyuRTO-3BqE9@Ynn@|2?b27K# z@05dTDd`N!a;vaV9WLm3K@20S9_#EkmOv2Pw_*A&#$Qn>D=GJjzO4hN7cYKqwRZF6C`P&7^g0|;Cb29iCr(m3y$@?kkPhvR5K76k&pgfh43a$@Sg5w~MrlTrMAq9PELb-910G zjP7oMeSizMp&1o<$6UX8sqA@xDg+jDcUjvE{;&H*#rp6P1VWhG3Kas?2$vKcZ~{9|T#t zTxlcWr7=inkOApO_KEG^`1fyw5BZxI6mxa28D10swxi7(1rMG_;cg~AUqp-bSY3du zJXal`Y0?H^MR$Y3s6Iu>X07iw|2SMzrcH-lnN4?6W>j!{sQ1kp(VMC26GklH;s1T6 z0|NMT2HrA?X-jn}Ndolu=3wvoVLS$#o~UlnIXsOA5F5$H0N|_;7WShf^YA z-$bWf_Vfsvz{#DB=xhn9!3o8O2_9*t8_D`>boM8;(A0mqWac!jj#PF!On)7-l zj>jYgD474VyHCpt!NXhQV1ex9LP`d!r)9yS5wJ0NQf5E^EWIyiUOjR1*`Oi2AZT^n zS1Q>|29EE7@BjR1ENjlOG}|Q!YOO!^k4tqH2WCsrEm||cLP9R&V+0M~R21lgiw~T# zk49x7jIqz zyVU>!PAq=cgdI@|@fdTpDcP>P`hP9y0azmsTN(|R1a1aI*WG!ZT2UC8^Hw7yt+v|h zuCHjpu$0_fyj_pLoxhm|1Ekie|Lf>2>F1?yB26aeLC{t!S;c*}UI5V@I*LGcOu5oI`J(Mt8D7u?U1sIb%QWwGJ5gHa-8~M(=o(Zr-$doXT*zBSC;L zzTiF85oa`sBZEFrmW-_CZWtDHHJjH}^Y*nfAQ!v|M8SBD>NBQCqO+fo>QKn#9j#Ae z1WL(+kGj0H`-{zgfY^MEcHZ>&0$9U#N%aM?@U zAVv;gT^Y8lBIYuO-m5=>YvHx7W}+vi8Q}91<ieFq+ES}n`c1MI|IEI}Ihm`^Mdy8%L2Xxl-x!#z z+16z%+ca!9Y>RmH)*2O&xaq-;G}R|KS#@)7{)STt7@(_mXxVNnQ(~R)D?V1(tMu-U zAWBQtD^PDKcJ$5b{y&W1XR)K*%@^>C+-5MkbuMt{k8beOgNK`X2je-#t!JfkbNu%@ zIfDoMcQ6Cwd!4vv#J^qefcq?zU!p5?yPg_o3=>O(jOKs~ZC}TgLNF6Ro`0=jlSW9{1Pt zr`N6b7geL4gP^0m1Rd?WSYfw&A+VOQ=ThcH>v>?O;HEnaYj40AUi~is+y1WV4cJ}) zU2lP?Pya&e_I{Me)z`Vq2Q4cjLO@J>8t@E#-?urw4NwQdpc@+{%1<`k=S4ac#0Kb! zul8zN@9wM4*Hkn$)~EMHqZ}OfE=MRAZb$0f7zFsK3KSw#1lu`16|KSypE0}oF-a>9 zh2p#-iiCC<^SzbJ=@F@Ddq8O2^@ub!hbaG>75mp5NnPurtm*`59QiGgMniY^6xeRf z)V$YjO{ynA<@8}KbDm!5K63cj(^&|4TOFFPT$)Ubw_YQI;-*r_tkbelONL~?ga@_PsuNH zq%ScYOHl5AED29_S^CHYFcBr;Qg%Y?_pFmzSdDSM0B8um3MPEO=N`|Dnp)fRSM=3h z6&Jeg?<>PEBm*E2GJKe0R?!cV5n@-;CZz-{>S9fZvETO_)cUle=h$efo z!5>9p#K>yE zBOrQz*{5Zc-hx0J#m`YQ$QtazN79AJc6^|Q8}B(iRQ%2%*`3wrX`ik|=2X?xF4tzY zdLrrz*FKqZa6C*`a$9UeUAQZx_1TBPh3!ZMML(nTs5it%2krOa7zZIJthwo<79Z-f z#@`0$KcjQPMsIswEVrNA`O8NqUI|&T?3jxF(2gM9@ypA7j&zlH&rhQ0rK0ljO`o{Y z95&T^t?(dn2f4TqN32?ko+Cp_YEu!nR&+@ z340UG&B6=%ivBNg4v0QcQHS%rv0CEqV9Y~dj7Yt(mw_sf@yR9g&XQVe=uoP)fmcV- z--_%dl9bnI;x`p6>^tu|Cxlam5!V(YEC^E377FZ2WKMSA1~v04ej%a7qgO$zTqKuf zFF4!tmRjHiko(2$=5tm2^bgaG%8UEo)1Eul#>v^6I^QyhGq=pS} zb+RVSLSO?@wO!viS6Hfy5h!G1BrZ?h#wYZ$;YG~1<3#M&srJradQU>sxYN3JpjGyVDt1g-p*yZL2} zv5r~=;g=}2k+e!C;mRRfoIrIyWpc$&kNNxqjnAScvlvt@3S^xLbaH_Jd<30XK0bUU z)~2q|xG{%p=$}KI&}`F=|18QqvtPHbJgc)Els~yj&XvIfvsg{FWAbJCaB$j8v+%k# z;8Bg#71v0IWjw`HejHZvLU%X(-NoM464P080=d8Jdl6IwpOtu-D_+7%tna{=N|Zn7 zl(4PW)@Ax2Be@GhW3;}5Fzqd65S7INwJm-Pi? zh?hEQN$OoW>*D@tm57*I(ILu;m$YwDN7BCR9X*1Z4RA=$I-mX$x$x0QL+_zoWnA(qH@sIl{WCM>ny6FWTtm9i~G4|QbnZ$c&mf|Ic^i92! z3!4t$Wb`ht7`sM{%=jIbdizxQfObqUMcTkq&jD>o!`j;m_9hSJ%yKhRoMYPq{VZYy zUIk1(SlGAgXSDjxTr$`0zFezf+r+e&IOmjyw8lL$j>lS2_^gq}yJG$vAx7 zj2Jxj<}IjTqDq{7Ov(k57icbzN~u5?n=`oO;h}d0Zg(997!Lj^s^oWpjdD~swpU3$ zCl{9aKlWMn26$jkBKRt!gjFU5^CjkqV$n68Y{*c|1>UDUdi6?ohso(Hz+ubIiC+-D zg2nfivyFxlzbviz`0l5@+I(so&cD{utNxz{r}zvZQ?KPiG7PuRy?v?j$HKqeICfX! z8JGO;)z<=JjQNatJhBf9M4n=f_@nSae0W4J)^`_YHZG^iVZ8__H4HZOVYcr53^Lkn zcRK3nK8KIn3EKBmW3nb9jW`x%zmRwH2$b{R zG<>=nB05y)1Wn#nT}9(N^jCsPCRK4N`xI*>b3AGM10dX;zyF`Zs7BLQS|qYwhx1{D zwc8fUxpj+wcS>OkT6y44*k60l1NSy3lF>OoV-=#E3N4szHI3eHj=u}{X|x!I&(_+N z$&qA!E&XO?tK0js+3WljGC??!b(b^{#D4sH+O-0X2glH#iDYVsH@xol_y2}{;BVYt zn4X4LmOU4{49qPXC!1D!WBdlLb%S#t@Z2u|bMX`U9(Sgd36=5N!#WXm@>%D}i@|9y zIUTPr3stt4{dPZ=J9j#%e@7b7_Hx=_o4%!A2I^g;oc2yZ9M+&rns~Bco zOk3x;cKn04+`m9q8Lgj^_3Rf5CQR<^QMzGjg>-%SiUO!`mTz4*fy7m}D{`1>GcFHd z_w3$|)PBmDMgwSP6!o6X&+(Zchs^oxS_CMx%8B`d)E{6=cf+qvF5h0)GnqmuD1>f8 z>u*qtt^0z|BOwkyQW+gFUn?&;WYO7po3#lu6t+nYgu+Ml>khKx&Ht#VBM5L?sFyZ+OI@dQH@hQTr!aFzT0Z6__G^>hpa9%=302^DLS0{MJ_Q_5iz;G(ndNBkR86ZB3{Cnt^Ux>@;Mf#a=_V*9S*^yvk9w_qB`7qUTK%mn}RO_-a3I}+@f7 z=c-hq!e)s-bXsm`p@6BgYUV=ZU?0x2r~0T9e&%@x0VcFHYMeU)A11Q{h1%zT;B%3B zgl2PClw_RTn`6 z?EO(mJ6MezEMMdmh3?ZipOCy_o8!}*xRq>#6|YpgsCSn;{})f>^-xRc4$SzFqy#1= zocZl=v!Dk^i~$VW7$MVQ*0uVOc=Y2_g9o2p`@iLmD6TjK9%mRvNr~UxB|RyTc~DJf z_^n+;nEDevBZyc1=`sed_s6;;pzMu!q=d*oPyjsd`WexQ;j9b67N?KU+(!f+Vb_wc z=EQiWXTd%m%eC$z4#P}I0WKWWW5tG|JD)O&@fgwaEvRgSV!Ut~v{7-t#5)%7IF<3oVksl0JNuuWK)>Qkz zWfaJu!R<}wo7`lKeKWYr%0k-aiCgI8abBnJUR)Wr$mif}xbOIP$t(Tb*h`hgi}H5N z{yHAOM4It4Ic$-gWc0ivpx{|4A1N0$l_3yH#tajW^6cQAWq=xI{s*0i*xGC?iu)m%N# z&V5cF+bhlMs6AYH)vawl4A0r}6~1zgeP-`?|4yQfiq3l{y}w@L?!o3_L3~9hm@1<64}h9+)CplH9aTJC(!baV}iOm%5=p9{!A`;j5sP zi)pgLik2-AmAD$%b2(uOk$%>C^m%kXh`^J@qK?%|m;>|QJOdoyp(u49poBfg4C^&1 z?$?fg5)lN7-8_y*A9TIvHK^F@rUS+6P&B)LB`$X0Ts_aDY{1Y1oXI0nBlGp{t0Ey} z^p~NnLvV=sf?Vys*`LZe=hOcTiQ{JvbB7KF~m``moV6E&Q~wulXchA@&m!YYCnz zF~d!v6ia4ES-z7v@VR&g9cUbChg!iK?z`7Ee0Ecle12TS z3c11s~z}!@F~gDA9xIjvhOSFm-%z(IQ=+0Z{`iV==8HZ zPJttt!Z)hM*F0uol~GgYz7v?ksGl&LJagpM2TOx&O$$=1c`W|3y*_DF5%{4u>ebT+ z+zNO&&SuC!sf1!g2i?cYYj`EqCRJ}DJ}whZ^W6#JjILSPRJP2{FMJ+L7U#G3S~A4^ zfiSzKA!#2iO#I=ajRQlj=E2D{dBArGM=gISN$`1Z$fGj!z*_XpMJ}wMO9C2pZz-IY z4C5HnxN0m4vV^GT2U*zT^~R>ECajrr&@l`Vk1=&YxxSNjMZYi8e|lCG+xw*esh`@E z+I_BRY?B7P!|8K+=C|JCznIa-0!^x11q<7!F7@rYnUK$%v<_uektDIcvjEI(F0%0R zWHUXh;ulHpL;{kEmCi3bj0;_wZ`HCwNEdBT32;rJ+y{*5Qo$zB>sA(Y%?@VGDyQ`k zGFoGuYOBhfJXLXKQ>aIZcHKxqeMc=`Wk>R8^(%^q5WUpr+zW%-Le3uVnUbt6{^D}E zh4|FoJcVuAl>M0O-1)Ni03h$SbKZ{c{sV)2DE=gfaW2AH|6}j(XHRLw`I2&zs=ICUfjRib=OZZ|0liDCor%!tV9uuFxwjGtA^F;egteQi^H4|}3E*{P| zI35e5ld=w_CI{nNlNSYeDLj*}(GMI57;(w@hxK@9;@_VhwJu6hQ3BR!SETNSylaf) zzYr0%DfXA2SUx2ic9uKa;pA_jnU_z9WqMfl3r8ynTul<^>;A6Z3i1sw&CgN1+zGhw z`B^S+$+`^2>Gy)@T_yCsB=YE5l`+r?aq*H<2EN0N~qAtYqCOKOlB_9 z)j+iI^ynm<|E&ll3r|e{P?O;(E|sUB0W)AZv-$&{b>c6}tZ3HUM28(xHsk3(f~e8h zrqF&_*{E5eM=&&i=27l5re>5`v*LcLMF-#2fs~?my*d1k-{bUPR0tJ@Dox8RX{$6Hsx2p_ct;n0ZwN6(sg*eIF@gP&5PF4OkR5_`&LL| z>vI$wkDm&LL%DP4{{DBUdDFaG+oNPB-?ScEtuQv2@6N#ZEHrayA!W-betjWh4*&Gj z1+>$`5`$)snLi=dR9NI) zi3vJk6l2BSIuROUq%7k2jI0ctn4JY9vW?reVt_fJVWW)U%MCvgp)c4UVLMjgLU8*l z@r3r}&coe4qZ%HR#QoAr5+j*)2^Mrn-sl$|&xjD7fST;+Q!0+A??RsxysU-j^xVZL zVL1H~IXFlnZ4y^Q%920e+dmIdkGi2f@RL3c*G6`o!|y~R{&};l{I6blQfwLR%s^mk zD?p2n7Zj{HyZr1}L%85gHoDn?zO?JLRBTN-!eP0GcKemgBj1+7hDtE4wB)SI0yNB} z^k!mB4Q1}_K7NG$)*{OC?nn{7Vwis>UtgcJUbc_Kn|ykYkPe@J`_zY<)hV`KoDc&m zx@jX=;QMKx-{*96n3mxpW!)ei9(kY+z|S$upr<5Bl)$k0v>ozdEQsxcrPgs!b-As~OX0nDtD z1gr#Dofawg{)+X;x`*86i+bt3-{9hufKzg{z@C&Xk08{9&V{agpBJU*7^wD4_z=5( zp4y`+KX93b|Dmr%;Ya=UYm>CB{N01vy)Qid2^Ev9EdxWo+n#DnVpRHs>!%P3HbRT( zKWGm|g-oG;Vr3bg38BF{@RCY3+W_c1Be{MA#K9`rPYPM|tEow-Ovcm{dO$A13IjVC zMy>N6mQX2w&ElA-zr6sQ;=-E_+=muJaJXoyIC3RT;qZ~3$KBQ~Jg_}8th_0IM3=OiP}eL=@&0voVfQM*!>dtQYWVrK}rvk z|30OJ4aY#Qe2dO*EaFEgM)mxuVCt(StOemngDmEK{Nt9k?z^WuDt@X1e6fuQ_`*nq z$iE&iFsELb!5oPECi#Xe9BT1oWnpd0Eex69WK zSZePZmWY%Tp!)s7Zr%Km9qKqiDWYGa+C~4hEup5EvTqMoMA7kr)ZL<9DrV5rM_#!1 z-kbp*-)X^`77qAy8As`q7o7ntm6#B8k?Vy zC+1CQVy^{SrKO4J$r8Ak!3WBz)=x_53=aAVqi zcgAV%t<3)0his*ja_-|<-K8vR`3qbM^e`YUbMkQ~k}*qhRo9=ZK20S>l#M^1NcNhU zvM!TUK5o>@qsV16kJw|C5|<`v!W7sUR`I*I{${gwbGlhDf0-yaFR^+t@7mXxaRl{f zzS~ze=(F6-4O~xV1LjhOVh%H^jfBwMjNeROeji4mr{UdXxCx7vf_Xxf zO}u5%JQOCdIQP)iG{`4a34=#bexkIr;G%5=7p=Cg7$<|C;b9ceP=6McZfn85G&$)M zKVfeud{i{bT*Yo&X4W&RDMbQzp61c-43O6QSS*~xPCn~qT~-;9lw86!3wuq~>Cffc zOqYLcVP|JIyt#9;J?eUtxX+*`G_m1fI{g@ZdOtOi>c#zcv;Mk6X=Dgo^sXm`3s^Jy zE&la3LzvXS!WWDW&n%(z+A*)Q;vd3WXn5~?V-$dNw4Ihp>616}GHFZ3HPU=xy?Bx$=63e0hj?p?fk9R|3FJ3r4<@rsZ>IGuYl{ko$ihEz=YYqlG7-b^ zisc9Oy;sxqZ(7eu^}c=aS^bzeQB-uY;mn$WL|7M^^l?kr?RilaYp4L^jlfnr<6NWE7Z+&CmWgHQo355Uqqum2_GKBp$88{- zK|yL&gK<3eab^6z1=9{5l&*|hzH7w^v;3lTIEv@i{MB&o=OdwUS1g2fHf`s8dLe!* zl1A_x!0hpj&3wp1N4O1JXbFHx?85%1|z}+On9%Sl+u|p#v-CsV+y=6bS-$c%5_{|*e zyNf_LF;^i8uKh2Qr!j?u6a|Lw1bsXPV7jVvBQXK^@D^^R&@UI}SZ&59&YKvm4_B)a z&J^~BpDGWhEXDhA`bI*`7#XX6*~cx4s?2?Ag}Zk4T?Z#-TR^|^?OK*v95g)Q269`x zdp)AM4q^PLu-|my-+t(bQx1nz)}Jb6>Rs%SHhlQq)cYbbi=E%YJ9gs1hH_*aWDz12 zkLlnph4W+9wc4ee-)eE10_1LaqKsT8@LyUcVm@weE#pc^aPp22u_*xf+PaY|n?CbP zt7AHroUKsFkJA)?JIc&>ss(_OX3!Q~e!AIxY&7ifUx;fAbl|dDGOy(tvQ8>7i4Z{# z8(&7@m}Fzy`!~=(OuJ-67K0s|$@R(jBMT8qBI zQM9beNr#+;O5|vc6CyY)29ADKd3s_FqZDl7l|rvXQ&v#@xDD(u^a(ETdCM>PPj(#{ zIX|MM415fWt2_hybPQxp-?<8fj#+5yDkp!NdX%l}#K-zBJ*27MM<7TzWhPFX<44?IWtAf zKxBwr5A-XM`4mXLW9^Ng@Rz8rtGPmw9W_Zvk!0gv2mSB!&2-&jRG3W(qXbuw$`7X)e8PqiV?tGT<5i;V z*q1e^FwPjp6JU6PwyddA7&a=uW<)7Z8J6*T(;D}VI#nr`s^3wPri#0P%&?ui49`&2 zGg$4`gMRye)ld5ifg?TOYvY#&?}=r~Ww<(?zbd)K+}yl|6mw9!@N}G~T?gx+nx;Zp zFk|Ctk|ircL`fcFO!A0^SUyUv;#p}x2MrPKh3OkI4AbFpr#l1=`{WN6#rxyv@pT(( z_%uDR#DnI`SCb(E>K9B>U8Qf<5HrLcy)3(ZFU3Mx3+;nk6)1r`SNqoFD3|EqQJ?10 zykAqZ;QwwC!SgL%`ON-``weCU5rMJ0hn>4}UlUukRX6g^iIJ@3`Gtix#5l6W3JS9> zX=-TG(qql3&-1x88aNx4TSBpYIKI0*vTrSySn<-M?7v4CUx#Icy+Dp}7$Yc9vOmS6 z{p*id3s_IGHSN)9PVZ zW~Luk`Pn3K(lPFVeF6&K7H5krn#QXESR&p5g1V7&2BKbzE#3aX#a_QQGyDjXxb0tI zwYPAer;Fpf|9GgBGkb&~GP5#1^iQW-zfG|IvA87fb(0RRlSVqk9Q&i7eap0R*4;wO z?S?$8)EHdT3BKj08&K0{v_B6)lJwyT#O;BouIJJCAM&^J0_i$WQV{?Nx&>7PGJI1` zb=^JrXoZO)f*^jMuGV`-F$v68j7Hy3?#31covHQT$A!jXC7Ks=v_iqoS-U^FDlGQp z%kD_XCwDcaZmJ}lDtvCFqv>d|2<=uZ6&GC5&lCjTs5A_uS9NruVjx1MjNU$68>Z3o zSK5F2)Hj0hAp|T4O%bI)7`UI}7PuC&1pRVF`WnCV%dxZ@3%C+26ypNqLEnj3$x7 z*0bn#)GaB?^L>?do-~@22AX z#Is0LSQ1vhLK}IWPO318y|dTBbFj_>au3ACrHQ|TXiF%nQN}m`rYQx5LNyUzWs?HM zdbU3hK4s5Gf@<={;Au{_USPan>}>`%4!E;Ab+m?GG1qrRdDab1bu7%)mi2n<9|Mk* z?c#qNQhzobPi6)!s2Pi>?lOW@Pm52uL&xH?NLf1UcfuC2^$fD_A*bE1h9^ zW!5^?(`izGu};PSeR#Z`53%)^5&Em^Uav*jmkK4gK;30sZ3HX2b#m3>{p!Wef-65h zsFK+X-vT9T)iI?+gN=}Ef1@oLj`L9h#}QIYPch=Z`2-lnqtnrZBb1!T`xSC`C2S=3xviOZoN6u-QaQIhoAv>J-knzJ!4B8hQ zFC!MY=6?#S{1q3ZP*@&j2WnO*UUyix_$o;|GBi@Of)3tu@c`%EXWqC;g$zf2fwIz% zgS-CM3&xt#g74qI_rwuA7CPQRLR_318|t~CURgD-%w0yMf;VPsD@*dQ+N5!wa{%Bm z)bU;#{U(a>(o5IPx_yjykceKi_)BTlx1BDLqU@Us`>TiE5U20m=1{u34{v=|2`|pe z)B2em5^`AyUyJI}AFWHw=;4Id%&U2UomG_WDFJy8cd8qR>q_tPCd_||8^PT>cZ

      PI%N~oOD|ScO(*Lmge%g!7BH@!QICflw3rLQ zEhy{SxqLaF^Sv%KE--9%Z!zcN*m8Ro+a5Iq?$ylSDT)8~Dil-p-~bQ?nOTiM=QWRu zJuXM$CThLmBl)vY*5lh%QxMB2Dy}The0M^e+MgtHB~x@DQ%0Wmy#-mP;cmkh{-(;r zFG8jn`4YN2KL{Ib$7y#A&lUbh5_oli3>H`2t^`tX zDs#BjDB~iw^6|xK$A&#+rp`jwizJB+@J`@E?RNjO8tPXQRou*mB&PjbW)L@_tjNz; zSPOhgq0M*M>r;ehav0jT|LS|LRyc>FnCtBBM(t0J|Jaa-EG+0Op+UmB0!F9)t;jEl za*O}~9_>(pVvA^X`7skP^8$q;A z=5_J_F|4!{Yls(lNA77#^8|?*4VD%ep%aicw(qvwQ3G+SdcMtL+ae^U-sW3_=doen za=;rE$nn++TH_JL^ii>PA%yE9K8+cb^Z=9U5oJ@T#UtffsP9j*&!G=WDw;nvfPTHW za{nCsp~HowGAmXNo^D2%2(PZCL7KxEaLQs&Xkwh#YKGpW^QGt}a=fKLN%0nV{?S1NDdH|x zhVf7VWrg2jY)KimDo{ZiWB!)@E*5P@ES01hco0%ixM4D3-SNjFyXDH0Y|(N~7Hm6E ziI4H@@snog?GJptvAOs{x9-|1Dyi@g+!mT=*V2qzUE<@gD9fS?PH|=}1#<2FoE7p; zyqOt<9Y|;Ogt{;WI6*)XT?b5;wNIc4y2sBPu}nWH(OOq-9x7=9s8LmAnKW^>B(-bhM2?j!lAg=V>zPr+$GN6&%5R~DbUaK_0NV?Ivp5( z&nAqBaHH%Hf_rfF-$s;Zj`l8Oaqn@%yP%p|O*DIbVNF;pPkpiiIPW_fDCai~WD7`P zY=?g2SBM{FfYHiUP2Q1MhZ%W=v+INfXuHP8BY3&h2s1OPfF?Glv?sb;>%_iPx5Pib z-;RAEq7wZ(J1+A5Dc@;kqx}g51Yv0`>G;%d9E~PGGQzA8uf*``#xi zfTwhoC@$uSl7xkh?BWyC7h**iFiL`s+Gd@FC8>#(Rg7C$0|@D=`YPtpD*3E+Z>bR? z)etRuUhGjK!!pw25bJZ9x#(MB60bo{X-de$Y+KVjT&c}4$oxZC&uAy4;5ZK{}Tez}tQ+ocUD zC6UZqzX?ZE%@nj;^xW#AwK)WNk6>4iE6LMo!SyqViNnhLbfbDJAN4Zxvs#IEysV(e zXpb&v@)ISV@c>IK{ZYSgpu#e5wJd1<`nXZh@Hkx-Kd#VwZGdSlZNhSABqaHDODRye zw>RVmk`96oRkVekya3rAiNUh!LB>Uss+`In-FX&yaohBrhmW(YHZt?=guGToKWMQr z-Hl`lljs(uVTqcv$o^W^H@D9Jk)wUn#z-}XeX4|mAA>(OB90dhC}=FF_pTB<)iIms z%K7hp&t!|$ke96gm`lRrJCPWM2P4ynq{NMSl1i;Yx2ZO7WT*|Xy4U`wfCutx{A%7Y zZq)yH{4cB@c_A-H^8ZWD+}E)@d8?ghr1K8fHETD4I@)L!2PH-x8zF-m@R(yMmjAjY z=Oa7tDm*KAf|0d7rw^x@ZBM|X{L^1A#7Ab@p2zP&r)dsfPYPs%3+Gj!?sNBfL~q2h zgO=UT#2a!s1HAQns4z;6eaEFJN!OEN(oEEe9HZ z@zYScCNUO-h2$oi;;`93H7DA0KCu)<6?x&@G&}X{rOPe*&3g-Q+A3lc=WX`vEqKH# zb>4RFh~LvA`Hm=r3<15!xdd>+OAqpRS1VJvfcBLRKT6GE)4ScKHvd+@wm|H_wa~dH zupuMz(5!XL>vz_2E#EmBJ*)ft>bA)(N)=_R3{2Z!xRwO00w%J-7VM@g>9HRqk8)#q zg9vz1p2dxEVxw;r%zG>ocSULS6uJ=UYbpdqV+9ZAdYmtQ4ghpSf=MfiIaCv#D^u+h z{wB~AU@#pJ=D~MX!sLKdm3>^auHt2g5h(nN*&cuK^&!LX_IMjwy`t&&)B^f=`sNW$ zEp{oFK6P(?F&8R4fVhnV9t*Kie|z)=ww6>f3)A(%)FfX`*G1=4`q1I5uL;wsOsjI! z&K#P~pDC*XXxG_xW1-C->?@~B7*t#sg`9HVb{F!-KNeMbHRP&4l?x)Yr2hGIF z92^K0A>5gnxXPC>#C$&`pgGWQCriL6GK`%B5(7B^e2KZ0nTFvim>h!qhaanKOr7Gf zn`Xu7T0=@CQ247>$$lvuI0?x~8Z&9w$#BOTEVdB`S=Sgp$W%2SaFPj+vc4t@} zNWeMdqnEB2`Wb(A-;}naK6Tx_pI<&Sr+$3Ps+SS*2fUl3?KZv<;DDe1tmbBSs*m_{Q0TXmPtWH_ zQ_FoLX>nOtU^2mWKU;JQ42;>zA7J!J)d6m;blq8u<$Jl(uV@5Hk5A)-?Zm#&IwdF*K?P6gN@-!)9EgyvkJ{KC%eJ(QvmE=3Q0@Sn?5b!lwV3{iRo-~b$a zCSwFg*4B%;vqw~)W~UfqV6K8jw5--u?7F-HGY221O7L35r&#h+b2ym5cI&T@!lR6H z$PNY+gvfi+vO$WHUeqX4-LIOAO^M>9-%xpCjI@(Qd6kdWgGd?OW(_mH=SwiM;7qru z;Y{`Etc=%$-Q;#~)8u^u`xaovUkU3TkEq2>#B-i3B2aD}kP8R%6(JSws1l4Yv9j$Eae``q7tQW?#xp%<$_Uzl1AMb7C z0*_jEset|~@$1E>qAai#EY1YXSzmH`qISftzD1H5VTLUc*S=DtZwHEAng1!_X^-CC z@(4c5&#xkK0zTQzk@iRAm@aiur6S_4`|io#A7KrUCs+y#>rMbk#MYb`3Qfw zJ60vSb_dl&P1ZAZ*zL8JmS_bcu#2(k*wOB^2NW*IXgcm6Ar8|VWfS{ zE$Jev(CJToJ95rLovpnEc}u!Wihz?_DwE2K;1;3aA}=kal~l1X@33}`CC2x7x*EWi z@Q1PVO9z`$o~M-&M)ugI=&p;dI4QO)s(|w4Wzm48FwuA<3V*jN%38iTskU-2s5^1k zT;WwDRxMqPE#df3)QHV@4PWDXp;~BiKi;%wal_@cB!d|G2HLs_cv8ZU&RIQ#(pXQg zMC=$=tOd=#4ctVkjEmNrcUMnls%aEQAo_d(HS?( z#CV}m@k0N*y(V9}AvV6M{574Mo|3x;h+El4HG{>7ePY#nu@;7G|Cv)uz*v{JCA2|_ z$2|(P*lw-qzibQ2&1rR`N-Ss+WvED46ni$r&>#ggw=)Mcvwh_ktS{wD_4i%st@jW_zMamLtC4@xBmrY2=ftUq1d(+i2!zZ5|e3zi*HlwF`H6{W_lV?)mS( zF^bfgMj+#FjKW}Y=6h5FsEEg|2{2Xrw;(&-Gq|IEFiM`DpoL`b`VcKcxC4RK{ojo5uje9HWP-ZHL>(c%b0rqE|b<-~e5a zW%GAj>?l7i**jJNky;75u0Qi%XOL^Ki6+5@o=4xomZk}In!#>hgQVdU5QZ;$Y?u|d z(&8@WszwPlsGlX@W_h)OYe1Qx{bdk<6%T2Y$|IK8Sd)w5(yG{{i0WJ=lesR$ypkj0 zB(U#G=WQ@m94h+rU@S|8Nt@~up@Vv~`8?H1e~qW4RY^bhWq)jm4*iPVnnq1^vMtAO z64|u$$?JGH^7|brq0Y1~ElkZYGl3u!LEX4(Y)=D0g8x5$QT{c-y5u|z(A_PLb?9ll zo(=F?*tBtd{mp?s=$WsE68_*vQdWu?CT(omLTviTUgyGEMVh<6%wU3=fL(!@L*0Rt zW88o$jM5%!!f+!VQOrelujr1H^`!N4UKGBHf`1&e2jq5`g){qLx+==b^b;~FDEwtk zO7z%$2NZrliQ_`7F2w>MVKdjYr&mw=v+PI7!p7Yo*O_|L1Bs>p`J(1J^R~wnE7+X+ z!aD;Nszy-bTS?k#Z&N?fHIxTPV}Uc-X_<#Pp|XN*Z@M>@rM*H@lv zp%7~#5q=9m=dV+Pgg*YDI?uui>NysXmSR+-_fXJ7Uno7YWi89S88Z-53r}qnpS_4$ zI*PSX-QO$I!}2YqjR=RmI(h|q-8gEQwvCvnJy` z*~zzLk2T1n;|FH{(NRfQIA49!cREjzaKyi z^3;ktx?CmL@*Cl8t;m+TJX*)Xjk@0h$qzQdRwX?`3VD3Ps6{PtVvF;FJVJIuai>(^$Ue}GfI?Z!(k2( zIrh#M+<<=eJXzC#D^aX<6d_s=xezXSnc5sNc;x#Qv{fzJOU6CGeos;aaWi%ob9)8a zvgA}kT~a6r&A|a4k)6yBu}e&Vx7S27>zup4-s;RNJ^ekuDQFkfu=M0y9jQu|=X11i zyJ_2u-pz?Lub}MF;bx5>1tF*3*(|$?23AocRF+Bba2D-&`S^Y}Np(}amg+NIn-E2= z-d!Xg#gQUXmA)C~WF=mOlHO#oRxV z+&!2cox}<6mUv9H@|B@WT)F^jGpmenoiw1Z?M+~(n{q>|!|uIRB?RAuTSDwzqCOcw zYEJ%Xt<}^g49xPl-F$ey6ZAL$zSy$ntw*RzkDdH2^S;{*vAFg8|L*z6Ild)%R(E-D zPe2O1YI#9Dp!F6YP5#O=bom$Zt@^`F;25cUA@oEj@*Mqpdob^hUr z)y(w!(EC3J&;>!2y*|PZ;p%D$Uf7urQ^l&Wp)dVj%&h^6r+3=PVlS+>51m}!HzrkE zZjH;+JQe!>4vZ$Y6JA;EHH2*7R1A4q-DO<$HqXJhBM-rdaqLg@SS&MNGh?H*!Xsm~ zTe|;kJL#pt6qAI9%A%D@`(1w`K5}A0aY*y)6`5L+P z3hZANfIKS@!_|}+Kfgh`kM%$U&7$}+zc$0FbC-4;qZ$9ZO8#NH6z0j(fh6}9hLc#F z5d6(7Zu!o%0H$3}Qvb(yBM78%@KwYA&k>`YM6mvLZUEBWl;}#s720S`u-&n*m@-1R|$cZDTpmLN+(KzOEV*X@#2 zEZPCRd<&^Dr>OLJOALON%`s`LKC;=Ar#w+TsP)u`*HJ}LJN zoE_mAOLI#<3z_|(Gg*og z<02rhgBfpD3^~42CBD0Pxs{RbSCf+xVRi3$oL;Lk$yuS*GhOd;u`b$&w@lb%34%9s zsQL?@y*7O-uIX`#U4c=>`V<2yvBKdCS=^_X-%&&$^%gK9UqVlNB!u~E{NWcXe;+_Jpx72Dp@~p3yuC0+Qd))>!h5f%&xp=pxZMP` z(X0IhPDsTuodU1@mUPuDztKAR{o(C}*(7w2OKFm*`&6!36UT z!ns!Z@xt(yEPpRt4U(xKyM~qW#{E8bPP#;%b0MR*jO<-Vw-^h@?lu5hqoF-cE+|`y z=!FD$dwf$9RDzWB_w}!>kXh#v-H1LIr2);h>h)*hPpJ>mil~(C$nOh9y zK3ysK{HMJ;;HWu#Tauqz+a*ZGylg$zRgBsw{as68!&-Ph6RW|3;t_t(jqmL&x$MZeh-~@>DMX|JhL5-w+er;S$+Oo4#kp@{w;aHo$qld>iJz%kMg7xf$Z#SdoY)E?Rr83w01vW=;Sb9ed+%T`i%o+*Jt1+Am2-*U z=dFBwVEg58Wp@uebls?W6Rh}`(LIUmK80^a_KGg_|8owS#V*t9d& zB6J{Q#wEWv+~C-FJ$D(S-r)AL_kNn4lBT#Ce(RGvsAsQfDiTY;EGnXqx33DE@Sx23 zk4Phx@8*-|^0j9EFDe?h*%jN_8y>D4fjBOD!XPf1$Rm~v}+#aVV5 z0e?f4GU&iue>3_zd8g;5CnkATR^1ccT9(`m_p%Zh-_mn2MMFOEwr8?}?Thk^g<0Yv z-GL$ro4x#Br1wfINTk0+jlmk0xQf zgF+!N$|w6>zs|FsRaN1hNcP%}X8?lmS6v{P)4XDm79ST}`AVe97Ckoh?1#rTi~X$R z0amVEr`MhO6UZC2NT)Qi{CcXhi`tebbV`P0vK~X6+cv@+}d`G z4l^kR7jEix<{sR+)MXcLfmCebPvJ3QW!DEADXYbpD6|E9(`wL0; zd%07?pn;>IV5UbS{m zbW3Ce@bY;8A^61R!A5h$@17Lr?fe)ugHFok_adX2I@mk7N=M_>wu73G+QP|FN>ZOg zMDgHs59^h-zUu43x5RL;_r_5LN47F%{w7T zNO}3U9EYt$t&F7E(VnjcHX%w;@5*hsJ3IELWJ5;p$1rifS;$5UVc!36{Rn}CEN+Qp zh}{}Rso8`GcPZM0oQqm?ZEHi*n3RV0JG%$Wp;FRa1Jeo_6&2zziU$ecjI)Z0cOTxB z+mB9R0)pU!4S{T2`+~*DxpWk@(RN+jZw_TGdz9>k&^)n3oH40%8P`b3iquF7Gr1QY zEJT?_X5Eb0=BIp4|7Yg~34p_R`!%FjnD#EC2Iyg@_a#mYV6QWE;ycRg&o-|!nYM1N z5uC)8(uhCB(_2A>^?o_sq7YRnJhTOx!NUF*jpGPX~r3`kM^xtz}R|+f?IDdxrkH7OEkn*}IbH1KV}Fm#I(rGxfDpdfVfZ zfaY{^T^g}z-U~TgoDT9*lcVOgBbINYwY?Y@o5;p? zJyN!ah!2LcoNy4RT|pPp^XPuj*>}Bf?ZrUbv#__lejk9qLzdUly<1(MsC@Q=vc)Gi z+HRZX9d0DCouUo+bY-P&9ab4+_CV^vi&y($RBjvcDf6qAF$0|gFHv(GsXw2y)O~a- zE(wpsDSV}OTu1o2|#nI-U$o2(B395zLw z=P>n0IV+cB_uJ%PBNQ%R>=gWh5>V95NSglk@~@DA;MueA3ms1A)meLkaT69cZv6jc zb(U+7h+w*@@vXn^-TL#*{26hPFZFlC#dB)i{CHA&(a-;HqQRDulo`FCrX^WE7d3~@ zJm3Tv2(6%QZfDH(4r3NmU&rB#KkU6<; zv$)Ka+U#LBU29S7Z~eY+MDtnHy#N7Ud_^4KyJ(8ohpbxtOk9;X-s}r(Wo;ckFdV>6VWCChEqMH(+X_ID}BfqEnVE1|Jk?35!jC%kr4P4RffxZ8%A<%48 zOT`4oQee}>V#n!;?yVVQ8E)@Ic0}%R0RpA9&5s`#HOG(EHUqzXdyzvtBe!zSIj>HPWdp3?Z!K)zJL)B4z`uG0iQ%L&Hs=1n2o}_rdiBRK& zfWNVqKIKhal-|esfbZ)tN(b(yQg!?93ojlBc(y4I{1;lIy#6Tz^}p6@F9%fnHuS*c z(<+FGtbQ~6YT&8^9!)HroxJ^B=>BuC`ug0o;k@S%0{NJ+J$F}O^VNjw?hNlYFw~qw zLsL&jz2FU97v7OsTbR?T1Tjj3l|shpiFs3UGfW9X%di2L0$` z#mj*%PU1zN8_VP_xX&Y7g7xGa2p@#MW(j( zq}BsqJYzpBr*y+vb0(oxFGw;_4dK_sJJ0G?+Ej4}h8oPDj{Ig_B;ubNHmF14SA{`9 zH|F{3XcJXdYk%XWUs0!N{6*;<+FN0?bT2`K?Y_RLw@gbPbyIeMylVjZO$a3Z_?K*{ z>}q3ykf^e_MJ{#oe+Qa63`9==eRTBatau`LEanmp^b{_AeJ{<=r~!q)u?FWrSfM$P zminPP_r$uoiM+^h{oWRM^mMe07{KCEL%*8NLNq`tg6{0JiyukDb$L;++(f)gc`;SE z4rV`6xYBCsgp6sHbYnq#l-hexX`aMqT%(LDRhQsHieoipMQ)ouqcyO6kE4_VePPO@>UZ<(9!|3w&^w8*&Ne!bm*dOnt}2d!HkfgguM{V(QSWwaDs}yMEx?Z%#`g5=BM&fV3#iFf6>^);(8r%eq6QFX=68Y3%NoU z%Y#8rjC}gDMKq@qiFnh$vp1A$0p&ql7_)?Z9RTJHoDcCLud@e-d*cFFnZGiAdt83+yIN0Ly zi}Mm~pfp8^dC=)%dpaH5(9WfH($IK*WIk?*!@TdPnQ^98L|c^=&bSDlE?A zYhc7B6wJR;;a!6RFC-U_mN+gq*-F{pW6FN{;u&bNg}zcR_GClHcMtL#Ntl2y z@f7mvC7gb(G|HT8>uMrg=912q#(vSJ-)xn|rNeF(t)*&vzNlyiuVuX3Om38^$U{6R zdjzR`U5)*gwb_PS=4|t;`>WG{ZS5{vAYU`}5^Kb3#2gC#861V^Z9<_-w~OP9`_k)W z7VWd(U`q+Np8LIek>e=4t%|R?i_zONl3JVF^6&Oj^1}!!xVM@z!f_}Uk47{Gv(BXv z*NUwyR-L#8)ti-pa<>Dn@7CWYU#maT`-AZpx=CjD&Xl4?DZu5CxbCBJF$uV=`}7r> z=~LnsEautT+|%g)YobxqmERNhlg-NFNzkOjQBQ{~Hna&#d9;EnXIAM`B7%gM;5r=g z#&^+^In3+@zP^vl&8;=&kxI}rGim`nU?=Gu<%>otO--i+)~BSt^HY> zsn$&t9e-=oQovf7B6A&p#pw$1Hm>h^wEuoymM3?8kjJ2WGx%AjkvDPM?RmV8`K{u-6!6}e;Nix2tF0|TG-_ipL`W-V zin+w|3?fzQK1YvEf62C_AvBv+W?1o^$ZpP~Rmh=gF_DtFZqN^q6Q6gLsk5&;F!1t$ zxr@A`+SfU2Gv#8N^ALDyQnCcx2F%{tG#95h)Q~isrb_-6>qkb<7TGsk|4b=rT-D1q zOWoeBUK=p9r+3@>CVqKdkpgxqevtb8mUU^692a+=^&Fi1p;yG*zOCIK9>fl6nyqgt z=R7)h8j(^*$^bDiUB(c^=umBam}ICdjk4RGl+KCf8SH@Sl_G}Lrq%@2pJA5QZq(oq z+K-^@7PHcyI*na901RKtuy+*rzx%ImG-b$L9pnW{-?2TsLCrg2UA{e@iik#f^k)Fr zi=^o}o#(AWp}8w%;L2jPhZS7NNKElV6ywW5(6D>X!&^IVix!4R ze%xux_B=CPxHx{8q$fM)nLl8^RWaYEQN>*+`}wW9O{Mknhju0tLcX6;`zm!m8?SmU z&x+WjN9Y!^k>gtIJ(rcH-Zs~8!^+vmr`Uw0(wj#E0Q~F3!*BY!?+{^QG2geR~01w@Qc|@YbMX zFK3yu0NL{LlM;k2e?JnjU6(h@r*qO{Ewlk{^C-UK*`tgVuLRNR(I$C)$MByvpuUkee0){^GKw zjiVRrS@JC{EL@2nx1_QGYS1Usq#;u()3)_6YO#Wx)ybOJiISV9(_a#g z(=X@QIDT~h#CMqP;%_oO^WA?FXV5=#t+1gt_$KEMm6b}H9NjzGyRTZ)nU!W*Y@n0y zID84n>cqmCn0(E4{R8-P)xpmBw6!n``nk{XnJqDZ*zxo?sYS@T3Jh=l5o8bM!|O1mZq;e*1QQIC|lRfI?41(BKu&ZipWhMruXSEj`J7?#1$o zU)krIP!<9AUHEj3+nqXeEBxx$pk`eW{?wRJZPD)3dO|*? zpl!O;e06sRje9ttQ=~t z!Od@g3 zm0Ff?l=OtAk083~Vcf7CDhaIA9s5-b;D z;Nb8fc33_9cSM7M-f=zhdxzO766>iuO(bh$NlVIxXiYATdwwSWx&KMoDt~dXIiZr$Bn12+l~eq)lLICaLnz5fnUF<; zkewM>3Y{pgYK8`3O@sg*!;h$K$zPm(yT;O~+dnJIckHA`0x`asUI&4Ue+UU66S?o` z&}#DoKhce4u(14~7p)uuVU?EfnL_eB*-C6Q-^mAw!deyTn+^r-*;|wHH>Aj$XdzP$Kxugfy&b6%bDhhdE#r7B*^IQK{rgh}C z#yXItm@4#b0`zDO9^AlYOz0^R6aEF|IhjIofRjk?M6yU>2DOs4!{;H6iR3@4VOKv3 zysxlWr`(gd##m*>?%NU6oZF*+ha(m}yTFO7g_5%4%><22z^v8?D^I9P6v4pPOxWm? zM)!3_bl?*9aUsI}+b`S@jb7?c0wrzL1kwr=#bqUwgKQi2;KT zGmnbU=ocY>*yYQvJGEq?DuzGQ&ntY+4#TEozSVtkL#VD)>AeFP<0VxRTx$uJhMk2u{negibYO@h>M3!I!3+B2tc- zcv`Rd9@=AFMe_imx$ld)gyT*@BQHM!-DX}m{y${BbySpJ)HY1FAR*n|Aq*hhJ%lLT z4I|w-2+}DbFtn7yNQ-obG=ijbcXz(G{+{Pu-@Cpwf3X&8&D`fcXP>>VeO=d~~7Po9=ye zVY@=$q|e+^yhhDBTj{6qjfe;O4(M?P26ba3vteS>g*CDsETVy9tX5ut1Ubn%0LfqoDfVmd}fWVGOLKHaZv#41R85YG{ zyu;n&R0qIw&D_IXISLIlpYq`GztL9_P^~#0=U?U|d>i4w zf9qwo{LMbMo$1l$w5$EQcfVBwtDsfR)9{SsyNf+>`&Gipx7eAPQ+#Sii!IuKZ3YQg z@0vhcN^hdxbuHdAlL1|F>5cJQKA_?tF%ka%i0>@%_RiIgbeEYu>|?1GMkl@_3%Ekx zAPE&wEKub53k?;q=4U9|Toz2n?mTRx4#w_C%KMx= z8!0b8L{#vomZd*W+Ghj@_|;JOnbSaZ%%?}zD)wJ}$IhTSl|S(CB7e*?BeOyurVD$$ z?*sge5j?lEPwQX3uk+0)|Bw3gNWarujAU_oB`vn#^L>`f2+i4irAA3w)CHRH{zHw% zzx|E_G+gZ68A_x|CD7RjOp`!eU$2qWY24Au>t9F(6dab|9i-0~T}x2GLqr*b2VSmn zZpNaG+&}7tXN_ya2fXy`pCh*e{$G($2Wz|}Tv*kPxi4ruC8MBvB!!B0|`tn|o zB7DNoC#;c0AJYdq&e@{C8=J*OuPjDV7}1-lZwy^hJb{utTuFc?+0HJN!; zC~YPu-JSdRMU+7LHK4E4>=%Nb{}QncKAQ3KTu;9LS=EAEo8%6*0waL8J)Z(Q@}64F zTvYh4;)Dcg?ygGEX~tGgF49MBP$o=%EQy4hOul_PhwTOR>o`3t3n~pnuAZ_RCcYY%|LrB2dw5ksDuUsdfMv@ADuF7<)7CrRb26k8QD4kEQ zE2aQcmKy^pAh=`Nif5RQ`)P4_`fQEH>0?cCdKoQzr@1WtNl7FfPpGCgPu`=0-U{e~ zc_3`k0}aOPiWFe z9j(q=nreAWLObUzt-lR_ zHlT-wlmZ~Wr3i*NCf90KDH&mTn6)ekYaDJj0P71bowwViU>32vbMoEKK`jB=slAt>p;*JP|WPMjpLb!vH4w1g=Z+ zl=MCfl>f9WM<_J`U4m|KJYBavPD?&bNZOxiT=FDf-RC}?Y0PFoxqm~SMkSxz7l)Ug z9+sZEB=19e!C}2HUW10pZqED?jJ|9Ld;MNuT<`QLhv4FDukwJXar*xf0(${QCE5Qf zHZ?8anAw`t8`V8OsfEmw-L#gdN01oS%Aq-6xx-(K_~mLLN9<{BM+Nsdy-T5MjjWlk z!xA`RI09Y{+oY!Amo{ir{}I5@^#wh}+L8Jnhkb zc2uK5@u}6&byVEDP5dbCyC+Acm-8pu7@zC~%9(Jbx?LHN^K)3dv?voSt z9CW$i=|bk6*K>0(0IspO$O6DnPWIGlRP2OhnG;Ovsu^X4@KP+p>`c3Mkcia+ufKZC zz7KzzuXAr&oVwYhj~~J&lYa{?EYMGOH_~>agRnQ9zB}J_z8{<>%-f-PI%z)2=Jz<3 z;xCp`)XY1xFTrx!UHrxYRX_|^K&)m?iRR!|BMHy6LaWjEFGl@si<_VjWvcE}F?;xT zyqsNQ4q=9KTQKu4b{Ou%qq?%*c6DGTGUYgR4#wiz$tb;=?go1(#Q<6V&@=>bq%#$) zM45RG@TCCpIu1Oe4ZwB*D+DI)l$b^g!0m=0q4W;Z4$7YELaackw#dSLEz!Ahs{Vb-a)5Q0aR1x(5IuP2XE+=_mb!y z+~{0Db%Kah4K?U8nWObC`)E0}LtFh(P$e>7D;=)POgN&I&SypBzwm0q;#8Vfp=tvB zW{9&G`giU@H#|5e?!ZXH^J7DP2ppysL8Ucb1uMMBQi@sfb zzqbMZe>!`>=LO*YoH1n^D_1jnxN%}$BcXo5AF|D52~y{d{dipcS!yd5n$gclk>4`{ z8>6S(dD8bXsCMvMDC(EVu}d_Y^Nj1-*!o%3Otz?Sm=lM z{7iu4g}=E)Z90F`w+s%vX`&D$KLWJdNW@A#;x~Dap$Q&QCodb~->=T}ps$}IhVWOA-RVu=P8x5(yyr_%w$~xS>4nm$0{usY1yn6) zDxY1o2-b@z#MzswpvC*%G*paX^M4NxFfqg=7y!$6X}ji2$>37JybLvFT%FbP)p`o2 z&oBSeKhP#%nY|q{&iT&>8{oqgT4K9eXq>q*UP^s9x!rX2;N2TH1AoDt3-Qd~XXA1^ znhLcX`Tb$6O0+F`groFJennUdy)~H(8ZaFOm`%=W%AP1X^`Uk5&!1sF0@O{;jJVw1 z!SSDATh}Q-OLk!ykXl8A>x;xA0m@(AIAOgg!k9Iu`lx~bxitgW@~-^;GqNrUtz^D* z60cocx~r(O2$Sy&EFvxrsz$|Y3dy+>Q_xlhZv8tcah9pe*zWF_{Fd6 zW2R(2!LD50UVqER1Pm$3#3-|`MEY36+~BJw!Xi?~zr7E6gLPbTNM8js{-~KZ4d<|~ ztBb#cJE%{ryu{Jw{zNl0=(+_XJnYwD)2njP!Ux#i|99$)59;|m1n_NQrB+o0nt@H$ z`C*I%A46REWFyz1hRY$=U{{Sy3S! z4Qy3bXRq8eSJMiS08#ZpT<9csGayispL{sR-2vK7-ApSp4JaD8iz{Z_bT8VER!@YE zs;ibkBKIaHK2siB>A$p@A8%6Aa=N!nY3?54rXR!tY->0Yi>1ujmn)fZz9e>4J`Zta zvZ??29M(1PpOZ)h(mb6-x!`}M?#WIEOjI#UEmg&x^V39cz6wB8YVH@ARdjB2&~`-SJ=-@In>bp9`l=9b9}` z5VsWdJ_HmoeRv^4A5lU|DT;F{CB>Qq=iL1o&E%n0Gnntu79640jjql7wxQqP+!+F zdTyhx)r%MImRvrmz zO>qQGeP%#^)l8?VyVb|GN7l!2U?yIoDWwA>q&@hC0-uZ+3(vcMnmf=Yor?A(Ma#-hI>UDl{)= zJ@n7c5SS|E5)o;OIHo8T+vA3h+F4l)&a7a8gox*um;=+-NTHB%c>fO#H)hvD)k_fj z)bBP^XVK~IxKRC0j(=Q+xNwlGY4SUwbbmA~GnPMoR(WaaKWb}*x5ln7YBaoCE;iwZ zCEnQrCnr}+nQeh*=;s83KUy!oRRnBsw6x}4TO(Frnt=fnQ=~WoS;h+_k&8qKxWCU4^8IL;pAHdGaVYSB6>CqpeuP1<14!*N6?KVaGu_$eDQ&LHk~msMnZb?84Ms)|xw9PaBpe7Hyj--)_P zYt80{r~vnZx{&eN1Wn(VE*G{ots)kqCAi7!w*@W=gk0du(&?_eeHC*o`2M9|=fkMS z#!O#QRLmC)SHe$ydZcS2+S&MN^gmfMnpT+EE&n43xP1e1g7llD5$@!wQwgSw!8Bbb zJ=3L`W4@4g05ad-M}6@?)kyhml53X!Er20Jns<#Q6P*b{&o+l8w)XkkVT*>hmvsW8 zp!^7bXasIvzeyg)c$ITcF;c&tc2~SeWih}!D+R1=&A^J|K&J?BasEo*2iA)g*r$GP z`BXpXp}!%Uh0`F`1(V}ZqV(?im-qcm`_|t8XNdf<`yIg8n`osnU!}?dDPQpEX7O>l zl0X}cG>=fhdUGczx+*6P$6dY6fTz_mGwHl%a-1~Q--#M;Ypa-@n1+(nfEal#7YtaO zOA(e6AW6SChq)06Bk~5E6Y3Lu)M&AHvygwh7*V`k{?d*PiAWngB=%jUBkaBp9;TIO zSvm2;RrA||!6+7Ut_B*ma+rV~?dDr(pDCil57ai<2XqWR74c-m=pErg=HO@o5~|{C$4j(k#*Ria**jmKl74uu6D|+ zYq1VRUzg))974%;Ei?g}%;yB9tJi(<;PTH~0(9qYb|w8n7nU>FU|m=8!Jv&-`zQQ^)G6o1cpd2%*@BFob zJv*}TX^51w^o^p##c3=e5+{8@vtvp}1^b=Cjmc9@mCa>!vBeU;-VKAUR1Nb|Ys&iq z4;vixAyP!~rM(_9h43Uosf3s6%6-|=OKjU*qDP)GeU|Jfs z06Wo)4;;BMXMY32Wb{CTL}n_N3-J^-eW=WICXbEmqf?&`Z#R>K{K;j?hSDuj%5#ru zKSnQIDc2j@Zc9BlTegBjgNgwXIpp+5N~HJ7yg+G}i%gpB2A`(B$(G_~o$12&|RqanQxav@z%Kn?|UBUAH;>fI=_2#HPA6n<;BIXb3MmuWe*d& z-sGXWSWO9H?WQO4WLz0c1rJ{>i+Y@1NIg0_%z58>#6d)SKRfg%ogkx|h+RC`3c+)A z{O$P4sLCr82cXwfA|;PA1RU?_6NAlwcxzpWMBcMAF?r!RDCn3aXnE@{c{lsaurA;j zJgDyZBRRF>&@S|6r1@(4i7J}c3?~C?J&ztA^mB;u8F4m(v4sqofZP=Z+Dvpu`lh1g z-OoM6W~HimoBtL@#RzFG+hCpLiohkiM#YFldj=X9F55}5mOKuW1=9#oXC2<#K~GW| zaGDdY%5D4g1;-{L&Z##jF?oeec~!+VyXZ`@DN^Xl&5dpDykeM+SW<)us`T?8o~grW zyUj&8*+Ou(q<64y2U{s}(`3SCIhq7>{3ulo)=agvC+riTeF>8zxk3es34_edd^Q)Q zVbIAtLGl+|Qz^9#`nNkNz!ESh#BRUCAO1dRI5^FnaXmEyz{)y0oOi`HR$Ew9x`0Vv zIMXTt@okAKRfH$Djv9Z&om*I@9^FptWiHOv*q07c?*6E-%xJUERmT4gR}4xm&#?Ho zh2gqf@m6cIU5MjvMI>U#DZYBWVE`0@cKup)@0p^c>5NN&O7{nvw`Fw~3QEpZ#UAC5 z*FC8~dHPy1V4vbB0b`!1y9hZUi7gm__p2n$iEV-I6vy?ZIgMah=hQ_`%S&G z>)e&age;~m+|Cb`#o>)NXEr9j)(L`e`;CxLf37JH)za8sFOzvNeayk05zx}V8BZlo zW$~1q9wFy6jZ+AVTuN%FoNmDbu)DDW(W>qZfyh><5B%f-p2ZE3lOla{m1Ln9P>;l+ z+t|q{nu+VJ2MPQKg#bHyqBbV^IaWL!wp1u z5QU`6PBy6m{1wVvEx+i#qlgkbasSlZy_LiJtaDera@FAQW8jjC8D|Il#USc>Hsc z0&c=kCiX*Qp^YJL)Bxo8#mVK_L#KgRrP=VmTR2()ScYmn?O&|!ZdAWi>1sPoJ1P&) z>{ATA^}eM~MKxXBca|KHIV=C1u6VM}9XdKR5+rB3a8y)Ie`*!c7Y&?Se~)~pp3YnR zIl#W_mxeyHz^rEMoyV!`in zD&xt}zyN31!^FaU;o5f83~W^ClYvZy#8KNOQMZ4zfADzew9uGU$9p7BU#2qYE%gXJkzU z0lpd@P0%r(Mnbi1=+-X+#|huRM`PM(fkH>Bz|NlPV)CnE0u}Vj?O03do|A~z{mQ0u z&Kqx0G6U^1?Kzx}=!>m^XV$k7Z?J_nW-zxN?{Hron&HC!G;e&3)N&$FD^4zB^xKss ze1xLVArj*&{Tyq#7PD~PkV2Ah>azd?TkSm>u^%BZkjvLUPN))d!Gbq4!M&V6;pn3%UckOkNOXg)4%n2(2v?4zCTrb&-)502U{=5Ud#_RA%1DR6= z9lv>nr~UCWXiHufF@)Vnwk}KYBk+jE+`=?+7JRJF8yZc8 zkibdrJKV%0V@2njJ)aF#vI2hlJW1OF&$Ig>-pW7zv9_^Oe9x=G1A<@HjRR(?BDRvD^JlOwe4DU z^G3Pd0_-PzZbV51nQLv}xZ?4(4!8uZ2t)}15T18}j$aCYM>v$Z#S0y<%He zfIxrr>PqT;vzv;79&^ojyzeIw_5Yq1vb8~imG#+3mtS~ocDWrEFY*Z7k#`<_bs7@- zTHig-7F(Kj9q>L6jEqWjH4xt88vDuk4Jywu(&`b8pha{>x&i+lPVcy6f?71!WSb_t z7B7R>vaI=OaCX^e6q`;{!5w4exr|uS$GG!1Kd-x(3bAIP5|2s==tuieZNDZa5{$8j zYwuv=HaVYi=aqnbpnxW-ulSg5BzJyxK@WML2vLYmVL&?i5zRFm8edbLt6}VmD zc}`JZ8Od{&`FwK(aQ)EQRI!I!>Y(}Ld+AY{#ysV;CBMz&)?RADF7OVO7QkvH0slTLT5ESXC`+q8wvbXauFQa-8nvg)rgvKoc zpzYSAJo_|Vd(k4GK=Fc&Ogy8BG}BhVoy*k359;f((YSQ@=c==6^r^$)?&=`(cCiUK zk#>f4LlK|;CnX8#?Om`vu&|Nss(-k9Y7KgrNM>FNT3%Ki=9(B?d>37vgl$yd(WXS^89n_2-E?C zqL5rH_N<&sSsh8Lq32-8I4Dz>w!jsX<6t$GL zu87)$*OhwO+eL~yVqdC?y)GcyospQVgh`Zf*jbDPRUZ)I|GjIOue2Z6#~#y;<}c`k zlK3Wm|F#McN=*Bkie!pH0lAhF;UZ~`-(=@*Ace2$%dKqhMW8(Xv(+egWS*QVwWB0e zS&Yx1;87R3Ik)?5145z^0NDo%Ju}g5xX;{7&gSqi0tpI^qh)m1(nFsNglNT-TzK^8 z+`dPunGlCEAql;R=GS1!y>+BTc12oF=E<4pe>+kr{T#DgmK+sYkC>q}-nTr_zy6rz zQi)QC%89r$$+@AX!sh(*Zy;7_h%SELlGFoZ)LA#pt-^TS=R=ub=8*U!?pWv(V@ZV` z{Hw>5O1^(7klcD`2a zFTej~^NIh3V?$@y1Tu=2V-w}g>! z$yvvOkFwTF!DIr=X5of5#C-&)g5HyT1nySYC=fC!w8ji(DchOiK;|^~&QT8zYa8F> z_|-q1*5h;k$_Zx}k?uzL?~*p&`tBlYpR1yd6d7h!Scr*6EfVQgcNhwK#ZmI@11u^w zOD6OzMLqX$H8qE9mT77mGqBJ;UNVdrHMAJewK_}Y4aE7L?5)WW~ zw9~LhB9dbVi_LO`@V3vXM4pt`UMY5KKBFdZ>h1*hM>YOr9qbSd#%^w1$w_-GPUa|K z4LaU_w)Z0~A@>BT!nsqVTp=d8vhmg3CInu)fr8v0+AYKD^=X~Cz;q887cJnW&;hXu zhe$NXzrC|bPIpzT#mWvtlUVD4c?>0oFE*Sa&?=3?qr~f+53wRKRhOSpurvm-`y!1V%k@6 zbAIqhhM+i8xgN?x222Xem&iF~iD^3KmnQi1{>A{4JO}-q)&86P7bw|vOZhcU`2tM9 z*_(+|B^*jiu5w9Uu{kJ8l^Q45zIKy>H^90kmnpYs&C^Jh^t;08TlUlf)Zi&Tr4CfFGl$ZC{>)bZKA-uG*%KTt*ctlzi0n z-mX(G?+ue_{s?<9#^}YuGvWJ7?o~zXIMG42!0GnkgRSOQ%}C5uACv;sf30 zPr{q(elPVY10Rwp4RbN*OSJo%<0D zn68qUayz9e>N(GsPP3B~$u7)=$rx#t^`axU-tMNxD#lq%`zugtH3#cPD*$N`ha%zc z9~WkC@)d+S9LQ>_vxK@CB`F^ue1~EWKl}Qjxr_pFX+#J-#VWh=aQ>5y#qS}fL zgslHN*S!}4?avpQr)=E}O1)bW5ed5-&M=B+%GNXv&7PenM>8K~HvmG;;}w)d2d;O& z6VDZ~ca=Zz7yTg=b6fp8?3z&{u)EquAP~ zwC;-^#*Kq;m%*a2h)O` z*fgWJ=H%mL-(vB4-*)VfZb7-*s223~AKmRkxOK(Y)$5UpZ7Dx5{=R>sx;Ih2^LsjB zdU~nNZ8C3H_KI5caV#y4LErIgQ}StLX9++V3tDEdcT)`F~&hjZ_^@R@2&fy0~G%gn^399$WA5W}7 zR)vh*=-U&GPh49RmdG&03p%$6_-dzTA_006~0yEX960REL#SAowaPXCelE#7@MO4?Rt zrxmLFgW0Se7?z!UvWyO4C(Mke9d_QzEd5^YDNg)D2*`2fx;K9p+4e%D>nT(4t#B1H zR6yEYf%!w*FwcCqucq)cf$ZY;$bPD^Mpi(_4(t8@09YPJi;kTXmO2#x^s*D=#T6FM z+Q#V%;8&ox?SEL*DMhV*1`kAA&su(PM>(VF$L0XhK_!uUAar5OA_OFJ>I*`&h415P#}#K zxohh3FK~^FJEu&3+)o`hLABe8^n2wW@3-^v@4nU_X^j-~Xr$i9LJ+#nGEUXsYNh zr|eRqrGqP9f`G>gkHUwP#)pGCimmpD;GE}uUS}9WuGBb2b(!Qq!5E%_4mj;}|X<7-T6l9+-XT=g&|1#ig) z;Xdjty4y(anQ7flLAwkWBn-)KI~ksnJWB9aZvoYfW%(8QhSm2l*ayVfFOdsR701k; z$Fz~lD6a&fTdhE>@L2QI6VKhD_bmwwH)YS*;ieNhih4*;IkK3M#1@%;yJ?u|?;-(1 z+t_aZVOrpoM@HR^~=?WZWTD|f(vu!XpVY?a$Zrmg$A`T*CwTz`rT+60r0vi_YYvz}D` z9TdAHo@*&#b;~Ord|#P4Bq2;Njcb*bxYQ$9_-I$51KO()c>U3kjWRn{tfUlaz9JTi z^!SN+E_umB``V9MEj2tvn7=!Q_qE&_*_X<0Rh5?jHhE0Tg-d4SC!X%{>QfQ9by9QH zPKe&b3EIr0xb8rsL9f6#t*CpPPal#|YWY-5sEPVeX^KdkMh09{ zkJUnt&X4r)99FYfKXwqGS3P;&T!PbvVKWSV293+)tij?GVmyL&6vIsbhP><6akI?W z2*M0wxJZi+>JI2q%5)j`J@}qz>n<`BUNEsi>@@yZw|z$5_tPOrh@H?WS{`cgbyWoi zrG{(q2?@9vWsO2GmYFp}7aDr06Z1LiR~?DC1|X1atrT;W$!)nEC6kQUH$Ptq6RV2@wH%T2~`z{)43nkQ0<=V!sCk)&+(F zrccHFV(YY+HF(R+jL>^00>1Z3lXZ)c8Bben_cg!+9hIf0@aI)AXIaJ2tTHe^M;!Rz zNlY+FLAKk>&u|But1Q;2X@?ckv4B41X^n6`lC`i-B)GpvquDTsNL?Ek;}FSa_ZGjo zVwzuY3N8Qe8ORehrW|Hfm4N;rmaTM0Zb%$U_RrW$gL9jDC^p)5b#1O(Ls%wj#_C8n zDmlg?{qN7JoMA>CCgq3I&yHH@YH<;{U(ra@Y{UsV<`{TbB-s%i!Cuke=_0+RjcmO} zw}d^jl?W?XvwAtq(MfI{))Hvs( zLF|i41|pYa38=;l0&MJ)8QA5h;|he@2dgEm>U$w6KL0Auwi|`ME5axyecl3$$)y$@ z1^?Fz8I#^!97WxIU&PS*ay-wUr$;?_U?5?0_|a#$@5ov$lNfq^(no{vt5X||tzrEG zfmkSdc08&C)`pCnu=iBd4-_u6tyjG;0AgY;0Pz2Q9i)bC7%5WEo`?WQLB|DuuI5)} zKBeb%q?ah`4;Mlv?d}X8*HCePuV6C|*Pg+*o)^+Q<;{yPc^hfz8rvnRn*D->79=v3 z7HA+zR3mmo`091JOm6a@rBDh{Ag6O`)*kbKlgclAhw^7HAo-U=|K+m1Lw>g$I@TP} zydZlN&v1N|<9<^fr}RIy&({g74L%6>{B7M=)KORC=WRW zTAobXi0{(q{+4GJnljz?ZIy?5%^xK3sr28YAAH{)*2Ila5b^mi{~5?3qKO*5lj&mq zbOq)aONONrW0Fxm6A5@jGd+Nz>3f^VA@$Lb4x>@!E+nl+egKOJM#yP{Up+O6v(Wh1yRE#~_+3w1 zs;p`~&+z-yskQxWSXlLb|VzfY%Nv|F8`O2rz_cku>MCGRF zvj4w5DBe+I1bwUWLEN?SCAW!GGB+MQF;!LjstcQjZz_6zMy3cte5J-tmFd#OV~vK5 z5jIcG@%_Cobae-sNaw%X^X-$MBc00MW_}%!O1UTi4LwQJTGAnJMC6DP!jVh4T_!+J zmu>uWX+SP-{O2u>T%{3ru$XncJ2x4Fd$81Pm{hftuR1cuxgntX|AR)egzMn%w*TJ@ znpdHj6B)bZB&U4lE)GSu_(c~)K4U2`2h63=4L$5C*+EUaEIO~+rrp&vda0;X;rWVV z28R(LufJUbMHMmHBH&uDo9W9)3R|)v{gYv{T^$}EhJgi;5|%IeZXNto`_5@1)|bk$ zpK(Hva)$G4n~P`^K*{(+K*O+C47b~y=}+c$1#6|fVb*tZ7peblTld}CGbM5y#b#(3 zPBdCd^FZhsybC85#J!TZ6ut=EQB7{L!0rgWda4N4n~0cb+6I&C7n3Ca zeyV>7K(l9!%x{~SqCwKREgmLmT~eBaE)(9|4_;F6ou~6wgoJKk({1Z`lgC62V5RM* zzvFVS!0>ral0}38ePdK5q42NyPK)z9;(oQ}V2BPEAVDwX1lq%j|JuW#w;PZ& zHJGs1&&2mHUJOGO}89BJ@8{O<%p2Qo}&e zCvhIB{xY#Y@je|YCL6Qk2|rrxm~}eR$^EiOFdzm3D>s?`-q(bh$F|DEl{5BuK3L8K zzz|R0bE{FbQRrHAQ6&s;mNwc|%zN=j>2*-?8lY{q57A4~)xUpvh^c zGFIm<7}~RaL#@_YP-Y;wTrZi>E~G4qDasI<2vBjbR*z%gxVTi~l~E(2bVTRk3h;jH zNqkIOV9`LEscE9T1(gjNz2x_d~ul3)QaEOAd;_t@uSIl{~7V{m1`Uj4}*Ytp3aIk1yEmXpQ~F z4$81Y-nam{GB{UWWQ@85#i9pxe+g_sJ={uWnjoWJI8|)jTf)j~+gsSsYI4H zFjKOZjK7JRVSzOVYw<%(vBD3jsS>T>V2FeQ+}`{WXdsd|oo39*Ti;(Mp+HC9rSP%1#>>@m@|KP_ zV<0s!ji;G_*}ZPQr#oxw4B4*p3io_=*`nc8$SY6T=otf6EmDd3O7R$V{W5iTm69J% z@(8lAs}~EfOgl-a<+evk1ro95F&{hh0O!T=BEZ^xAL1s@kAOeuo0RUdfjr@p!7bOR z(T{O@;!g>cWhgkhO^W;M)~)c1J97Dl@%7RMW4W$`)HeS8X_@{H=&)ga*`_JMx z2cR&TU(Zj=)kPS z_eaopITu<$Z_=C>u|xZ_kvfw&7Ro~IK*D`}o#TH9%>nH_3};RS1^JM$|DO8&#&Kc% zhw~CZQn8iF-BS&qGH*I!0Pr>HfMrD1*e_F2mUn`V2BLRsWNiW0(pE5-IvIP!U~D5+ zeUyLQ1DMuPkFb7HIDsPtg{DX3@7;Fqf8eewDm4;YP9_ky&G+dyWGq#aAO>`!7v1u< zn*jP8{&f&_t019@lqk|HaMz)Z^|usZGx}8OFG6E1N{l~YF_l0PntY0bhWm9|Lu4dz zGM?5j5Hm3!~V!$Vdfu)#O)%)r+nq?g!aMl0i~RR9u=vjqZ>hU+0RxnGY4 zrYvlj{!Lf&M?@*4DeYhc$LBN46ccm=Q0neAB^TUE&8JGbMFmtlnF89qeY4{v(yC&4 z?1d#46#nwL5Jb1PYs*6=`OK6rv)zNV(#GFqclJpYO4EcKSK6N?OP9Woqxu(v%hfxM zew`=gQ=GFWlr1cKtcz1gDf|q$I|Xhpcku}0Jl!#g;r{3sdW1py7?nX4&JoZGk%yaI ztAlgN*T|L4750Hn?KyYUvX|bAGIUijB(O$cE!<6em1(fcmo8XYOLMt;s z#j7707-!>zVgfm)eba;#;SV+i=Qrr1b8&fD)Ow0Kc3S>ie*7sUlx)xv*lAO_NL~aj z?USTW?cS`$FV8&IcK2h)?<)nj!cpDPAm3FqJCayLCIl98CxYJQ`sj`Gog&qR1_Ehw zRNG_Zyq))LFqRwah-xB&b5f`*3#>siQ~#oap>yno2n-+Q0RS0nOF~SbmaftSsJ}2my7(ZTF->tT6uDpHR_vOlXHWR^fW7Zw($=d_k8C8L*YvK{rE8ASlol}fV9a!fLBz7sr`UCfA= z5`~)^TEySWnfTEWK2b8}E|8oY`dAY=Bz9kleuhczKTL?1!V_Q4v2W)w}VDg0+HPiTUE= zPjy%KpSg=>MZmJ7scgRq&6S;x&ycpX2b(+6|Hcg`F()G=uTW@v0xI-5c9txDI~Y(t zv;ZB81#*5|!oNh^-vL#{6z(k*Y+yaYEQ)-_AGF+F=Xb2>)qbg1S+yI$t&XWbF&KpiEvSu16%8x=VCPzJQ+c%;h3B2@lNgQkyGLFsRDsm0y4%}w16t`Mw9@}K zR4hD@E}3{H%|<9&$L0J_|3I)zHBx+keTa?2`t?XOiwn4rf$R9a=xEswmwV}anb#dGJYr>X-@*PGyMD52KjCV|suJfd*Kg=wl* z6Z&wDxXs`c-X0{a z29|Yf12{;c5IZ(KmjN*M)YR0K84+@M)xpQx#utXrA3?&lBanoL+Y!emFKJwSGMypu$ zaXoG5$a>aVI4v~(-T%Z@JV%UI+W&{Jw~VSP?z+C|?vR#l0Vzr8#zUucNp~Z-DG6yo zkON4Uba!`m2-02Bg5$>mf8RH$}{mM7a{@0r8H|HY8%tu)d{+wF#+)VQCE|1N- z40zv<>>cdxb^_1ap8NBRWhW^7oeMZ7G$7z>F>Xfh*;8ks+a;Ta61Nx?n79X7gfJMS z0IdkKtGe;W>n$qZ3g`XQqS=NePE0!#mNS! zA^CBoBIhdIBI}N(Hm~_^82=tD1z-K1SR}Dx8@_CY&m9X=ge-l=z9qE@tj+Ki5m79( zCD+lx7w_r)sdR)Ydz6kl&&d*1P-0e64^reJbN{@FJWe@LB>e(_LcjK zFN>}q7;nW}`!)`c|M$MeH2V3K=k!uM=!SXe z6;EzO+_I8*KJm2l%QVMxm}1@DnZLMzA@RjSXovC3e@yNvRAn5@t@rbn2%D@}2KI@P z5WSBFibhv}dq12GqQTxVa{7cve)i#}=cvxSxADM=%o@w5R9caUO9F(U>1kijuUrqQ6yeTagp%2p@+yTzy3>%MowipX`-kV2t}@{W?r?l2 ztowtuBANU;0i?$19YH^=(9XdVw84HgU@W?d>$iIlfpY_ zTF+piZWr`QRM$pFHq|MU(cpeUP>tU1PR}4uYS!e*sWyUW8Xf>JBth?!G}~U>U7F;R z^S_4rzLW|P4brk>?lVm4qug^os8Ow8uuJLK{HUUv_ZdWM$+VXO5#SBo{rv-qs6E{y zIyF&FdvC+2q~|lXQg?%rRxJ!Ov%TTXyNxPiPXX+Y+1zFwZzht-8fAN+7-i#-Z}+yT&8{GTSL`-iR4Ibamu+uK$lw<8yNz7$*3jnx zu54U23EmdU^Bb>S7mg2+uR8MXT6_GtB*)-2fiFOC;LkcISbR7@NkOvphfSy(5wj9O0ru8 zFAqXCu(c^0>s*!~>3nx>|3gaye{t!)-=jw8=I zJV?ii4+O%yK1^X#OEW^M2l+XT1Y_w(j;h8)q9!bpR&xWl#xOfZK2G zSGxKNT@c<<nZ)ON7lsiRF86?KT*d z5LiW2m_epBS%5b6HC>5fR5AR-caQf*bv}rUjpRC0gPMGIZsQ<^nj?TGg|r&k8p&5S z=j`Lxr)9id43AnrYhpngWSJ}E70W6Y7U5zhL^eq8O+(3ks)d=y$Ne`m>=BT|RX zgSz&x=GAPwA#sJaF+Dw?DS+4d3%8GW8h9Od*;g<~O2Kl}q_F)}uk$!&rcB!_s%Aj_ zx&7&A!j^lLX(M**a6+_IzAAhHH_mJBiv6 zNnJ*?wag~mILkV&u`lin*|$^W2#P+)?Say;zgE~e-7IIAcms3=p9i?pqRw87cJqn) zUY3zeeYf5i9GZhk=~VYhEXU}4^(O$RzxQ0L#jc}|yAV|37@U6wR!jb`!3|+&ejS4N zJQ&7%Zf4l*1wjlLH$BPeyQt3g)C;iED$N0Jhtqc_#IW(#%C%Vrm}f7Lb$#%>7!8zm z3Tat2m`K4`DFrS9kw3Tv9Xi)$Xl5TH2H6wxaiEni-9qYNN0(v6F)lFY0NI7Pi(Lc0 z0F=qEo~HA21eDrc;9`B-4@+s@O_LoX{+QFep{^dtyY}NlP7bLahw~tW?a){r=R+&gLyh{Tl+Q5f z+&;u$kv|{SqIvNIujgdHp!m`ufoL^}#U^JMDoK@f&vwS+O98yyz%_7K%cF9M&c&iH zn}NBN=eOs~9vfTZ?~Ue_&v!Un;ngb^n|mC2-4%?b#1!>_MNyuoQ|}{;RccD&MoGg+ zcI(_tpUp=E>cDH5e&py#yzuM6GWTs;U^2-Hd%`c@I#0Udy^5wbMz;bGJY>NI0nnvs z{PY-;1-&&oAVZS$b)WZ_P+R)&d^d7J3Wxp6_-KgKTPzZRq@=WT-}Ug^<7ho$i(+7b z$8-NPeZ*=1wdH%$q(JWQ+H4_>_vR-y0^o>(1E+VOt~9|By}qCE_bL=1OGW0hs4n~c z^*g5R_fjk{0eM-2nla02oz(VwNt@)#r`KcGl)XUFoTnX1YlOS;A=n4dwY&&gkmf(X zTK;k0A@qLTX>NCKuWtdY*RObcEVL4pOyof-p1=B4qj&(^2fLerw56IK`vsD+Mg{Oh z;={7iTG* zhFcGJ>Qg$H$FgQ`Lqmxe$I3=31Ifoj4GNL55DZX`=PL+rGho0H&-EmR+W5%xN~LMeW6kD zvrnzYqeu&qMPpwxJwNJ=7ZD%X>;~pg-;ns=F~UpBf1(&O3*nj@g!zCIxPK3@d1Z#L z1hpGPXMiH@DZO7ERXKy%Zbc7@U>*EE0i(2Yr$Ai_YdRm02JXtUd1vCf3?9<8*c zD;hjvJlW&Y&-U7kXlV0vwf@^FoVdM|NGhIfdR+#RA z_P1$br8=+`d1IAeKQIj(-2~ZU7}JFLS!}pc;r^fZch?{+_xj}NmPoOETBoCp&Dog} zi1?4BahHH@!)2fIni|_@Glwg7)56Ei=Z9eUm}6C9*F5k@|N6i(HTF}Z#{=e2$! zOR3ay|C_S;_E!qoY?-i!IV13$9JHbCFV-Ck?4)vMj9pg9ZYLT~Jb50FDZOCOXa_GX zx|S~wM3P192Ms*$QIZoA--S-rm``iAgTlD6(6sBSl2;$*P0SQW-`HWV3pnUGPdVt= zRsnGqVY>mR{lzvKeTQrXmVT@)1zS|4cQQK|L;xz~V%H`RO!sqOd$c}lXUkE^%Y9`3 zW9ZNFJlC<;bz|=K$5TJd=~V>rPTHZZBKl1wS>UVWKoSYNfXQg#sX!9`sk5@Tiyndz zno&U@)7im|goq@NkpaHjVtEu8;g7p(KYb(kU~k@c{yDSSUF=&NezbvLMP47E#bB`d zLrAq%l4#Kz;tV)_akj!M@sDLLUCH>|w)#U%u!B0sM1%&r#;fqlndriE5 z=?m3x`S{Tg7^XR~Aq$*s~tTT=gz`!Ms#eTY0%RF@z5_~A#? zHRSPPZ?>v#^bUJhz9`rB`FwEzZw+Oh8`4}4pGx%uzU@M@_j$PSW3Bz-=MX>0Bzh_0 zs>#Jov|lhNC?JqM^>@u=?ug6tf@S~@v=vHQsHo$+<7Mr3?P5cq1_UEd+La#5%LYI{ z2xLG+r~b+R5IuWb@WM#Ydh+0_$r=XOLxDn%CvL%t29fnuIYlp{3%VyagLijEkmBdb z(hzpJ-KjAgm^haxKSIhvomJ9L?28!EC1z)lQv6O8FF_sVl?G$9di}=E_mc_+rVwMP zJ9<*v;Ns8005aWY)v0C{C%1J$vOCpVtTa%-qAb9-lzC%sxZp4pq$n23YIU{{uM8*>F1F0_X@OcE1&+Ak4+lP`lGHP z;4dZbjAR?QWQ~tsya#ri()z=d<$cN-EQ7fz>K=Qx%T}%g%TS+CU~Z<)HQu%jq-NjAi$SEA*C{%0`JjxH>TDFid%kwUP32q3gwXMNxM zcF`1~nuz-Q2NRwg3Yc1(mUqRwmIIF8J%;Z_W3>e!HSyu7vzzd&4a*9Ivk0;sgs^uQ zXA7Zn&qY4DuQur)$UzWV+_Z+rkL6KC&O>9ydoD+cjqWanJ$J#!DATNYI-AOWs*w&r z|JBFi@g?DmDbL+%fbA9r(!6y+ErY86i3oDUUR{)l-WgON87Qr+fRNiX+`99ki%MU1 zn?!FX)@0&HlcWn7s)3X~T;rMA0o8J3O0vPN$cqzgKy{P2nrKzdKzMJv){;Jp+2v0FCo*VG=G&e#Q**kscqorjbfN~h*!MB&cg$Le7aWn8==(q znc~zN^t-gyg5G1UsxW-ui_;wA>9a-aA0PnX!vbc#U!MH21>~)gAhw^H{LP-}^^

      #G|2_SYnp{W5us<^4(`S+p*eBp)9#qfCxgCn$VaN`pEuu=@ zKtz-KN=4VzR(Y3CdTp6nFj_KaxZQ@{^Y}~M6Epra-m0tap?*|j(eNt3LN!aL zEMD6%fmWu)p9jbHC&pUKGuQU|H9CY!HNuyRJdFhXoq`^p+oLB?a?*BdE+m4gHk}-) zgMa(=D)W@Il4{HN8^r+ftlGZ4+BIT{v^WnNvO950`qPRCSkR35bYGlg zGm0>G+z?TI>-xn&r6kv1{0K}Z#2nfV)}QgR)SE&?Ogoh3dez%t*a$o+%N-{A`^Yuj;-IqB{|DSb45(h;!2ZWRnam~-elssX1^YS1V(a?Z z9HLW$kDu(TRq$*ZCUdWjfkPCLM*gM(_LmB4REBi0{yJP30OgCIOwuT5o$<9(qw{HvGND2{^jN$o9STL7|aU5A-GOMpHpIray0{bjkb9%y-3C29}0< zc*~|cXgc^{#PZr?=}&v!o0?apkvY0I9_bO)bRU7pw73ja8Tod_YH9oFBcHAN*Z%K# z_k=6*3SAe<84kZRy2@!3qh<&3AqWUwJv!HqjbA)iGg^jaid%3ry(fKIl!$Wo32ql`Clr5j{ewx8z; z{911_^7F;73~xsDmMkHM0r^jOp(tXZdQA!6x+}VtvU1x4()hK zQZStd*EL3bZi0RxIJ4kHD01hOgjM^qq1YV3Q0v%=!5O1dt&HE$_R-K)Msj%oh8*{x zooEjb^c^QjnDnc_;?fD~{;Qur?XGwU8wKGIQi@42znqCgTy(i-!gmyL^e6)*9(bg29x4X!6jXpypmr?BfKFlf z+Vgh1)Jy7lAE)2_jZrVG1%sEJvIc-`8I*19`(=9q*YoCB;dWPy_L*d5f`PvqAJnrWvb)xOjJsw3pTEFl@LYlr2=jaXgm(KZroP?dW+)j+UzCY z@>MqFwe82pr5zb8Tbow0{XS<;kChs!D#(;aN%E+~QPg=rO@dF#box(aggro`pGkj0 zd?FLqRzeK#qsziKX-}(${&G*lt<`kgu+sDjBRmYJG%~s%+4?;=`#mZ9Ig-|-C@~rz zQjwga3&IHAk9wX7)9R$Q5(KygS7NYk1&iapl((kj(fJ4taA9=6KgPSK$vfa$tt+>P zKsL9Y-D7O}H^(D`RRgY3Fdl$baxg*>vs%)nJiE+6;6|Z=v=Fk<;q3552{NKwQ!R1? zRr0&{T3Wl+DkatM+gqqMoRp)0aq27}s!@AZr!D}q-)MdoU}u~Ui>6lB4s%bJ~& z4r~-$a&Ou5kGbT6ZpR@}j}s?7T_Y>Pg~$8Che|2bXXOwvG#;C;0sxV02z54=W5*=# zI0DDo8z_TcM4ol^M@5mWC0qlXUs+1Hv8LlgrK;sLuuG8Nd=nT8^yAgFcfYA7vb8Dh zp21rcZ0~;Lcc-Qq;|(oRPJd7iF0^8$nY~zPBZciPhiuJ>KJVqukXq=#eYvM}s9zKM zOY%=o%c?~7gPiQe3KPJIw}&txX-rxWwwB^kkQfVG` zD#GGCzaXPU-8ZGEgmk!8aEKi1-Y@1lSk9D`RT^A^kDV@!`ja4YXdo>_ecp7)FrqJ+c~=D!{I$^E_P@CHeIp#I^qAwmxa_k+4*0X(j% zw!x2hO|n|qPiNQhmu(Tx;v8DeU6@4G>YX`d7zJnP1mQ;wnvRXY5cs4_(YWTHAMXZy zMamP^9OFC3PNiarEEF46hf8Rbp_yK61TG5@XsG_=oHM}%a?)fYpjxUs`J25`0yPjn zJ^0emV8obT#;ZTA=^Om7%{TR$6{Sb7`C*~;&t5^h=<$#c)rpZKKoLz_~ME z0#R|XcQf>6X|_A++QR;IDl`=Mt_OKcB0yd^`4HmA{$jKo^CU$ z&$8^$XMGd>M4%TC6@4Ngf2Hn$34CCY4t6tVp3ncR&~O+7TMK=&mMMzi2*TxgWiiwC zWlTm~U_l}of>F$5l*l$4yaeHom(^o`^X(lw3B?ygSZFXbFZ*?gs?s`>Ebpt5r8p09 zL|L@^K(Tq-QN%+6dMdv;is_BdDu$+x?TC1Sq^*KK0eU&=KQEh|r{b@XqUh3Xr~=bf z>NGW5PHq{Alu5`0A$~^OaHI(`UXz!CLj zV=AIE!$dnGYv*da<=97yGv&q2RV`;Z#=9Bn5YQ>5r<%;;UaWo1yD?I%toEUW3o>{*#gNK7V1;i$*S!MaDAx1To(r`IyRFscAxQfF6+_ z--&&o#y}$jl~!`cEaAYC8Gqlf7vn>ZzZu47m^TBTzDj1Kcx(^&$~=eD0()Z$iJ+W9 zD^QHuxUb=EiF!}yzPMte1L0a&PU}85?4-vA>SqpwxR5Aw59IPUOM9U<2gj?lpJ8W% zzZ6TA-;{~Y@&L<`hApLDuAN0i+HAtrlqvH<_aNPLgE{N+H5j3}Ja6p4QzMZhO?ZzI z9{G0;cs4n3WhGfz1SE0<8;qqN`t0Xk@hGfU0!8&QFlG<6`h=MX17#>?Vmu$ z^NL#<)+Hf@7Y&h-%s4@{o-6COJ8cp>90cFwf*1ck$ssS)`cQCj@+?z;#!sX zc{GAfmCYwRIECll$(tli$L(DQaEE<$ymBNc*uE2xpr#k=tZ9a;nqdESEf{Bu`I>S; z?teEDj!`-q*}T=$7Q>ZaMk+k_{9$>l7ZYj3_cVwdwPxJ9Z0D# z!Z3KF_GBX8V}9G61Vo~ZBRG<5(S^BaY|uU(fe9bfB7d4cGX_X5DuoW4F5Y@Ztt+t8 zcA<5PwQ`Z;=Ee419sbyEl#u1%`PP*yXxQDl4;(rGOX|L^B>FAn=(E*cSg-zA70dGl z3%}z$>%u^bvZ*I1HT2%fRVr64h@d~xw_s(}w!(7d-0emr4oqm4VO%&MfJ=C`|A`39 zEgLGW*v0sXOUnCOCu=D_>fV3fuAp&*@XD1dq^fh_WI)@roiTt{+)`h*PcaHSgWLqw z0mByy%<;tv)wgVax8Barq>XSYR(j@y!S%eSYb`ZN0KC>XoH_+>vO>mN_*-}stor-Q z1KE)wiRvg!4QHd=2l`=Qs9QI>=_^!n3S5z{fx?{=9dDw(N@`Za7N(PE9b$4FzyADuoM3pKwt9U9|0f+uE4JjwYbMk_`om@<*>obSH$~(g*jE8L(GZzKrMN8tE_y7!32!c& zz@6ZtZlgGsAj3xWL3Nf*uW)MG_vikk)1Zm}KfO9GkQVZ5l{ zH$Yx`cBM3V!u!PTC4+vHJeYc}r4`7FC|WrKn6Ggn*k*~4Nwjyu?xPi>mdP2{-xne_ zunL+PLtIGdLg1q*T-gt=n@(x;t>zjWebYKd)LJd0{m5>eOdq-U8Q{Z}hl zx(MUuXaUsOFGCtMHwUbf&bjiJm@cY(660XFVDWde8L~MRw(D*U!#^K z%@zsE8OgmwaiT*Y*+KA@LPDS7Ssp^_k1@i`%KvWPg3G;F)xld}dR__^kocqPy;{k; zsBSnASO>fyOj8SKqfil8F6XG1YuR@P`WG$S(SU)lOfz7?n9~E*x9mHXSu%Eck$GOpu*xP+mV&(o3a@_9#$I z?o%;Wp0p7a^6vz34xvuNh%mQ&ruI!Pq*)&Oh4-KHl>Fv+A?Hk%TWLa~pcxHT)9E)S z@R!=zxv|SYHs*Tz+a#j%0EWf@hTP~#BrHcAC+d^JOt>Qh}xrx&N zSWMo$sVVY2;jt~cuN?nwjnSuDqv36c8MbRtfBY2tj)hXw8k6NMLEd|NUGl9}5@Cmv z;x0N574AINRcBObm5w5PK=c4=IgW?GkB8Pl8Mnewj*TFu9y^vWE%Y!m^L(Mo@@lq3 z4^+F{Pud?A7Hl+hYtG_BKYU2CS13u0QX}>({t;)VF$w*w2D**SS%cGOCkV#(xt6_;PQb)@JjR1 zD`q;;$AXcRHeUsEPGO;|lnY4)5e%&}%mPU}1*mf!wQdCV302kWR@qjV zLe4wB5Y29O5d#h+ky}XM@V-4%w7&lO!SBQs4C-+6D&~X6{q>DhRq+%GA z#8wpSpFf1!?ZDeIU%q0#BUeT!)a+-9G;tvGc3IeJ9=7H~yZ0ZnNm;*s_XQTw#H;^) z<%}FEE@S{X)7Qi`{^< zNGyCS!xx2f8LXMsVB*B&_Pf1&^(W(0PeyRq)CYHrZET(nf$HPey9hic28TX_m@HlH zBwOY%Pd4mop-Fb8ww!LsK+CoGH{cH7a9aT{0QAE$mGLFq_3(!g`q|AYIZp|} zUH4R-DYdq9Xr<{3aE zk*;om*qCf@Q^m4E$cdbfTofs;24o)vR<^uo!W02D=}_?CFc=+5^@x9`gRI&gs=8%@J46xdxN77 zXiFh-5M#(!zfB(1bj{`-=wyQuvsI~Yd0r)o4t^GjDa24_-v-ymn~?6>nf~*(gdj3M z=Vn@Qr|h2Kh5JcX0fvb}R>8aF|(d-PZ%%t=vWwUc;}EeM1(bP6{yo6Lz*L|Lg+k>;n(~~Z&{wl zKN^442?c+1KZ+lU0Mh@v;`io;amZZ^x2uP|lcyX|Dmy4mQvFS$a;0G)T%3DLC0X2& zuTu~A_|bgmIZ?lRe-UDqLk)Un3h{5l0K!_*{8Q?i2L6PJI)g=+4#m8zDG(?2y_`V@ z2dkhP`>OoPBgG{=w&hKs|KJ|wYPbGtVqXH`{J2OgQ~HQaFo6LIZBBd%7Iq&l*51E< zZzy8DelXvtWz;fL@ZiW$*Wsm1@v%}W zw{Pa-N$uDY&7KaETqJ@ezx!{$Fz+y8svyNX(4GtDhdQ@LszkPBE)yylA~flsAiFJ) zB(Drh4$vngMX$QMkawb9?y4k}3m8L=+#2h-?7DNa1WL)=PwF!S`9Hw&&^~m*Vu^sV z2^*%ZO1kRqq4c4?faj=Ow(bBLKgKQ<$*bqT@Z2)+ROBWM^Kn!HoBRt;DH<0R+}GYt zD1-h1D?|ygPB8>nsESc}-ltDzVQ4{pp2rJ&Kx5fnhv?F}Adk-_Bi>MiwBl6eW9K8v z;y|T!S^4g9U4NA#N>kqO2vp+V{#o>B+O1ItW5jp6FBSz~>r{{&dT%fPG+zt)5 zd{r!otMxw|BtuY6hN1Myf;Ehrw88m2;%Q0HK;FH=4qiu4n7RPr>|xOB0IYB{ae}Gf zVfOW9;U4+CE37g10US!du(?-MG*iXm-BQ`%K45rrSYIcM8U7$-)y>a7>4K8(Zx4U- zkRBUiY~}Shg7&zBH970^2;ST&IW}#1#ddhxn;Wa8-=EHM;~85-+;+vxpFD3V*Q0PA zQp8&1!ZLx}sa1!s>@E?2ljvX!t#u^c9s0WxTPgblZsDo5*tKX7wd?Sf$k|0@rrDz~ zd#h#q23<)d^zP*@{mx-tztmMHbK|^X7;g>emG|TKa#HSgTWvQSKkZch=9S6OYC5)C zZD6T?LcYfkh61;pD%JUA_Z&)P&o+F@&C&CVVdU$97OOCxkhTa=$))4c(>jkn-a$oE zj>0 z&CkIi%2^fu+dh%682~GFyvv9r%tq#akIvpjnnF&AcK=GYdiCMkH9RKTpMi^UI_SwD zJqDglUyBE>;(dM`^eYM=O`8ndq8zRr4hL+Z93=wU<_h5=-nya8i z_5ibXd}hv0Hy$g@`nK^ITVE!WW@ZKi#A_pk0(ews-I??+HA$7)F|Lr2ij6X6cIl~T zHmi=LQHu8>LK?kYEid-nd-NPzYu{aFLE%%_W;J$y+y04>eu{tXT2p3aO;k$0ixG#v zh4peAd1r+0n~iVl5*6obmp+Ok7O%{^@vM`qS5C0t)u4N9@5=!v*#!ta7xC2Sjky?R5YzIWEK_=v-Ms34jV3mF!XX`=#k5xoJGSH#}v25_D%8kC=%zo5J z0iOnY)4gH(&i_3qyBYK;Exr6F%q71%xCtN&8q1Kv{S5ZQl7r*PjE!k>F(n8@CgtZ! zg>4y(>LaNxCr`yOog_(6q^L~7wS~i0^9F+nwyw~rlyvfCy*PG#`y0E z9K8;y>>+`{4(`$$G3cRj?=OG+g?}G|SA3ef>&4DHV^hdYu9u~sh?RLTtleY1s~E5t znZZb)^o*K|_P7;`v$h8c$IheB0PThPV|`S4wL=>gEry1i)rk{GR%k++>m)z+;(3rF z+hc2&wH#bVUv}FTjMLp~H6UIoUm3ubSFpjx{4T<8{C?)kdvMm+YQRIi`AC9~h`ygx1-swN|lEH2PR_p%WDRGXqSg${h_)Y5{|k-Xt@^zB_zBowwc z*FmM%?@sT5L$pD`kLrYf{HFc{N!QX}hufHK#bg|5;0+jub(q&S_w;LS0EI$bCJ=oK z;@6$@C+Xwi(_*68I@J?<&raVu^yVB?snXJ7Sg{R$4gEDUgVF0`Swua0LxuNiMC3IO ziN)7iw1tkuzn#q~1peC`h$LDVr4$y8a_L!pmWc|kqi6L|6{;6oqM43rbQq1?{)|Yd z%@;94;@0|N+EsBAttw)GWokHXt;%rgt(3V8TE*CY)&2dlk1Os}we{46IGUPh2G@|+ zz@p4oDkqQlZw#GVw?CZ-pJ9OueQQR&{V5bJwNfB`{ftFUEEltc|ICSbw?0n4DKAL= z$b1!FAD^j;lmBBjjj(~HPPVJ*GqQj?X-uu-?C8JlHa^Z4m zXsbqW|Y;8kRkvoj&qAPjec4IW8k!YkJ7<6e4*q^s$) za|&2eUq z5Ez;8GRx`rdW-k{iNj&D_l8z+3&$!WYA}j0acIm1=UjcF(BdV_L>1xQ0mkB4*6IsT zDCWUTQtK;9C14UugmDPW@c3nO8wd|frdrgUJrl^k^B!XDl66DQ-fXHVBL~lTbM!2_ z#_WnbCYGI>;-pR@=Y}MH~F2Jcf7Hc}8HBq0l+YB~#m|3ce0l z)&`~fxMeJk;&{kp1mIZ`;$PHH9+)1LNyM7sOQaLjXS~yke4fkUrLx(Dh5-z8msN6L zH1@NQ5u({m$Eryrfr*N9#hSHIj$Mee0X4{_UIVd1l65Kdlw2^ zIcrx*iO|D8WNMF7(yqlt0_Fy+VWs=ef_B7X4-vgTeJOlABk;i=G8(u3f=FQFMZisu{AAMcQUUb*Js zrsoeaPr|C*HJ*xY-HM;9LJjXqX-K>scW$v550{n~i|>ub0N8V_%UqVf%yRED?#3$5 zDR~pRL|%I(M1ploTcbQ`D5%V%5bM`aY=wEOvRnC;&Y)Gt{*pc#FtjZ%%^tob;f#XIVJ9*MkjFQHYN&DV(Y*KqDXhsn{Ibnv?I5C z4}d$OAi=v}Ard@Hi1`!<$4gS|!@2ra=_Ut<9;ADkU+AD!On_K7Q@NJAPN@2T2>@}U zXmnZI-qUl^C&Vi0^j6RzUUyVKe_bli0^Vg8|$ZEu4dUgY93U0n6C@6f}uXDr$u$5K%9Jl^3?R$i?yq&navh&k}1Z$V4{? zGw=GGDU2AW-*&^IeeK{kE&EW5np&><=9dNwm=*||Y*MqUAE$8=*+xNPFyzJDm5|i7 zTls1%vKXmY?0oEWH$#Au0=?gNxhRuJqerdJ?`#4<6GffsZ}Qms3cyOC(AuH`x(qI zxWzKK;FX(D2RV-yjf}Res(a|8>^8*dDl_gf9Of8REcJ@Lc;M`sc;CvRl}C=msQHsJ zPdA6>B{f$91BrrfOz+nX$t^U7SuheI$ae}uBhez7l|@*#M}#@6P0t%Cr_ zdbYa%YVa#w68#<|-sF z7T)3@3x`HNBYlKz|hC#A+!^<)uxm;eZ;A^WpS@hcpJk8K7=a$gX%$Q2MLZk{F@junLBvuOfQy0&li-@UO$GQ*Q`-Z zqFZq=mxYu+Lv6>crAN@`{`T55>!?VR2Eb<@^c56(ZvpZuF+$YEd3g+F{lC2=mkwiA z+Q2l9c!pNPR*!)-Nlh70+WU{&9;ev4I}A6CZT+CDW|4I;N$J}~3|T1FcP69Xkn@32 zLcvl}GBSh6ia*cE9pSQn$JkkPu$N6aEIvfWM{CQYtufTUa5im9bdjMPzTnd`<)*Thstspnrl zmuJ*QiWg_kgptF(bN70&HyY=;a_XdqX9Ik=jHz)S8%?Q;MonT$XmW|w-Zi;AHjq+R zkg&WXQ5rLd43onKo)joR_L2E6_zmrAD3X%XSuJ^Vu%DEFN_jq$;ctEp*M+FLYI)4; z-am*{@Lli*&mHI1bCJGTUxQHzyYIhjusd*uWKQnCiIvX!g@}wkaW$<*mrR@MIUCd~6%F`G^%*@D4`L`5naLu~{OEECtvQ)RK;MeMGbgs+$C+0Z$ zORl=kU*41QzBtQtFJWK<33Fe&)x+90Q3ro~6-?GYq|l0?fSkZ6Mf~Q#)!uL;Fbtqy z$dUwRPis|6C51xdy<)Af-%eM=GSFYJ%_e*(Mh#*L$_*VWR1~4t$5JuzWkGKHqEs4q zo-PG}QilVTXS&~f12II7y7h1obQVuMgfw*P9Y((b2x)qSi~_dgpB z9;~PGS}(UHa=gzUaD{eG{?I7vRO94Z1G4E@a^#R$(yW9)c&HTDYHDo^2K)VxfKFKD zxP@?F+vn>S2M7cG96mZ<(r<3EsG6vr<_QSs*ZIAlNfoFns&!bd^Yk=064%gedUr|r z?cwM@xw9C%u6`yT?-LjGp9lfi`x|i+sRpb$?c=4UqVG0Clevnq&Q|(>UcloSvS$Tc zpw>p$%EeKC{aXaqaiaz;)?x`aN{)JqgwLw5%2DY**o>9u0H1JMd=VYcy*-l7cfMa# z=KHUmS}afw7FP|`yo*{k^k7y^8h@>;!PXfF{!;RnA46v%^zmGe{!C84emdzkn0zvEgKAm%~qXbIBDL_WSm#Kd<6L!p9s} z0TNYzyG~yGIDU7ApIHN6awV8IsU$-F)wfxV*JKO81|b+sKSpSKoE;%Xha0+!P90ILM2`Q8-k=Gh&|oPinDc*Tva|`df-_lA6SXZYBu|07nZ2nJOUJZ7 zZMnJhpxh0&OxpxTOq~#awt~~Sg|VC4=?uSGT?po#O70*Vueq$-;FH?xd4I0ox)5%W zeSq%p;4*|}8|buoVQfbEc8JfcWirX=!PEV+09%x_)~t`i*5#6SG;<$w~}5H!I|HBv$)pPw$k9b*;myD6m0KpCnkY&5lV{p z4mk7ZYq5H@=$#!-0!Ug&JXkv6ubWVI4ESN&N5Nnx9=5W>GNQ~Ajoxs~FjwNLy@Ew1 zOaA;WI^lvfhDj3(=1fu072z<7G$)87%n_99PIX;XFhB?^3y2o=wXj%jqMgEjsJZeH zrY>!U4nYLwbnUS4#^04D#fM;?#p!^(E-Y=m3MWk*srrIojDO_S-|x3U7z{;?zYf>~ z>n0e!4sK#`i5D&YV?^Fu&EXTLg7Oovribfk4yJK3I5dLM5*)iQQD;;+l_iqJsOYY# zEvrBzoqKo>)TJ4Q`QtXIk(+dZb3`!v@{N~*uRdZ%h=uj(eeUl@D^iZLH zLWoIGUXEo1vUetjquat+@Y4%24Zt{X0dEl$-J0zm%&$q>2bnBY@hv7 zkcle(2dmH~72GE2%VonpUP19@)8^EjG*XSXIiYR;Rz5-NRNbZl-)Cd7W56;x?L%}0 z1Bp;KA>t9A8#yAqxsjWISw3;-3vkwx|I(aWge#(nB9{UcIEu~#!5LR0Lnl6DXq+h6 zdpBVXAHnu*^EIuR;@7z?z7?M1l{O@0#11IRu`8Gy{FjFP)C1*Oh$vA>_?KegqW1DG z=pfe^a=txseug&+oLMLm30?7alyx#sjBrT;L$kQcv6>X`$+i&U-1xgKUL>^_Gw_gb zRKTq<>pE3PgAXn=a47S!6l3e5tcL73h7A@sYNlY8#59hzl0U;fH}}`Lff#eV^+7B8 zz9ju0sxMp^$Qm8x{ADnMAb|s`+3+=-UhtyiX~FY~Swqgi1i>65-0{qMK0qr4zVtJa>`Y1<-?mhzEO%}~uNLQcGR9Kyd*{KF zDHnts9MM%lL_pv|#-43Gsv(t@s z$>_6mShFXp^=|Ap?Whu@KG+mJBwLYJI-}2V6-+6ptw4w$4Dt2Ukkyc5rE{yPeR!3x zm5s0#d@4ebFSnnQG(o5(0KCh(6!-($Q-MDk;HHjJIy@*W_K8axRI$EU{Ld44<%BJS ztA6y0Oxk+=@IHRBOwyWx^fxzIPC>&P&KoD~I@%W&Gw8!ZGO{iJ>YS{$KgMfnL}DRD zy!RqxLCi+cIWp^<9$J;<&{IKkO>F`180azr1PMq zA+&A<>m%-#pwBfGfpyo-ClKp6{MoRS>5SPihSXN>*eCDcM8HFNG_ZHKSEj?3Mw03q zxSA+l_6waP8qpSRJUFR1m+h_xwoQUEFpyHl=PvGfHs#)*AtU#gZ{I!~zO^!2Y|V4@ zD*`<^-v0A$j9uJ*U0W{4@WIo3;!`sAq+0l{P`<}5xs3*+V+n@8#r4>A+f zE3IV^xP{DGeq|A&Z%J%3EpH|?li9U3f?cPUVHChJJG5)g0qWcTd!F&&NWQ;h>Pn_s zcED~h7&V>YRsY{b)^PE5rNC8pOc18M8!^SKYa( zLcx;G0U475a!BuN=Mh)Fi6J^u$b2L)tfj1M) z6oR0oRm%SG8yv<*3x?4iu3i*NqI8khqr5@&7sqiQlhxrxz8a|E%77s9V`{EqJGKu&4br!Dra}RlS!)mq7db zcI);;SY5I@31i6CmoG}i@~d?(96&-+ zknV0o;2@3Cb?B1rPH7M}NOyxAkZzC=2?=TG?(P&RDamhh?|t9#et-GFIOEytdDdEU z%{6Bf09c~u(|NhO97o4);hAKNnLGnum=n+K7TcX2AvYRlq*&1uCV6QM*>c(vffpJ| zvHXp4Z%~(c+|*V%_Jp_C4gNl|&A?TZ>0+^G)g!pmRaWT|w-4~R+5bysm?r)i()IlF z{V+NPgTtKl&&)yF{r){@^7%+_g|$zKH&9))5Yc7M{;qf5fJG4n3I1~_B-8Kub$2*? z;cYOY6(OI@02&7Zxy9D}dkB_*ugTbK+DKt}hj*hwHO*K4XMKiY`G+6no1*_vUB9FN zZH26)FQcZ%&p$ zCf#RlZ}OFf`xcK3)xRx&M`~~3AIv=KQ#78C#sAq1(f!}%=)aqY|Bv^fl36hR^<<-x z4kxb)W6j=WHf;1z?Bz2sUfVTPJ2d$`P z=ZX0G?`eIuZkTC!v0;dQ@;Lhcp~R_YM3g^=SQb0ITd7kjdtN7<>#5^SQQAg)EH_Qw zs=|~^9Rt)eT_NcE_P%Y0%@Ms6&fNrF=2V=W5Usxbw`nF)_%$tiKTu)igZoRv3H+A^ zDann??(5aFH)g(5*~N4M3eazla$1)JlHk>pRd$BY~1!W$?74IOTQVf@C-G z+kzO{ils=23X&b2>=)}DhBv%PL{L}{OZ4l!e`~ow3%a5+$%Y20NhpHGnRu>W6n6_o z$L=kXP(=8G1relGB8+B|G_Nk1?N$F4%Je#-ylY`vAd2>{vUo_Sf6M1HE{V@%4oQSE zWQyNwCo%(}`&cv&`4aU#h4cfq3*qWDG+qBqOg;dLgl{R+=c@JlfRfGQGxujF*c85Q zASGWwZcFK3;hc!e>8(xnXo~mKa>h(&>_G7q2q!Z{OiH5?D#Sbru%qXi2 z&Gi865sR0y=|5jDDm}9&{gC>uU_o*Sl*HVxlN%qK)lrxH>MB}!L4_NcWmU*6kN1sb z*^TqB^RjF$<7G)XNt4MYS?%M)xe#y<`*q%&fMYt0md$9oj)Fin5(qV60OyOmPDjz; zT zak**bLq{-vkUc>H{GGj|;{u;M-90>79S?U^uvWIN10SP6U`dJGqAK|biFimeKLUj}Pwt2TUhDn&N-W}`p@QH0qS z&T`_SUNoUOnEuY_1aj?rXvrIOd;QyNT?%+(U;8BkloOD*)k0#p$?*_F&FRD03COTi zK9wp6L_iBsr(G}+mR@uyiM-J_F#+~;2nL)xi%a#=`#*MbO|s;cXhRFvnro?n0M@K7 zuW1E=Y@%7F=k{n7g*6RwqdBoF6_oB1bnlb`?)bHky>dU_XzS~@xNLS_gtQ(F+x^?j zUJ9Qo&`$CYo0M-@T9z!!aoi8gGOlx4HB9*zcwcDyn_mJPYUBoFNOl^(VE{xSt?eUh zSAR)u*$NA93y64D+y0HT5aRAHjJ&b+fS4clR2|#YSsmk6FSNC3Y#XV%^o>UioMnCE zl$xg2^?*wKtY|8{{i;nCQtZptBr84;9{2u;2{CoDw zX;t)8c3MAidMcTa-N5t;3T0hv_)>@h?#xwyN?Gjw+UCN&5l(2y_zF1oPeLJX$8MFSEuI#=7t$iRp9j=&Q}Y z$9*X6l%_xke^)wZZBDSSjXa~FuNHoCkw6qGk9yx}aDPVCP({VMOm%==k7%+#xG6V( zxe_&is+ZCpb6~8TfHZC6`PkD$j>#JK*?au61nWE?7SyV#c%-FM^CFbW(Scr-meeC7 z(Z>@3eWO%xbcTYnCy7xTqvs+5kqpt!=Fnk6pi#yt6xi3p8c>{tVZc`i*V6cCh|P!um1WWkGOQ+-i#i>d}Z|PzYk3Df8tKy)`3%L)owpzi;C-yHwGTDYL*+J}cvX;X#76lKq zW!u0bBzLT#j-Z;my<<+(&3XBnn4(|%S1Nt` zOKQ!6S`7@E1+oC&Yqhp%Da{DdZIrwEe7Fxeo``5SVtf1?XnI|^5X*c5*Nfw9a6?zou2g}l# zOjM>iT;8@k7e6EljHD0cJyH^oKS{z`{oK9`!?fq`7=ObJ|8Np&Sr-G3~RR`Zt!7T#n-4XIu7EEkVShGE{bmHC|-G z<%P#Hh|DB+W5W}u@@P8ZU1IQ!==s{{V;|L7I zE!~yt4a=|$pe&B%pDO1tKw5$D=3T#r_Q$}g-z3;?uf;?-U!|+Z{^{FrsqPPdzC*`* z)}KqP-(wh41X2B9uKuAh*_zwjhQq}#ul-lVC9i$eJ_BvsiXxfUME+1aJXOG$_M=F( zlR0w*`gLfK9K42c6tLP0hq~OAkVF?IyPiG+p?Nv{-}qKTfKJKcNaw z?~PUO&Tdw=ImME*vg`kAcw=bv^W%Q(p9~!Bluzb>&Hmwgo($d}r)A}p2Mhc4lKF0f z!sSp<%Oocd>9w)Bv!Cl$`{kbu?XS9*my1r0ujaQ%T`quUSwZR?$VSQG1&!r!+i|a{um9T`JaDg=tajH`JHd zOeZ`rDPfm=ZH_b7YY;uX10Fg|VecIVwXXX}K{aKGTMv+-@{GH9bm{g_L|}@h-y0_z z*SlulkuSjG4xLf9!nr`)}JF9?rZ= z!j;8FatBE6xIFU6Kv{fd*z+3eI7k-Nrvf8U-~ll!z{Hs{I{JVfl{W%WfLeg5=@{{q zTxfhz#zZRdc)*^(*@^4_&4FD6B~cY3KgK*y;(h(DaJ^IuW_aDG_t6L1yPulc~c3Lluq;#4ggdqdg_#~pvCBUkj9BjZ}M9y65082euPvyq=0D*D;k8R$UdeK9V}`h14hcB(`H=-OE- zHS>*np61WxC*J1YiZRP60z51gDiA%+PEUKsD%aua;K#=Ywpqu%aAb9Xzh&G{|0GtC z|25-PE5C9rom9r}E9m{hu$9xE`xmg$G!UG%ldERbn6j}RDxX82SD*f|WoK(keidcZ z_O&weji*l-wu9x!XPp-R`39MK;BTV&q3<4P#Pp)0ATJm!)h1n)-@_N^2pFV7{!JFe z{9diPx^W*InaOHQTsvz>Vp493c|pkPVo7lsW7s#l*1V5^Us+@F)JMN{h)h`pKlQ$8&)Iz#HbplVlEfyEMD5NT*;(AY z*+QRQ5Xkrf;cLlcjRZ=scEeD8cO#1AZUQXgcjT^8C2uNL-1EeCAD-%pN#d<*@Ff>>Sj)6ZAx7kusNMD(cF(AIXQxpT;7!Q_vw z5gzp0wwhF1Q;Y9j$mwVuX-*W>{n!x4ZnIVVWUi!Sa^@}t7MJa1aDkJE^9wF|d)@_V zk|WA?fB9PZO=t4UDM#OjJ_r^_^S4scI_6|$ykyUps72v)Vu_RsEWNz-KpsYHBUeoSnKOHRMJY!&YMQ08u> z7Hjo|h5aR#qX?{J?;+PA#KY|a$+6z1n{L|~X(#){Z` zTy(>K%Dn{ad{|*R>IQ%0l+xs~XSaS-p<4z0ed~0EpaNjM+uL9~VKXuAY7F`Ly0de# zih!l}F1+K_E!@-uW*{{02NS5?k^_jqgY&=7GlO{@@S#_rYbEh6bz5L#^1xe*vFtkK z`PiRqbu%yjIHmKsAHt`NC^=T;Q909Z{9uoNfHwE0I-t2g~Hp~ z8G~HUeaAv3)F#+X^|w9Q z;^(~tNMygl&SS=BvLGrdi5{&Pw4}T#)-wGaFx-@+1i<$21mUJN{x3YsfBOfO`<)nkbZq%D>E`-pu}tli{c^a*@ZMA|3_QkAH!-{MCx|B#c?YWU9HcM2gBX(1b5lw}wEd2nF=q zT5S`nc>6k1-Rh@Mwf7`kS@fUtmrA+ao3aOy@c2c_~X)%f^Jh97*ECm~sK_aB!R(K^rlx>rR z7L9p!wYyZeULIxjz)#GFJ9CZ<*hyF}79n0atxAueD7zU=ZuM_Y8CoCNb*3xud!z5Z z;<)}$Alx>2R~e;)AB5X0@&T|g?gCiPW1{tz>heN@_h^wbZ>V-wE<;s+oE!B6!3J~snccy{4<3~0=*ode31ZpX z%Rd|}ECSBPZN7b_W)rXCV6d}AHb39HXh9)*$QzeHBvnlX?Ll{+7wh&Xv3~$3gk2S@ zpiQjYv6Fk?^`kVFQ_mG$ zNjYZIiyK~Hfv5nct@*XIQM<&*@lUvQgh5n@7?}Q@d4kRvo$1v$nf1xxtaF}%u6*)o zZ09J2runv2NrMV9-(K3uD*+s-u3C0)m$d&=LR4r;>8w9h{DFDqN_=C#>%K5(zka~T~tOMYScAzrNo0cmM1!tc@ zc-M`cH+AsYrLdeD#a)u^7QO^Umy&^A=wsdMVjNArso&tOeP~PMQ_KGWZzNz6L;u zfq#97lN#wskf~bz0+aCe-d@IEeH6z#vyCFOn*gjw-hu1mT2(r0EAQ6* zLmfLlxwH_qBWxS;i_=}8c<+!nzWxt#u>JnEE=@X;BX>&ZW!Y*W`0LpXt_#%d3GBF` zcTW&6&W)*LRur`-4SP5nsbPvZFe=dba;X4OzAUDEJ2{Nxg<@fDd?I=XH23)$BP#00 z)~4TB)_n$!^`OMtKCj@SR*?<$7ajFlq2J2w9&@k6jl3w|M+ozkTt%m4$)!ICek=)! zSk@iv?^pn#XTGaOr^83D=Kk0oE=W046{Ge>sc;zppR zSm3{V5roHBR!VzZZ+-Mnl_eY8pG|&?kG4Yju(nqDbt;nO?@1=`=NLpl<58Bbn3W}hIH#^lIl4$LA@QW`rX(8qEQu{NWooxEB_Es}G3UxgHem!9Z#qJTe zIiCP`uElhvwq+<=W69tnRo{$8uz260L_zn16tD-t#jcl}#@t6e@vGC>Sh3(jgOR)F zWE}JztGkIpIvrpIc6EUzN|ur4!FPD&=v}h^=Hi{r|;Ml%Ey8Cg{2VI z0Y8a$md`-U?!j<6x|dadtrJG`xBU5=4x4tImbb(BxGmj#$<$n!=~U6rUoVfN*7a^ZtxZ2m@%(m#E$+<0#xi% ze~YiWmegx*>e#wQTU>Z_Q*(JKNch3cQSTk z^xT2B)klk2U`+ml4!$-ayeKdPr2?TSGFWjika7{BaUw9VM0$8SGGDmS<_2|24YpXd z)DaWK-cV;c9KPLtNfET$c8dDNVv`Nniv&_w))_V3$$t6}`a;vHh-0w6mmwh1{?KN7 zcbr=;dUq9Pb@6-gHmMD0wD=1@{y9JV#%BFO?^47~z<8t{V;rn;lYWc1K{W{$qh_nF ztw+vjB#!QFyUKWqC0fF;k2kv4-DAU87>7ux30sX%Wp#N)(1j7OhbOz?mMy}_-M|S_ znI2kSx#NJ73?zuEQ#4w!;JFCbKq1F-Wg=FrjwnI2y_vP9cM_;d$HUV5fgwRpU{OFG zrXk)R6V7(s_CMwMDFG?qz;lIekhKD(yPYm0v8yoHLBwo-+7IRhODWFWxRC@ak#9Ol zyVU5K;q&eg*qbvHhF0Vv*sav9esTq?Wzc9>xo{=*!o7s?br*TK15>oT7d*7C#n3e zHlK+9hI{YUrz$9jipp2*fGx(|Z7t9TQ!_ zk2(A?`9`mRhrd;!2MAM>4|lw4>>Zi?O#BkczjtX!B5^NGPC#C+@v@I$%6oKAkLw)K z#@eG7c=gDhEnt7p0VmG!;d8+Fih?&0&@JFEN^g;motBs=s)u$`i?}2-ad!vt=a#UE z#`{mix4GmQadx4%sd<~hWD>jK(gk4DV8uWIj(_JJD+Z25+cy@xL@g~pFdO(&Cf}6V zsZI0_$?|>*bEF1#IfuvTKK@d?w0CaynV5QlDMS+$Cf zc}-Ct4${G)oqPn;TUwn(=2;hqR{jH$j$lcS%|q3)$V#i?D&WC zYcW<|U;Zd&oe8#x8rhSV3+n9v%U+J%na;wlk9UcYf7)@axzWVE`d!uH0b;|Y3i+Jc z=7^o>gEFaFDH(yn#AqY;6ij&cCXW&4!xUua+E2u@UaPtY{VzOWw2(+yIO;3RE7Wmj zcO-=+E63%LowB9MX1vpzLg+iFQL;Q;{)HgiJDLL2nQY5Y z!G6zhIQ=`5GO1y_hWrEpBM+>oU&<@h7CO9H1^I;v(kl5O7({{<+-r94I`Bq&_c&W# z*ie&pZZ^pBm!yvV&gE!WETG5qXP=S$(mnLOvDt7PZ_~sRzq19s>U$@7q_HxO2^~K6 zPNyxRd%_e^%dg~Ntp?KYoInKBysnrR$sj;(C!)vA>X;PcP11A?XlP>z2JxBM6vzk! zL4RoTX8YgR82s^!{uE1I+PDL^qoY$eihNPcC@G`pf`Zb;(I~ktv0758xXIhmq|Z$k zTvAGbD6&~x{ux~{^%dLOEvK5I3d4s28L$78JX`^6gT7*qUg4hfKR6UZG8#{E*Qq5I zT@=Y#m$oWp^`rrgx^c9(_@rvvCX{-mHTK}4(|`)CpnV{5laX+sArEA-OaAXzAnLS+ z_Z|&&`in1`oczG07-$QBug7<}+G=LJzv6qEl1xAl_i!*C_ITR%=-FJwBI04C*UyXv z%ERaS3F&ksl>JOQ+~^pP5DV)+T)wESnpyqnG}@}B1OzonYB?E|VaZb}ArRj5VZeHf zd&EvI7~F`4jEiZ@f;-__M1&nkFOM5LUo2m2Q4nQK>G7W458C{LKN?Hmec8bORHMR; z>Axqi&lN(Pt@dU71#@BWk;c!?{O8JeVbJ863 z+mYdid%K67xz4h5l!rWlGPG{`rouCPf<^8I(vztG%+9`|EoYW2*p_W>qo^u%HR-gJ zm6RyiDTAivxUeYQ6B3v{L1zqeOfGt8DU!_2^*xXif7@rjsIis`d1d^p5kf|CjLnSt7i z(R0uI&wE*Ib{{y;DCH{c?slHx1r*D7^+f41!>ZkiPAvDu=X*yrnCGSEiwGDUK+tc$$*$^Mlr-jZMe-G# z8PYX9JCl6V!bnZ_ z3HsVtB_yo{8B~lH_C0;SaSLBjf59_)HC97p$pjdo@M&N_4NV%F@=|192D*NzE?%h7 z1+^c`%GBIx|EV*vio(^~x`2u9*T0|tEsr~*jsZ7r>NWaTLXquAp|(?nCayD+yiE4^oiMf`HJlcV(<=<4v ze({{~L871*RWy42o7Qi^kq#LViD~YOH4{!yK|x$tqQUlp^y(+$RS{#y7ZoKc25|RJ zg>$_B1dpQB%eYBJTE;E<5YEMvDUR3#z}`E%d|e=}W>kIUE5Cgbi)>!<_CPpbZmkgG z*tx9{>Ueqh{`$ZxcF|bxrlLaQrb#|r4KwqStezlD0bNg|eE+GJ1v3RDm?@-q<3{`P z-%N&PpQCxj`nJhW+`^?XAM2X(PM2og3d$Y|nQ*zi&JqppZYmv*4C&*bg@6|h1)ow5 z#so&hC&d^@sNR1+hPs2?OBx+~pDmqgp`R!q90g`=WuDkP2vA`ozWP&y4uqqa5Kjm% zDiV_!^EnfoC&I^~FgmRQVqE{_3-Z>yS7a_5PQ>$Iy0cj*DOVFLu#k#(>DkIrZF@eW zVfb^lm0_rOt9i{22Dr)NQb&MkjbAq8YsMc^S)3WK}GzMJ8euX(!l+J%ii|fOe+p}7tR1@YBp~u$U!TP56Ds{)Q`T5Xs=e? zc>D#H7L9o#Wt)ej)6)k*SxF+U4g+a)78#NDXb4)fSA#Dznsn0O~+D3PRZM5 zrTN2u<3H1_kdq3WNFo~E60VvA=m~$A-rp=x!;0%dV0v-aAt}* z^|g9)0C!1b3Gj2b`@blCOj0+_m&^e z5oJgz#ZJox0NYJzayGYrRTYN;=ccE3JBJ~bL~m!#Ms5tR_TBzcWm~(SjKvtcr(c9* zF7TcOSd3vn;A07EhQX}^&Qaqp!JAWBEquSn5D9K>x&K+{Ke8LRyif}2qY8k6l{(uO z9em2uHN1#HS{L# zJ!ZbTz@d=h481tgl>@LD+AOZAT8><|0;lugSPRl*`bexZFIVBgNGowC*T!)?zj3$0 ztt3#j=pR~@onr<1QC}-PlTTc^8=YgXl=kK>&2m<%ESeC*9mmX;)~gidZLbOb=cOi} z+_3?~O{3E>@>2#G6p2AES2*TZ4S1wHToF_F%+`QsPriu=t8*3X^*fU9bk~=NywCai zs?n`Ae1f+T)}aweF44|*Qcb3V{(ak8 zDxOxqGnNqnCiZ6m5vyaXznh27CFC5@8Ne?)Uiz^zoIYfcpFpmT>!I8IRYn3=aZKR5 z`<_aAGly#0bKb(kkf-@NiDLrK(|HFRT|)o4T~{^{f2*tbbyKe>4AE!$9>LX09zLV*r+vd|kVh8IbpZ}5?UdMLJW z17J~;WwiYKoSsLAIN-pToy4B`B^#S2vpa(f`Py4I(rL^lHysOrBIYTXpWvd#XZ$m| z=gPEaAsQ~PRi44332P@;MVvA=K!`7+Ex^$)iU#vlDn8=X8x3>Wdut>2iB zQc27-!)K2yl8UHn+3({ND^mI%GdpSo*UHPA2FSm8f11vT;AyK;+s6M>WWWbgOvr0a? zUizjT$V~*Phz2$%gg&zTgOyM-*|_m+~`eg_9y5LQZw9ovD@>S z+YQy23!s0Kw1!t&C`h%lUKBsThWZVe#D_<@c%a-7hlNvjgO{H{t5_xvgCMPEE*gaY z@-9n+$XDQ-tJ7UUgW4_8%Dt|(j?cbniIaq{Hyk>=tzh9PRC{L-HbJVQjgEzB!iI8m zX$+6~Ai}^YRjcKgc9jgFMD~$b-%cY*fsi9cDWttgflvVbMMdtrJ{qZ4(dhw(j>|LH z>sOC=yTCUPPHw~Ocfe+yLH0rx>+V(jb_p3ncGkIsb}V`^&b?~IM$pH;ZN(?9!6z$_OUP4_VSj}qii`q-xo)q{6G{Od$A6{qiEVJ_6}CRqPHW=QcuL$9LW$--o? z6!M=QMI%R!;vmag=X)c2n{uU!aJfQm(Qsn)k!tVvbz9~P-mtHT$I3dv1rN@0JJkLq z+JhWD{F@_47$5Q_)bOhDF)80F|7k}1JGMqxcw5}~q)@w*_A=PvJ+NsrM6eZ45vR84@-S?qW|lKr^J(CpP* zd09s%leJoVwLiMEs_LKC~ne)ALVFoic(_ zyUK`7-0z)VFF0+1`zmdXY3T=5#9##ZGo+m&dysz2k4<6yAyhf~9xV6DjZ~Q_I2r6O zDvF-?g5PzL-jmCPkufcSH#gQNWl7JqqG zOw;{DO8ucJ7we+*(yo(>u?&Bh7;5+Q1~3!AUKQGu4zkl0E z7f$%qw{X&0HtQij?HWcplx?q2C9w}VakSi<9?vj=ziU=Y+MCJM?x1!R89McH*7M|z zqdA$dD>{fTJqD-r?IAW9G#r{s@Em2m&_=c-wRc=+u4!ubqQU56Im=}oqGPA>s28sy zr6!x0PmjqlwqK~j_ykifgq$ntiUca#sVAJcmmsQ4693)kK2lr`A2$uJ-bZV4^6_mL zp&d66MYr#qlpFQbn?!|D?YzuF23LPDTU@~Wizg*~BaOm=((|jDC$`w#gq$n;+O|D&&e35C9lIX4%c0+ipa+)v6HS-(n@`cXQ#u&_*g$ zIlVL7#{TN@-m}+;e*R%%V!qZAEdD2L+26<7fG@+t{cYECrK}~_e$Evk|GQBOi&2NA z>poN-!4C70FI2AkiId&JqbR)s_NHH>8SaFM2hm$_)7Kd+WhKOZDZJ)^P^uAz0&b3n zFLLRpQf3MV&;99*7dcB&*nNIKaXE^=Vt!+6o9}VLAdGvMsnSFF}2O9$dn&w_xdMCPg*rz^9-gD7;}K5 zIdVN*hH(l4yGVfp9SSGCB%<;T=lF>=Z(fH~bNzex1p6l5=1mrX}yDP~YUgP++)46j<|+ghH|(sD#JG=Zr}Syk%sB@VDn z8;~yy|3y2sQGoPXvfN*>1hvk{zdLteoUU2yYGZBiCTk^r!bMz_%6p& zv6ZS6n7zc<%$}6XU-3KIZZPz67~1=c?l5d5ilbEASiqHRI*b<;xalEdHh4-Ue4YP# z^h8$$ZjF;oR9zHutlU>*PWVqw><#clrWrng?BE{P5cx-XdeWvpgOADo=WSTX^%$t|(1{~n^P1}Tu)>)?ba%<`^dN*#oiq8BA~Kz2D*2E7+Rta z%496V;##M83(<*b#=gH#bcsm~w&|K2i2gNAc&h_QuU5*$tK>bT4zr#MRBVi(xe6Bq zQNWJKUPMDVK8x+9>gg(dIa^tPD#Vg6_;{CoqyY^?s&~xxT_uo2btcpCR}WcP*{_Xn zf(=`n2r3PHIO%8HfV1GmigcIN=Yym%EjU(gZ!oQ8*yVM1@wnKO) z8cAi}RWj#aeAqO=?vV-am*gg))%=}p;Ln4`;HC^5LGJ&!X@}YQ-)#cXS64y6U2hA} zX3@FS08%b;4lvs!8-fDCIUHDhUpr&rzA~P<%os;(gpMd++7rWEL@g>z<$3TzA$CvH zghAJ<0acFDva$~qSf>|L5l^T51Y-aH@Tm`G;F9I5|KU@>e*vnw^H1BFZ9iM9TLk|{ zK|}IU3oEpu9QAl#A%`UcX@;0?IO)tyMNS%_zj1*{X5+7!&@VlEk_OlN2LZ{*2LmD5 zqYkr2sZXU;?ag3hPzHtPj43Q)0dA31^HfUN#vXGRw%PAQU%l?CG`!gU(d2v!2dZ0n z*k`(NV=0Y0K3#P?O$o(da6vgE@WGGLrAwhJthXJt3``J|4<6p-E%PUWEjdsoI5_DOB=jL&?t# zRD7AdB@crrtwl{%V1hQ?*$WS1z~kTS(~my28Sw9Rt_oY{K~#5Zk2f7SmLK^EYU`J0 zU)ow*V88amMoH{}gGGw~9Zv;4rUr4aGZ81#cC|1WnO~4GjE%4^JOo5IK&U9%pwApc zFLWla7oCo6YKqWS;(ntM*fpJ){$}G-n59B$-gZ1oqt>Fb6AzAKati>U6!$(gaNCiJ z(*JbJ_a5U#!)DpQPr$!paIryISPhzH?uA%lypIP)z_(J}`NT<(?#^F@uBD=`?(?*Q zAaL<-rFBxf%KJ}G+7bJ_XwBtNq(WLIqsQ5l{4{1lZ*c5i_|}l%G{M-G2+ujCGn)9@ z=!Mp*(rJ-Wsvp3GeNR<<2M&@fEdt(KHDMptPr|`=-6=JL^lPz1@10*HqlN2AjUbfv zAt&3TA(m_>zaL;>8j!-1`H}r0I!(PH@AVba@u&HsTxVzLCcs=hvm%_nUG@EZ$0n2E zv?AG0c%zFekH(#~X*Nlc-rcTI28Qy=b~U$p*%8k2OPMkNiwq_o@{abtbGN&Hn1z_u zLsfTR^zLr)z+{Vf5`(q{q58gW=yS_`xLTIJeEG z+ouV^_yr=Crn)gbSpwdcjqM_P5xdCfbZs!WImI~I(6?R>ebwm$HKdayc-VIpXWrIk zINpQ-*I~aaTT#SGUo&%&h~!=Lt#e%bfiu3+JYiwrFG7z+e5(3cTyU#R@4(T#Bx7ex zM;vnewcEL@De+5gK|Dk-6X1r#KOj>_YY`LV+5bQe`?>xQ zrnruPQx(aD3E?XA(Xn+1N$+aIG(i**aM_I?2i%>8W$;zr-<&wo%r#lKCNaKQ_zUOu z4opJ-cG|*-`5A+5_x!X<;f;TtN5>~`I%(k_r{y4wP)s2)^7o~_jCG{!kf=mhkwMPxcyZ|UQg|9d<5bqDNK-9^F&ML}pAcDaGm@1^}u725r z*um$~%4j<$YIm6F`0XYqbP#$`DL!eLV300^4(Xu_d{@!Gj>N*j+rC2`TIMa!xt*+K z@ysW+SKCqtJ6=+4E;3;slGTk2H(z;!@y4P;V;uR`YegTNc1ldGt4%3D1ky%}A=F6A zx@Ku-#Sm#^LCn(CG~p8PPYy>hX+|Xw9$?2@+GC%8=cvypMDL@NMUjT5h`S4p4)VQD zMgMD7Vz-VX^0W^R zi@FfyeQw(6jbYDGIMlB5Iz-Vi2mM@-QrbXneanQd)CyEr-vZTQu=Se$=mz|UR`L$Z z?;DBQYBp5nIb#tO^$9KZyE;GRs*(l%^b!&uuF-=83?$(Kc1-kHF2TH#a|2qYX2nk+ zWpR#~->l|Ws7ht!GNAFLTD4R>WUqPv(uj_PdHln&N`%K!l_G%4cyAsTqgK02ET*a# zen3TVeghVw{HxP?O;ua>=w{NQJa=6<#T5p%ZmU^Utb+=NKN({o=HQ7DPoMpY*S;r+ zCzKAFPm~iAG_RK$$rV`JBAYP;dD2FYm<_}*aF3ubV)Z#7AgdzTSn8RUv00qkDo9%i z>MFHwbQoXl54TGJjmT^*AQ}ZX+S~8FS$Gh=CHlgmm4z{>{CIpp*-yXXEJYO)dw6 z-?m>hiv%p5>`UyCf2IKq9SCZl>WNn;EFgGl6IFtpPe;QAwly^M7(31Z_88meVU3c# zv8*o@%T#y-E9Qh|1|o;RGPzTM8Q^NKcIzR|5PKA%R`Sw@7oQ*~+uDYCnJ~Q=1PA`) z@$%J-Z8A8{WeBT#x=l`l$^B-)=~#TJ`ikBU)A5z%-=otMbvj9ci2L)#5w_lDedQwq zrZ7tvdJ0GGHv*Qyzqn4Kuf|PbrGcI~13PPPtnLs3>)*%n-lO z?SY%d3XUGwo>awp*LuS;7)T29_S2yboL0EHTsa3IJx|yd*6QNEBlk-@ch`5190Q5G zvZrx2LEsYBQ=C0yyWG?>#bO3B-YG@D_q;P+l^`1MV0Rg@{=a}?o#yu93FnB7dhg}& ztgnG;$NU1mHh`!9u;U#Gu4QMsD-KGb$b3I#vcG8LOOYP#de?u3oGt67&l=P5F(B-v znDi)0M}dL3pj+-n&fc)>+wf=+JZy+68}qynAJzl2l`At*=tN~1Egy%b+Bd{NE|X&& zhQB@5wjF;@t@{i(2 z+m31{sP1Rp+thitKl$t8X!>h4ml*qu+u6Bng_`Cj7WfFdPsSGueejiaF};5Ii1f&K zhC7;zLGTGpCnTw9%+n4`OOc1jNJSD2$@`%CyfAb~{%YlgA_NbARZ3#- zeD*O*sk-RR>c_6v7?RgbTZ8}nb?^&5A(ROx;-nt@X;_V>4$U7(WWZ3AD~y9u%(Xq! znHaHEchb) z8+*fDAF&!4goMbW`|b{8$8)=abVM}^?3n`2=jNON%Qn(<|3N3D@t_W*P(;kp*pgJG z1oEtodre!Be;I^2{h>W@LVwW)gT)z8R2tNJN+Uq!m~pd0ffo?4+&`76N*`_3esHHKZc?Yvnh^TV*;&q^R)9G=CB|8tiCVHF(!iJA4Zl%#?|J zllb3S4rpFhuKM@spw0^R!R8sQ@a|@Nk8#$*w)pN$?P}lmbVoi8WTd5r?IsIy1FMum zYVnW%C_K-6Fm~ini6uWBEO;}?0iu!AIP4N%mc8P&b-0TeiB>wh;QHOZLi$^V( za@6-Jsun3euawFWOpPpsRiQ5&v;G9n_@;p_+9HH-Bm#B z=L!13zv9G~sf?{TKaZ;A+kMaJ!Jl3vlX&P5vQGFPDjgKX7hr-A7+TR)<#oMge~o`V zSKDF6DMG63PGHyB7a?$|@};X$YWGGnBDQes@z!E*_^$B@9PHy#og}oG4>mg1eH{AN zm2LEz|9g!J>@EkI~ z5%Nat`W7e(A3&=`&hQ8_!a9k^d%K~2N1RWGZmiO`CyRDo!+4^RX6!aHhLRps9Qmk% z$znALuV5Db!owPQCgoN46{U>@j%fTBuLB%ojzwlfs8;k}ku+JWfj-e^Y5qr7mKI(_sjjm!zm`n5^#zWsp=!V=OU-QArd9fC9iNJw{gOEZ9^lr#)ThjdCKjkI)wbfMHF^}YLAC^Lvv4*G&+V>B zn=EC*lel=%N+!r2f&X_U-r`{+@NFL?AG@shSP%1B@_@0pqN1-}`j$nk&f~JgJkRjy zyd+71&z@CKrTKC7i44%1mK-%kE44uvy{3os0Z~cW^kgtk{ut}u1b>J16*4tBAeAQA z8vrJ5zL+;|VaO8x?s1gjmu;oheHY{3Hs?wmsnY1H4f7EZ-*)hpPW1t!1Td-x$8BpD zl-SUapLBh{(sLSLEG1%BB>kQGHMDQn+WTM4V@`NQ$Y-xC0LD{gE93?WP)GS>$*Y%T zSDaVp%rXkw-eG*h2~XRubGHQme`ACZT4SI=W!7+ZbwQ;RC0N86cHxp( zQ=93_Iz-(|Bj*ZwSXb~wuC>)2!(l6b`wmESc{B6`A=c}Jtz1QSyBHIjTU*942Y|)# z5Ey-Uc>7hv>h5hLl7hPv3UIhRW&lJuq zr<*uT%bC=Bh)m;FmUli~-EH7*gAydZts1^Ox*>|mp(43BzR-owyb4rLLZ7-`uw zZ&L9-u(y51m{9o4rW?n6m_pBD4ei0rd@@Ap_y`Rpw4>JL4p3pw5PptA;e)Y)E!>=c z-IKwfEM~eX;&!V*keEaUFXZ0a4*{QRCgc7M1;_+zREsaekB93(fT0P6_WG z#7t?@_*NgE`c@+Ea}rf&-G|()RV}v?BqB!Z1?x#=amf4XsH315QN$kOyIxOy5h|4AYc07 zdJ)bU+Z);Ll;{nQ6>!lRd2|U2Oe)RK_rqgXtn#xSlA`i+(N9q+U9}bt_lTFN?~Ma8 z*n&EyH>+H0iC3?=GiImm<05xJRBt7zmvY(-x^p8$Iq5K@<(nH-{OLO+zuXr*M8(jC zzH5n!d5^$11A+e~W!#V0!)U3WSUSY@gTPLpz1Lo7iT)E?VLBe9|-$gO|4(DFb~zAQ5&?I|B*~FEwpdRs{}ksdM7zTYR=!`lesOg>T>>* zwi~ZVh9Q$#{xN3Terw#w-TcEy>obdx*BH5D7O6-V-x_njlL|RC%4t!GE9$By_9|8H zqpAzgUI!48$;~cl;S5fXLgJGWYXt|V@zNGh>k9_HES%%K?BQ&DEQ6#;Wz95g_Kuhs zJJ@uiK~I~xRU!@%9Dz2$2P#f12md3BAL@isig_}1e-&L8N*LdX%3Y-+9%OOsPVCjc zgFB8&Xx?7FYCG{eNp>jb;s@a%@JREA#Ae;jwvzOSuUf9!Pd)8Jb{JY!pAm@G5=NC7 z!S3|_rl4_`{tkBFNeAFXjQaO!z%}yI`-Ma>5$|$h^k?aMcbo#u?y3Cz40{D~-*ZU^ zjJ=xp$??ReebAX1F-Z@Zf1;PNTKTu2_VYLk!ZktUZOXOX5P}|GQQMi}^%1yZ?{$ns zR~rmYK`;bpbR=Uo6@|nXQd8bjU86g!MS!NKruZ|xHfK%$tHMie69-Nz^lS6iS1kc7e0%{U9$hmyz2n^t zKYurak38Ng)#EDhx>!_9&$}Fb>mYbl47s^FN#xA{m%SGCoEB-Rq;v|F2}y*t)&Cux zc3oNdbRMF4Jet*42=>HP^kmZjw((Vu>-V2;XqIZ}rC3 zCe0%fZ9Mc2*Q2h0@MON#*BcKb9h|mHj!DMMx+n&}yClSu-nn_HmhMwIm%gc4edMS4 zRRCA2J)eg@dh)v|@Wp__OSEI6Po)Z#Fy)Wk0RytXpF-2lOr=9iQupTw4+&CgeN*uu z1q&gTYJ743X~)TwlO`AhwVwwPx0;XOtuJ^APdC4wb?SC&%XK4T$yXQ%P?OoMCpM*l z9E%NYc3{r55ja-tiV$HqB<_6qMGR}l)MuSl+4^@Pne9M1^FfKo;c|ZCSFBKqY%>f$ z@fs)>OV?41J95x z$fx{^F$4({IIqQK4b?u$ZyZooBNR@Xk3E5F424)Sjff2Efr`&M#a0kog`5{mAsdPj z2S6%AyVV~)nC}jJ>A|;!@0R%0XT$t1rm))9Eg&DixiEDESJUnJLqL+Lig6ireBsMwV1wrgEd| zi<;&o;9~{u`;6+u8ur#V57-uxiZeD6R161+iVsM+#$c`WwLo)-z=t=M$ah@I&7{yk zz#tLz^YjIKp`yuTenNMNq{>+9*a|6e>f*v7eJV++#d=u09y7m3VMPCnIuop17ZQi# zdYIG9+3Ah=+nay;I-oK&$UHFHp*~D<)ua<^NZ?TR<#y|p%`H57Gcl$4fhN1P*;QnD zT>sTfupiiv6>`_;cduK8=yF-oYhFM?*Sb>#NNA0m>m$&n0w`wO9uB@8%;NcS`uR3g ze48mVz{a-?3PH%yE~=1wT%s|7YgB6}KuioE|M5UrvUL%>{tZ@`_Wf)0*A{N@7+qh- z<9yLOpyI0$SaJfl*=+9Cgf-!x;@~(c8g~0;c8{j&r}p2pGrZQS zK2|~!U2UIGiR#}kpq~ogrMzK5X{h4v$ssuS_)VJB0c*bmW8`J`f8h)cD(yHja@p+p z1#^-H>9p!W>qq8Gt=+KUEkjmBNp9oyU)qTeQpR>)&``Mb1kilB=t2<%B(` z&OaeuowPU(^$7uhmsaz&s4pF$P|0iezA(NgZ&u_{W>NNj(e8y^9T~lrDY-MKiOkX@ zCG`gM{yv{D7V2^g_cHJETaT9{WmBx!E!0U8g_oFO5O?Bnh?Fq{T$}?kHLKSk!mMM# zTPwL74l-=N^K$d?tjCuf04V2{i7k&t&`DaRD_JQW-X^cV`*Zb>8OxSgh)WtlKKtWa z<`TP*SY6LiO8>6OXH=LQS;-PRTy8$@_wFAZ852h_b_s!yuWq zfXa&rJIVMKM=d$mYE9}oK7k<=zhUSozd;x7$llAIwE$wmAiKi+iIbG>-@BT;QpMgp zlx%u#mJ0NbyI<$=dpFj2u7!pB>IE5UieBN9Mx>+$zMX2)u&k2-X1#DY*KNSyf2%i{ z$k*n%o8UGv=Isp#rur({keImsxcS)6-#G9ubVtGss2ME3#ZQ-ihiE0lRU^`S=4L6e zZrvclh~x`mC43&u&jy;IJVWqQoyfhx^I-bKsHFewzVrV@gGt2;?>@;0H06+*WnkosA8l1+NJXwIk z*)}YFJzG#&Y)m=P0@%)M8qYVXL`{1yaFUbS!|^M!_#F<3HGP;K={Hp>k0v+4YjOTO zn)+$I(BXAi!KEGs-u`k-kh~<8>ECrl1_+sYC!O+-@9-r`Q+psSgaxcp6$GV1f6^HO zvCqpuY+{gy-@V2xM;L9!`eY%I-x*Gm4cO*bWoz+SXF0Ib+uZ_4!tu-Go~!7!XVz%>_y7~bR+2|)%M26G zH)UX}R-ZBb*iGAO-jfJ<6<1V62P33JpRJza6XU}DzG?qVYjpJ^u?wm)tTpt3>0i+r zi&QBuwY4c-IBo>yLwLhUk`| z;NfI}b%q~UdHD~4Vz#f^4u~ke#PH>$c>^cyr{7}iM~6Q*(|-#TM13yCjUAtkIPS0P zRwE+$%f~U#VM;cxl@uQxk}2BAwI;8y^$l@XtASyoa7b-H+W7^6sU++28stI)D>|MX z^~hv?C@i9e4#%cAGq4BUWfGEyj`vL`V|A<&N$ZREXZ0TByhH_BF)pij`T3j~ijVt+ zIT>B~hCFv|rakLG&wab=I+?Eyl5`EvsMb;&<%m&q=LE)L=cRn67!CU%A_F3YG8N^& z96+CZ^?|gvNmX{2kbW0z=aA->0C*@-9Fg*J4C#u?)agfnC?pbtMEf0mjA8_coJ4JH z(fI-ZpZMYk?t-zL5fN>~TmA-hZV`oj2N*r@--sw(53 zWb#eE;{e6C=EmfQkbhDz%6zOaY1sEgC6P2NW0F*@n~V&)gVLhk>Fnk7vB%nY%KrYV z!aHOLOa4Mss+Rfz6ZHin{mjMi=f~h!rZOM|js`4iz8ORE3;I*E)pSyGD8=`7z%=%8 z-O=&E{G`G}5MHcIPK8WOYqGI=W6orAI9Do*mvSapXsEZQ`Ww==}OCqGlKmi&>MRoO}&H3ucO`$e+no-F2$5xC4k;i!Y9P z!NtI`o94--*E2K6?Dxun4`Y6n(C^H<_ePf>ZsjQDm@9`U7CQt3IC}17up9o zZh3G&SRuZ(yEY#(od01vI4iRqS9ZAC8C0O~l5sPLX5WHd3iL>K*C&`P-;0^r%m{2_ z$e0>A<(VaH*NkG?uK$$BgA8F?cBwFQNJ@D2OInUHpB8il1C<9j0#v-D@){-Q z?c5ig(N#bUp{^bbfY#KEY)W_=fM$Y5h|lkb%i4}B#?^;02_pdJ0u=u-}`xM&%;mv!hzf=H{~Evc#w zqVEG?UadW())(O)<0H-m>NI77kAdbrRKML!>VSF1&G=>-vny3D0}lh4pw!v7i<*?q z?ITlkZ>;!BX@`#kg4j3I!8nGx;M^yLUmcU^#e$mnhG`ZqP#(P?qpPptO4b2HRbcdEbYNrF#l|0e7; z(M@Pe(L=SysU=+>9@4mEM8!&$31is^gVBY+;C}xOw+v$4aQ*Ye^BE`>;Lw_B;EVdm zsSgea3*MwOW&O3w2+#xE>OW|=av5zRI?H>Zp6%2?ZVc`_Yv+>GKB#;QqzzSTGU(Pd z(|do`u~pQ2Hpbme(z*-Ur{}vyxfuO*0KN>lA<3Y34YIy;7SQbWWCe`Nuy}_}=8*B1 zzZ`xEQa0S$BS7rOg;Asu2AI@|F$mPqV?bQ|ffkvh!U29<=`c&Qwz{F>aleoq>9pw@ zD9v@XmNy2>^8t}WbXL8CZI~4>*t;)t8N`M#muZ~e!t!OG9_@JuQZt;tl66|=}V9`q+PvJ(O_)Ydm`=#|isaAh2i zFuKzlXVe;&H2wObtn{7trdRk3gVE<4g7Kso)Yo)`4!P5u>Q;dM_8XMzH6ItiZX_)Q zsUH(`$Ym?e0VzxZg7S&8U_Hn%xqzOwYK?nm(5-HR9rJQSQO!CfJHR{N-9A4^0-6N_ z_h}7%q2**Bq==(9rWdd24_8YxLL$|$(!r~v#WwrJZK+6nsts}%MrSmIYt0TY;k2(m ze9ZVH+~oP>jPQUez72qN?UE<(93^tg(7!1V;ce`l{iEn?E9z+onamA2U-)5*US|Ej zE`}^HcX`%qu#7e9Z~|yz)cwp5>v@16lM3C2RzUa=Rh2!DO|9uIF#?I(9* zLB~|io5m1`$NP4>5TH;~IO&jSWg)>EZMv`~wI#kaf{ZI$e4D*+g##+IlfLT0glV6* zj(TVFMHHe!E;oQTU%lqX*9st4Y21Ox4|Q1V!(!2pgyg@rac*sph^>hXyboRrg;5&B zO{MQv39NDErgJ9dwiB^NQo864+arvAze_^{!S@hf|L6(-WP=wmSw(XoI~{#&o|l(j zQu4(Wg{MeK-Iv#rnA*6EVis>(lVZ73!OP1Fz_%;tlU;Nd%a9h{Mey{&kOE5eVYvlds1BuJI4>*eh-y+G1;rwROPJT0XgHTlJcN znakobTN|(6J38|YO%(U0@rsD!93v59HxAfi)e(fgiJ1osI)zq@iVM7OTS!arBb=T{ zi)5j?QH2n~ube0-LzA%v2$)rcc#%4*j30i3giwKd9=SB0G@%pGRtF&C&f0uDBBMb1 zp56VIHy;ehZV51o+8Qkhy_(+dRH}xK*#6%MtI{7UB1o&YM03J1a0?0BTQBnQ{?yR{ zWIhdX9n>$6zo;@^0$+DGbQ7Fb9fKfF&h`j~@CHj3qe#)2V{u+pwk+9$j_v1PM{`hRMR2vZfUz!GY&ky+bHUd?J z?LQydi731WKTC+k5k7DRn4QvCp=}6C%V2j0Fyzr#CxX8Q5XJ$5c~-YGPg)v^ab$wm zXbuBm@;Dd{YgC_+HW*s-JXUEg?9_jeSi+*aN!ZI0Jcvf@m#wHU@^V!dVqIV2iS|H4 z+i%JY5B#_K3cMlvPvNTXqDdE}kIS^n_R9I5w>#q8e$Gr`a0TrL$SpUKONH2GJ#ImK zxwi}Y_olJh-&98fweeeI96=(qDcXzxn|vS{2t)1fhT?NV&j^SgW4Vk!rGUs*b&Wnv znk>0XB@nyBEG8y^a0M?h{_Bq0N%qw9;3pClpvx~wjDl$KZ&1=m^8VDB3jLaLBVSdR zsD|L*}5ZQLoi`Y#cTt^lXu3bnGp2G62 z?M0X$2t~4u-3lqvOliIdY^3Bz>m&y#l2he*lB%^5-lf-+)hNLMt@ii>R=xoCI%5Yo z{EY*o?M#Nba5DvQ#!WW?g4YnxjD1-wTu_c7xo^n4qXa`9UluHo_j1kj?}nG7La>pf zr_R^1R+|LUkWSI`(@`rM;OGYWWoFFvaGi)4eN{qG`KA_EC@~Aw+uPeAq{Z2)7!j!+?VZ*1Fwm%M zL8GAHg28S$ND0r|2P*@wzdJ_MOC}|=p6+)8pQ7F zS$)ktnk?b#Jy+Di#2XZypxJ4_^ty2Aap82^S2^?icyd02S3ciXnqT4~CVO=}&$C_5 zcjU8M<1momANbmqnAeFCAquS`FAVc|L8B(8ad#tjhkAq9d0G&Nha;tWz(4VN%kRPK zwV+egcB4Q24^W`DT=38B<0yg*JB=4+Ufk;lTmp1jyl710>f|5w8s5%%<>~ zvp)rQvfU!VSoIV)hgkXo*O6cGn}Ap(3b4WAp~&eWccfOsEJE(>MaCL~H-v?QC-j1-p={yN|h-GOGspPX_iG zczOcbLw!9}e#mWW00b-jNx=<7h~VZX-IF~8YssqK^jl1qdmu-AZ%q;IH$Emup3-)4 zXpma?cX4Yc2Wo74cqLVN(%N#|uC*$?#8`vxa&0tbbnfn=0-yO3UB)Wh0-@trx>hPu z`x66ILRgKj8zM=l?2V0M+KQdpW%&>2m0-g(SS;aw0OMotav#M>Rrq`)A04QrJb)rHUQ zlkEZIER9I^z~k>c9;LNwJ)V4ls}zDqZTwasQ*JDj%~>>7@P$Y1PTGj&g#l(e*hl@qkovPSJ8 z3qL|E)}f6~-!gsEY|VZFEgU~L!C8>>OAcqQ2B##N{y zs2qcwb?sNQ{#Sh_k#H54a;G_09WHlEoGls?KHLn6GGr5{m7>5g!EmMzc-h7|H`LeP zl3bi1$={GSrRh6~xV|Gyp(RO1xk;-?6 z8c&x!O@B@ov&(lWms2pb8YFiH{&nxdBFYY4Q+8!X$AXBw$eHu?-ct5*t5=AMJ^_UaAD z(2xJIWV)I83O7qzfFFEy>=oaooqu`J^Ej)o`}_8IFnEpYReofo&t^zYio-OFF#Nbs z^Xrs~X&44rpS6_PX&ABpSgGlKi;Sasi_3M%`|60tFJi#G&u&-v!TLqn=bCS#`vC~x zsc!RjXJckXiUjZw5pIl`_x(s|Dq1h(HC{Ky!6#VZ{9b4mGtUR_QN2`*Tu`DlfD=)> z<_Cq6?Q!JkzQ19+QU3jjI~=q;Q4ad(bcd`z#&DGfIpof7xxq04WBd2?Kr>yxF+hIf zND|_BTM*VtksOWNe8B$BMT{uJ1|kG7XwIjvJsK-2CiF2RiGhY(SCpT+VZn_AZ^Y}0 zVvG~VIM+mEUxB{NX}v9khi*zguSFCg@mW)|r4}0=Kk1$i`J-uF|3ZS_wIA3y`BK69*!a#odKl}g+uddj%#r-H4U1>)GD9C6k z_WGbJw<&?MFr*MNp=VRSGsm-t;8OmmW$4l2(uS<%FIb3|tbbJnwcXQ;>F1!jd`T2Hz7h7iBO0l*8m~k%`6nYNBI)daQ@4M> zes`jrJSuePj9}8;W^omDszy3tjA*?J)Hv{h-s%hURMA)IS$-DJt%3Uyd4$yI9 z2dKVMZc4x5b(e&bjwx2)wjd_84f5Ytr)rvQ} z>|`kD9cQ2LzWtXBz%Egd;yMQ-0CBYdFV9o|zBp2BP{g4r zzry=B--4)tV~CUGzdQ!x*C0?q%Av`0!*tf+iirE|m-g11iEl!mlqy7O*C*(TA^-qR z6(qUo>_Rqb8xPJz>ZWdlWj~WRd=*5zr&J}WSX8SNY^-+Sj<12wXXR6&6PzTKangW>ACs5i1M z&p&bW{+_iqAygMKWZu#V5*_irU5TqA5~L#RxCFS9;*AV;+ygFj# z>oZArYT3)tMMnb|5~;fyw_>j1)9oHBaU3H?0BX;VI01ghMZ(+as=}hMC3eY_6Lz$f z;*bEa9Yq$}3h8IDoJzYC2L>fcH*F)^>cWd|wbt}TEazj>g?$&uOk}_!p(aNlWeFD1 z0*xh|K{S1BT|9QffcQu-nR=8KAq9OK`sJGyO;)c18I6 zMPa`6D3fTzX21kI``X_}jQ+j4_zhdcUeu(#9cMPQGeht{~CZyQvF)5_@s;r~G0?@_IB2GIbZ0o$`$miXO+>A$ivc(u2#bIK?V@Uj&9V zd2gpQ@-@o0=Xpb|pHMd*lL3j!36+A#Hutn7I4z-QQB%rASfprOX-+#|ReptXCb8Wu z?$1wP0beAb=k2En%&%j|I9#isbiWl9Gjr{vKO@Ls~p4 zgfu;-Ou#Xg2VKXu@mLB3foHL;KC%qz>rPhAIypnI%4J>}G$UVpu@5fX{^sv&)?x2B z6y`Ww0qJ^YT%Gn#pJ_Kw)oMIH_m`)Vx%WVW%s*X(V4+I(Y~ttJ*20DRpsS0q$SQI^cadz3Vav0W^5X&gvO~4DOv~zba<_g!U4wlqZW?Bl&+rBeb)|_(1@t*O`pH&n#lVdmc7@eq5A zg-rHBpZ4x3*2%W`-S{99{A2(P1Gk|jD5b365!^fhrlQo71lBlnfs*J2BWMG5M31zH zl>Mp1Z)bOy=`=BiG8tyAt6be}UK21m^*g{T7i9!~{iLO)r61A-EmR`nvzvSL^x7K4 zi@ZA2bF8LE91E@-ucD7K_C`*9_4oM0xAzgL>2cdVMabO{RIP*tYfl|#dR(Y}PEi(# zh-IjcCx)qZju~;T1c+L)WtBt71ynQKV^4^zLSiGW_ipp!aE!!c$Ji9v8W`SWxhrf!+s zFEdu~@r!}|U$jMx`elKBBEVZH0DnDJi2evj4q~^CqijCM{~CYfp>Nn-DiC-e4@^;6 z5qD30-ne_Cg~Ni-0&d`hAqOxC2X_ca9r>h^xg+NbjDP9)EA?OfUO-ZH)#$H+_=mjGNK(TO+V!7+R*N{StE|sO=((#Oljuka5Qlv6^B)V1^Bn#d&aJbY1T% z`dvHNatWS6K`xc3$G}7Xd6b0E3}ZXN6!`{pm6#?8Hjz1 z;Y&^VY2Zs8+-`Vsd4TfYcn3aVnT@LVzQ6zq@6JV<_w`Hf;C)V(x3;sEZt}GAvM%)+ zi$k)aZqMz(Pf4OUL=6pSjL4^7@oiDYjC7$K&I_uGy{^Wod?IV~da6uxjxL4?Vl%kl zl6=5{t@?5blzpC8I^irwJt5PBQa&EQ00D9*wM{=Tx10GgtwBt6hEFgF6M%5+tGbE{_6B4Ck^Aht)NyPK7^W?*6i`@^8uqbUc- z9qnedGK~3nyR~l_oIwV=?-DWPSygzC(7+D_)lQ4aiXz#TROsE@>UBbA%5>}it8UGE z7Dc#!E*aNG-~ZLpPN7m#IRH#vBvXH~ghtLPVFpy6b2N8PkRA#f6L13kV|zyeQs2O;9)dP5}>U;h8wi!ge~RQl1s z+T;4JR=~|*a*1QRlc$5B&icv}Zyf`O(SoO!byV`xJ-u56D1Z&Uzcp_1a7*uX^RN`$ zQ1c9fA_8F8IEg}A=HmxaeyqdrLH?n5#V{$%^NV#9GrWEaH==&t`9!u0)#t{eP5qI# zW96^468?qcZ~l1>|H2sos;m4O_;g`l zj@}L@z!x3cQqSP75eW*G{(>x^%#AOe2i8qL_si?f{amH|W%+ zES>ekT68)P7K=+J?l3a-LkYoZAOQy~Qsnr9)5uUQWwgQg(JCuv$Y8iAhxQr}f0`Ih z1`8eU{zKo|VvFkRW?PsZVwJEfgTj^8&3xHen%9Y%jaX*>!8;bfDN`cXC9BF%fBd|8 z#)?Vqa`A9~HMbV@@caI{-ETWeF2wd6Gx?*##{mpYjd{!eFVUvon5TUWKrk!o(H0hWJL%EfBCIlU&`zz zkQ@}z7=}LfCLY*Ndh21J5LHi&alrekgg-jar~3s2y6ta8wuQYdd_qhA76z?MDv18UJTT7uHR|j^??+& zDVe#Y{w72+jn=o~zO#U_?+84N%=*X$F;|ep(&0ko4NMP5N57Ff&>l@68s(zTX# zG2&D2xzgZ4>|YzR``Bot^BQT{i9j3p+|9ZsH}Ft;09CD_s5;< z|8Bw{kkarFyA)o2%n<#}#vY#W9MzVM(DHAQe6Mu#$| zCDh|{Lu4wtw8b%u3mEb68Qp4>wh({x2osI;Q!iFZ-8KyK>pe?DpP-bDZ5VaPERPU+ z+xjWR^?dup&bH#MVWt;$6;?@!f2b1KUizbMmV7C(X#q1g)!cYKm+CN&+Sk`pUD(t4 z&I?k4=ThF$crJF_VR*kuW&Fz|!PkXvxY`PNS8b?aBs{?64u?n_0+_GSn;fzvqT z_LZrc=rhK7efg0qU&(u|R%5ZccK9RlDsIZjvMk|pe0iTZp(Cz25=>h_%WXkN?-_;5 z10vvL8w=3w*fw`@JNCmB6kmnzb96{X`U)GlfYaa;rE2dx`XhFp(^=>t71jeL_Yt%F zUC4SkoWk+8#NL{kDvA)oC+t`*(>}#-Pip7pqR2>c@c1`K*7`BwloXBh*A5oxG`}^$ ze9m3gPjTOydF#0>dqJI2y>%$)WusNz>(FiXw1`>XPP~+dO%i$!b?duP7Uj_R&}hUM zLLV|`yABP%l1L1KxROZ-jwZ8ag`CQg0d^Ye@S;itK4r&nqmV(JuM5BZ==UDB-MUon zCi+4yRKXvX1j=nKa&Mu2@lAaGxY-JP0=z)cx>TbQ>-XF-S=b@;Vx}Uwr|`OW5?I zNzgAnN!_pUUR{5c@3I1I_gDGHI@29pzstldPT;vwGd3G>K4XuB5(RMp^5}t|wTUCdOe`ROOMyf3lqmjJQPE_gTjZ4vuGKh$ z2(Gw$SwAQ&rT3DAYxRQ(4M+c#>?Z@6!_w`VvgHSZjp+})+x z-pHkJ%OQQ@wN}jg`1N%L%$7-x^BJU`3Y;i69qf^@h}xA0sm0n9Axc+l#Vv+M81q5A zU_oyr*2NKjDY zKUIqOcN-cGF-7)7%0;fjoF%?vg{3sXoUL;+UXrF~d2ZV}={d56a$oWtyC57US24m+ zZR`?{T=NQ1QzJLfQO3wlry)nkVeUvly=;I*h4O!hwQU96OW|0hI|QE^*1kvit~%Le zQnHJ0x$sgjOIcv3y5bsF#grGoP$FqhI@T~LO?oti7-x`*;X&lN9 z;CGd@93OV|tv~byv)lR4pC>Xgn00~3d@ep1KCBZ^kl(&pC%ccdSt4mV36Fj~{b`&C zPVxBr1aBifV@`b{`iCi&?`q6-Ur;}diIgd5Jm8v9DOKlsj5n?d#Ie2?8pIW@rwP`? zda#v;i!B3w#qcHhf*I6 zV|3;~r06|KvE~O(lliDJ7xQutcs}v@iNAxunc$#$Db~ST$@UmSZ>>lOson)P7J95` z+d0cSi{UiV`(_U752a4iQPNrlK$opQ_1w}z>51=zM0YB=|yJ~O=%;WbEt;^V)K4jsa)1CW`gh;PH?yj^{8)$;>8*}_+AwrP-6 zLu>5;YTeh1r!_q%S?-JmgvYLWUPD~pFz#lafgtN&{?C8iX-h7LHvN3?j;CxYMmU(Iea6*EINuXrOmKtJ-#l@_5;idnM|a{BWCGDai-;NMlHsara$p?si62X#Js!L9@cSQKXY$V}T(ca4*A>M9dN>G6<|hwmK2Z>^kGVqyO|wMF z8Vo&k?N>^Y(ce=V!=#NPX<`!Ck6oAAue-*5oE*0=_*?i~c~d9gZmd7u^FLIw|HOTg zI#n$2(Cb5O;murEEm-i~bmmxpFx2;7g)TVFPy8$yjkT2klqX2cqWNQx54-+NGhzPbC{xL`iJb@8}(NAeT zfgy%W)Cz7I41U!4m|b2b(PsXL08{Ptq=$oVGP~qH0+_*wezAG{d070T30Znrn zl3r+0XVOPo*QG@scYvld-;Tr5Vw}yCiRkM>AR|RXlS>yD=7GX>tzbetEHDzx@9&6o z4nK&UOQw^LVX+&rtf>`Jm+CW%F?{t7<0G90T#W9r4yBe%L-~R&T{7l&2w8Eu?xBLC zI>r0RP(3wzKTP?Xk4~N8$gB>680RcsSYOt(gKc)K;ydzF*9BE0eHr8Or3LpauQBAI zR))g;ra$Z$;(AwF3O9?(_+vE?AF~J8&9m&8AlJd~GS6cznjW;D3RaEN4Vgy-%cEu;y^KR zrx~*-x4nu-MAR;K_e@gyCea>g_+VYddC!IdvOr|n9+-u4dR|v&z{L*r-&1)FuGp*n z_D6B@Ks`CD`e1g0b98Z}cK!%-c&EImz9jG2SJuO8-w&!|qp7`5`i19AhD9ia zCO1GuA9g*QnuLXGq-K{fgQ=3K;G#bP*C`rPPc6T6L~#YeDlp%=nXvup%X+h|Xu;qh z?j$qR-u+~=-bf9{Ge-lx9C2a~GipKWf^If_a{6P%-jh#Rnk3%Kv*X%U86t*LyYtXx z1I;xEH$%>UV0tORqb1(qdw)QkoszfJ8eu*By-+JTKhwY3D}Zt4&sV~7HhCnh>uata$r77lD+q=<*SSegaMrbq8Qv;$;5M00CJQ?(jJ66aK_C(rY)(ISQS#^9#SpuKHNH0!D`5o^3>m3p=ZoaCUl z%OO;lfCb7B@bn(g=t>XS$L7sOk)9DKx(FCy(mhhs%N!SG=xl9NVE?qmo7wg1QzkHH zcN1pDjq5Li0gCbC{pN;yWxMDeFex^bf33GSa@#?#9Tv7!vm7eurP|=Id|E!8sjvKf z10-RkBmeSS$1x${7~K^=yf(rj@kaqU?!)D*VOUSSJ*2vs)L;6O5)Y0W@SQ{y5gUyf z+xWd+Jz0U=?^bbsiy1spXimbjLN5N>r5tthE5f5XOECIp?=lo)D*R%kWngD73OM|j zS}U2%6+_mKMuF=TWA2%xN;n}P2pi{--*6&dL5+sxPcUjAUI1=ZU!m{;=L3~C%qH(7}!&aZCn?C;M*m@fXZd6oPFB9HOjBWd&^!bB(J zQv{3CV(Kc3yKo(gu1u4KmH_fc?RZhK0nl>R`A_;e(qEv!(3(SBCFbvJfr(C}jrbf+a44glU1=v&&rdZk8xiysd zH@hG4D2vUMYBq$)h;sUDCxS^*P$^)Sm~hFMmo7i#4|`X*pBwPx zO9_JxAD0XP3d3EB45r@$w22C_q_QxxH=jB|Ye#2n9QpQsP=wDk_)|1}$CvDtva#mz z1L<@O3PnX40_fSjb@F6r+XEkDZ*IP@b!i8h+Vs;LnQ9bV*1LeOA5%aKW$Tt*JZ={J zT4ktKj6)X7i5a9Ub6B)G2QMYD!n?Xpy3K;Gb9uk zgWOq#-xB^5J)=DJpcxnY#RGKbOG7|i9$hIhkx|_r_)^B(c*K#QjgG=b zuPT)3x3KU$x$pRl<3ICUvr1(;w%5>p?p{)*vloqk56++GpJe{G*z|)nC@4UG`)loM z#8U6t@t@PFkHv(J_{~`wW0NHr5QH%kor1oPJEe`%+6y&zdtQhvB{6!FyT8bLZK>3r z(gjR)mOOS1QcqEzK1@(U#k*&gTROY%p*HgTTnNseuqt!Ktt5@nI;l0PT9CW_w#kEm zdEecFP|^X=CHWFEN4<@MDCOUPl0cEz)135^pd5c`U#LR~1> zI-G0y7{81!`4^F zMcH=UN|&^N(%qrJ(2YorAe|ye4;_*+Qj*d+q;!LHH-dDRbf=_9bMC?CdB69Z^ZhUW z;JUB9_u6Z%y{|=Yx2sRSsL#boa7Cmpa{cAmpbX>SNOcxQkJ}5pPge5|_GOy1ayc(A z+%i=VrBF+Mj0X}0Yg7ef(GI3{?7yGt>t8BNc{nl~$6UMHk2(3gp*nwdP3GO&}2EY!UYd+MtFIm`=rcwd$fJkV20KL$P$G{=wnrJ&hS6opbUaI>9@ zJgm*jFkax z(DuLu`$AMREDjhTLhAR>AJTk_F};2K%Uj{y z&%AM%SUQpW%?6j+=0Nk|?$_qr*Ubm1u9UMQ7sXu{pZUE4Ih)FUFj@I3rMd&ih$Sz@ zcY5kz=9{Cd{=iI~(SGBh*vZwN>&;fGxbEFzerkGi&2=<|aggv{DCNyds4ru!Sx1U9 z!w7hjfhxscw}=>vss9du5JLnGW<k%_?M5sGEr! zcf%~wCb8}67T>Qn-Ahfo(AQ-53M?Ipm%0Q2DP<@>)4KJ9q|H2WqzGl-Vf+yWxtD_J zA<;M5IUsN3T1tC=wb4mjQ5HHF@G)36`4j%m+;hI4kPq7Q;f8IPd@<+rUzDviR7;OE z9c!2YMH!Sie^j3<>4$nifiFB=`WCt6b`)5!4QyB&DxMZws&}#T5pAVyP5gx3t=JLZ z2z;!5hwt4*W-Ss(h$69sNk>mY+4~2O{rw$#mz9K3c3{(A+2d3sDitt-=t6iUjK`3) zB#5>$nSuGSGnGf&>f5A-UO*a*HN?HY4UK~KoDTm?pAR|H>r+}}7fhPFDTxkrnO2?a zT#eHOd7N2PF6x)4LfQ7%`dRrfx2!*!^6BsR3tQ;q(28M{UeD{ux(H5es@eI50r)SL zTECkCj=eRQ-{4CUHy|YX^tN$1nkn#Q4TiFza&(PjTNz?J?%91~5@KK4@q?Xh3)&SB z{R8yoDGCQC^DicP9e+ZMtE9cMczz`MgRlzct9iO{p8N!CrNd`~R$kW3Y-`3O6o`JO zPwBRyZjBpQn;(y~{9BcvhoD!CB$S_g3BB#u)cU5&rH&t9KZy6X zRxA(s6(@G8HI%}gD5c-yZFH_4?_C3GC`467!cPXd`_`Wx@?tG$Wx0%=;Kfyy*EsnU zt7Jc9=h?KDm>}l|OQ~pk5-(oaJ~=@{fG{#+!JV%InB2t{g1X$*VpB{=@DI?}t?YeI zW*i2Qfj{GzeYAwIEm_ncK9bABbTeV*KQN zDZ`nx6dOK|I09{&TMn66#dG&QyG{0)Q*}q*CU=Y=w5P~qNROS5M+U#93XD6(X7b5# z%i{Gx0ScMBnIhqB!@jx0o`Q%ar50Mkc;W7UDx>vIm>~h#Wu((h^vE zm0cf!!d_GzHkV(mtuGbrEH_KZSsLsjX+vHKeUgOhuAUu?;3bE|I{99R>Sk<0XW`iO znKjbenhLVkAahLW5|)rTvMsy~MFI@xxQeuIR%bUrcWRqyrj}MH(w)6z{ch5-sU*}e z4j|b|`(v(DY#qTGu7Z`+tpaW2GWmiXunswM?!yy+8~gD*;O*esf=7LpVN)Q3 zvrabS;<9x9k77;rm+igpug@Eo439(ay^ng`?NaYo0;T!w8gz^y(=BHcG0MoL1!BHJ zRhg>)X|$|=o-h8YdwQ2pQd_FybZ~>RCC+=2I0-3T_bOt>FA|~&w1&_Ep*)}|PHq_?pM)trKKP_U!C89LUTvm_ z^$OG61yxNN=KP{W6^$*nZ1rZ}32m*f;Syc>C1H4}`G*cPd%M;Xbn=Wa){kpwAl#u6

      s*fsl z6sa9wMq-yz!su}`1VD-r*0}^Ei=gpvp0^ju2-`+Ph3cCdscrPndZ0{t$0{b5JI27y zvd@_-j=pD1dNPJ9?3cv^(U3(m!iO0q|#h^j&4|Ew@&}4 z?L~x=6%A<+9W73*XaaNt)@PORX%^XgKBbcS-GXwdXnPYZg>OOiy>_kJ9XY5KuuLz;v&6Kr21Y0vY91CEJW{Q4K@;ZyT-tY{G9gU(?fC}N1fcWDN zIZ=b;$4Y!%1%3@spYMsUXU1SXZ6)O(AWMCR5l?nwQ3(*34ZBky$4Ecw)8kFmred`me*W2>M}G%~>vNS5F%<|}B+OTn zlU}`q%;r?{t%?#p6}&<7tQl9N$z`4)511u&RHsvN#EeM|C+RRtQl zpZ1=Oh4sQxViQ|%k<{gq!m^d4g8{gv@S}mtH^oq4{la_>$W$8N%^$9#N0>740mJgV zqdygi$)iu&N(`&&svI95qLyt~<)luTIzqvNgJ_&O_7;6eWu4tVvM25OQMOVSj}lgK zP)HEl!?+--B+rEV&VUqZIhQdvSeR3ji1Av>{(EXiU}-Tw!QsWecT=vw$xO>yt7a+r z)!F#Rc+N(zgSkEN%XNMNy{hdRv|r6YQ8?fY@tb84l_qp&jhgB`sIB(- z5K&o}c*!@1nW0?I!6GwK2Xh(Y@lwK%i`cf{23|DcBBb>J?L&>4hCJ7mwl^CpFa8m7ESv+cN^ zU3cgAaiP@=HUBN3?yst!X|(2ZV0)_S8)EvXdiDF^UpGEa z96P0k9Yu?tDq>rXm9_E_IUTlva`Znr`>!%i$L97YnUaxhtr1%O5UIfuu(hWF9x;)l z+!h5c_gFk*Rf$~Lta8Jzi8O=qM9{*}8SotXQ9)2IG+F$u@LimF1Nn#E{{Zk7(_ldM zaq*ADwQ&}e02KC-3`tMfuA%B8lA)9rJEO9n)4f!e1S<`%y|$*BQONzzB1bmE&hPv@PVx#HelS<^;=eQ*i

      vv-tvJ~)C-?@0Eu%ICw= z>x4at+dT95>>fZlr-2Y!DT_b!=+lmmK&j;dOoqo?33Ym+)^2#VGFP+j0g|8CDQQ|@ zZ9JX3z(6oHtInT>rB>I9EH5>1OTm()EJ7-%+mqCQbtXMu|NV|7Lu30nN>yRFrmp_oph3Ftz7ARh0fNL*(vHhB5?el>q!zrAwan=?=IF4iiu#f|WKcRs+9%*Pp{ zvkuUAiAa^|irOPLEI(hE7l{p7X!Q4n07IsJ+dUZUY%H?0JYi2o(FHvQ%#X zfdbGcX!jvP9Nf%OX0oReRmRx0kz5TO|7V{*r4e4L&$SV=oZst>6K!_&{tcARU8ymPorw{HS+#o zNDc5$!x#aGCkKW(tC1f+)1d&w;BC*bhHTx0z^TJJHd!7fz+5t030Z~-&0R6aoPT!! zM?|>i1L~n=9b?mN!I&&$P`ny~LZ7&5%*6c&o`Ya~>m+kgAIzfV1NB9Y(8Z+D=ATDU z2y60zNt+Ttk`G#GTC-?X<5noM!Idq#lxF92Y;U5O4;~=$h z&A9vX?{Q)d58#nR%8SckWvJ&0mar@P(#Ib--)w#^@^nU8+Jn*lu!6rk;~|{gkjl9v z*wT)y49E?KK%zVRlgOCp^QUFx`T-tN{beOxzcri?@RtP6dEcK^r|4fgprcVBMgR&= zLjO=W2h>SN7&k~%tDlB9&TDMMN-~YQzhTC=(Nk{!5SW`%v{4lnAXfT$X2MC}21S1x zn_BcD#6v(lBE;T27$a$3O_JCd6@K;I&t^#Xkf|a2X4&fwLo5w|>#9hIq;W*aL%EW= zNN!TxJz~rWEb5U0P{Gg-wQVfE?W6kRVL#r#5}#>>rt|8n5naQ|_d8ZYoru_bo@yeN z`ZJ$C6yQih3nuO469Sv>>5qOj-`bN$h>rn;V_;*rtQ}C)xuoP!$*d0lah9p4iox=Y zx+r9E8auoh7~d~>RmzeVgtL%;+VBp?$amY|>SN8btL9Xce` z6C!dOVcL!e@$sfTaV<6&zxE?CAZc>h4*7Fu^E*)VSS>n~_;xuOYZwsvWWEvl?|ld4 zo0ijq_xLE7nDkS8XOh{)Ze@F!wGrCQg?a8j6!eUO(lh1}{M;lKlPu!WNTwWm3lfx` zAR0Xx`~z*Z!N$?NylzcwIr#>>7&}gz(EbE5lDoVU=h@}Rt=(&=%kREg_I9A7yFuiz z;H*IF1ztSowKxo#nJu?k84y?YIEz|gN}qR4@FPOhL6}QeAVSnbOq)s&B1WV{+XVK7 z)+`<*7!o70;xDx{n+?NsS*ELOu1D-#4+j!p#E3$Ooph!JBL_fJ^sbF(7EnyShk zNCJR%9iP*xLWMY;0J*8kmVbHzP-zk(ND#vid=to@A{sxZ^rWxL-2q62#l`D1*zx0q zgx0g1(w>CHbK>x2pYS!HloWh3IxbGi`3M&EOnIJA`Vz{qv|W)S#YIPLQxOESCJ;6^Y+kxWB8PYl?pEf|q)3EyQJgC-_s6-RIP@K&R$M@06mAF> zu_=L&h(#a@sg(s9ZJ~Gfc5N5M#v$oz^waB=I0Q6y--Kvw`c{KS!lGx)j#jhB%d6kb z_WhEhBZLLFNf=}^L?{uDdB&^4eA~J&F$IuJs@uU=m4u z)k=*eF#h%;$)h6XD7^A>9ItC7UBYA#i!KZ2iZnk1A$TI}qgrM%-fv8=1vNGwJ$AZK z_q+*YBcR~b#num=;l^(%631@0yI^1Z@+!=-)Jp$rrfec>5-s(xvaSTEJE+6DH@IMo zys4bjL#ZKHR9_MI6`q7dXy{quGN6%-SJ6z543V?ttCW0t|E+{K#!r|AXXtvH~8PZgL7I4s>phX5}i84Jaj01bS^WJ%jsiJ7m!PJWoV(VSVxuoY^vhp1wkT&)tn!oK+mhA!bl{EVQyR@SG@4VrTru)#<-M^~pTj(yk=_LW zRWUWKYDDNHixc9s`Z_gs&Bg&hDJVQsusxPLb6OJ$e|pT06Ho~28_X%9w2TUidl!Z5 zPfynQ42sofWxD0~a)P}Q@bUH92s_f}>JU$x{&h#{)^$Il+EN9Kk>-0Df!~Y90#h)O84w*?|>XEs1_-KHuR+#RM&%d@cB;*P7YkM$XisJ%2HlSz}HAK%Fg`7 zyOMoNYr&Hov#bH~fi3Ubw^5H)Oz(e1+Q$D&q|McVRmWeB9lgQgB|VP?{s*B_9=A9L zV^=|+pIjbm8R5OmWn_f~_U^CJ>;G-riiHFMKuq`HtI~KV-8j^AI*@aSd3}p!O{(Gf?Qq2e%d7ql1Au>UStTQW1km$dZN;N zy1cC5w$yoUl3#51W6va# zMbr3E>|NSaEvi!%`#Db1moN0O1l*@(JWzHzkvm7c!21B_Ab@1AeJ+zr zcn{6n zP35uO!k?!Mw^tb$KSXAv--e}##DDktzIIRmnA~2S!$cvwTf()!ILf!CYixebzk|N? zU-gVa*G|V!CV#Oi&W374%YXq2nZ!S*l^l?l=xqZrbUN0Nir|T;c;cd0AWp|}aHu8B z@vbw3MN}Vl29)3z@mUkD7Gg)dS5B>-UYo(FQeDqcs$*1P$N)RHxo{}<+v@7B{%kyB zI&_J$s|q!^ND0-K-M;+#r5))n-WsHJ1UGxSpiNg5&FB;6YD=ku3*+#%B?oen z1w;X(y>Z@%JfAhtJ7F#|cL)z5tcVKpXxa?7s(O%L2P}|> z>pYKE3mLd^7`FRysfkFgZW7h26k5J&{k5u!TuWECPtG;d7-kR&oHQ)bf;cv=z!9Ci z&gObjn-z9_RHP{VrDJmV-BYsqZ`rM?~X z99~^zTd&2!rFQ~Hh@5ze>@Wd)-{lLAs_jay+q*=AS>y2{Chz>*MZnKKD^HPrWGV zRkh8{LHh%JLx@@}=ft!<-CJ7JEnhd2gAx2Q|IDnD%q^$0Elsv9(4O6p4R+FGar`ZD z1+BEefZb_sN-hS{@v}+og9vdTrL`4&9E4C+!O!{+5)qdK@DR+HGQnRadYyF6%DM)_ zgXm6QpjKQ!R3z^`CAhIPLbwLX=e*XFFpvnHwfns*N%hg|hhdEY=a1ieTrE3Sdo5RS zWk9{LS$i?D$Vf>&eU@rR70Rrl!h6tQ`}4d=Y|-n-F38V(Sa4@;P<-j~lIu&6C(2xS z>NLzKi1(fS4`1nLC1Q9?BJ2BdrwmbiQO^nZ<2nfBF~Q`-XOhX=6zD4M@XT2tqYBNO z#V?9^U?@DPeS99w)UUwIcZknw|3@-y)=`~4PNjBM+gtqBY z!5E+(^)y1p{L)wq0O&yBNXL}O%t7ke5_L%64!7XYj-Cf5;3l!Du(mXe`^V;sj2`6C z70!6Wh|c__2)32%@aD}wW`xqO25$&&0!(?H6E`w)3pSh!lHT$G_=L^PuUZFAG$33< zkuYPBcC)FdLdqVk)tStE{Skek3T#+6-=t1~Rt4d&H!eSV7R8evpvD#TytIjh{md_s z)f51TRmF&y--39HzF1cSY_qSs3Io7xk`tI~WM)MCFR2zY5Xy&q^5k!rpV8sV~-vLWponCZhXhsHwLaX zobA)JwEB9yFp*W&rR0Yd_u-o&A;F+;1xVY1kt;_DK0viO0H`}w45~dIN~J8OWlo`N zN>$V&K^!M7s%+aezgI}!@`MbY(at; z%F_M`T5!x?7}OB}J%v3203riSJ|qi5T8MsU?`aZYPs*>k*{( zg4tTfgL9M1kJ|z*RzJ#TB){Z^N~%`BpO~tWbd3*I%G4m*h_(OwM{}3yYG_jy{W4#M z5yTU%MDO_A)G?NzKV|nvQMyAo5qN~+Fq&=I3XO%kiI880Gzz@GvB}S&PsLc<893YG zybXU@hOQs;jFe`<0X}15##_I}Rmwev!k8O&^hM!loJxBY`1H`^8Q&Rxi1T>G2zz&x zKgy@V39DFS|44t+$#5@XANt9{oEw%(hIe1kM){Ft=Yr?WWm4QY?M6H-7yg$lgmiEIrD^RmKXM-NrllB5FutH zMgN z_`?%>-QYlyoWPZ&n%n$xae~-mQe)CDw8-J*43Rb|8Sy^O2w^G+7Sej#iu{(Cr zTTxkm(sK2iO@9MCA)86g>N4N%9OCdUPbvdO#XQBdx#Uzqz@``Rjmb0oZVIt41Tmdv z5pHWt#cWQI64uM;)hE+t^!ik4bh`^k1!8AXU%HP!b%S0o<52_&5T>%nKIcNHR{adk zGlLMn@b$7JetQc^Z!X+(x;y68sMKVABgr`L62qD!0Z)_|KY?+>N1P8WVnv8J10z!< z2h6C?ZRgGVwJ@!{)vyHd4bBH=81_S&L^=MOCXIY4z@+2bBqj*vn6LXMa@>JYw@|+$ z(rgpGc0&79BCMxSQIOY`QIp<#*i-h`I{$Qj(vTeTVb;|)F8l+MQX(8>^&ItIq+ ziLqOS)aM%rLZlJfs|+ijD13mmZiL?c5to(hLh|zZ^YB>6RvAr@wdm2&OSws=x-kWM zXv(kcO{8vZfWw|-exV9#cm3=IkGIcz|FSbDE$EcRcpqy%PkF z2e{<^6e!FE16aY!KFdCc9ujZ3UiMANwZEp%)YMJ*?~G7Sja~-D$7Hm8Tv(+xsr2?e zZxhKg91BDoK|xc*A(j^9F@Ytxe8Y>SkhR3x|I=quba5(N_DQWxtI+K?ctK7NboHBl z7d5t6^t_(YEBoh_wX2Kx*R3xY24`Oso!r2Ws2+t9kzW74iC>R-@!)z*830w4Y(6;x z(#o9Y4eB+N=NRDAOkSrvZRJuD!k!-*Gxd`^eBMKt)%UqIH?>Ph!v-;HHAdqxd3xfMO|IWz%#i#OD3IkI$$ zDaTd@@W~HM3EVGQIk^Ee6!0p+`?pF!b(#N%ELg5mGJxeFRH37ntzc+cPAHByo^Xb~ zQSIaj>Z>zEh7%~+JqX({$93EdwNbuU+Y)^i6yeCbC0%KY3_qTtDIlZo% z|HPMF9r;y5w4_b0s`xqUk8S{$f5qbhJBD?@Dts7@(>WAC84&IdeO+xa+JP&6)3)P~ z6XtkZh2Tu+QGF?5z`bu_WGqvw(2b$;5Lb}{Tva8XpPT{*w=3Kry(c0%avAv=dOHpM z*mk${Nkob$8CF*&AA~?{jIIpx!rDo7So@`+LYV8%+E>Q>H7QRf4E-vSOC;N@{4Fo4 z`O)iv>@bBSK4{$@qlUtZYAqZ5f5gP8po%C|x&K_R(th5p*keGgnxHgq(j_r(niSeL z(o_Gh`xVLXaKFN-UwnC>TsQ%xmOtbu+$ou^={w`oEE-$`tQ}*@{qv{tAl1&mC`qH& z>gz|W1eS;;2C+~;MJFVvR5TeQUIdBQRDC_psMvEYJ{Cmd8&0=1xE>gf_`N%Muo8tk zkS1t4{@t`;4p?&i@q9MVe40>X>!)Nf(*Gh--5y81ZEgS**7|>(@%$iA+(8lE(?8oQ zABT4zzx*xu6zoNhTqFoB{`xBHU_i-O{bU4A_z_BNlFTJ7BMn@e-A5xuZJ*sXFOEb9 z-vL>^EfN>}K@{-lS$_R$kJL@?f!3I;6ebm^P#ogVm<~^3Ry=w3126u7z(6M^#umrT z@x}=mp0ywD=yyk)_pcL!XSgK*El?>YHa^izM?V3IacSBFI41wWG7*|1y1+e!7OEzZ z5WoQ+%Guvc7UfG}WJY=m2Yl_8Ne#Ra!1?~9Z9-+#&OFAs?HfoaK#|YCe_uTD4x68q z>fGrmNZ6fp%_(G?_c+<+A+NpglwpiJiT8nY@W_7oavGCxhN9Cj<)E$BT+XO2t%d=f&YW?UHDPpF$$f6>G51cAL*gQGqw@9Qv^vLH~w zyNHf~8!6%TxrzkjwJ0QPmF8kpWgofH0@)c1_bW>fPN#~&PmkqgzZRm)#}un_FM1Oj zj=mrI{2WDs*8&RUuEO#CAj*dx zzyy>66gN=|I`sm8GWTG9lq9e=*#Ot>8(bggIa2Qbr(Y$G*VGFA?{;fm6TOTEgU=#c z+K~HnGzQD1*HVrd^SQBAFCWT@BIMN@gKeVnSU84B{76UG4|T8X1u@uJbxZ;;F^M*$ z11edKK}ps499=!5iV`4_T`U}?X@h{_HV?qm;xUJk`a<=ZJcO~u2f3`l#ju&}8UG4A zY~BH3QyP^f$Q?XR5Xb#O|9sEN1tS!4wRHXK8kp|cvAf%}d;M$rPc)V{DO?R%_E~HB zxW9A@U0C{fsc3jLZ8viWk}GMN~C7;0ixB z@IxVVmv#Y!bq~PvpwhS}u>mCf8C8(lcf|;O{J&|cE&jpt`oH8UjBE`p8h~Y*U`SFs zg1*9Ebg(7#pLRn=;vM+P)xDv$Fj&D6)cz)mhh2+W`_fIi%u{0VzPcIj1L!$gg8g!- zW%f|b7n{sMcxfE?6%}mLlkx`kvn}ZYF?E>7SuX{eEM}D==rjj-PJaEKX5!GhI{*AV z4)5~aMqgf<*Xpt#F6JSCp`NG=4lqtb&$6iQ@F+f~?yX@{aT-*-yE-eNdv)_?EC;K` z`m$@+IhoIfwLf%K=Fdfyu?%(AC*6v(yqG-fRpm!mlCRiEf|D@dLzg4mts{Jcr^-dm zk2FYZoQcGar<15zN(=U81HbmCjKGMYbbo|o;}dGFG!t4P|z7cC2mYgOX6>ZW{pO(hz2-9 zflN6&;B(b+j>8!RD11;HfGz(6RSoAQ4BTVJeN^1)I=Z+cy^*=a%Xo zFIW^fJ`^5kpmt8-b+E7uT6o=BP_Ds8VEaa!kmw)+_IRFXfThy~j=|v5@LtyBs*3g~)x@Jq~C`U!rS;B9Mq``_2o+}F3PDfDRe2$1%6J^bHzq9-z><<&$ zqKdF9PG0{x-AEw^EyTF%Gmv)x*O`og4bks?p=d&3Xe}23TtODQ4pVP}P(mSNj&wME z(W5`UH1?8ri`nBMN{O#Go)FY1aT_66o)$i#RRiQTRsx_AP+$|Dlh}>rY z+JI?OnBFd@9AL%*AkROrrx(6Z;{R=p@y&8axq1<;pp)<@c;#T-QU4vi%m-Bi@=02D z4Bd1iZ|A*WTsL093(_f8a>myOVTTejjxPtgCM%rP5J%U?z%bqQi7{9KP`_`x_UV&m z{C)AOM<#McoJ!o{w7D|(GT2Q2-A{p9BAG*5lpD?ayzsSAO&t^{2k1U_!aL<93g=Qy4*=aFZfKG$Phk>t4`qyFH zJDw_t;jlpIWt8}ibc^iWE6GhQaJ7UFoQ zk65LeyD*0nk9wz!sy?Mo)!ZA{%~*2I)mg|4u^&Z$SHXo3o=-DKyW%^RgLZ zQimU1JcqD^=eo1GwNWo2!)df5*s4y4!erp?(-d~2SdDlhbh7@oy?AKf`*icQ`W}G? zh%?wi>dA<%sB~UF!ly?s9zg^m+msCy(m%caTq6@ky(C*NjA6pUV|YaSNImDt!?)#K z8soAnCr;u(0{nM;vOsjYBz=0_>Hj~l?%%~O|9@_S?xXYkZBYM%VWtUIGzvcRIn#Ur z*I8}m?HGWVLw!`~KwMmUVK;(2@^_qKNl2^wqi6D51OSpP1>KI(@y zODRThMbD?AD~rY77jyB36nNN<5wE$;hlj8W+@Q&*ER;9P6C&^o(ot{9x zg9h*6oZMV~#;Ui7v*8Ip_6P{Tji{kjFI@%)om{GSPFFm&`1KP$>}y+U*4hrfGqAEM z2rm{J%GXVZlz_Tq$XjkT*HYnBx)4pK3YJg4raw|kP@$+nEOaq*iIgNVb9pX-5>w=d zTR=F-TjV$VqV80+jEc%w0}ZWEDEm+8FOO=RyV*vU;RUasX}k7pz;jm=!s-;ix&a#u zaGzYTZ{7SmQfDKJ-Xix%^%OEyZ9-T@IbGmWhQkNhKp_crxN~X8#{|D z#Yj-a9c(?uw6L$wRp_jzbq9-a7{9bo(M%4`^c9HZK6O`f)`(MmU~nx>nKl6MiuqSv z#g0lm)0SX5ilPLktUmA(BzJ0$JS@Bzw@GIQBA)?$XXMw1ss=2`j(aDYnDh$zz|sB7 zmFx5Py9Pczqrz4yf4P=AS@xX%Yyad)+g?@#rS@Ehjn3*_>}?u3eq9zY>S-8!HnD$R zZ!`S7r9pRA5tw${bWLB#Y#x!6+i>l6s%()n#00X6xLFk!m9oVumbPwOZ zuM*Q{Cc>3>1**6m!?=X#yB&{Kh^|qgP+lOx(ISRBsrJ3pCleXoT_&$|$$za+h^*p*IPIUD`4byzJd$0bREpshu9MmgTFJA;t7DA0 z`BukRBvh_!jfkGveBDXOhz+|f@*8BFHc?H@*PTRoJ7JZM+^aDhB}({Wa7lo_E$J-* z>BR)1$$OTR07qtv&Ey1imN+Ir#~_M~k;#5$n)LqR13jr60tgeVZDg^rtYAWodVnc| z{_DOJBXqv~!KJKS;XJaBl1F0%s`0z`mwsN_&eK|Vu#fW#v*_~dal@B5DsN-&0I@ZX zR}Zv`*8jr8E8#8XiCYAX0&`p#X3XR2C@UsNsFfXUW-4`rzVn^6o-#jAP6v`R6Wo5$n|Uc*TMVD|57q;zPx zPPGe-+CAmbN$^tvre3$V9af}|qqmNM{Su%dlikk+)|`RiOXz{N^`YIRlhAQB}%bS=<-RH77&j_Wft>=&fdyj>O}FMjuS@F~;R z1$`+DqU%e6M2mwDtIGgM&DO3UU*_&3Ds+B$U4T(U+V#q^+QfR!&H!%3S@I*O?9^&d zDP*po-~TJ%np*UKDkg9?s2CS8kbjC^!To)b4$T)b*J3joZbK&ivj&R4WiU9Mgj;xld?jL2KFSnHaX{NF;L=mHdDdhUA$^3Bl z*H{k4PeYy}KY|?-m;H2stlfnKG2hpatkH8DsMYA@sCl}#|L%bK_J@6of?wmnzZTBb zy@q8Jni%cuzJeHz=Rx&I-7&NdcExVhcE-*lU^UR2q&F0>;9YgAEpxSjFRxU56?2d# zZGKuDK%_hs!4}_6D{~=L5Ujh|`nBV!DVu9_{{H#ti3#4S4ORJr^Hz4gqRv-z`ePap z!vhs(!SxD3LwaH7 z#E?@_bY3DEwWTBAC^6v;Dt^<1?W?GbCcnX^7N;74sE;VsE70&t=uNx=J6;Tc62d!hn)~GCKsRS??L{)HwUIAMR84q0QsXk6v)eP_p`C~&{f{Cu z5g%L6mH+YTR6z|H>9x~p(SQ`RfXkKMKD|9gr4nGuE$oi{y!~&;!A4#+X5h&pl3w&C zb_XB*C{m=w7&2=g7`MkCX!G%(lRLFXMfv7+=^uc#=tb6ZvqNP^Y8)+&4LLMCJ5P6a znJipNS|4W8O~F8V&0`I ze$dOqR$(%fX|l<$NqS=SE|Ved{d&$V_jk-OH#)cN%9`xyr$b|^Z13{ALCyESnp|~B zgA|i%^>e4%#rLKb(XMr@24UAqrIx2m3BB(RTZ9*jajb{OWO6h+lj=SaU!LB1mhGZ` z6^gmB)OL#C{3;YB9{J+Bv+*#jwvN$-=nVRzs6q7)Y~$(|X)9{XUTdtwP_{r_IWa;Bkw zuVLqusc-2jb_XTVUO3vYHqNN>3)W?gj$E#K6pZI~>oEK830uA`I8nu6Dq*z><1sobXdmq)4T` zf`W`}0QTW`(*5}h`0mC#?W&8JHqgf4*hHXr48%%X_(T6xqZ(zAW`w1!SY)7iVm1j} zA%X&fKIoGY%kRVN6SH1P;bT*gFErdNQ(GsM<5UU|F-Cxjo7uAyt=JTj`NktCEGDxdCl1rO`#6LRkCUiYKH z0LOEZ>)u!&?q}HK$D?*oS<}tqvY}MZbg0fk$OaOSf^>k}(tOfa$r`lV_5G8W2juRs z=ddMYSE+QTQ=>KIxwm!yWZuJ-@;VFy2547y*~((~zt!bV=e~Axp)D1=KIvE1n`~}A zYGu0JagPlUHFL4pEYz585jqy!y*UjN2A`l!g5SAt4A$1=vcwo_5|WZ}sX+IZ(`!i< zvlSKN=dB#UMPx%{xNC--3qh84B4(OsHL^op6jimmS6MmU z_MGIJ<7sXZ7WlFQqNF$8f0&H_fPHA5tlRKV2FFk64?N5CMG{+oirJ)J zJPz6|lC$tKA-a%<-0m>SRRnpSYZ;3LB^bT`sSpP*hsRZ4-fEO-rhi)`!a!S{xfm_N z-ng@Iy!%-7b*03;lFHM1VtBMdw|6noBuJzht84O&2%7*mEG+`kLBzIu0H)UU(a}X`s;+pmi)sY_Vn8B zr0arvQ8#EoU_YUkif^B^m)*b__5&n((;L9yV!*Ti8L~NWo1{bG-GLh0kz=0LJXegP zVB4}Y7q2LN*s{kLdQ-o#Y54VfvhB^r7ykG{LNX}Q)pq?>Y5h(v#6jZG6&UbR>ygYm zp1KIA;7um!k5_af*|y&18s1-B>DgWq?N%3FcOH4#wjO+6y4ggHtu7=J_nv{?ofGTd z{^n@qE|TWi;;P%O(F^=KG01QDr#FivX&tw)@u0>izsO_&Jguug-u&lgYmnanjpyB# z=OOf9wi@NW>8Jy+WWMw+aEW2OpI?V<4&PIzM0g3DehsvJUW8Py?zo9PJ+FN-!^Kg$ z^A*2Sfj@s^5uV~CsU(yoB5--Pw9_e73m1 z77_B`c2rEfZQhU3$76K#VGq37!<6ke5n{_i^IT%Av=a(hC7zY=ag*VA)Zs2cg|v3P z5~XK=zv)L4OpN0}?0Ws*NlvFz0oJ&qrYRlvy&Bp}^Qu#{REonxnDR+?<#z!I;iA*) ziNv_aN~*3hQquIlPXQxDGr63ni;*{JDSSe0p7J_S*fb z;LS%5*L1=6j!vhg3kkaSJ%36>u6Dgfz>L$*zYTJfhciy!-`^;X7v3o8{S{yR)iDnQ z25rS;F;gVLkFInjDqN@%_?%gM^6+U!%6g1gO$B4b+d&RG&Q`;K<#&vd1=|)8Q6L|W z`9N3O1qT|4YcVAhRcO*gkU?$R=+fIwa=%~E&)KkgLUT&uwL}k1yIFfC&3UzBD&Bf@ z(jO>t-|;TyCP}d9UWNqw?lOl&-+NZZb?IYcH^{(wB}0*`J|p`ygob$9xrZVTx8CBp zb7n*R=@5TW@^go_0FuX7z>i36%{WXaPTsofMnJJR1rDPXhh!xaAVT-%TbUTl=&3*v zZ95!pUcPbSYF_u+ehHL$Uhf-AaSE?<2Ih-Xte$W(Aamybns*wm+wN%3@lC)5(lQOn z?Y_CVuz7D}w0ZIFua@gDs#}XkO3i0uSerwQ5cQ;6PN_V>nX+gTXyFuyhkZuX-P>^o!#`it{bMC$0kRN`Td8XE^S^IV` zmw7cfl(b_QEcr^qJ-C)X;k38EJA8DBwMQ>wAI^p+tPAJ5DN?5`a{)Grqn!ORE0(l7D3iOo!XI*kglr)Hm@+vtH zWcMwvLjO&_G>HFS@`FbPXGKACx}Z5{pOs!Du?ZC*nMs9oGfAf@FNm{49%QT{fQuLv zS0d*W(2w9TN|o`)fXr)6VM9A85}rixp{W;>V`DlFHWcO93+5Rj6I`2BgZKGv(3iNR z<&K8?8i@JNHRneCb{!b8dTORy58KqfmVwVJx2wK zSpbc`k_8ue>cpuh_Af#T*2~HgR>%w@6vl2}EZA&o-%jzTgqI%?-UT>e{&1b{$M`-u zg@UO-l^o}0Z*@%7a^U|&-GXS9FXpeNB3d;`F~wM=$qtERGCZBUH}1Dp>BUpz*KmEG zrdioyxwg9CWc{Q&BlvJ?AOEm)G5lRF8PGvu)??`fMVlwQ^!X~UIor=Cqz^yov`r0d zrx6l`He&nwJ+)cC(frI+es9#D>ay1+nd$IV-BM7D+megVLJzzMGE{15*{eD+HnlG)UtSb%Nxe0!QqSg+F8l9FyZR2<4_x5J=L z#FV&0jD3q$7rB#bybOmm6q#Y;49F=0OSyI0g|t>C{HUF8k@)QaSe6A8LAl05(|nbG zSCePqp-TLonK+0jMaGA>JAw++Wrz z(k0+Kqcm4+3O3=%-fE45Cnl{%6Hd;hx!-mU3Kn%kL%BX9j4I9_neK}~fU z%Xv=Fvb)>dvV3uS+Fnj%ua{=72~X|A%*h!Z)jb(f=7xxP)a$5_{e4+(t9I`i)l3ST$VFw^(tQvwHZktSZk@`D?W{MKnH! zwOTOsXYCxw(GQ|;Ua($iVQFtA2)Y6{UW&g97k-HZeA^t>Pn-*$|6O7k+*EfMdwovp z!20!6){&luD zdqVd{$;Ze~10&TQk4d%zfe4}f*sN-7!-&%gRhawYMWFJIL98+KfBL{$1@8^xq4Z36 z@%SaLX(6!%p#8oxir5SA45=CrS9q5R?8gwy2*27}zdT@lpE`M{%Z|0Nqo=(~PvhLmJ#_zLmIXqY} z<+F&w;JO|Qd)*Uab}^vQ$dRkkMx}8xT--klpr)lyZZMOa?|N`G!!ll7(*O}YoSeUw zBW(g_K#XN~{EP~KTA(WS0&fyo>m=;Pb!G|0K?;99TQt55d$WnMjlr*%KAj1?F`Hn4 z_3whjb^6EjAnVPxaT+rSb!_`#{-5BZJ0bkb1$|La*CL7cBF8{^VfhH7(Sf?dON7Z% zT2QY6C$Z0o)eDc>Z|TlUIa~-ne>rTCcKnvWp4b)F$DeSLy0N`F+tm<+>QowmJFrK78H%OmuyGtPKpLXWZJKUa$M0ReP^p+dN~h zEvUo0Dpx6htYL7qJL$`P(|W?3%I$&62jF00=-Y2e*1mB<6htU`b%OQQ+U#X*Xkx^G zY6r{d9>w*GZ|cKGm<0~LK%2S}+UsJpg->OFtPIxc`Jg{miq-UfLK7_4r|Tj5Q!K;1 z?s39H{6+ANE(>V*t=Kyd6!D!i(?xr)i!+w+L$9BL!^B|6etVNjJIj;u)mRs2s+Msu zPoXYPteW&)Uv=rAyjt$=-0v2d#5(nV&N#p`tP1sim}R;DSa;4az&G==wEe7Fb zKb!N=B2P{u}2JMB(cu?o?W1AcorsnVmEA3VE|%|qN9s>+i3E9z9D zVQobj0a={0-hJMs0!mSL_wEwaG7hEzV!hTes7y z+Bh8*DqCYfnfXZ^h2K-YA+N^b`Z)vqOq7uI;-SzH6*ze{l%*pYSc3&-F4&7m6aG>S z{xUQ`f(8vQogDQ)rco*Q1476X7W!L-fA6RMkA*u3oM{!5lC!@=J=s4|&pGy=sQ(ZD z*b?=P_3t7j?H45LX9)gh5sPbo{L6g!`He}0lu5b;(OdQ}Fl_;lt&G2iH<^l-A*`D;FZ$4*$y2>y6*1L_sGy7mr z7wbOi8??Zr;OPglDJhG*!uzEjx``}b-;;^s&8+S?$*~u*=5ZNE1I84c^UZMPjjt%zTu;-^G=-P-t`SmJf*cG+ENAMvZ9 zk-kqR?iaN+(*SLj!^dbe3!i9r8Nt(pS@4u8F^Scbqc6#5kKoV^}$Nh+`e3zZJ-=g0?Z8<;Tx+F!|jFDX&$6B0obN zp<_G`;YS~*gRC08Z54%HZW(JblGO2*gLl%p*bb=W;@7Pnr>PyJmQqtPf?8>wC*}4b z=1&)x!OsnNF*z!1&n!C{#*cCy^g4pI;g2%8WLtk~Y^1LmBXblQ^J#A^lCQLCkmI60 z08Y$hI0y)kpTMEH2P+B+j9F0jK{~5hM&8?qOTBqO4h@zJ>pvt$ud$iE3hs;-I@R*X zKLbM2w}0MLiN;^fe1GjQ@qdf-6kL=IqU_`~3**4+wlZ7^n$>GtU5Aw>*=~mDL`2O^ zOu%d`%a(q4oAzSVba)`49Z8@Cxo&)hodw#wzOIiA=Y#c^8ael(QVb7xzn~w`mgTDF z#ckc<&V`02O?&l0F~yEw>R+zR|MS#xBPB^J_Bdu@d&W5WKg7Iza{#j4TEi_@U#rUc zc+uw~j@nOXLbU$VO74!qk`om&nBj;g_Ud1x3X&V&Ly-Y;*NQapaG4-)Yvhp3zij*v zY5^u2A`O!dNH>h2l;rn9Yab&e%W0}9C(B3;3WxtoRs?gN%*m!aYT9c=4xVd&5~LyU z1W3sTQi1^m0kBdj9kjXznVf0As1i?{uI@5o~IqLwl0- zqmxZ^peWGrbUi$A=Ioa4(yHw5FG!N(!CykT7$twzf#QKoPd?Rw;s88Ow1}mZ>qgOJ zImll1IoK#JF3kQ!&mnkCkrVGQC9}^l3cBlLk-wT1r)sJMEltbL zB%yxG70i-pig^#{{{?BX%FNX>OCd*f$H)hxAFHKE{kE0j?b8H+@66a?`hU`Gy3i^< zXfe@VJUhLwfiFmZ`jG9{gTcSyy@3o|z6YM?s^hGngodBsB8GR;CLaZtmO;$G;ou{; z?vL-sC3bOtzl>3bZ9|AKvTR65`EeF8d*#h;YqtV$KWFfLr%W4HI?={MN;hi>jOcSCF8Wh#KIR8Cq;FWzhTXByYXi?XVoa|^fKDE}1g*9j%qCrd1G`IVmrZ zYt*DSv~-2Ib7#ed@ZCZfD^zzc(4F{bW8U~iF0q^ns?zS(kPn6~E_-gLh#cO19&8od z8h*BlrE`qmF7QYbz_Ns~fN2VYppX2_QOW8yp(i0oFV}3oWVx0KxWTk>+p*Qw1N}AC zOCdNOxIG5b>L}W;3FKl*EVKL=aJ|=U;oCg7MqgwOsjHV*FCdoOO1ff1By_JcN9P<*^DK*t30*G+!WL~A7Md0_Q(5<^T+C>r&&ti zs$-X_+Od+JHqdz{==s<6_l3{G03OtH6CDSA37?Gu0|#f%TQR3McA8c`<8=+%G$`r| z=%bJLc?HEfYJxQPxmpf>-{c4PLf0;{*ZuyCCbx4SA=M*PkZ@v3(GYnKFHCPD1gg5+ni&YCi1W8 z=9CdB$t9&9=n=PEvVCzSy@4ZJtrE)^ht=B}MpkoO{kJ~z)(@lk{q7%ICKF8SGk0iS zK{RR{N$%zqX9y6dF1G~+Hf~q(=|qsvti?sEGCB;M4*`#;Zvz5!u((=BcZ!CAJxg3q z9`Y_Ch3M1?9kq84VZD_cT3NDCX5?Ykm~!c+(6)!N6CF+2BI-7(Pk?%9amlmoxA}sL zBUQliFhpznli)(gB(KMKV^Q-wN9O{E*)Yo9!HdZVm?OlCsbYF_;~`W&Y;r;q)K%-L zErexwO(H`NH_u=!O7{yQIMLAFk`?pN&kbzpXaA{#X=fwS&wDqwl3d_HDD=;Z41_5E z*yEzeC%UYX2T0$2`rZrL)+F>n6u0%_uOF<;8`f6z@zG7Xy)r{2e?#5?n`5~nCR{o3&BMY4odQk zZ1(#ol<}aJau$AS7NBH-37F7{??@Agoryx}BsW<^dI)-!-s9(5v5SX!4mpn?@^T$e2`A|A@Uz5_-;Z$qbUh;vzQdhkX>h@?9r_~@ z76eca!}IpblyZ@rAZ?kGzZ!8;kBsJ<8oq-4p*5b(4#f_oE9I|IE#LUcRQg>itK=IB zbNa%HQdyQrJ2J9GKl3b7fpjsrx`4XsN)rg(X&qz*ILDzCh0OlKv=V2vdu#I(ud;_j zJtlbaOOsV9Hnqg`!&MEb(HMN9aWOtw#5*9E*v}z)&+pMu?dqz1A%Wk;N74uZDzmYO z;^FLj25M|G4GC^h9XA@;G;I5#vevR01J4q|a#7smg~7~sf!mu0rq?*69d~opzPm+4 z_7O*D>yK4$sy)Zefs2@8{)c_QWdv=XZ}%8J^TKrJGDk{KTL0&5wS)Km-22CEw*}y7 zE{c1t#zP;NiQ?Xm+x88W4W@bIK?{FxkDE+VcUt>vO0_+s^-yO<;;rE6%0~6=7uvm( zb8Mj;b>BbA2A+F!othNROCj{~Rsd>F9l=ls~%- z&uupp1fZe&xrROV?`DU`FBEOxKP~}~8RmAIKTg+eMhx?(%{DVy9zky+bMz1)^08gW@PX!Np z+ZOb86bYiTD;h>|G7J6eSF2ERHP>Ire_c+jcqS4}P*C;Vv#r-N1fW77jCP-zqT_qQ zy;y!qWus)Ftnq@8);24!wj=vRCsD!7d#;pE#pY3o2wCW4n7aKwdp-n)OZlo%1I0*c zW)o0AFI)`XDQY2}mD;oprq9W(>QLeQ;e2?o!orr2t|^1;_PZ><5JRWjBQ{z!;bX>a zlJ57(>cH}mg7>n5Uce~yhnv~7wTtyN-vje=PD&P%fH#89&4y(vQ{e~?$)`S1A4&8! zJ;qTjryDEb%2nwji_r+;U4=}H$mP->AY8hKWAeK5Lpvplo#RIYTP}tw(uAG-PC7_c zK!A{+dFHqoFY`PwGCMihahE?IGl^uAQ`QvOx}%W~FHJ9k9$?hFuH+6g^cui2M^n03 zzx^HjkZ1JgmuMoJ_#e6enoj&eKL4&JRiPWP3rCJN%F{mAEBN%7~Qa%tK3%|A^Jb%Wxo>1r~^M zf25|YI%sY)Oal^4u$_32`iFm6>^d9_prgb z0xh_PEvXKcFq-0IV9{%kyuk=1vZuxe{zaHMMrJ_0JBC4Q7s^)sR#M5`__^ zvOng`i!mpEWLj$9mPBp4Qd{s)jCcy9Li3|nGl@h_W&V6B{B`nJ2H$gfc3nzMLB1cg zJuFWt(SvSwKMK29K6%8X?JZT`1ui;zxRe7Fk&@fvF}sqcmk3mKvop~6OQX|yhfJtu5^)!9Vm)Wg1qr|Bvu{jE~;|uZQlKq0@9T z#0nXNQ0m)#y*?c^el!(X=lD-w5n=xO_&xT#ApteDHmh5 zf?@>6$HYkgZ41J&YMnm?u;C~b!V-x~Rqu~Uh*Y=#|gFQdpVXjmu)G)GR4g&H4RnCYI`a5k5lZhc-L`kuT-maqXMz3&7c~$O4n$ zUC-B!%l;LI=lixRzb!22(C@ab;r=E$PE6+Kr>83b3+<7Lb;RDQrAetl{v@tJxR4r* zuGNK&+hE($;wLGAEuOibXM8)u@N3oq3Q=-vS3a4IG)E(}uZNG@RMS46usi#?qW89O zguCZouSULt&>$N|=Zp~(6QA=MgH}NmnhtCu9u&RIy3Pwa&tsm5I%JqtA*wwUbo=c$ zjf@rsTry=7UVh3Jn4G(+(zL`Qc~pZpxDYKOOi2@;QAsvvJ#rHA>x#Qr#-y4&obbH* z6DiNx>$ zl)H0a;Pj-Z1(vG;hJ~Vcj~tX4Gtx(V;WepNV40x~mwq;a1sbk-$r19U)$0>^l@Ozr zk>Ggst(ZjlQzM(qm^5x??O~60YA69oV0SRxzKf}(k)%;1Ld{|5_ArKfoI9J`=a?<> zRCiCSOd=w&E+4hn6{+vLF#%vq3pRl20T}3L=)eR>((FJx%DS5 zqdnThghuNfH^yq}5w`Ds*~b4~X2y2lXiVi^9W_Y^;&&Yi5%f+QWZPWqDhU{pPOC#2 zMvY;=)TWFh;PH|npS-7b5U?~=52_pJq* ziln7CMVFOxwq5ceDL^-k()z~H!JN5! z60xTs517E|OBQi0icQ1)@l+pYe{A_THYD8Z*K}0!gnE8lhEEY`(X#$uM8ZlSZsZlc;bT6{_t~|N?C&D~5ykK-v=*4x3b=glVS%nty2URZr4YIZ- zug%4yDgaS~hLD1pn1}tC28wab`+K3yYQ*AeKs)>_jP_OPg4A0^%Tz~OBQhcp)&i#^ z-xVXrR7eUEPyqZ7*PxGjH8%T*cpzgUa_?Mh|A>GOSt3dO!eq)89g; zw~U}TJ#|{!IF3B%KA%?Aa>tGLDucis3v%9}*z2OoTI#ntA5*M3ouTJyOoX6!Wu|#g zZ9pw0rUVmEG>fnultmLwtL)TLFu8a!F1+u~#fDh{Wbz7J5@03c)xdM}hM5q(!d0qc zx!`1sNB?Ci$JfGvTRl#uj(DI$qdyiMvZc;tB;792>H^0~S%~Vut_SC-9zZKAQ7j)| zOgDmfc#g4)%6(^hl)tdMGiJd;^;&)wf+L*4labgYlUxAfu0gm9#ut3l>_%uPXXWmY zNVY>jY@;=M4cQ>d&(h#+;Y*XIgA(-DrsNGpjbn4%u2m%NK#wmqIC@2+dpsi`+ zd#!L%?V?ZXzH6?&XS*&8#}^2fLsi$(p-i7ZfaW)g#7UfRj(60mmHcE#`gke^AFP=Yrx1T$lo-zNym%+Rly02pKvnu*>MvfsH9&9Mt zJX|oUS-1?t3HZds<*00MT0!n}x1U*5C(pyhk`a~TvLR0#1(9O&lkF;po6GOdJFCZV zRKg=zLa zoYc-I#*4J>nFF4W8vL5KLoLDviR(#4KnbvqIB!clpkBgh3HGn>nVTvJJ5Yd9u*#Y->%` zuDUjaMH9Pi-Z-lK%&2}bYbBZR2rxp$l1p8XIfjzIV_CK&Tf2ksz6|9=4vWOC@Aam%Pzi7_~w58kJOcWtGHd;=7OzibS(a=Ys2KrDX#=Fwx!`E{wsx zes@LS`&Lzgc4h2vO#zQkvle0p3XSmRN&a=X37AKOp@>A*7gGhHAR!j|{v6Zu%2+ZZ z-44%WWtvV1ogPL~qwh)VW*5XnJ67_b?D8D0t-40gYKJVK%E?vRkkI)VMTjg1$SwB| z({b*{@wH6jWjI4;&1#(jH%owstiBmTfb)s zdfRCX$S5jIyR5lHyz{CEWcH^P^X5FL_s3i0oEIJQ*I*fHUB;lRA(!Hk!%l*JTDRpqbzL?$Y}J3*Ww~x}GZxmQSiba1zr5hCKDT zCnX+U*%&&XzExwFjrt)HLT|uRZ1LCf&=OIml%VAeR@xjZMid-8_qJTm-iOOPm$Kre z;RZI3uK~;gp(^I zJkN*&>?Y@75OL9^TO5N7I8v?$W^Wz^ELo zJLx#Ta&p)!PQ}VlsI@6xZuiTrxLLeE`lxOCnharNZYx{f(kHDgkuBJOpTR$b@pL3jLo^W zs+HCQ$y2cOExK6nw~c-wV=u-~)>9*Gb;H9En3CA^SqzKo$fCc#SIAbzjvo7)SE9&s z0P5o%a3Im-w5FXI1yf6Pld3ImPa>asgo5#f?JI&IxDf+WqQwPVB-ZEoHw?d=vXku- zu)CxU!)C!z*?GHL{5vt7c_y21mXx>wSmM|JU4hqVd}|f+g@IAVJgZ;h?oR%y! zitHAz={%gqC8tO%=`Ha`e0GRNqs>yar>iL&x~}@)fq5QRA5RpLZ&ka}4ba;B)?qn` zM3d~y2`5Y(_?S2Q96D>tF^0&;LA}S{eV7*%R83!hLrHu0bFn*WTqmDe08T?yBPR!XYBiKH%tja~#ZPj5|tWHu_8cnUtpNV1^w^TP7t!bwHr zbVC%FNgKZ*5ru+)%n+_Kvkejvoc}ExfXZ9PZwE~=wKTIEI5lK+b)hH%dWo_}hNV%F?!xq-;>Fbm_!5 zP}!sMYXeh12W?k!U;`U@MPx#aE29K|fwoS`xR7L7bn>Hl;>8UKl-h4b<`0geKa3M# z@h7pulG`2R$KSU2h4J#lw`Ta&(-G45cN+{Clg-SEGA>My>M*7Z$1P)=AwO7u@bC8`T;kCo5W4CD}iUli!c_XR8hZ8Lt zVxpJzA=^(oINUJFV~ zr!Up?AT9!Y$;Au&W!$)FXJehdc=x}wMd~0UG~}2UIh-;H--REjKr7)k-qg0Sh@H6v z;!l@)FyuKUX~-khU|&%I?eomM(pE6g{5?qI;LGN`^ArxTrM#y`eanJVf=D|$D2h+0 zLNyHL_9h(MydSPS65RC~roItBQs`K+|0eV!N&hiPFojUwOIRd=R#vbpYGJdHfoT)L z_UYbVB4&$0P53dwwLP&Cv$ff(tcdX$I#S5LEmd;jq-hx-n@JgIDSycvv-vb&{R$ba zu&Wwm&^Wx=!!rG$t2SmhV>$AQf`!V$b4<^wv)JH4tjVGFHqGp<;tI*_DzuVioCDu| zN1WFEF!j!)MXJ%^exU-cIlM?@Qp$F^F@ise-Xa}IX^k;Ac!%)-<&~OtA(*^Vnn~D` zA*$SP40K_$)kMXzaVotcDEy6CY|dpdiL&T$eJk&QL{f?id;8NYfzUOayfyB3?Io@8 zs)3E0HW9S5(YU6Ktul+j)79p13oi7)N{U{%yz+#O*yT570rbvVu>My>PkiJ4! zuU5il*d6%X^|?z2gRD6=wn$^pB9`8~A!1Br#hY0$?$XzVJrdPDo$1>3Dbp`soXr)bcy$wt%p|hA@ZJ)?jn48 z0As$vRm*$5Ke3~cHtXx_PbI}dYc3qbNE27Rp(xy*yBX*K{OsI)Y`KcwZ@=AmWw+hl zQK*aa9yKc&@t(I$(sF6o%r>kD^sojMhXjPTu%v@MxAE$<knb}3g4Bki;yp95MYDE!voEn*kn;#`eO8Dt`{eil63QBmA5kBTq8hg`yi2LU4uFP z)k?vbVh-y>$`xIyw-IUGWaI7eJkjp=~N|2xltH5ss-Ps zop-Hb_H?}I+`!ZApOq}EhiFzJy88sXeRi@am6cwP$~>sgx(>&LY#9a-UdL^fFU?>k zG(2V`mWpYey2(yx)71~a&DCj&x?Rb8GXQJjQQ$dOVwpe-VU|fhZg|OIBM*Q7X^e{r z>ec&4o^;&Is{51o8T=LB#Ah#;lZhW9A(pu{0*+CVY=qp}^Cf@+RWbf1^b*~fPN%)6 zu6TE}R4#Swa$H@ZrkJnEQ1Re$19Xf9_VVQk*>diMc~$4l{yCt~uyd!!a7$KW1rZFR zi0qteb*9Ubyfd~X%5<|rViLnNZU^AzQ=vvonqJwk_tC>u!O@zsYLtPCkNjmSxA2+# z3Tjl%6@A2Hf*L&(iE6WmKzXMkP;KC^lRA`|9Ri{l0u<^#%~oAeX1UccP{4I@wB@MS z;1zozP4oc@`qI)Z7kSdIdNp>g1n7vRjn#_ujvJa@(3=D#GNAz>7)@Z^&7sa5sbwjt zje*Y@a+B1}P6`?)lh;dyR5P{`kIhgJKFzER9#1jmE0ajJ;S$dFBo9c(3grcpqM z`3WKd3>0t8*$65r>Svk`nWX9rnnG3~UATby`939on%+7vWVq;8CM|`@*Z;?Jf;2es ziypdr;6CYYfNTHD%>jHy5$;56)E|uSLCLy5 z4lJ`yquyyy^T;k-|9)4DOBd04ahr#ieXIhFC((P|Y@>j1Gn5%jlL+{Coc};R8Z9Je zl7C|Ef_*C>^_J5-qvSE5^V?W_)hQNxW06h{T^u-mnDadpq2iFxCHdJNh^7DQ%>wOvalcNIF)@bJUfJ>|%HB~A<>4H+`T6nbNDH3CR6KRizolh>P0-1W=ycl&iS zEkI|=g`rbr0}oh{qZkJk%#hKgNkz;~I@W@>Wyyo5*wo%FhKotDIVxlp`UF%L>V|6lCZ^r8hmc8vu2~n13S+`nJ!y+Bf0O-)`Vk zq<1>K#fmRAS;ZVu&Q0ErIWxt~hmlwHE25{dne}Bq@(O0?6WBmC#+0+if7XmGJ&>-t zwH@_nN?DbSSepI1x7Kk@Dg>#+!ss>%H}cAyh!C#87j3;U0*S@Gz1C*vCMAJ=(Q4rZ zVjBQXJD8($c;7{Q?Km?3zWlrZeezhGd=mK#GC#f>dhKNEdG+7B>DPiMO?y!c=s$dC z{*;kRJ6l4ScJ4|v7PWSG&Ea)8j^e!6bKk*92LD6An}p+dd5t{5yFJ=Bt%pCu7WbCr z*Kwh!^4=S`#zhG6hG+ir>39qoU2}J)R9^(Na2UAPU4K5`goe6}1e7Q@ZqYiTgmJsWD|ITjUEO+OhLeOaiKBqA|^P-7~zMx zP|H}#W$tU(_jtIo!X!6o zIPk_@et379=wmLB&cHp_=Y-A0*;Gspmow^k<$$Dl{dLtj(X{w zr<0Vg=@{qq8pyuzxqg&pQpR@k^ILD$ zewS$6UvTlmq>UDtYd&G0tq6jA$Fz(GtKl;@@^@+U;xIi|85MVc`t`~kAqicd`VkiR zX@J6GLe|bzx;~iWX3I=7q6r@rUgSILZ^h4NE^~6Z!!GZUvHK6z!{g*LOuCniM3`1r z%R}sKTD%GRXN4gN2ChVEu?6;Ypc4tInx9bGyYi0&-jmRkUGQqqjmRW7UeLT&`Cvw- z0AB0GIppNb#>)ExOANNSyG01ZKI9yFHX}dVT8-w>Y2@@}l$2_v9`a0s_FEd)DkDvP z|I-UKAjBMjxqO7S(2|d^k|KVZSsg8!gJ==M8H?a$5$;@K>QILYjY`($VO-=n0zIH_ zHB?p^1kENU3PnPb46eSB$HWMUq@V?lwmxlG?C?gL)y zvin54u6Wm=tw0C;#O1N3lpLwV!$%x(Or-nv+B;-dzh0Y`hWhyrslgG2_L(DxgGq&- zx@`NX;!UCDh_V7ui3TE=*9^#@O>c#3heI0ULMT|NUQ28dVz$o9{l+6uN+2&{$WXUx zxhDnI61&}JqO#j|)5@<6N!RY%b`R49{dU$rZWey`UX@YuSq&FD-4A`**oqc>BpAeA zs1@>VXmJhyb+tlzx76u1nB3`GzVood`Tky(sVuW))$CoclA>&Drdm97ZhdhLy+2wm zT{{8SvD9KOdN|W*ftQF^?j6}(>}s&3w8DB9Rs_(;`gby&aJ7ufH4 z%WTsv{`EJ*K20FGGel^Bv_WAvl};Fvg16hC8xD?^Jj_}M1qyDxd@%dLkP2mx9RmqD z5YK>u-6u)qgKdFzIGbkw?T>YcMD~ZROrle{SLcOQ1;w2yX2jbaJ7J2SZ1vSQw|w7$ z6@Ud1s^A^lG?fVk+d^8?6PZvh08cV;8ogXc~`wuwwcsbOhtU?{biHFrtlrNYMn#w&F64G2xaVi)e>kE-#} zKhrBXZ@;F0P>UQqOfxRBMS%=X0=nOk&fqp(E{CiUO=<1a_NY5lSZ2B9dE$-)Y@R&* zwh&0VnyW^_>2=T!XEY|^J|i&u*5%D3=c66K-#>e_eDotb|GSVaYg{}-Tvh~dx9o4q zTNA~F5)GejQuL%DfaNSww8M$rA0 z^)}3oRx8}GaCedaiFoU5EzGK}IC$+=2$#pf(i&&*jm(CcJ$P~+`(ftUS6;;mQxMkm z>ze0d82x07!}{YmcHuCS1^F9bF>{nXDjBb(|6MEEQ&$-A>97h4=?}7OtsXlMy)I<_ z%eT#!r2{(S!N+|m1?&nRHi~$Pf5zICirVQS`x#T2^5!a5%2fN5_7&iXoFlt_D}@s) zNTz?Yf553pfEr+AofnBJ)%1qpb;imI_!mzmJU~gQK!HmRvSoUt&MJXOlea^^f|?Da zf+!(d#lr|r-;?})tP=E{!&r=4!0un@Y(Go_9l z1C;gGW=RSnf1zzpdFLV=!OzoZZTrZ%e7=X%xkuBZXe4pt^~jAhkLn4#gy}yf>Hjpn z%NqDn?DUa&aTnB?A=_Zw)=~QdC;ewub5+&m=jj(vHptvY;f|mL(MG|WVn`!DSWT!Y ztVFL;&PI*L2(Zk@9TJ0=TbaI)d0$M26{%OOLZ+=%#r)X;fw5)L@R%lDeHxjF&%vg) zZZJb)0nUErM$zqCPE5ziQz))T`AW+?$InDX)YA3C3OAK~0Nq6EKI1+AfJ1S^(XWHj zWx`j%hPd9!J1X{_SbWZ`ZZwKU7nY z1X;F5Ws8K}fIqr#LJTo-5aH;VD_lrGo{c9J)*;pK#n<|9Br8a)f-@C7-1H#4{i|*DFxNi;A^lhfG=J)JB3O*|z4d~gMSa7eN9(XV5 z#0QqC*u=@}S3fG<1GL4jI`3jvvFE__HstkINBLYk3{CEf#unUnz1y5ve!oG8DHj(@ z0O5hru4Q%-9Um!OLP>F%U-A!%Tr%vd@1j|nXvx$l^4_2*qiORRDbEvaw2POxC`y>1 z@uECsu4KM#zQWe@sM3Cgvu)k{wjo6i73W2}MIy2~$0XoQny+t9fdcbwfXxG{n+=g_ zci~*R1kWZn3})L0Ta=2wHO{`>*ZETu0JG1*0eg2);63aBlJJbW3MSylnWVHrBx0ax zjtCR@MKa?CF`|kE39886ZIZ_O<7$AGq4M|Dy$ znmo#y*?PC+c397#{q%h6gAAXgFScU8_3WaKJys;DtLLe_Rq)Cj`tzN!HWpCZn`Y@a zv^OS_(uD^s3$AP}99}>LnJ0H5b>kU15s79=w731$xW^WiGR!4)>iE^VI60^G_F(=b ztt_tOT=En;eyd?K9bdWzc#I!qvmJp-%Vt+%*h)ws5D=;J$8n`MuMZs&kim44yy@1EBo=31`!rU_frA#17BMS{hqBno5@&4W?r3KmR9hF& zJX2C&n!cZ6nGPGN0brlk>8l{OXA!&rmT(lphm@44#5^%k?QHP_UA3ILzhA8|y#|S& zOAF2mCB@dF5k1qgOP_t;twL~d3TWFp%@@4BunN<9pTtL>*_)i0@2pl#jPW&kEbKw; zrKW|1QW&vq`(@xIaj&0mxK>rOZYEAWMH2@Cr&qPY{M9Cn)ofIMyXA(D z#2J)Z=`rAzzLy!;p+UtXLrhB|38ryM>ts^qufA`@(zj>0f|^W{d=k_VOXECrdpp-i z$6e^Lc@RSD<-pTrASJh}uJqwRnQv_om{^3aLY^PSx86 z%e%}AX&3o`Ourl_(Z}l263)Wz_xg{Au9fy`sw_ieWcX(_W445(5h%n?k8y!c5rR}0ZZMjLwl*dNpUe_sew&5uD*R`G|ziU zu~-~ly2jRp$KoCOBM{ldOAL|9C}`5V!Q`R#l`=}Y4#53N1VgHQq$okwL{uZihOhwt2L)pMtLcf!>XQR&b?Vos zPOP+O(1PS8a><@>9r-(hx~PBZ%snRg`4Ep6+~%DywGu?`>>u|U&`ao#kP)Y&)!zi? z@f{onuF=vSc;kSd>is%*_&Gsrbz+a_=7%&8a}BEu&bN zd{uGjldC$J>^UqNWX@VaZw_3fm1q{qXF>g8vC|DkmAy?KDCpSFThK((F=mYfi5GnU z)h|ZpQu{ylt$C*=>{0FOY4?dl_Wao=&i0Zgzil8>lMNn@e|&Y1$NexmlL`!exu%j~ zn{Gg_#jtDvc?IX+o3f(6n6*1IzyX3JlMj3gP22~;w)V6 z{C4e;RJT7%PqjnkVn{@8a02eEVvGPf&oESHJnXze{ONDzA2v$YU#UV$Swi5?E{ob~Ln_)0{lDnk5bn$o0gUu5W3oOe!q1V;{)CzUtLYhFVZXfMgIQGi#8%iv^3> z`)On&H)Ps~4Uc#XxXeQ_Zg9<+a`Qub_PfNf|rNk+>^k%T=M+K+#^_1b{8w0!Pj~t}>A%nf2G6WTsbiryL z{OHi}N8C@r)-znP6P5YN`@ro`L7k`FHT(HAJ;B88yGK@8z+c;ly6>3B_&Wdv!=Z$JBfl79c91A}FdclT z9$=h?_Xk8xisVuGG56H@VJsOKT<7?EDVwuiuj-Wr5HBSe(FpvJNteLW)1+;&cXDsd zOA!&<+zJ}TE zlJAt!yfm=XK`l+Ck;v$kr~m zWXsQg8YeAGllu|XvqkrGKfRx{nJ$~jOS3mvnvB4N(6bPwyW?K;sPjWk4Q0@5`1#zh zwxtfst2|j)Kx<{FrEP%*O~9}o7uf?g!(%A0vfAUjyI_VWNA?7p|A~m5NWp4Tc5|6( zSS2r>K6a_JCh^*jWl$!QFKBDM|0BC*VV1PCFTT9~y@XJSgu&w1ML!yRM9d9kei7QFo zGo5MRA4{KA8rA}ttmV!7Xe?Zf3$ydMO81ZIf}4^|y~$VD`=H+6KXnp9 zczLtuyhGv#`?_E0eOX#KiHCx$hGDYG_ea><`>GKj#cSU3^*A zfE%1T*fqDIll$zCTJu?v-D)<7SLn^MwF;d|_;mxTdX6}j;HSACDf6x!x#OKx5~Zr- z(;_|?F21$)<ICtARmgKjs1YcZ^v$(*rU!p(0|$X9%x$#Xvx~&(W^aJ2kz$uqnwVeiH>E=Rzj_2CU}}d)02(CsKZ2~8j91p<|#(~xvbJKcA;b8+CmT7lRI&FGuTkCi8f@iX0M2Sg_&Pel>a)06Yk7yy!{0I^BY9 z`^gRU9owHG#bmNb(CrWJ=gOZR`V40g>$)7i^h^eyieXgpBz=Y}n2iwQIPW@K~gyidVh!&Dm6G z1nSCIYC0@eA2$RRz#=BFjiP3KNNUB5XJCIzA-NoB5gD10G84D%*uHxY`%Bu#UIWJUTg-}Oje&8x!Nyen0t=<6>o`5-IhBnLzlm(tiKoe97~*@R?u%;8iR$`MLQhmvPXDj&4RCK6ONAhind&AZl zNe9_JPX-3v4)a5eUV;KConD41OLkmho>fyfT8}0l2l+U-w$!0AL7i`iQ$DHmo|CE! zsJ~-;h<`Uky!m!jxy|09Pyvf4R#GPYJy*mcBEe#&6!5uHW6O;Ui<6Xr52Cy^(U(C< zga_;Q>LqyY+RK{N`w9E!++)LjUnF~ga1g1p#dchvs zoO)tss!}q74nrx(Okm8Zu>ocjRQWF*yZh|tj8{g3<*Ci=?~}K%Ux#WtR;2~tfq}Q1 z(G)YRb#+a6Hl_F1&1?em{qJQCgABU9o@bWt+Zi1~6sGmAwdH0A{b;H@yv1#6f6*mQ zqkVr;RT7Mm4n5v0aX{_re05`DS>#NeI=~^CE-Qj+9qE@HNZogY#2#V zGuWT+w`ri}tjd;~oqi2JUim2%;aEmW_^n$y*&%QfcQ$+V`v@br_q(u+uG3y?Ukh*J z&Ks@y=2?L}{Ky-OxrKSuqI9hUhvZxzYgOw$;u&_;Ta0Q1BOQ7#9; zNU!)lRW%8_Z*@p#%?nw<&p>jqFlz3?&)4SH7PN`zZ0 zDu{+4@~+9?^D4cE`jW}6e9cL1DQN4L8OTMT3;HaAGUa#z?+h>+Z$+xh(G!qEHoI_X zBS_xf!$j&!$tNJ)JPIzv-uYF{+SIY#&9L&>6vkMYLN~ie*s6d4NMb{laaNF^M1!+k z#*BpTBoh6}%d?BN;0yug^K&z7I%OYP%{scLN|fk=E_RRJAGHLviX9##=+#-bFU(=d z5K?%`4kK;s0hCAL;*G`jUTp_=dt%xNQQxlCfM6KBT0FumJhT_Z$HUXpHI=r@?bwyt z^RGQY?XQN!D?bv&@w1Hg<`_)2125YXx$O;F7D^~5poG_k5#;LNS#=zjtwbr*b+_VO z(|mBgIMv!u<`(~5*_1EV$WN8WF5%QILX#(Fp~?RU%$RF4&!5w9Ce#K0(b935!Q`Zd zLpX@YnDqIeQ@1>*64&RBuGWop6zRtLUB&G2C*iw?L+60!wbSR$+r7MpnfDWa@9ZA4 zxf1R+5`y=;MX#5DpzoC%KIeib5Ai}ZCbm9Hd=hMBX4WF)l zNzfn?xc<9%(rS07?JSoX=3so?&r@8Y_V5i3Le%Jlp#C#@bkLfn0TCg_l8S)0e&7%# z824}GpRjZ_=n3$R+oVRRLQos(?S9Qs}R1PmbNm|SfIK+M6w(5Qi! z>m=)%tm@h^oLyPJZn^6$i~E?6rYW5~8Dy~>!dNoD=eJT{1iij}xzBf^7I8GZ2Y9fF z+l0N=5_Jy+pAga%aouh;3)u>pcrcHo@u%lk!@t1q| zbl>yRTRA%y?4tvh(I%fwimmEfAt1qo4<w+Tpu?eru%=`mc*F`l8W6p;M zoAcY(r!6x{N*kKRH5e|iOp5=M{|P(RquqOY04PuFTf6^=iNzBWSD0cG_@0Rc*Raq8 zbYEXRM~WMKTlEt-Mi$-^+PHJaFqnISkDH-Q<s( zecKJ&u&c4_jXoF`p)Bi%+QnbSt`7X%I+$?Hyo0yHTrpK*P{6)^{kw!*3=0yZ&&cis z<$j)y#Eh2rNI+_h+{nzLfJn=OGXf3+`oUp^KnmY3F zv4lR^zHNVrx!na~(@{h>U`N11?dnS{?qdBecfqV>bPPF{$@J-d=zj7&U$C`kit=`^ z_o*Q+jP>m*o}irvljVk=DZ<-X0~w`L8^NFhLu_u0&Vp3*YsDX9zZr?=T8QuLBU>8X z2OgTq1w6j2yxmft9*hHWLKLd0sg)vcHA!Wm-ujY3aQZ<7@PhQnEwKyKsqjI>-dE5y zfPpnrF_x5UU7RCuIEy{=8>@84owF+eu79u64-{C!k?=v-Yfhb@OXS<~fpTza?d% zChpqk@oqcHY7KfM&^_BK)yfV4x2qVU>+^hnap%T!LZIoPK3R?cUKee84sT|`?L9PS z7-L#d$#xCN*hRQ7oCP?0;PJJtN;=qv^j^57sM~p$$q?Pmj6N#L%-~KoZA{0TwZrF} z#AXo`87zP3*@}f?Oa)73wI6S|C_!xwvF*3L4Zm9?IQg?S>JNG2l(0vCgzXYmgCe7= z{F>4!0hLd8!on~Fm~c7my}PWWnpWcmTKvd!*Yq-|EP8S*c9rl9))!Fh_?${VD9$p1 z+EVuN2iOmghY^-D_*M}jt>y8`NCJZhF1w8E%?Or6HMoM-km}`0W0B4f5<2i?viuRn zg^<4OR*$!+7kiZDIbV5wMI{Tm(2#pAaxVC=1*~-w(nAc_SPdSR4(Ksb>3BIh+;yB} z8PBKb$;FH}*^FIdyRDWo-H%cweHX;fy4i0-#UxZ}C92U=!g$6sH1=wt2{R>!%z%--EW+~8~ezm*-p z#E~7aU7*YrCT?NwZv7 zCpFYPknCW#4yI*4Kp4tb{v9qXZO)_#2zl}NLbde5ChJ1V;c$|^qGaS+X;989H}I#d z?^W&}*n8fF<^)@g%j z`7kRx@|)Tx-_4&E9shd53qPo(Ayft3{J^PR?7JlM*$!S3lActg8xzsb+>8hynZO;T zp{U6sn&FRf^jLIeGIhbgF(DCM&=)f;x6nyfE?0-u56U)o%yvdd^}M7AiL@lbDEXA+K|}Wu zbS718Qm~g2A>bO=UH2zYZs2}ZBZH5(3p0g+uol`F9#*msuNw{X24Mjh{krfO1%CcB zdyrat(r@}dZ%Va(V+B{rpUll~CJ}>P3<>vRDSrv4}(QA%b7MDU;$t@Ju9~c zGxz^5WIsSDd~Q&w3B@NhE(@K8;c9JCg7!EQ#G+`}GiCSZjY6}YO_i0DmsX`HYJ5P$ zUK*m_A~w0;2C5ajNEzm)#E%u)m+g(nF{PF+A3hkTu@<;G{Af6@3;ob*@iVclJ_+-+ z--QBNN|*YkAkj|xp34{7+mDb2j1}8VaDS`HFzYOd}~|BeIRtEAAp6V#a+xi&oRwrI^I2y@Y0nT|m9_8O8i*~&f8kPQi zyt0;3EWj%v(Lv~t1zQAb=#M#5y^5U(xHX#wHfaycQSqkscDD1`o}{~K zXnBcIOG+{h<)08RdoXsO^&em3$WXK>!pdC4$E5X?-1xEh`&SulE`O@ffyx}3KzenJ zl&BQtBu+hL9yTh>E*T$XZD}CKVw3hmHl!wxv=;zpLi~y7@`^E3C*{WMICH;fNzl}W0hrC{_&l1ke; zkAZjMU3Gml#-mFNzK1Iw!A}}ghMQ5M?uEgwUv@JYqu^i+V=$bIswH2l!-b8YZSo@^ z<%|P+Z(CS1N8X&$Bd6lJ0yqDQwEezCf?yeoO6O2+bGSH1D?HQPtA}V>xV($hu*$MD z<9zTKjS& ziGLEK^bh6I3aT(gGklCtuJ%nnWxS^65~9R}q{q&wf?Zk2>)x>%EEQ|MD6QU4weIsQH9=}3|R#FV|uDFS_ zHM9C~$r&td|6pVChp`k7%M4Ehmo7@RIP2wNLL$-NwfBBYdJmuqWe|BCJxV@;PKQR+ zcfLB&?+%}B;oV!Obzs9_`w$6hkf8ZyQSW9k!t=KXT`l#{Jik|fSf7V@*`exoI2!TQ zc{z*5h=8Aly*!E9-v+Cw?ns*52-&>z3fgtYWFd5jVSOH+s^XO)Z-*XbvPc(UF14**U!PsL|c-@iCe?DE=i zg`9V-UA26q`E`7xN9z#04+D`m#&`T9+KSU^EC%u`A1Gt5*V9&?-!VvKjKhAF8KZw) z!4r-I_l93YqF)Dd#~NON$D^u2VTg$*V*%MOy8U0liIutSd)^*j1R;vIlFAjfX{Tj6 zi1GHP_xG;_j0#phRk*2-72CpL1i%efWS-Ms`d^{5#*L(YjyL?y+!zOSC)^s6Q^3>Q zJnFiW=-{0aI&s=a$F*$9#XpO~j3~kr%l2#f<9hQv%kg}ytWzP!!3+Ztq2daf)bdz^ zK^1O)$-BWSQ5Kq3ZiO@CFP=T+-&N#$dOu+K3z0H$1NfXb>kxtN6hFFCei&=yl}ew? zXx#Z+Woy6qKeR`BU*GVkYVR@p9gGi_(l;4h$PzxE8C^Gy#y1foV7dPc>WZ92(dW)3 zDK0_HkY3=9JMvuJ0v?Q)(Z3@y(AF#JHIqOdh^I-2>C>E6vfoLaj(YL%afE%U>Xqc} z$SqJ(ojZ8e7hgd2fy&@2F7hD)mD=Tp!SQF&IN00s9i>5D*cAOU7zBg-7DR-flqc5B zOw~uZ^T#CXgYuE;uDelvs`oLl5=-_7utKEw)YB+3oFA26YZAj6Q6l&!=X<&ms}P%oRg2;$29TVi>lu% zrIvrFpa^zM^0O4nS!7cvk|v*aFK#nwaR|{l)cBpdWA74oGIm?ZhJDQPfwa>jHRL!rsJ*d;kk|4zId8&{iHt?oU z>vKJ;sX}m&X4T?n`gpY&U)%bvSw(=;UYjyf|Kn!QJL(b1r5Xg=y`J&b*}s$@fv?AsO5~W>BzMNsC%c7nPn(#yjWJSP2VEP`hU4V&W;F0%*^|x z%C&jmq>iQ3a3bhEC_JU$I|FTSne!e^@>WD5cQ=lD*U+37gum=qzVd#!@Bm=Mr1`G| z17!)e5C*E`A?P<&G>Vp93aKun?&?f+T%0NEQIY#GAubTNjv|t$2@|l{r-Rwi!I@~{ zfB6(%Hk^!kxqB<<6ykJ~J1?JNcQNlu8-m`eu3)nUV=6t%)q6 zOTDjpYAZn`fj~g%Sn|tsIlS9ARVLd_`U=KnOLcjtni-#Mz{v5EDKtcj%!%VzV}I9 zG_@MAQv#|Lp$E7m$IKzH7+KQ&I_9MU%^b%tNN&ks@)j2^Axw2^QrX8}csT}6ks)Mf zJRAq-Xz#2UG+i8&QJ~pMH|e|-5G|%XSe@wgIiy7~_HqbqxzREPU( zN{b}*!T?0`@}HE+ghh+y=3O(4g^L-YX&I61XPQZu17d&iMck%X{9E5tK~JxO%`hC5 zxWo9E7$rtYo$)QWFHToUo@4jD9h)M~ZWr|7qDF8?w_r;_htrX;yCE*L{6V=y?W*~1 z#^mjNH}E$(0{4=X?XTB&l^m0FPUKEhR2AOcMIiWh`lQGa4KbTA=^muiNk2^>A?tvr zYxrvk+Z#aTk%n*Q&%58RhUbUdvEIDppYy0i_QgyCTpb6mtx;UB z-wNP~iLCBX^l(7%hTcJvMTb@YV`YzMUXW(ltlZ@Rg{!-DE|r6GTlWK3hW%V$|E&#K0uKX~n`ZM-%S!D^=2BAYi;@z?18zt(Z(kOb0C;# zH7ZafaLxT_dm>;fi`9?oJph}UBn*ojCr*p!5m-^~0&{~--h z)HJ#?G3=r_^&8 zS<%kI(BAz4JPPe|#q_hZ+RD6bnIwnfPRbT2pC+2=iltAf>QN;hGv|CvW#N9gB_I^40Fye zRJk8$0G$W9h#$$xUzu(-F_n%<(v9;W<0A%cdoLnDkQhx@`k2Ns>WT_~tRPU$zwaB3 z>M*sOCj3hWMu|bc1HIE!h>hb#h=ZfkIHKxrpxX?mq3_ri4#luS3`;byFT*Qq}6C+f0YLRL(4jzzc7$se#iqX z$5refDjuzW+kN>}^9!2 zj6P-$6u76@On{a@TY}pD_y}#qm9?(RLEC$VDSOeEGEa7pj^0nwY5Lw2B`H8?1^}lap=;y=V?CO`qTh?NIRuf2fCRu(7+cv=|1{8N zgRb6o@FxK1>v>-1@GC@aim|Jw;@2}1LL%f;&M%Pn4;@4Uqs8{$Xd!{oviK45XhRJr zCw%^+&i6y8G_9gcJcE9=i+9y95go!n<|SUJHF4tg`%gwSV3!2^Cv4aeQ1)p~Vzm#m zr;V8+yjmui%``A2r(@T%2xG4H%PQ{a)_jcn#Xf3Ap(ufDB#dCaCjBeQFvYXGSLM z>2CB>F;aj&0L82z;lcUbuwZAAo|cn{v3-eT30x;uB#fwhvyRd5ovFTXNh?{j&F_sr z--+w464DNcI9qjT$34o{44W-mg!nJRmmD93F=2nR*P1b&MCEzaG;brtkv|=LJX~TW z@zl+<7MH~q$M>Yb7vWIzkHb&aLsQj_g|axcRsd#t717JyN#+T&yxdho9fu3|yaAHf z($m{Bcmzrz{z*n+cx8H<+L>SPsR;$$&wUCBbaNtik`??XREv^Kqdys4y6DYm`c8~- z!99+89WL_}b?&0mh^O`RTT?d0R26 zT6?Q1+B(T3@i)%;w0A@lwlysKDJw0pfLTg{&F66OQ)UIes7pjXxgLI7*)XS$WJ71!s~GInN7#>aOu4iZfNdP z+OiX)NXq=%{H8Hs;*QV&Jx>*_~Y0YjT`8q`5?69->vChb0JG z7u+$D)UMjk4z-to^si=M^TS|M{2&kjm>3Q_t?)-O`36P$CX00?LP~N+{5~R^1l4%s z@=_Rl8`PeXJ1%J}U+kaHmUhCDKAicb|Jvkkn!9Z^Tn@FtP=k8DHX>Hndbrq@Oc)$& z*HyT{_WxP!BROzlVCOZTtg!@S&0&$4jT{Z%&U|hzi-1Y5<>7%_pr^ecB9&;^QCPEX z8A%sQ7U;IXZ{JMv%V1J_*hk00m}X_ihG}c05!qw)D}c>ql$?AU{R2)9y!lVMouuEDp@8=xsE`Q2y@0B5 z5)XzQlqK9F_tmz%Pz(5bhiHaAZR)Y&LZMZxZ?NQ~5wu?_C#l>DmFLI~PDuZwC*Ne3 z4GAxx47ch|~PBJsE$g}e-bf1>KT$(?e3aY!o?@ahDR$!U|k*bUL9rRBV zxeNfB#g;j*Nf1$)S)WQPnnad%1$q!yQHf`lEnK`f+%QfMvAK-$3ko5b9D_PayBgIL zQ<-UcnNyX6U|>l#6Ty6PS?aA5Ixg$*=2C27|H|AsIyHOhjjLvtPea>6s#cDiit4VV zJX%Vg6=qBeorx%pfGOJrE9`cnt2Hm+E?WQzA-vFREsbU|?{#IZK-4kSLMnNa1c{1Cd?<`FZ z9v_SE%D<50rF3O4toknD#I>Q6vPF$m!f-gmcgf&O8YeR~x+@LkK_77@5aTyvp$!7l|U1hhyy%;{$miSL=BuPdA9^**^{gyaqoQjr6EjG#2Q1XqVh=SSX zZtrrnzgC~*I8@H91d8VNr8qlNblPq3U`iR#S3WSXXM!FT;DtQ%Ue``H72ef)Lu`*S zVfCEyZo@Vfg`ORtYHA}%|EhW|JXe0V0Sx62q=N1>7m2VyOGaw{W*RciKN8Sz_!IIm z3dupLM5By-l^)Ss6HMX#P%9s}6O+so>`J5aGUz))iUv_2qn}Zn#pBx-hY?{1d^q{J%tR2U_CpSi=EX@7 z$8_*Pn;7pINHaSMm+4@lweh;@)#89RPwI|L1H3MsR`FVLwe~80A;r;HTB<#$;;j4Z z|FJ2`mF!Va^V`K-_dnbw2NrscuId6VNAtY0h6qkGD%SbTE;1l91J@I+B0n?j@~avY zuQG!bzT(^pb$blDLY^nz<;VB*Nv?sc>P|u$`+6${J(@Y)dw~f-Gm#FEsaRMlv62d- zAHvWMLTca;0_&oj5_&~czmU=5@RsS#==X5{I(~8C8kk~9c~*Uy0o8 zF#?{Q_eI^hWkAbpKGTcO1qypFN~TinYXf+Su~coE*9bfrHOPMQ(v=lc^PA91$bL{- zPgME#hNYC$F$#P@*Yk(s6MikmJBKjYnJZS@=j4Eu*Ly#b{kP1;B;QihZYrqF%A)2%zQZI`sBuKYCApDL3ZaOcX9?nR8gU<4wcSwE6_JmVb5EU+VvsJ%7Kk1>T zwhUc6D0p}={Ap{9Yd`IN-hViIp0$B{3LV!HoC($lQ3v%Oj&FVLf2FKNN$b650h*Y< z%A__G=oKf&L!;-t>QFzR9O{`vf~>kF=P{sfL33c@ZAQvisYpa8RKf|M4fMYi#uu}{ zURW`wO5lWv;1Z?VRai{;qi(wCsKuijUAu_UZ56`GXN^D1u5zgOLM2+b7nkGO|HU}P z3&zD;zt<{%+<{B;mIb<&c}&V3|FTD*BnX;xZ~lZWKaypqfFLlrrhK|=Wlz>{7e+tI zF0+@Z4ZRljmff6^47tqi=m}??10%nc`Tf6~ocJT)qo47S{t2BE9|{pTqwI2RwXxsn zN=XZo-B_l)y+UflI~Kl>mvk^*YS|_fxvSam|8*4Q2n4PHzNjRGRr+a^AeUfx&=93` z)jVc`b%Ct871FKvC(lB~2@{_+ujkF@)6N?u63M)gT4UlKn6s{j)832I?!7!V3U;n~ z-1qS70cV~4@asW0<484JI4jWY!%j}L$4D;qSBXbWh_#$r!%2auism!3k)nAeb(WJZ z@iwLaoXmvP3~ni4H-W22n<8a@E$|Yi_UVg7dqtKHU32aADxRNeVCju zDo%rQi4=}?c6d`QuY@p}v^PW_AQ|q}YM+y@v$4KlS6`m3?;2r}J|K{xmd0nDYz4{X z4UF}sC3VL4jTdqeSiCxyJIM54f|IYxi~`ApCvJRAy6iJN-ep7ptC8jjn|j6cUc~(C zpuvW{{GiWyK?oC}{NLCbX4dK3Bo@W(UzxgS79OPM1$V7MS42LRwgVRxmD)Y}As@kQ z750C20^V5Ab#MRTy_91Cr)^P+@r^3M@fAEL_+{~Fw8<&ugycA~b6MAlc5)AV1&7M| zAfR22sSlEtkto;f<%mSek-!Ji6%A)*L%=8}@Y>oTax#WefxQ;JqLeRZdm{A`dMJ%P zMbRrr4zW1}KqgyIZqVL-44Vz0lETFT%jI4~4h0eJekOd`Gdgp_FhzSEouIPz?W-7%hn z&0n=^LB^(Nu-i4ZkzC}{HG;~HudxApFjOB?<5Nd@^M2GThs|?$+z7fj!?#A|re_~v zM^#w4?+D%C^~!su2Ue#9qLfJfP?4vI8cqwlzQCCa$ycMg?@#5CYUkbxAn;gAIiCew zzHZ+LIAKgyH+$ae7L(}&|5qB@pp#E^3zvO%dH0GS*M_SG5B&)ZGGHOYjbw->Q@m5dhbpWPQ9(MM&_Y?Fk=vEckq9T=NTmRh z1wJ?AGCHN!3Q|gx^cVjiP!l20;vuBJcJzW_F1^ce+Ejx%aX9(%Ob7sixH*2Fpr?yE z><0|WecxZcVhp&|#EJ-3-#rpd5Ut2}sI`Z)?|oSL6l^zks^0Tu!D;9BxLvM}e}u#8 z`!GEFf50Vxu5;A!)!w_?eZJjXJiGn5NalOe@%P=?mlM=h;ELeW%Js6@-38&5>1^%egy_Dp;H|xXsR+6i<$G57q_o7?Pe$#s(aSx|V@&^^I3YE#lGFe8 z^FJot!!vFDWdf@48MupFE`BJVe23D{7zzO$08!)ap}wN(j`NNo9mBwwE`Q<) zI-Gsi>2eM{T%Q=}JKhh_KY7-FJv#mRXE36QAiQX$1~snz%y?N5YStf>WNzcD3yu+-HR zaVnikg-B;1dwfJ*>shj#v_WOqKb}TU;U3TnE3Z?67eVZ+U2*~9bl=DJ#fs0*QTmNWP=%4@i6|xIZ13(N4QO z;a5;gb-w|(`Ck7K^y7xN1&cEMcb6d4^u4R+Yb*mX*|8d%1a<7cE7m%sZ9;J$5=~7I9&lblhbZ@J@s;+K7sxq zO1B=pq2>P$tXiZNIc7Ohuj8FOa2;8#w!+p-TWIwnR2m;vho8SiJ%`JIHbp7ma_{P-qWNUZ#JI zC4g*5O@t*0Yk#W>o?Uf0LUb?U{49Fs(GkT&nMIbFDTYi3sdv%KKaa(oS@b(CfxRh1~8Ukcrs4V@IhP;pHmf+SZgs_5r3Yaz9B1z ziGD-*bi^X{T?NO5MYDXg3emwhmc_@8(UN)iO>i?L@( zKyxxZ5=|Zj*dNlL8;XD);ZFXQ8ix9HB5GBW-cw4_%%j!rXL zCSa~XtP%}h%7Bqb5>onCFOAi??x&;(56gW?s1#O>bs_sH@oqBs_MYppamisZ#Bl+u znm7tt<5UJ7&BzH)KduoDu{SoPZc&w7Q2|kw2j^3zueDAU@NbUK*)Og#3~Tur`fj_- z>UFVd`=`rxgc0-w(B~?r5$zn*GkmYM)BI>`VMMp>FRQ_~bF0 zdffQ^Wbet=4fa(@sQ6Z}a|2hE+_Xy?uoSh_PZHkQ{ZN#>no^9W$Gw7go zfkZ7!6%9Bt)1Q=VF%zT$jlX!`|I6k{t}5T8ROMwNK=Dq!@~~7@Qui>hp=dtLcrYJc zwZ&^|UQ&+}c0jkw4d!$x<5sU8Zr?-Kh|Kx5p%el-H5gXF>%Fp5O1XoSng+>?0%8;B zM&>F{ExuqAqjIF0%c`4{f8-N{|Ixsm945-2Q(M$7TZ{Q6;wPumaq!9S*1qhQ9BPFD zRwiwG?!JlMQ@T4eK#)~roVw?9bsn>}&cHS%M*p+kT*-qBwxcc zuFf&4NH4~Jb}!vxRQJ8FV;`1mIG9PS3%)9LhA?rDymn9l7}cHqRG6qE75oQEz}EP0 zM1xcjyzPj@XD4|B>mK_T_(00U|G~ZnbZJZxPX1BZH>4dzVz(3>wQ}l9@@!io zB2q5Z7^ytYZ-V5;*7vP|vVH9|VmwY(GRjN_majLqezJKsN^@p58RpY*Q71EICKj?w z36O)3pxk_hdlB*xoIgU&5nO&HmDNSUr&yD9#>Ke6!S>tFx$hT2t8E+-&}#^W(pwNB z<+`9egZB$#^0JaM7B8mKQLHdDc4|j=9+RvV4e_X2e|TK zWK3%ew#8-+KR>h!HJ7cXHn0CC$pP?rLEBlEVERN3Kahjc>2IMK7Ox@@BqhpI~RBsJwI? z;?-&-c^j&bs^>4JC1#qE5%8LXRqzh7+AhiM%aZAhG=*B5BX*~CCHOENK$-iexUkz8 zJIOwDUP2iCqUFv0OiLb`gG%^$c(s`o63lO=iG<)~Em-1`^rfVLTl{`BTskGRC^&0} zvrByn!uu;8$Jjl4;vMg_$vY>hrD(P^;fx))>A>+1 zWABNfYFPO@h$E6?;48IIHij7kW;{;^t2|#Qu4yGfG|*^q6H6xKaSSJWA?ZIXe)>Na zpWOyo{A0uSy*9Q+@GO*GAH@kI*YO@)Wkb3bn*h;2?s5y3a@a_3Y(c(W1qm8&CsW&C z)1|_3VD;CW(FApVz_~ST?0`cpx?49vLj0VOsuiA7XXZ_OErF0}%pnkKAU z;7y(I2u%L(oG{)|csQn=nLbb5_9eVz3~WjlES&HL+0yeO^z2A#Enx*U{2*ZkHtkmk zt!-&sLbNa6qqu=$7W^QBi)^v4#-!=S2Kv{{go3hK-0^dldU+f(awUdcZ>V$;_OJ$7 zotC~vIB9VVPe^K8;csH=3*6c?${hf>_AxlH2VPc4#-kS{=#{L$xIwjbn6o6AW;mEs zDc2VFQLjkaMUmk!ovV4nwG>}_O6%uISFe5L( zP+nip=ALXSF0ug%-wcrwiwrDhm(9xG>+ColGzkAY94bhUkM9BWb4=jsRfbVWpat8T z{eGVlog)7q4PfmtBc%FDEBzxoKHxHBco02)!gB!5;!MOT$`eXW_%7tTr<`gjXz)hm zOmgZXX%atBja-^Z$$lY?h*kZgen^Qaa6{C9@2+|`5;ncUxU(gugMqyw6?~bIDn)XT z3iW$y@)*bKlt^QEH4rEk=W*M2Y=y1VqDb@}Wa?~w$Q+pk-!sBC*=2+)!;)d{P4h$# z&jWo_NZPsUdFSzbH4DHMnF|fWbDB=I#X_Y?c5|j*s{c|l2`WX9d$Js-7QC}3qqa27 zw_Y{jg7`>Vbb&0l{SRJjoZk|qk|&mA`w5ENUdJudw3!6}NwQ^f<}!~;6wV_GsqW_Y z$-K(nifMD0-hvWOu(}xqtDE;AGr<_OJ~VB|lOAZZl$4n(OvL}V=U%{NQN^UZb@O*I@%$+1C8)K*V2SD&^MA+T%==@k=FA_V*lhNk~M!&-xr&b_{t zh7AF*64SE*~?X6yz420UU5#?w1t^%?P z8yNc;+SmwT`~x*xsEEi_=Q*4 zn2m-hKh=S364D&W_bdekm>a!%F(*=vPA&s}F5vpcYzevH`fKEcWO>r9+$bs45NB5` z#};tnb=*u2Ob2WPjMzN?l?g`2%w%p=g}`a%`!O6^)i0sVsmcu7FZHw1?Y1OFtn7yu z39<+XM|&kQ=M1%MBhfHoo9DuQ!whRduw*^}{bxGWS1F3HO1GK?{drI{ES3BfPq!8P zYk#SiTSKwOxPwB;8LT|xS}@M^gzpX&$yC}qd?l^%ekXbq8c3zu=CI1*&kQ6=P4)Fq zqH|YG57p^^Jl}b>-ZG|@=a$n&zrO>F2$4G$MbKClmCGSZ&P;bDO5`9bl_z2g!@!Y# z29o~mxgvq(P;4UlaBJEiePNzF!6WDA_?^)5FA*D_&Nufgoa0aVz&YQi+a<`?^e=7W zMLmR-EpTb#<6P3JVTf=JWK^4l1)Oa8X$N`G}6Z;`O%N?=uxmvNY-4c@`K#9J9kxs?27;W}D{jW<^CsOVqB9WG}jQKqJPfh7rw z^+m~W=wM?IFC7*V%j82Qux_Xn(FAA?z9)W<`|ebAm_mu2fgUG$06r+29krsN{jl-e zdj^`+o}B|v9-aRAM>0vIkZ?G4Y-?2*XzSf?sFvbMM{4ScAvD7^p=cI)FxbTXA1IKe z!b9NXL&BA<^HNg4;KETSWqi#)^_mbo()PMJ?esYleaJD{JJ=JRU)wO%XHM*l~GZCU${tvgoJcRm&kw&Eht?>3kXA_#DFx2 z#7iUH;n3YfBLdPL(u{OBNJ__@-~ZmV?w9#8Gw(X@+4Y=f@3VJ*&jHN`wm)!NAo}@} z7ZnDi!Bc+ko*Q7NRe63i)Np!H_?sRm2 zA@N+0auwxAJp2yE2dF0#8a{%XDc(NreEUK+nqq0DpS!~tSctZLaKzho>Bs(vjOd=Qm3_^ExHIPCcE^*WckY!ORh2Nn>4oRXTg=<+E#8pL zIoQ^gDzMu_(rj1YGzvUy%74qCWJF35KYV`Nl>S0QQduH8E zO{UyIWyQ@U8_hQz8U`}49~b=BoN)_&tRyCKc;NW@@F&@U+rMA2 z*+wuK-CsEr|5G-dl!-tJyH#|~vQKQM~aObJ6`r^o3 z67+Y%HhWKe{hx1f;FE7YJyOw|Qm=}Zx8UZ)mWz6yLWe}>1d^n`KGmPEr1=dW7}sKm zB&4+ctPtH(ysZJ~@X8PtsoSHE+j^U77(o5v^GBs0PwygEUzOQsy`47pt z#h(42=8F!}b{7E2SfK@?S2N%iAHncGxeEUS2a+n0Izh1@o689=g9bSx|SMin+8AQ>_Nr0ujswVwLh$LalqI8&)bdR=L#dWqis60*L={NGQWb0mm zGl=m4X}A>_gQZB+wq=^V@CUxq)oLz7b)BSrg+I)e4yZ`vyjGB6EExP@R>cq~G+le{ zG`Fnz?y#jXnl@AhoSnxqTtw3)j3$`$PR5KBoUN&IB>C7`fQ<2-67|;QP%qO1#z^wE znDOX!MUffWc;F4r-@TUjekS?h#vClYje{mK(naZrUH#0|LK=+n&AQ2IKKe2K7nOga zN_{6}fqSICr<{$_jcsSCkwr9g19)H0E*O>rmM>w_A!6X63WB*eXva3+v@y$jJsVM> z4c;oC3eN$d=JL&yyp(^M{eH&_ZyXKfQi8yA1K}6W=sG6Bpv#iJPj^Xbne3683k=Yi8_#^cI^ zl`#DH@YjX4ia_aG9mS+|)wj+X-^j%|%%%T5PRryi#j=dwi1_)uVeM&H zN=uD{vyV${l9*s{>y{NZO;6mUN;nIEZ1{r|>W<~iI9p6ef97Upg;YDqrXL( z=KD*If>*!0y3B2^+RgP%uh;opeVBfJsHp()X>9e$v!#)%7i;hs``k$?ev13}FRm`A zDJYtXJ|88c9|t9aOxl2kJ&uEzNL>sEY;N!*?JR}p3LZWfnn?7fBuqnv^D_vz?V)deblbIE@= zBc1G+d7HB~`oS*}R!R55!#`B->8A|Np>t8==QUhxS{DxlR)=U5yid{)o*csz6?H#Y zOd*&@fAM5Gg?ApE3ZO~!Yu&npntm5S&oEDl{FIsbMUcgEe3&cmqTa($v|^bko7()_ z-@6dCI#Jjem#*gAPwU2Fg;v6TltMo%N@Q<%-pHPwposXz@K6}&|MjjxU9!v|cb~vu zPmX57*oym6W;gwx9fnXZPaDWASCa zbvN;7?JVMqt6KOsFFZ7f9{;@y`va|Rl9miL*E!=>KpZEEm3}R}VI}p>>rbKqZ4~^x zT}MQ=)G<@!y$4{wF>i8Of9E_OZ{F$PYLj5zdtVm!j0!pVQtLUYiSIxTTD;yWRovak zk(}H30vq2yoL`^Gh~%d^k!3fP+|xy=ayNDun2G4;B!>A1bq>05$;DbDoHB)iS`U-~ zOns=1T35NCKW`w3*g>VBy}i<=bUe4$HYTU!t_kip@l}9o9(-6bJ~ab>^jO`5m|J&& z;Ei{!OB=hJ1kU=?Rps|ow2JgL|2>0zctBcxI{LjCYa}Z?`#7S;pvJM<=WVv_R&g!S z5ymuE75?cV8an;RMi}uwauy(eFd^bUAt|#2)42YUYh=IEVCS1)S#e%fobtO!6*C^3 z48kY``u=k=D$;E>yX=JwJi7GuCQAJ*cSwZ^QZdOXu9iU8koRJ;I;j-u^s1!jV?;wg z(;n+MMLi3pJe3Q=2QhS284Qb+Rn&@!t!*-b;D1o}=^1Xav8iv%3!iVXqItVn|Hx?_ z9G4Do+9+1%?j;$rv8Ngs`lNR@6;hLAHUw!j>`lS4|_e?{BU0Zp7ta9a8|eMXM;^>O^!yAyYUeNk3m|LmJ#m~(+WR&P_f?%ItnJn(-F@w`BFEW_m=|e= zI0RxKPicJl=yi3Ps|pO9;)uDRB#hnxm00djS>{in7#>?UJ~7()Pb?T1-@C?^!R zZmI&YO9gSVsp0}TV+QXcs1)sVoSr`w{`xJi-fsyYduo4!k>H#k zO#lR;^5Tl8N-@`_hsDXd&^b|@{;8l68P_Bk^ z{=rrO7mLeZkoSd^1?wN%xAKL9$e^BBqY)}h(wTe-?!HSU&>tPaSc;lhL7HiQ6-$*d^w;t8ZA=bKg}alIAn%si;dtS%G(lYo@e>q}*8Z z+@RDh2Z&H*0`M%D1gDEjfi5<$WdbCzV@hWt{jG;J0*~P_ie6@^=QHU>4R-W{)z`JFsK##%WTDO^rt+E1%`qR4OFi?r zAw_=nH|OXm*%KYBN!e&HW0xw-XPf$cKRq`@w(AkK&3LUjdYjZla~P!(dZZeK;+^SnW`7BOpdiEb zazdUc34VS>lFh7yr_x!`7mLbSZ31qZSk%qCV}?1qNs#q`If9{@gIkL?j4q<1JB(^L z9~G$Q7+lPOwb;$%(3bLaSpp_z5}7=T=Vl*32A|rU`okqy2^0F5iRAlUU*CTAksUJO z=U-LFC?@I)i2?HK*Uu3+P5LiN=qa&YB#%%lW@H}<8*W&~l0$SOP6BzI;Y{T=U+TQ$ zEKnGzZa3BUVofUb;#*9ei_*=9`Dtao<%ZTQ>+B*y({&k^!B{D+%>g4TCBeIPF!-CcGTD3pRGT4-AT`^6 zso)6^m3tk*8?!;Z)ZZNxhpPAe$Ea$z|IpVS*+DvP7%fPUC)snXn42D+8kH4^_%?ey z9thL*7dMo<`b>7~Wxd(lyp>Mkx+$mBPY*q_w4SMk2Bx;fGPym+fcU)O$asro zK?2tVgEmV7GUK*kHBZ~#;}^B0B{ct(6SbcM?CM_SGXZXEY#LMA%~4qk*#_ZV{Y%xcfrku|SwA_tNNxMkgkOIiJU>>I_4Fp&9Mo^6~yIX~wQkM=v zJRyF+HCX(QISJ^s75%1oKJRO)(k+X0kd_p-w(h(EEH!!)z>JCC61srD*{Sy-99smQ zz7-r8VqwHcvgD5ytvCBpl}iVrv8^&NHpuiz2gFl1w|=PKiX(%*I)^n znuWaAvvA4O{K_a=&IhG(T#WAs_--h;lwoQ8n z1C_y`{0*!EDXq2ll23csB0SQOvDDnKh8!cP+sCa8X0 zg&JqbgG^-aGv@@%jZH1*v|b~F3Z@EarYA{X41DY2Z_4ac`8K~(il_XSZ~m=K%K{RQ zGE;-NSIzJa3vZ(yHLwindN>_O%CAAq&4H1pO)D8=ZWiX?v!T=7Ubi!~m7YbcoQTn@ zW-s#ZtR}U4#^8i`2Izy^Y+Y2YqtV%Z=J5YlaGelqRU&OZP$KZ0lAyHs+q(iADgq|w zcGgqPRN6p%Y%*k^nQ^(Zqv zPNWDW_+N;32$9r!Uw2CQ3ljHYPe^nKVdOFK@Rn;*_6UlVuLAz0HB~Ah)1(f&t}*QC zxW|09d1_D5(Spu&q;h!kG3Q?)xZeD6cS4Dp{!mz0G3RfG&=ZWu$v1y=+n?3w>uziZ zr~iF2YZTGLBJt-<`E>Ly!wjhi K`1N%W57>Y;3`2nM`?3^APV^I6FJdPW19D1hM zIGIVbmv?j`Is!oR+QA>cd}@3yIA$oA&7^}rjHsB!0nvW>cM^#ixcc==zJzyTM&d}u z>@p*pds-rWBaFHC`vd6B$tEs)8f-A|opb#pHk% z#~=Cw3`BWJ8rkg*#owyI=_kLLPg13o&VYfEe@ZK80*fs1lXYjQ3Fz&Ev+J`eM2RIjwO^1|lOGA`g}L^CSOCQY zmZxeHOV9Xg`>zz0zp>-D>qx7rzOtFwreMr_l6iI4ZYC9N>>`e1e5jwLt!z9Rq+%PI z9!adltu|eF5e$$!0!e`5mFr z+TM$eu}0a|)ECw2t6$ykLZ!(OT!6yy5zfzdXWJYb-Z+W`5B{);28+dPK|~n)t}u`x zHvZGp2ddb{Jwo)*UK9A(PS&J55N?Q#7??AfFf-CN&&Q`Ue23BS+VjTPH zS=tsKAD|ytR`>ST91Apk}vNOocT`lSjvufYN^(vi>bm z!5qzmM4J|w?}LEPEA^Wvb!Rw|R;Y`9cWM{4G|Uo>zA;{bdqa|I5n@V#2B<^5r&6)_D%tMEF)Qqgo&Jx|Y|gAZJ4DZc1>A3h+POdyK+hKs-q zgu(JI{xR&G)`q3Un3UZqmP~Y*^QlGRjoMS#NUcf2sDS9qHaU()?tq8Rw(`SDB{dde zV4SH472X&mf|`BaV>C$F(v1gQxGvB(7IqF~#baS3N-E_8H7X_Zp3wgJc+mI!aV1T} zXnZ3kg0ymD6^@Ey7}wm$x}_A7eRS%l;i=ubF)SQTw%Qme;C*y%;PCLU;kf_Og5kr7v#TJclEo|3H&0* zu&0DRf@1ss`Krp(>+U>yb%OWr`;fG18Kr;#0fiHO7YcRRA*7HgF-Hj7Yw4(=SB?~j`*W>-N>E&Qp;?bBfZw^!rT0pYj9QFdDcq1;bdMz(jqCS zo@Tg{ah_hpyKGg%biG5}=$M?lL=Z_rKRCye`uPxGw=C!^_bJ+Z^6v3$RQTjg>sZH( z{yVS|y5TJuLLwECCUotbuJk_Y+O=sT_tr@PycQ(**w-!q+8VjWPR!>{rQ zz3nGe!40leI2EBl?C-}uzrk&INP2aQ2`K`^g9p{lECC=wg$4+vk`RJIl6?@_@FQXH zS6uZd)F{Imt_L&`M~FKEy}IGTuZ|3^c+)0zduVTO$Df%Z3tpfjFnGEbybAg=H8`NS z&fyQMnW2pCzYak-B9ua`W=~8S*%hVik)mY!QpCt0z3zLJ6}WBwENlyYF#Nj#QWTRZ zBo+P$h(;km{YngV=HSEr?XUAUzNiO{NI63Gc$vnTX{uH^y+jNWrCsl|uY_GS7}*kd zc{7bx;NiC9@6^WLuF{MtqLK|CdN~a)~|biikg0zqk!++ zSJrLtSAS?ieAFJ=ANu{_OcxsL8p-xv@nFCa@?V1JR7w9j|39*yMYK&OGnx(f8C3gy8hF5aavP4z!kwj&eX4|s;EGeQfDC!tdVUdcmXSLQsm3( z7G*nGzV@0j*+|5P@Dx~x8)V?TP`)*sG+)EYQm*CoCv(!-M`I8@h=Noz3S?vQ7hPnfAQQ<70v`!wW^6S zO)FTSlZhqTxtA5!56Q0pqfX1o4=6mD)iTk@zV-6v9lrKaIxH()op&QJG5jf-!6B_! z-_0;)&yB~j&*hXyj3!R38^l_2Tkv%hETBv0n&>+!D|h;no#VmcbJSyDHh}jXc=>kdB|ASp$uZGQ^kU@-(JilVGI7AJbJU`z(LK)Lw=!ofF&UHL4l#I-? zOzZlhB4=L$j(Y8KhYD?Sq-9!LQp`WpKCeR)w4ONKsjtA<)qMwUtmMCT1YAp^_K0!c z$`KNTbuv+9qD{m2o;IcO1f3BuP{t4=WTHzt+f6SOc7zO0pI^wXz^BS&n60yC1+(8} z1`JT(i%|!8)D-Xr!I&e8I2bDI0u$u^CmNJ@c9pcI6k5US1iv}C@}VYE-PUFPPaZE( zv3VUz2K&B(_TA=m86nOc4pUC}e+wkj1)a1-*p9hD0KhkCbj|sGBufgp3U7HRP?5a; z@rHyMZE%Lew5}tc=rppTBgq@t``9{dc&y)Uzt|*$ z6r%hQoW z2FY0h3>-x5T!!v%ahybMx!lTOr6I7d!Zt>eAAitsomO}#iABNiQ9~Vs!U39r`#0hr zZgtLlAz~92Yhlm7p{d{T&s9X+!^{0qrW;GVxG}_0*$z$`1z($Jn&FmXaG0*QIYScI z-(*GD%r%T`!@HXuSxqE=pd%9-K5>n1chv(5s)l}SRp&@Vxf#zG1_njH8q9SniFsb!{9;D!7i8&tbq zTTDl|@C3TCs6 zW-`MyY*yf3xoS5k#ZhL#2gugxME!2r(-Dmpci>bYblY~yEWIkoR+WdI30DQkMQBjb$kC@Zt zg|^xm(-dYz>heKe-&pXo^@(k!DCqgsWx7ZV{7#;jDHa(`8M676GY3aG#R#8x1LlBpLA zOzl_EiJ*by9{1-`0FNZP=5piBN>~k*YpWP_t-y@s1{0MY=r_9G<-DG3YD2%wF*E34 zX(b>m_+@*9E&_@joa>DE_4S$5^u6ybH$M(Tjrny;5)U&$8$K)drbocluPa?ui+o3z06C#fGcusrH*r~i!A+Xf@`hVf`I|~B=Cs@L3*lZ@8^5h(!oaz>$)#5L z7Tm5LI5aZ-uhbt`xar!bh)~moo>bDn@M#b~_FlK_t2*1wyN@c{9Ac-DzzePR{!GD+FYq*l5AabB$d7%z@@sjqgF9C@`~Io! z%e1se8iL+$tx}d5>B=)yzzN zr3Srxhg32PQ$+9Q3cszM`Rk+erxfgj-v#vk>AgP#uGO2znQ zb^S7h*#Zh^k^GB+ht_xvG>Uqa;Tx>x-Hw7v=mJvbGbm1=KP=6i{7$c2-<)4|F)GW? zhHS`O0R9-h8G#~s`YF4gh}u4A9^*7Pa}m1}!|17a&jyo%%E?u&j$>q&cTlkF3we#0 zjI0&zVW+7RY&I4}^^g$m6bJTCvhcw3XXfsKk1e(Xm5jSGY`M}tSKf+^6Cx-oh^s9R z+fv)cbZ(*KcVpAx{rmODI~{YT=LZYHl%z}&X^O&3W1~IHN@b3W*JaIouFmBbQ{U}K zIq*`$`mQ;S@SJoutz9@6O7vNC#MhK&nIb58TOM2aFBiSG7d;lek*R5!-lt{`oouOq z_)+s^G|@2Gx&R25+GQJ}F2P{d#174XPTpTGdLA7eQMqhKc`P|~t;f7c47t94^JCd} ze#g+^=Y@xb!&#ve2`Wxn5HGll+M|X`|06`2xNXx5v#Hrzc&kcSE;^}n#irWk6 zZn-->i%YKZ-9Qt5WzdKwDrWDGZ)!_sQS|_+*8HsB=;%KR_V2Kb`{(fMZ*p2C@LlxG zC<_J`*sNcEd~pA}^kvgm$?#rUv^X(8WZFcQX%CzDeQQCzq zC|b|d(O{2FO~7rBRuU-)+d3ywJzyp3wTanTj*cU(Abv}a#@K(I(sx5HPoW1A&to=l zwwX&{hn)Xp?^$7@@|YOnGl+BqAhn-HzQNtCw7-h>x@IM2U}LLCz&A34Cs<8WO8V{TJ>A}G!3y?j0PA;J@PmEL;;3LPTQ$u+r%wU*C) zPf#txZ=@v8EJ;JgD1*Utq&Q#JPB`gAq1to|ERj?910v^c#4r-t_0Tckm8u6~KnQRO zJYQKps_tX(T8-x6Y4AbI`z^&+^pEX|436u%sN#EuK^&R%g3F1E{epfNLc9QY6ukY4 zQjz7P08cdHu=zQ{%SG{!g@gd!cZLCvZoP zopZJ7%En)4Mi?VU!AgLBf_qffv*-!wENlSJM@>IXc4wd9L9v!96xMkYsJ8QER)_y8 zPbNI$jMz~PC-y@T=7thqn<)nJVD_~S0*|16AJ@;~GyDxKWR#_+<3cT<%g7>EUzta( zr`t$M{)vTW{#D@Scz=iOk7f6Jd#7VyJqr0!zLC9?ggk-@XM% zjXXB&7qy7aZpSeX`(Qp6A1-_LMLG35|76GWaXO2UM^zB-uf^f|=p=+IQt>m@Jtb>o zFS>nNvIgF-@47XE{AbSPj;>)c=cj8LRI`VIZzT$cK(l- zftd0(oLGM_J%IPozy21P<&uAJ>9nGC-6+9hPbTH>!y!jMa=Q^;a z!bk5p&Nte2+)0urpXs$ui2O>1kV$@J=NA4awRXGlLws$= zYY~7G>OV455dK0~=kx&B$f<{q@0TTtGk+rfxRs{B0XRe29{%;{L7i4NQ=B4i4&Uqb z*U5!f?885|?lvvoZN>A5B3jOy_7SS-a|^EPU`vmI9!iZ;)Hf5d!-T?6$Scih&WmD_ z;<>Dc1;={_KCvJUCtaG{6Njp%-kH9Zuvp(c$%9TFaZlX+-_Z z83K52(I^Y%sDDpH4fC*1fjYml`=I=A=IOG1LxyNRY8|mPU24G`&Wn+Bo&A{iYR{Fl zLnNUFrKUUKLwu1hNqOi{TJ+}Os?p?ekJH``SINqK0Jrj&gAIX~AbV3`EYb-=^Ub6{4_FpSR-CdK# zNSS-G>e6e>4kVa7-&ar*I9)(_w<+@=SO95$#{{B)9p@08*=6@VYHunkV9eG^x>SH(0J(XqR3AYno zbj_f=2_d;zyE=Y~R;(y(a#=-D%^&B>Jj%##JN5Hb?>ZdSa=9GX!n@D%dT30CP8LuS zmJQwvf@fENo=r;64k3gQIg>ab=-Bg|k^^UAzcLyQOvR*MmXQxf2^&X!%#&QpJp=Cd zRnp&bqqL!E*8nb6p#=w+w=ORucX1z_&L|_h!1sSWJd{nyW-oKkIkg=3;!K-_n2|i|NNzN%=818h^TBKK>|F ztCom&gkWC$M$J5ISK))yp}^mZY`e28!Cf{~q*?!m%w1swKbki4H40jUL!C-(=W+#t|=5D=eriTR&DQMB_I{$6$*<3IlG-2I;wa${zI)S6%d?lQ{ z#0>?^nF5si*-+G$@J6f=6HOIeocfVt4^uSxI!LNY>+8^j!t+fSZw(h0iSgqedyU1j zPIQcUl710wqy#9Qq)CY?KR81EHN|NR)>DP2Xy)NcV*nS+ZI^{eXu0K>Q#or8%%H(; zKwJII`BVb2S1?Hz)qaSGsoHuBjek=pN>(mSDvkKJ@s8#4!nP!f%_>-u2-QcE6 zJ^qr7G|1{6rk?ah>>mDD`nI>Ud-vL$pq8OJ5hV25O3iC;03p9&D%c=`hwZE1#~+oc zeAY+dh}%eZuC~^}%S2tpu3&z48AuQ;%y#5>fHFkWJ#q@P#^o{1W<`vQ2PrpOVSr#$ z*5+(`^f@FvcL{zY=1hm+>ZIDw)6Y^s51h{QEX=!ZyWT333~>y4cR~n%bRlEN68l%r z%3!0UkRDd?c(b2C%CGh5nvZGd1CljIy^Jr1_)WyWq-ssSkg->)q)67xSgJ=kfsw2g z;KJtd-n`WfvYmM?=d5aX8`iPR1zabn%Xd7^N=a^E&3}WD-mjpU_DbD;aesj&WOhdu zmKyCxciWEIoA?(>kb=QZ)E3%3#7wl4@h2Gjss*Bm&Nob`Q2F(GbKC9=XWa$j`c|vH z-&_4nD%FhOxzazfuDK1Q@yyISmKrK}=H%YLL>oQxE?L^04OFQ@)Gv16D>Am|nrya8 z5|OrpMx!M=dTP>G_?Zp3dqDZ%D=3-2kvwj$zvmenc3Aox$y%egwEU@ZgniEd2huNaZXGl)3fToh~|lQ~dYIH9BbKruGx$ z(vuZr>qGkgf-0cJH>XH<)AWTk@hy1w!vQWgQI1h+JGi7PRQ8&tilneFjJ=exv5-_h z+qni8s(n2ox}o2O{gM*X2lL~G_d&0)lMp3aqO&uk{hWj}9_#0eWNn@WX9&J_KCaU3 z+tK8z--3biz0Ly58_zk;qRSKx;@ll62|}ZP92N`k@{}>0H)w z#=~6ttoE;6^=|OUm&+(z&|y9GBuCrjl3|#38ug?}%Lu4l#Jr2TlTABcgy9H>*o>ytUS6nRr_{XKM#?INX=s&6qtQlh;ckO?7>l~-`c$m zrx?zvU=V<9(emiM{D<27jprRp9qJD)-XB<;Vilzf>-C`7x~>1QR#$BqX_zikM}=J zj2d6WuRB6a#VQ!7KdyF)DoISNHL}UGr}5v{2S5FEO$B{QfVS2(OkK%mAUMAr=U4%7 zPIb@pO>T{*#eM70x4jp|GqcyX+S#x2)zXjnLk07}%Q4E~%YZ4n5a2xsKAJTjov&s5 zY|WY9FMEvp(>wW!8)+FR^=|lSgW93-`$$LGJIZLqfPBt`m2@h*Jii6%JFDMcCh_u_ zaUul|cPE+G+0Coag|PfEhBL22OzVz-geq+I24C_!CsvRoQdVDkKY^=34bR7@LyEWj z15Q;c0fKAfrix6Tu!nTCz@`Z7jesFXBfv~1tuN=S24*X{I$g0JsgKl*8(jT+aFMbid%(B7Ns~12 z`oE7u6gQ|}|8B{R{xlGeC5a>tt^Y01#=++-t zix$bJ++F@bRQT1I*9&B&(o%SX{iYX8S8KocFHCPVt|V#?+Q<$nl{tSw_5ES09lWY& zdyj%Pc%g@f^K(A~cBgL^;*~zk4_+Iba*iigz56_0dL8#qoc;w#?WgHZMY%F3ze`ZH zDILOQq*QLf=*OqOKqze6{tNH`ZwUI;fh;!;^0I@rtxU#HJl^vS&9t@6p=hOY#slr@{#K7C3+-qUxLHGc840I`EQf8XjfvKyWjW`PJ-@ zD;~e+sFLJ&igKdt?$494nP*Jqdq1_jLSo9pA8}yYpRKcgd_ENTFFc)@*0g=rKcD4L zc|08t$5f>i?;5?o>XOTPaJydqQNC1X6E(^r_xHzFqRfWXd(W$h5H}Sv{&*mii4#kb`7o}u*m%;eg;;pZko2w0H6 zfK9~rg+()JJOlX_q$=Xk^MgE>kH9?33CC38Z%-dqVY(xS)(?kgl6LA3Od<7Uc?f^( z=IeDfLt$Q*fQn;Hln|Gzd^=2pUR}>G>wcbZ=moG1m0y`fso~f9Rwbj58i2CGpaQ!y z4!Ucow!+Rn{sT!b9_&D~(l!zfyi@>z9U=NAyX>XfI%j?7?ti(U3A~; zKtxD#tO0$wi92K++W{dFI|J=-4=3hhJxtetL1_l;{{eZyaPLNUm-1P^Z4L#>nNha| z@&d1{Pqx(c>`)`v&AMp*I|&*GxiaI8WR1-KcfkCJEg$`29?5#Z$Y3Vs^#;mDCeoB@@+mAj<2-iMn&Br+<(>;r2p90CYEG%<^u8KYx0~LYD9sqV=&le|7bdO9s?4Bx`IHo;Uz*M&kQZ)*ph2lV01hYp2;b4@mbz<)xw?*3xZ|nF`OnpU zUzsAR3V4ttZH-`gfq5w^;bv|9pnoRSc3psSIFygK%T+Hsq^hrB^EfOF1<(YFv>;5S zfFf(HpM|mn9{#hYWmOU#6sqP`i>Dvx{;aRWV3F~s2?KU%ZKi^jHmES84FbJhQpN>f zGfZcpl7t^R|5ye|)>T0GtfT`TQ~N$ozvbQPzfun+N_{}zUl#gH!GRuIDc0_1A?D7G zg0p+~>o0a6h%&Ur|Eo63%XslUq zvYzKt{_@5dm8~Hn(UE>tl=VidnN=+NYYVdkt)K=R8neB9UYrCXSRoo$T}3>{i>6TZHHN6Xz3oSvEf(ZL_^HQt{Smv8Go z;WuosTjlBj)sqk)-|KJ`TKFqOhBY*nPz1J%R3d_zYxF{r5*WK`1@24yVVz|Iip9TC zVi@TA8#un%5&oOY2UEAORUZmgV!jBCj>oVVjZH`8`%{5AkNYsXjKq_lIL@~1ahR`0yKI$0#Fhgh7+ z6BeoNn`sCRE4mx_;@+8&Hru67845A!xS(p-UQYi!ScslO&HZ){7@x~=2UX)WxuRja zY5zO|VLRAYaU=>o)vclS5C=Xg}#`4W5B*dqf zr^jN2Sh4*H`0(Qu{=;nQo!Lm7w!MCtKP-L~A9$QLoS18!ykonX$9W$B$z~>Ma;V!t zot~c`Sq9j1_hQcDu45|2S@6SHrRlR+v-OYZKWJm?8tRhW_a6C~+ zN_;dOPFEAEu49UY#G;7RsVQqD0;~o^B-0(4QoOvwLe>wje9zG*__$735@?2}Ku9%% zzH6!7$WDiSzb(iatzZ@eYg$c*-hw|MxV(`fkA!26hY^vS*qi8Ah2@JElHW95i? zuC(q*Dg^lTlPBeX7(E6CSMvYuCF=h-v5!H7) zWhL3iR=0E>0T^d2tR^~V@tzH-dGD(~X&tt)%GWwkp!$*uiJ<*tbW36&evTKidf8w< zY9MNQPF9*umCpL^_uON>vlzd}d`pAmj z7lVHbXojtPPL9^;zFW>iT_2cKr>Xl~!Lt1U+SyabIR2(G7c}`wiervKeV-vzlB3cl z2$!$?CzB4p*lZ%Yp~c61ezGg{pX~d-onW)sc?O1O!a&)Owf|VKu&X}6_@=ufnbYhs z9KQkY$t-cc+S|Vb4Qe8L_zhZH`LWZ4+7Nx0)6!SvK4)Iz!mhck>AX#jhyiTCXZ*P9 z479JNHn!Ao7-@4Rz9gGH@Y{5rw<^#nz_R?XxjbSjBn+d3WxFQd)a%1#i)}}hkj=c1 z!fzur<1i`_FnSB+;kNUoepNy&}K9hMAi`Z@YZ7^+ZW5ZCQ!Az{?JivB=! zbcgr*j;P0B2{Uazes`&mqE1qTvKL5ndhZF8bVTh3TnQ? zeoQ7sIEmxzTe0EW-fbU5koiHpu0e-?WA6TTxO^I|kr!Pr*Dn93iCaXp6)N@6T3JlV zF6ppIAa$Q84cZ2xXjX?jS8{IcjqA-E?G%0!3P-!2Dt9}McZzJjGh|Q;rE~X-3<$Cz z4-6QX%w@xzerAP=pM+1>e6>KmHeI7j+gpasNq4IXM~?&QL@u`5rW)`{f0GC2{An~Q zo*==PDZh>qZ&5W7Zu|tQ==2s+{=~5vBhVxLBd3IYR0B}y6JFKl>*$V9EZ^dq0Yip= z2!FW{cI^a*-R?FO<^clVG#pgaTGfszHw{%aJA`8BPTk5!OBkbVyN(mdW=Lgp86p{P zF5cKl8GILrG9UY&ekgUK56ad!LmHoSJgO%cSM~lnK6P>YPl*hZ|3Br?(z`PPqgFMC8Pn2Tu83SZXU(&=H;|XjOcM&amRsO5N2LpWqf=JHJ(OpNS?ri%6~Enj^ltXG+eUuXSAqFrkt?gnhT z_WR$yULRx1!mgI!HIR-KeBZ`o1uY4OiLLbCTR&iU(3;X`za_jPT7i`~m=*`;b@^l5 zOy1$pgEb-xe3Z`|A%c&Oq7Q#6eK&d327Dr}>UjudX1TYf38?z2@g71M#8S8TbTA}M z#g`*5czz77!f_u0iT^BfdFD2FJp*KBeB;tj1(`y&q^`aN*6$NTwdJyi{ZNjb=NtPT zRbwH8WoY<_7%@`N>vsv#=(dWk3dc>%)8s4N$O3ATdM>qMQmanA*uFV<5;sdTK8Q>7 zOu*29x@bVhsB(zwF=OEW5cZzoaDCysa1uczK|-Xc5i)`x%IGz^7$O8=km!uw`;HKT z=%kDmBBO*+qPJ0_cQI=8PV`>pU4H*_&UMbG_mj(%J$tRa)>H21x$jl!wlekt+sgK> zr7M)iijRE2)Bg%y`9TJ}?nU}Z_j14)i(A>VW>z^6C!cN;fbv8)f%+_T zuvY49M(PYlILhk~!kr~aG~J#yiKsj>kKvql<>Tiv#ldXv^xSmWR}qjOBm_f`D)w@@ z)pIDvU4{rQJzKO2TSbdhWiZUs8wkP;LUBbg?Q@9!?cqEbn~w!y>gG6)K+_@l;fr# zWA)nG;N3^UHyjoe@_J6_rm~DdV{!ZMM$wVOzO>xxr=phMZjkTXP77%Rt-!kV8Kgfz z1AOvtI^8mXwSvs7)DtK@hE=t7?X|MvQkU!3^6iPQRjAS~uC?Jc`Mwv;GYWDk8Lb8%1i^H;uv@jbuwkxL(qUB+QYCcm3|FDCGHzT>?8WOip!vHMW-Eo{}{a}A)^-;pHP zKPM+_brXCB-Za!}Y=$-`+#^R5sZerKFz|6<2y zc2m}58#8O_8`vef;8lr(x2O4%{o&QP!*Jsj#&q7^sC%T@;FzbxO@v7&tCiK6fbM9K z@(KsLZ{tO!?R{U>nUFE#a0#sjLP##<)Q#1bIV5|B^FsNOP*G0m#3-GKRZ3cQq}~%= z=7LKz|MI(`YA*s5P7?yVU^FtfJvk?1glVJ>x$Yw_|NLnAGfs7s@EJN>=s5{1mh59a zP+X@~6W6kNBW5%q+C>vWW8aBhF0wOt_``#=mgUP3Q<^Z6T6HEmNqoEZtn#+u>Fqn2 zbt&%TPvNMApDZtmUn^ZEAIS1IloQbCuZ8d47t^vC^aRa-kGs+sGKmH^1g5<+MMu@T zC9&wQqNj`ByTq$3uJvn`3u*C-(m9bl6IGh8Sj!TLm$ESaF)r#(WB;Cic}9!or?d2i zlfeQpGCIrOH!oOIkCqF^ettg#ICAtsCf* z5xr1wrpyu~*8d{>^|YUOld1FZTFK0#l4%*V<=aCTG-&a_hzNgc82jsxFltBm8jCtQ z(UFXN^#i|gDp#o??q7NN2ZQ_2#g*Xsi7S!ulY~6N5l^urf|Q(Tx(FrWnrW`apsTmB zUk)=Au)&?~iTNG2hiCdlvDZNkfQ4Iv``J%fvYN#}5Zg=@aiuE57?Y(i#he<1mUeAa`9FbY4}wSjd?dMQsG@5JqG8RVU} zzHJtCARC>(JLH5gzP72`Y(Q-wFSJe*@Rtvo4n8c0?OwE)Or_|k3h=9QKzM)3`ZU;j z4P9W4RSs`imyRBsCxmGP{8s;GPdVaeyzO4$7ml6wYXODF6si64!2+ePaI=Evoygen z^&$?ea+Q2yGc#TBaRYT!9+Emy_N%p3QdPd6Em)r41R-V1Z6;sNYDj0BFy25!1&vCo zi`b(d;{#0qX(SdklIr^Sg*n1~WRp`^8Z_z#3r!ho&@Q^lQPbG7;$37Ysd%`)6wjeg z4$U>x7-uh&-}-qmiJU!Tmi3#8O@tTzYt>33?b|sFM;j?+&|}aRW4GkMkgj=GKmTc; z=*aBnIY`Vna)18B{bajQ3~+}aBdQ}p@f{N7qb3XY8m~r1HioKT$rV+h$N0-Z_KTo{ z_IJ1x7e26L^Xh-|RxKZVSt}6<7~a#8+`f z6$=&OTHA(3r<7=1A3|*d-*ru0+7GNFYu`Y82Ye}viLyivlDy=+GRnTp`7_Rv0M>JtY!VNv{^;xlI>spuq=12MgV-S~$(0NAD8(;NN~R ze~l3%oN`@(ZcVEGb=4)l@U`UX-X}>cS-eR(EFn+xsbX1YW|u(!Wf4Okv)YQiq*5D( zinD+a+xRoU>ddhfYwSaGxyY8ou>BHX7^y^R!KkMT(^kvo_tYK?F5n!l2`%X31%P1o znZv|C6+zG+d*db$X2{&VX~>UfJx8Qkj_4DlAhFyR{gMCpiHLJtG{HD+Cu|OP9S|jl zxSg%fpg?tA_Om*BDkSoYat<9K$#x{k>3|rX|4Fa|>#ew^M@1w-sw$QQG&29Ouk&IB zU0^02)OLUJ{0&tEG&avWQnUOBlvM9XtOqX)ghw%}oGHBv<54?`XBm8#q@S4m*@%&d z2D+K?Shr1Cpw&TZ5oYMfmoWKy!1~z_B=sF4yjHgoU-z$1sF)C(@JmLlat@3-oSaF* zW92Yo;nk4CE>DC}*}>~#KN5VSG$?X=e$=JJfQ>Wym?A3HGG;#Vr?gNAk*PC6SXvja z73s(+sZR;oo(cWk$UP@e*1Qu*U*iQeS&f|Zm%+SBYvC^ij85O8%K?w;fiz>9@{_j( zH9ZJ_RQANXU8O6?*ga!rjWQB1p58!?^LAoPy}sOyy8_SSN$!$3Zxlq*-w>m>qLRjp zPai$wVX~L{<{Ty7Zs4Tc{y^44AvzJcEEOL)vlS^QvvtM3G&r$_Q%jZ>-w5r3>En;- z*v^?l2kvEsqtHi#13D?b#`7s`+Tw;O!f%>F**kVuvEpBNIx*zi@Q&@t zOR^QTPb`i1j~m#mY^)LF4ZXwlpWG`_+RnH$X?GBW)zv@lyM#COPKn}vPE?Jyd`jt$ zx{B2F?_~aPmG%m4%U~`0_nOZ2qXN7rDbAxMD?n;H+Y*JbR!rcVoPDK8n*p#)2JM5O zE~W>!J(|v~p4f1(vWM4nFO%(<5q+)DZJlql!i?SrcAihV36T3=&u7V7#CljVT8l3k)U5}|<{R0a34E&?E3zl5<~GvbjN12%*c5VVT)H17N=$7m|7 z{j6gWQYzGG6fo{=&8v>fmL3Nh0$|%WFZ+R%-E`RmRs=OdOi!f z!FrkeGWl%p1>_BlqY6}Wt0Ue3h<(myP{^<%sVADW;FDtqt(GK-5s%no=FqxB09HyP z`4r+5hZ5bYBn>+=>uO22Z@G7@iuSsophF6MO9;|;5YMnZ?eclL+c>j7muPc|8XGdf z;Uwp1T@F**msr*(avS!n1YuUgdJjW_Wt}LGxibP#FCy7G^b4g7-{fl3;R720$xW?+ zX3K*Ff4TQE&NMfY9|^7d4IUL{+s?2FRUIvdi6ju}-?xGmiTF7Xh=U4TW7|FGDPI}J zYTMm&HhLw8Xrr)IFGb)BI&(f%eDTBdz!Z8<6m~*kAZuK6Bnzl+Y}a*`2c$J`B2;-j zG%+%&RD3b5So2Br(RKVz!!MpmqI)8V2)aVw`(xx_sXS67l3POM-Lr_~HTf+})Rk5S zo2^A5JpN5bN*)DTUHce2un7%OgHgd9YQNUOQwhOLHC=isJG1z1H=jH}?l8PI^~*y2 zlk!iW%c48p-lSON43cmqQwKyyCsReM=v%Pq{chDd^#Whaai=ih$rMF_WMaT9)gT;R zzsSKKfO==?G$L8YME7ci6E6Vzeu2ZSB7RqoMLne$?mh35g*csNw;ir*fH>m~h)(Ey zYW?))qaHmcx`*r!T;O)3f6F;|l%D!g_m6pkTe3i?1vw(B|bb|XLy zP>YBv{i-tK%VEY8+(Mi2Q)ha<&8_-tE-jI1cxB7^F{2cu?RY|{W!gpud*XdqhN?i} z&p}}<+46U&yGOV>?ExV2x$Qa#S$=DVOB=0{T62%QqiKRKH3~W)h}9l)gtkqhPg-GJ zwLblNDK239|4$G8U(bYA%rC4mMlhDGn@R+jD$B~J7eEt?)NtcP`Vv5oQGVL_g}3_y z;CX0$aOAw&I*AszKgwN8b_Q4rk`~(*22gA_Jo=9R`;WkM^qMwZ>I0f{D<&+W#B_u( zJv?hCRDXGIGwck!n-kxkUJP7w(pK+pUnai;J>=1*h2QV{gI=zj8UIO&$uxa1=dL^y zJ1p+Ck)wW?@t}lIXl21Vqs0%n7Vi|>G+S!nA~g(Zy%*Z&MTRo{nI0*NK_p2%#VPsR z<)4{Vk_p)sosYQ0umKuA*I=fXEob9Jm+L zQZS&Cm$o&#VbkcwVQ`a$yZmLCxXB4yv#=@jvK~G?6$ZMW#H3%I(}Tf>OjSTy8IwCv zh@=ki`~U-|$q>DG4;SYWu2TP5xW#Yz`_=Eny({>HD9bec)T_p31RQ^aYxeCjjJSYW zUhQRU>*kun)q)0m+>x1?+q;&a-&;}7Br(|^^$v-;8;LX3K5&Pk>d}0az{s}IJ7~AV z4^uR*;0ONJR7vbJO}r9bLC><#{w4x@um5N^z7F)06_O*13|=xLHBY#AFJ$HdHi*sX zb*%CslbDM8J3t00Pw+dAW7h=yOWG6g6bp&c9TB>X8R*kVqbvBpJG?*4wEr6tQyGlR z?y+rQl3rLwJnE^4TCIA&Dm4}2R(yd^SIq z$)%W=ssd{=qzY2bgn&A4r|V*t-<(@q&z{}*c3L=y4)@g^kK4ZBbMzx)%L7N0w%W({ zz^MG85}$P)v6||IX3658Ywn9)9`|NFO#G45F-s#uKgqnFtm3u0^1%+Iavu5$V<`Ql ze+P8BZQ1m{;ibR_(wo!kLQQQ8f%FgsxRsd;R>=OZIt=e}lTy*!#N^u4*j7D3^^u7@ zvX$nu!Oiar!1Fofq}0LRPz2iq`Z$yfYhc+UduafbvT0Ci_j}}Rm2Jvn_UT*ud_z>5j{H$h9RuI`ZLnlSO?6U*l6JMwztf1;jT@_FOa0Ms0jN@_ zEVSt#2o|GqshcohrCpve_O&`Y$za)FOV&;KuD8Np@qJ(CJF5PWp#t1jTIsBm!EyBo%H?$6ElwJo4zq6$E?qsBs^m-!3 z@~<9sI#o0N-mY!FG(_n|GUK69^wsvf2c%@+&$tXq$c~~2GzXMh=4tkTLFb1sa6ZfWhN|Waerkc6y=iEGa zed9eoPA5bN!jR`GUMqm8XAe60c^0vI6A-Mgo4}{FN$}~~T}rQyXmfa~arUSG>nr+S zQEeN`4xAYz=tRr3+dI!!FHb-24zhH*y?C@cz72`OTyh?4tdDT2SVTXg9lr$ub9DGH z0gya%DocPsP4EUVmXf^!Z|a#!zuO*Fog9KPj?TP6Jicvy5lH!6XG zLzyDE4N;`!w!0w>u(}S^7T0o8P{!eDQa0^Z&fa=3ciwUM7 zI6T4LAnK1A*;yj4S*FU{2zkH}-wUFWt4z|`5A*%7AqsV~(f1V9D%g`zaz^bc_Kani z?A4dTWsiW?z`_8c z{|AT_M-AUkz;f0vV?iENq*HL72Ng@!5el96pOY&6lEj1aA?_81k)L(7eFC90Y9h4H zdIr5%$eVZ>vN}VJ2ECbxn`8Q2lTrACXh+Z@$z1xQc z0+L@Hz7S{!4DdViobp`OPu03y5p8g@#+U7IjXOd8;gT*jL6?%)_2qe<5}dT;%!veG zzy3Wd$AprV!5*TcCQWYw+wUg+l`9t{lEL(a%iW^lfibFF(45rHyXv2IvVf~NOk%w= z4#s`y!$|ajNoP`0@`2CJFA67Ne~|cw3zo7Nm1FGb3x#frY})wwvK&>OCF>6;&`=9N zqAa7eNkvu2o-n}!vHFQGk}t33cZUR&!vU`CKK3Sft#s6G_`aav0&`U=c`B-4-=>Ac<}di$je-P|`x6_~!BcqK4H&iW|1VK)My!nc@1mcqkC zd3GL6dq6&3k_+wQQfB})p#jb-q4hp&B*ec9GR1N9qf{02&PZ)1ca7-5^xwN7xKjlB zej;?MS_qLDub`-PQ{OM^f^Tq`AoS=Ru!sVEi&5t| zWtc`cgHa&l0v{Nw*#LX!I+u&4?d?)^pjRRETs99}em%QB{yO>4MMy(jRlyCKn=qct zcHLQk!9Oc1ssw@o#CP}tD`SpZbEO>FLj~U!Oo~OJx6IX@5ckmd3-UkE&IYjto@Q`$ zw9;Y}(LK1U9rLH=tQu#DnOjdH9azsAL8d}0TICXmZ-Bos{!M3%D9Ac-A0zux{nKhf z`4WEiZf0)=*e+kxbBta>+d38wA7JU=7klU3fYwkEerh7^WwJn;i9vto{zP_oQ3r?t zj#I?H_<(wSv*G&`4m_*&pew?R)?oVuR(Z_6CtsqW0#nar2Q)WYnfwDet!Te}#)rtJ zu%WN0Sb1emiS}u^{+C0ObKhcY{eCfAqom2IKUNNOrguU?PKZ&E_-?T&>iOof{8_q* zWfwaTYyV0==NxK9?23YAQ_T9D6fCpo=tezIovQC zdVrA<<>L5c`IOmh9@uVxPxlG-%{FO&3Yed7d^B3&O^i=3cqFDFiDxy{aLd}ky53d& zmZUUwanL+Y3b1t*BA?^}6|+~iMX!N|2A8EvuG1u1izfFgXnsbG7+8akoXqrTD=gFd zD+lt2tk78(Xll&MB;M9PXFhj8Jj1Jg2fwblY>l$Uju}^TDp8Yvi03V6%w(1tX|`4v z^#DkuP+v!xqs99s9-93c>}b7&sW-{#)8qt;kYy*Hv=K)n?gNaOiBq-^pgdfqQWfib@}~pkK4px|xjy`R#%>UO<;SBS4%nbk5KWD#7rQf{jI^Clwvet(4{ zLh71#fIdg&lobdoJ01$9L>Y+C-ap|umWjB5h{ddS=gB&OG(9iQ-@L`~M44#&l)`{z zAEj~_^pA3Xtp-|%I(yM2PRP23tLk5z$%%FA*1Q{b^L->8j_D|61Mp%e<1{AbADn*w z62hqY#Py8O5T+R2ROX0xin=K>erxE;v|0(m%WwjvW>C?fljA zFxmGDs_?opnv+kkWZ*_MCDYAq<_w84%gQGk;_1}(lx(yHYJUjIk}z-4B7(kmIhvP2GdsQijWqbTvn(;K8@)k?;znw56L zkZ4ioF`(Q8o05_pPOu!qZAVK$DzIDnqf<@u4x`mhZ%K`j8*ksgF0=$v)nOsYonwa0 zoFjDiCFjrF?S25yaabg>mjm!a)mG?@VfZ8((>Xz$rX0`_mUkVuGrykxp2b@Nq z*l|qk%w|sXgdDFqKRpQI_L0@u72@H`lL9$}PUO%`CFyEM*%83ZhXXhPTRG@XjO`ZQyk{rogIX{M zT0Pk{;iNyM7`r#?IKk*LE95?0u|`TKJ_Wvs}GzFvg&I}lC`YI#wi{OsY}EHxK| zs|~eEK3@XGK&Rq=ErBLc=YEygmTriB>fUGii)*zUcTPDF7ew|+)^B31R^i^)Me|ok zd5aaS$d(_hOVS?Uf~xC&B#8a1I*XKI_$uwI!1^0oiwB=>q`Ej1AK10%Iv(xo>3h6ZIubcE zSj6=g1i!0AnmFr72KSTYiBcPFjg+&w(=5#lON9-+9t7Xk=LdD8mUZbxiGtfPY^O^O zD*7!SrP*i^F|Qd}gKiMTKUZA2@SMr)7guhQ5fDa8ABR`mZMz=Drl3;|tiN?g7VT$J zK_UjoesK~cBIGaax3BReqV#IE?v8H2fh~u*kjw#4@I-%yf-QL*EDfOvh%;l3sY2-B zjExeuU#A#2k&0T?(K;ee8q(V%$+*USzNEba!j8%BQq`oQa(2C0@TMV`7|KM+rqFSO z=)eYeuC4xyEBK;Ao)wJR(lol|S_PymiE&n$OPt;qW|?9vM`aM5nWid+YS~~0p(iqA za@2EdEX5#HSHaW(?_rd=mGG;VW@Urdc>$_NzJFDl^j#It2Ss3bH7IFf9o`$~9jz9oo!h6BZ#hu$BY5L51pDuX_;rfkUmDMbbj7XJ+~7liR= zr_kON5UUA-rzMyahSJjn@aU4!AY!QtE+bS2CkFrvR}5mb*Q06Eq4ffne(T|<*rZ)1 zYdwlyxj$Mz%PtN;imj&4!YqKTa-!AYpEb^MqI0sXcvXf8ZWghZ^-xZo=rMcLpY@D< zOrtnb7^$f+Jo|~)9iih^Yy8bwUzw#3D1Qt)N2kzef_9HLn?O z_JG%J3~qBbd3<@!4$S0U6!6D68|LQ{Se=l0G+%o^7{n>XyTJa`Azm&M6KG$@!a&no z1KAJzjM5|2$q8B(BV!w^YCRS$tA_kbzsJ(5y$pwokIqK!-0BU~8yGtmS$XJe1mJ|N zpjS4VHZFfG&8f@FF;Y6mo~|Ux@FGqf?tY%UQrqKWmL(+hRQ(cPPN=S2`kz#Y`wx{O ze3A<=Hw@$lt2EE zX>_G}pGg)mrvDoNCk{jNJ|(0fuv_oq|A>GRbs1*fhQ&8atH?d=o&<5QPr(mnAs)QI zkLEI%@%w(1Zoe*VhE+L?{R#RG=kxirnuK53nfze4@C7FUGpIR=_@cv7hC#CcEc5@J z=l`a;vJ4hX_C}xNQ>VJezXzXsB7LnPoh27jC&%IP_;L*8UnA`nKST;F7d4&nQ~mTz zn*HM~o4&YHcD5f$rKU!In;bM+gFjlycS=~hRSwF!9yZJ( zAH%acX#o_4m#ac1ccK*;`BoxI5T3tmupxYlLN0+h0yIF1hQWE`{u-2vUY=7zhdLSl zKl2UpAcSRHUuZhAUxw{pXWYWhGxhktZXG72PI_mXgE?6*-m-Mjs{9LPV%j6IU;Wq9 z1`o+C-sS=(z+;%O1b|3s+u%_2 z@j>f2>{`C+3oSwZf$03}lL-sIF17Ho$9&4CWc5n4d>Xu5ktvjeDwKOp20(*de{G}^ zP2i6y7CxN&Bfz8`Iu459ON6$PPbogUb5QW*!e1^6locgD1YLZ6<`%w>fo9GwZ-BOb z5=eM|7SsglVA)s6|9O8rzR^wa0b5UF6FhQC<1u>!#64{VbTKE)9PV_ zX2*|p=tFxl@%i=c z6|gZLsiz!|xsBT57aes_ZD6T^i~+?^273v~UxUS=MhIoqOZ94%mSsMTE~91o!d!$N z`^BJBk4NQ9PCJwTSIvgYGKnhtF|t3pnVecb&qn_}(FV?^KXxW_Me)^nM883907{#v z0dls64|}bBp@_Sx7qCe1yN)a+3-KqadC^< zysH26Yqa!M(P3qk;Gu;MVNWJP>|a?xQH=dP%A?XaRQ4~Wn%S+qO#Tnu`RS=Ae3lWP zQ16Z&`M)N6l}U05pYC^;YODSoR|0)S$TwTcSG$eZuC*|E#mbQWQ@NYP!J%UR@Lp%K z+n1W-{PL*5kAapk9}5E@8kUD^Vr}Xmt_^(SnbHALK;OQcLrxlyO@W1}G>5KV@7a}@ zna_&;hiCo%d_i8lRkq(~e7wM@e~8Wg;mUtisY!Ijqf2I#cmMJIbxYJ-=R4_YfZOWg z(xU7aB}Y$Ew}a648c{sgY2A9Hzg}Ub=!*ZtJf)SMt*I>l$p~!vC*MFEG5rofwEUzZ z)_wN!Pfqp-Ql(bkLYgq_TuRj@d(Q=w9$;qvZ9o_<>oVoK{42}20LAIIE-K=)SSmyY zvKIKvdug-c5t0@k%mYsvKXyq@y)J*~N!A;ep?JS$cc3@yx$SoU;DfC0FNHy&J6QY0yP|FF#+WrePkddv+U&!+%Q6!z zD>d|8LM}|c&pZ4Opcsh1@d=ftWF%Qe$8Y{jUy6L18c{DCp3-AW$@%A{!c#WIYBb7P zFpcayQKHvl=LIxGGl9dwsvm2-CMLFYKRlsgW=`zSBg*(U`1?QR=1d3Bx0j;zz^8*` zOgaHZm1X{CH=Go}YC@~J`dnb8u58%z_6l3cfpqhKMMUmZ5^2vW3vUoYfR{1r~n z{4XY|N&Ws`OyqF}XIMcuo|2G{#@e$1m6~G)T$St@EwQN|`3@wC4Y`>mD>Uq1f$>Bj z8G*cq>H?b@$yhTds>im*v6yFWE5~-ltlI8qSYaKxNFD9vp}RiTsy?akh*6t4j5eZN{I9P}b(=U=20) zf$JBs1)lBeh+TaZu$X}g?72Wkj|1_i^D8jJ=zi|GxNIRTDn{mU&L!1L>C|~2MU)&2 zvPf!D1MEf$T{C|qFnaGqBa)y5A)e8br2s|x!v0dy-3iA@{F!%m9{Es4{Y8j`^?+ZE zsmyh>KPg7g_oP>}(ug_%E+b%Y&@ldf=f=|z!sF(?wA(HIpuc1+*SaH*=jg}KONr5$ z*Ts@(dyX`+&U3LuVvYr4`&snR2CkV-%lWpnpYtz~_Q8N3(`;eN*C(LFdpvDB zTO>}+=ZNrFxjghLNKHsdt1>gh*phgK=g=@CuI^Ws6?(g0q}T?4L$AI$ai2|EEa>k) z|1Mzrz%b{a_75&R0uLh;S+&3NtLy1ta35n7m_Zr-dC+!GYU;Bj40(>FVo1mzQ#S!b zUxO>xnb!f4Yl6aI+TaW>(|AP9YMRx<=L_^jxCmbaOHJ$@{CGc&op;uY{mXCI z8?|j_?Dd;!h(CgVL&gs2iA`)F)FUd+@jwFMPytMbAGL+jV(e!%St2Ir$4!Km_TSyn zauO?;na|6q>$#lCK*^EjAo_I^AGOfH)y(RS9L`UOi{s^(?e;0%r`&1&hMjQYK54p} zWbO6DotftMS#3{(?XI?I%$c&flyQCmxW7q`E&&vb|Kv3oaxsGv`-qS0ZNqX52(XgS zt3M)xPtjgkQ&-vDjG#I6UD2m9wZbyVPdfK0u`5oPj|G;~xuG$KBix&HzYPNIjGgM_ zR4Fiwif6O=w1u2N|7FepggtmW>yzar^}06pgg>Ch;T3hT?24pLmZc8jeJlNi?=*7+ zY$#k!90gjr2$Ly)8@`zmasTEZ`VQGK{Z4yVDl!%+wN>^8BJ7lR+m?>Iy}yoqcS8|u za;IB;)&PpMhKG$F0o2s`J8?hwPv$!+{`d)g03EO_ipoW5b~*)Gas;D5d)UPQ9aCzq z(=83E)yk$it_yIy&_cj}n5vqN#$A`Xu-F==53rXXVDHSeXksP}8g};!c|Ay_lvaI= z-2AcqT+zu)?kk3g9&!=iEB}QYAdV=w?Viq#XDu1*D*eABjJ#m`MS5=a zZDjCVh&!jDR$553I23Radf;_IBm|bp8f?6!{wDE?B)M6lR)J-ZnV^JHRnhCgYDWW2 z2?UGy=sViCc4>q8s{WQQR;W)HoLWWxOkt5AG%9F#rl>cGrhi{F0u&MTI;Tr&+RmZ6 zU!cdc2m}EPMcf>NXB8cR|L~3W{?Y3)8JDq3LqQeBlW3^TWqrQ;XR<5elcn+EUvNYN zW$(_o^O5X>!;Tjt@tPFF-yJ6gfsZ8>0p%Y!G|P?)Y>e`6Ucb%|y{Q*|AHyqCN`*Kk zBO_F3UuBNbP;eukt0-p8jjhf3^y=yM8FW*V8(CW?TGqnBxZwz`s2|V3-N~wNr6@Wfa$DbciFx&4u*y z()vJ;S3g9C2YGlL-Tpn1#;81c z7XDa+ttT_1dS0geKX(G1-wJ^30R-nH13WZ<;2zGns?XR}^Gf*0;)i}bj^F_R)HQ~J ztg6M-Yj%kt{H!ZNF4KNSgs$W%pa^T&lnid%BDfJgi(MbymTIT-)N@G=nCA&TU8)Yf zcPOX1cLkSLdmXe5hR`5B$+R_?KVq!Ny2)9%>cj6N3w>^WKy0jbymZ(`7r5l3Fk>C9 z|4};ag6r#@G6(@DtA0UQzxB#XQ~w zog*aV?sUxBK|NbB)f|VOe{o`W8T=MnAMvV~Qf_U3*yROXGRb}F3tgg9nJ01YySV8# zd6VYQ-z2v_bKGCSgM{`3Gf=1#csJNGX0OI}R87Bx!k~J3;GTVwd1PnG=Adha>l!3R zts2B25OqU`>lu69)imWH)^x}FgY!@I_|wF1j877cYehO^ZX%EwD=rkEYK3p`Ly#vO zfG{g{+176gSOz~jI5rUcO|N;=8h0uGzbqdhcT*7N({dpsRHvS012HVBtYyLsMxDV-X;7pzpQj!V#2+>I zR$d#w%-i=feoXVdItx1geZNF&*0wbq0B5uop+o=28$$o%4xg7}0@TJ5UJ+?t_pIxD z_eQN<%0f)aAfFPCq_2uo7rXV#cJxVM|EAlB9Esb9o5I^HFk@98r#4ddZ5E3s6VI_By3v`^ z5SG(Oiw>R|sRPebef+T+Job?ejTTK%a>ov}SxpB7vZFoa!DC@wggvGAV2m3|(DX;& z^e=kQ%X*>nQNXkAuin?{i^Al#OZIys)E^rY!2a%KQRM_m#oBaSUnr28*JurX=A1{0 zqJIFW8i<;fb-kA;%#{CG+*kt~=m2^MMbw6_Bl{+mOq?{;3IU;Ji`$&Mw1p265kamp4Cen{h0Uy+24$W zRZH9>iIobBz?4>aeTxP^f;rMi!ZN~ z<_B4-czpoq0%R^`O~iyCs?-$3MAX^1-_()*u8OU0FtMG!Q|sXShlO1-evJW2Gvc3 zN}594vNRiDSV?{gpN)v*>g^eXFSLyWwS`*MSK8<$OMQPk(8U{Isj?cGsPmH`<-Ja8 z_ex{j8|t6cciQq(*-Bmz5kXT2+>w@xwY+?}{KF+!TFCu75_Q*Hu3ae-Smrf+UTYH8 z_YKmxSpI$Ndgvt%4!qss?|(rxJv$6~H;k_Z1q!jAo(4}JFMyV)%0rJ7xz*xGdN zE|0lZVb!`-nvvv;B`!+plBg0VoNU)cdT;NAaM9VFEtikuMT8)DCAa9pya9f{#?7#m zChP}n5-lt}+>dyGekTF_`(UlLH;a~|hQDEBO>fHUeTdBpQKT(FAT`6w1sM>~m-=Mh zlFP*SonK5<#cXRV7m!{!B=u?E9VGQw^sE;92b4AM;m5&vuQ*F-YfH21w;2d>n%upC zlJGKE3EIf$qC)U?Y|wfa|^ zp3gVuAA4La!;H9G^+FbC->ijOxo-^mDRtmz<4-%G+E#SoZz<3K?l3w1w2L;C~}8Bpngt z5`F2O)98__Gs@B5<=)K3REPT9)yIbLtRTqoa)O`gI?raMJyP9dGs$|PKp4CX^VYI3 zfGtqAi2;ucLR`e(N`XJV(C7I}<+Zvf{_>yK|0oPSYYN&n7K9a${YKSmyN}76lUpIL zwG#t}ruS70XRd_oADG&U%}jFZ!6%*m5)fV0qr;4D+U&-XGT3&p-($xEY(_J&(ZLtb ziTZWdVBB7<(Ax3z9ozu~Rqs5MHwp1HZzozBo_`Q_cogl7P)sg3Mu#68`tFt+oi59B z>ikHtSuUVi*ktx2^ARtHxiloKF+RLxCHi#UPp#8n<^y_zYH)D2$b(M>sp*_C@F}Vc z^O_N{Ir?RK!zDJJ?Hvkj$;7VF{F{xsWLH^EHjV7semlJ2=k*Bat5?Dh39g`nOZ_6A z)t&VHj>9u-b3mK&0(vs;5^lv7edeDxQ#y@??UdGqWs(@*ml&g}6vKYqnLtfbKkRfj zQc4kMs);};bioTIsAU`*mF<%j$@gx=;pYD7G)WC!-W8zeh9j8pjr=6GhXRg>;;(7* z z`CpT5Ka_r!=}dPbnMnwj!A2Pk#;x2=e)*r)I{-GTQag~=<4rbZLwW& zPe1lY8Kk43iP$5@NwkxYzjOW;woFIxKrsP8lUK>FeeS35L98T&Og$@Y4qzvS}NG@ zS95zWX3<+mJHTT^3RoorG1bXLbmb>{Ie|?`UG20-PUs=lO86vR=#1B*I=VF3E^YPV zpZ3lWScQ3M2>o&E6~XGb^1pj~r6*_Wr+>o?chb_rHCto3mhxYj3j6_kM9XPRDH!2d zp8f<3zSSfgyfN)w2`>7SKxv@7rQVOr*uS{AkG45Zoj}oc*|9Fp6?JzzAdD&?7v9o6 z;Y?C|;Mqeh=lH2^N7ZR`w!I^%|E$2}UYCC&I+yQAy^bF>m_|P~nJtt~y#JBKx&^MR zwATN8wXzWoXAYw+*#%nUl8t|r;hL{6I=w5Qz8*Z_)g0sNp?hmNIpuQE#c5xxJ5%X` zY&lHmi(yC5-Ndy`OfKJ_%( z_!y5>Mru+=3fQca!{{|eL$aoX^)LLZj|qsIy{FOsr1wpUIe4fz5aFiObR1GlcyRd$#ZwW89@)mtxA5kP7j?~CDQ;GZF`@a(? z80-m02GyV+^NQn^y0~-Ge=Bx$+->uQO1T_$eBEKo5rja-CTWVcPF0KC*)%qus8yIUAjhRNR8LxdE1P8SqmTc#0PgDp3t}vPpGIN`+KUEs-=gI zid%?bz&C2C|XMmf~z=|zT3oqlu+aN5EBft63c z5VcPR1uftHGSk97iQf2zk#d&m{rE>#5AZ9_m_+Has#0PgS3Dh17P$RNAHD01*i?}S zM0fjbn<%&%`pmp{ajz|iFN2Xhz3^nS^B6d>NdHDSIdk^2ib)eAh7a#9Fg z4Z8)N8s4~z?e_8PW-DQ$lG4`F;_o=uP#ZgCU>a%INnF&as>RDbM2J z!?~ulzGEHwr#*|#UeBZI(|>IZPMyfq!rJ*SfuqMFg_x^}F#)J87L~c0$4?lLnvjvK z+UxV57ya@vy_WgWw=X+;**~593auiX5qT2U^C-T=`p}amt{HI11%j=5Wo@wJuYJg^ zEIHq4^m4CUZV~V}%BE`lTr9jE#WKE=vGxHS3w&uIDn#iMB%f1Bbn=-hXQYhA+!ULM zuk!D%AARz8(sWb{2c=GXx1oI&9E zE)`y@rk!DDJZ1rXLR$C21l-pVS{3BPOY`IQqd!T;S<{w=WcTb&*)*xZAzCOcb){)^ zoHVBL8;|mwB>(ry5ERuEf7zvbJZBSEXKi6@9WR20Mu7lsxkxfg;0VIgH zm7M!LAI#F8CquP=OuxxdTeD)VzKD&2)zd#>GNdz&{@ueatI6(&kmcX?aEmL$Z0@u> zfT@lxDgMr}AzOS#3eJ0Bm`Lu&t&*L;%%JPV`0}sI>S{k?@1rL7OuD}IWC$i8VuU5% zlhfYy>?xEPS+~id>|_I0Xfag-HFIFcYxcBRSLi_*CM4f2I!1E5DWb)9`SESIWg1yi zBRus9e)O;5QfXcAFQJ4QLn^$0ujCp^E(EpQj?^Rp8!t|zXkv;Ln`IP+pawzL=52RY zcn?B+vaxvPtFOu}C9toNUQURisEmYzE`wTFeAVfy;wWJ`5xGQZ6oLv}`sP2#iv3)p zLu7J&e+wV?Ty;!uBN_kuGnX!r+!G*+E)_v{gF>frVBr~GwX}q!mc`HOIGbf4|~@JPWq&Z9RZq303K zW3O^42_P)QZ=SB7bkUt{xkgpY{`Fj_!k0-tnwZMt+qu%TmZcWcmr^tP&?9A*a%HH3 zc)qNbaMlb3?!UU^;W0GE@VG`c;-aL{#uTtjd14!gWAk592!cCQ#Q4+xAPhg{%N;}T zYsS$UtpqS`)kYblRQxpdFPK9MtFMh6^3{}Ro82nrJu+0-jch^f|FLVZ!!CVH?R}iZ z@)+z2w*XJ4AFnP=X(+_JG`a;UaMS1~H$8M&l(K6?FX2WLL~YsdEeHW7SZ`o*of{BR z%DCP|Te6^OZlIZCLBYxREBOzww`F z?V@#{Fs5x@E60>F7BlrriJ)%W_Sg(F>QJVxiDozNhsJd2{33br1 zJu75FJJVFEaLK-p6_|2KR$Y}dqR_sHR*Y1uk?MEo_5=tO$Y$z_=RU+8hn#G8OWBVK z5-Q%z5#bY^&0|;Q^O9$Xvrl&V)tgB(8{zQ>5{?KK(`sOQsJ=Qcf0D4f982VW%OXFG zmM_5(;VW^=V(b=qijR|g^z1jrbfsKyXkvd<2<(B>Bs%}lPtk1=rbf@Rb{6n9G|#T( znF(|OPR4TW1PAQ^(Z4<6MOU<9$@jal>peFqy{k|EtRIY0jI$=?Mv2quev9z+BkT*K z=skuK#8^+n#0U%S=R+n)+K+!;GO}>-JY@cI=1;ym=$_H`?Fq;AQxf!$exAB~M@se1 z4^{3e`~Rk>=xCd0ukl!XT+778_7!9Wjx9M`CvBC|^$D)JNnVEe%(SOc)h$J;+&V!D zty!kOxgR_m>G}0+&nyiXA(6?S?#=8W#7EfCy|z<1Kl(D5i>$0cfG{TrloeWrRPFG< zJttdW=#*dZUH#A;oIK>GB)vOZ<9lS}cbVY4ldz9rHdS&xJ~>QHYK~om7E|Z!bDs+N zzxw6mK7&45&a6k@OQdbchUR*%EwuW z!H}^{kx9az{y(0sIx5QVdDBQJDhNvp64Fa7NUI>dv>?(Txim<_ODlpP-Jz7!(v5U? zFO76}_wV)dJ?Hn|!a2M9?%e0jo%_r)GexdLPGy>&)Re$a{IyEjxoI`Uhkc#m4bX}8 zp8TQ%ulD#C3*P1r`!4nMBqbJf*_p{CvQX=O1Z31F69Nh6->o46$ZjWz?$?f?q}u7s zI3X$a6PxoGCCNIW0u?csf>;Wncq1y>@$R{U*^RWq4)J%>O=XY6pP@1=I=v1yCFP58R?p-(b zvmQ9IOzNh1!C~<$%kpuH{FSwL$R%U%YcB;(Ti)PAW^iC0n$mYH`OKS7YOe*FSuT5B zndK3W;V^HB?#iNxCr(jfFAn}FYe-A+X-T6q^1wQ{D86b`87v;~sSG9;QEeBlGhVol zw%GzM?GmYWCwd4CDr7q+8@ndq2MVs1zc#Cvjb4roRN;arKAOwt)kS*oyPecGtl>XL z6&@{oLM=Fp-5x@`MGHtx*VU8;IQ5(Yl8uRcnU1_ZLmP^W$#{OpVM8oAYn_S8!l?xm zP~3}&3fxT3wF^>&<9fqLQr(ZBA|_~hAyA-`>W^l?rT6n3oV6vcbfv0fX0ccI8;`E7 z$*z)JgyLCiqZ5v3T-Kl&Xmpl#eL-(@EDn{#)mtH9PQJQBUlsgD0 z?bbKE?PEmkl{l{3c<(6u-c@Q`iqcP(4QJ4v0vH+z_gjgu%h62m+y-s>t9b3}v5PMg zW9XQ;ru+_Nc?9Uz4f=A<8L+5-upR?k**cjM?cG!4i~&4l)uwuL97o* z*xqgK@xLTB*|C)}#iQBa<9eFf3o`_|!>IzLYx#h!U=VLtFb8~yw|W^>6r(J3;lP)q zi={=fn?H6mM#d$pKTPlzfd|M3g;wcVctBd-aK z7gO*3x54)LY4t}x?#XrPs4tkE$tK|ob0`Y2gC@+#HG-Kc@wGH`*PA%oWq~RfdEwBp zxpHe{Fd|Vh&um2qL}Z6f(h}1W?JISM2o*=Jx_s*E5;K}X=@KgyRz1LR>&@1KOHpAA zgHGI;V0g)`|4$1G!}`!G(U$(O*_M2Z}hotP;_8N*@FFij=r)%v8U&! zH}@`S`viT&Gej|723o`GH9(zkhq<4D-M~eLkj2OS>{OK$qdepOG!GlhjHorjGJKrU z)v1NMS#7Y1JJB(4bDWfRAAauUl`iHNJxH`dBbJc3(6@2w4b6yuBp37uA{=qs)%nqMqh*#1r6$fhXg*izF~pGC|J z*7#SabW{}mWBhq;0gh>#X-IkkaC`{-@tl;vroV$ZZ3FN`XUWf}gq!JN_qqS$nKf+c zMK29)S9zf2>7|}4yM7CcPk{NanA{xALZ&y;@OdVr#Y%lY11lKZFh$w0&TM^p)4^67 z-ShH?+fD9IqlBnvRnoVt&U`UATolm|nw{l1LM7tMD|*4Pq(<=i(w-?6XZ|aAJ+VMq zLsp}lc`k1F0H%$I)vO$v!1lKC(<-|%(NnAp8US#jPXlkB5_XcFJi%cwS;3N~)J_?m zkQ{GK*HRMnGPI(Ntixlm-+OMe>HtUS->!!WyizbZEKYJ(7Fkz*LQEyA@JRdB@z?HP za9H>%goZtwyLq?+>Q3VirxrSId(PjqGg;!_<3b~P{DI8j8+5kR-bA`k!u%EGgs@8@ zl_7w$IMncIT7~T4ZkO6GK^()bSG~~Wzpj*_oK1hlpw&&O1F#0-e-b~6yAA;k*^PtJGrhj8vC_(yO+R# zMCj0Rkk&zJ>;2U}(ap75SXHlB`WK}~NFuMZKWOtFJs#_{UJyx}=VcolUGe7hbVNq5 z;b!Z{E!r*#WWUQeVr3V8Qt}v{&R?2MKKi0bwRjuZ<2Zp)yq!9v9B_d#{B{66IL7q6 zKSus6S5)$gnR>lvjF+@y=ZkrEu`Cau@#4y%H=es9JnLs4;^BHq+4WkXH25oTV3g;g zri+xhp*2hs8s1&`Wp3VvUy$qfyC}~Y`!k8lTm6`jMYIptt-Rvd5M1;Iohd<*zOz$8 zFS;DUUcDZc69R>o%uQA1a`dTuADj zg9G$zqhcFDYTTJ-znnhifHWi94J3jJnY~u>o+p5j=h2@wqpleZzx~#JqG_KlQ*^K(HlxaFuShe>Kqo z)@e?d;G2Ykz7wg{=^IsI`R-I;4WXhFge({qukae!2`CEm8A|Vx8{Hiztb1Q?Lq=0d zO`%xv-Q6S8KW~klKL~9pf)FED(CTrgYxyLO;>Y5Ob9&+kcf)i00zA#tQth7Ttc1!{ z=Eq`pJ8OhNRp?V>r$q$b$6J+crZKKOURKpGyP}k0VUt6o_+YiR&?&@}YXmI5Vah6a zS=gVcY*;Q8=V~@okNv*AJg&jQ032RB4;HsY~f+ z2cG@?i&M3Y7lbS!xImCuly&UQ!;DW!Srxoy+*(J>bfd+>g>DL+2(6yHfK5e2r%O%+ zxu6`PGJ~c(B9>aP=;u|GD+eWApr+j@Qc;Dytnpq+_RsDeCxy3w5`3_$8w9p-LT8Xxb-dypkh-qf33d+%T&f51J%^d>aL) zv~ub#HST3ceR43LA-~Az6+&G-ZiPhD9}YkJpcL0#lF<)#EHe+gJ&Yzo^X#ws?evnD z#^N~piwpMaPK=s(YwZcs>^%svBe_&3sqnNFuoD4vRu#-vx?#&;`T3%rc7*Xay19=w z-t}JTi`T3LTT`ElRu&#}Nu9EhJcrw$+Oual=$&jt-k2p zkg>Lg)l@xy$Bo0avC_Q*49&=bfLNpzOK0?~vnt`7W2DmRqkl4*#D&THegHjZW%M&} zSjY~t-%H+W&ca%WsqVZXYe=iF+5vtbVh-&6QF}|YrzqR$QIP31DY4Osce^`^v4Aca!5dF13YVE)Uci@jf&zlms_mzKe zO?GFcwNp3X7CZ4485|^bNtrEN2v85cu0Jm$sg;RugMEh{;AZJlY1H*Gbj zDg(OhU{7{lB%Rfbgz!kAWXT7ab_y+n$1QAI%0z2$kbt9e1WBtrvhv*brAb@Z(Mm~pmWZ3Y2kFUX{SNN+311yYwwaf1)umphG_Qw zI7}`%*xXxbro{41jF@OvAP(Q$Kfpeu*`)NwLR|k4Jp0S|bBLUM;zfAtdTMC8|?r z^u@LYhwcbo=Jnn#RwRgO@%19DGWxn1^mJ8D)6=bI^Vi`brQks<%Dk3w@;1 z0kfXIcqx{W5P9yj(k3zz+fNxQdcZ5_vij}XxUbS$dru!s;aszSzv+UahnIieCJmt- z&3j;6Vwh3(59$HGL3}r8(OBGTk{d7op*8AALLANOyXz-g3G0BlbQO9pA4&H?g(I#O zc8|Y+;+j?Gi}RZY3b70lNQ+gjy*~iUXk*mPllTy=3Zr2909W47SMUC+YQV_il!;!kR2oIl^tuLoc zEq41(x53eOqh#Qs{2EcZXEzB1$Jc=NoflAW`6!$kPJVMj1O?I8p!of9w*b%0`z4qS zUia~@n=ezTsP9z^PIE*ywdc)QUJs#hHWXOY|KM82H#!U^&8`v$@RHf(p~?h=e&%l% z!DMH~>RFC>ldbM2r_nRv*d2)|dZfj2kaSV?&9wfcCo58Lz?6pr@V_YioDHsZP5F;FD2{nwOs54iUb zaAGg+Rj!FoySexh*KC6c0ak*xi>mj8M5G$pusP6pc zUWH)0Ybjpt;sp;=nhP^qg)Ecs9c9x*mwMZby7kmFa4Q^{$O8`z(0vR=aiWaM$BBxL zj=P|9UrNsl^=^Nhi^a!Ff2?z^fZy+XUY8<@YEHt^W+{yMt!EEX_I3DqZ;Kk*py((` z^L|(HUbJ@*d3Vw(@V=!iA6^=s)wvfvZfGntKgje1L!!^s5uUQLFnmM#>b!%}G&1wu zgUzvczI`u4Kj4!`>qCYS_Iv%)e)>Ka+gekmOMNeHJVE(PI+Rl{hrp+Q5Jq1&vZ*^= zOW0hHWg-LPm);Qyy<#-9*Z+VQF~M%$ttqD!X`CAl&g;8QVUNhF_<^_@4)-?bUSRs# z87hc6Sq@t(>lT-fqyt~l-lrS;Djw~BXoAiK15QueGL~=qLO<}3IWGKhx!NRR0<8)T zR;(Y~vIXB#Nrx(5BI^ZD!TkI2IEYj?zdXWBbu&lY));AVd6Y##(qqQLwvs60m56F_ z%BfhU^>!UcZZ2@E#J(MMgm2<@n{m8;S{(YZ^uzl6x6SM}n482uf>B1B5!wC42w-I< z2pz(}q)&vX2%@ljxV&tEt?irZxmCo1E$Pdpf8&I_mV)o0w)5BGBKQ8xX*WOLg$#VJ z$%Y{`(ws){j+;F%{BuZ*dFW_gUAEE+tws|!mT%P0t|uzq+2xR-(F&esa(S`PmKij& zm?hgEk>>yxC!^vwn@V0HafmCRQz8P>%I0MQ_FfV9zb=7jNHn{v45~TA~$oY2d*}cxoTFnxr2&J zYHUaEBSVDaeGv2}pJ!{?COI1}(j2%`?04}axmGh9B!qJZ-6lqi`(U3{H)NSVeER?n zo5oLR4;4T~TZl!)Z98C+05M5c;%6n;i8c)DI(^JyhbsNjPaXcb>&>#XOK}B2{!Zau zhY*DJ^Gh(YqXL1<<+@&44N*NjI0m*#bO{bxbKqDLYl$0t=}AvfE7TES zYZ4f6=_<4O$ydVR-v+qcWDb7B;dpjyz)w3unelq=o z_Kl0t2*)*PCF82#m+mA?7r$?f%{X3(Vx|LUOYOW47$7}L82-lXGY{{1x*iUVgGLVa*oE8K1=VNAtg6IB=KuqEy zWL&g7n5Kd}$4nM#Gnc)RBgydvxlvAs?F$>qi7B)5S}#f^DgMz+0QEexxD$p&Goe&> zEP!ETTfJCo^>cH@Iw&X^H1%Q7_MZW*9{ieY-CVAuY2HoyvjoOaG}w8@jIGOA35igM zESU6)Yjm!wySl!9%XgTcQ?KW#2A@_IM!MI!)#iTsqObI-u-uj^;9&aWdyDvgFn=E$ z6(CC*uR%ZR&N=6`XRv0?oyXcbJm~FU8ixc8N~Tw zBF)E&lb|`-WmorIUUjKGvBg-~om^I_N>% zzZPp@z5PMk6Gq+SebAb0AH$(Oql}|(FZ5WDo8^OPjQAM+ho>*X3*ry0vM-IpS8w<) zYAYHipD}zeu;4VkeUbmk8eC0_x!M?_!rCI^1NI+3K+lk`DPFxq=7QO4b=Oo`m;^>L zw=alXFjzuluXq&B57%#`0oqj$-7=76;}_`>5HzI^_`6WLIvkIft~UJM!KrXQY`VDC zzYP-(xNM0#HzMm~)F=GuQ@5&*Kat^G#NrKB*=CU$+5qa9CHvKRJ$YMd>1HfKHs>m+2uLwi;AT|RCXbJx*-B5kc2 z?7Q}~uz%pqwNHP3KQwi|T)!q4N%}TZuGML+3n|E^@IwY{t}tf$=E)kkj%f*zT1JCU zExKTZI`#`a&s+d>UX_}7SbiM$t?-jb4%r~Q>g);x?T#xTwX0|Zx`@vRTBm`2B}Dj# zt=@Vi!cVzeD~U9^_dg=ViQAyS`JEzKXzO9&N>%glEHjc_Hb`gm>XgVrk5{PGiFxK7 z!-y7w%z#F>QAqyo+@x}g;q2c9$mV_Q&3*~CfP5F7BC6c5wN`h=U-27M!R4)(+Yk`CE);pX#mu3(TXk8Y*;iZNa-g$N)^hsjcg{HFZuclkS2MtV(cW?>JiF z+naUHxK=mJ7wE{ibvH~J$lc|-F*27w`&xNo@vDxeaAA={9|2eWk2q>~ObP%&G@Zhr zAW{y|efO(;r-KrQpH;tc?=7!pA8Zj@D-xq2EDe$8=eAd~ZX^h5Q;m&~EKMS}C!^e` zlby1`s|LbRuN%Qe958i2&5a`I;{Jyfx)?p$)>#La^)xb~%Mt0{MX#(Xx1S;NR|$uO+?j)4q~M6BkZ5+g+bkN_lI69>h)~d!M%5arfM9k=;|6W zg$H5wrO!2gB!)^KC9*}}=%{gK8^Z$>huINRFBFSW5sXx%i zO}p)XqHsS8c~H$%KiKYRXo{U^7R_zowFX-fC_5>Fkdj+)hQteRq&xrYIQgOfHV(#w7=*B3)4gy~D z4d?bBg0dbu8R^WRPTI_hE?5cvps<-t=fg8Px$AGTVnNzv_Kn7*4G|Q#{H{xheagvX z&)*+~@e^D|(6@fN4-%#YuNb*KuqQ;Xvd%g)j;vJZ9{FSI&wknCw-xL*#ZUHM3ZQ#k zuu7dhVD=ZMYyrXA2`Xpk!D^_DIlyV=N%eB2a2UtYU!N^e)F<7?---==*W@zSyhbeW zXvd*b190%pnrDo%nngJr`FK)?oa#jF*#PcUV8HzJ^NfOxXU3m^~iDUa57yd|7s?;`?a*aRB)EWrmo)Gh+Y2F_2=VV6WX;`le!ghPQL-w`xj?shN?IWw1CXXV(G&S=loameF zb}3636@j@$5RESng<{S#kK6&+s;cZWHa6B#GIo17s7qoqc=}4q0G~bmSgcd@J~O{z z{OOngxdYgrK$GLd-m^7)TF`aE6&akxe=h7aMzmYQ)SikR^9p!4P)TL?n*`NXBjMX= z|H>YoYW-J+XJ~)u4bN9=NL#Q3<;OtZQ!roXmR58DUQ9fIbRA;T^RmcND(-*kHBk9{ z_&TKDORw?#c8Wm+Yadjufr1IJjrt{*qLRQvx}DBEf(u4!td8$Cd0nvgE75WWt^r>> zv`^%h5}%@gF{4+ED%}{%w&>Jnm~Umb<&_ugK%Er@EixCB%u02^4%wr;f{>2zrNq*- z$8P%PN?%t1aT~jZp9IMxP`tK&H`8t&f16$RXd`fB_#CLm+q31?b)4!u$GyCz^{!?o zTLz4fOOEjPIY+p^0mbT6HJI<}(HW(?nq#K_34j`vV-L6(Gf{%2jLs;i!l$R?sx_Y^ zs%*!j8xL*6Sd!}aW|P+fi-F2`%`N5Eag4xAnP*Z@Jm3;*DI+c$Qjrp>IgV2p5=@EE z&;NeWidnKV0T#|_!5WqIs~LyP)wDC!7f6;{y{P__#d|$sMJ*?-bySpHkB_$?{{`@e z>9pEm{H=!%?m%MG5I64SZb85HJLH)ovs;mJ(AZ=PAHuJw@LQuGVpU!22X@rhWYn6S$X` z6K(FNXqI_z9W&_PhxK4!1<6FZR`GiRh2@_^YqC>`SjKV6-wJexf`;N5mUQVH6rLOS zS-UKSuICbn}N|)^&c^p|};sc}wSpV&5oJ z4s($He8YBS0W3LE9W2s}8nA@MDZ1zM-v!9A8YF;~fKoGa<`Lp}sHj{P4U(STq#{gP0C& z{c#-#Tgis{D$n5M4t+n4e|o=AG(127Kzd3Zc>Yb?Of94WThgXdol2U9KO6{|I9d)4 z;75+9caRbY`(yrvNR7odibOawoXHD7Oko9q6e&$5n9YnJ$z5=&=s{y>T5Hl+V?Nw38bf=-M(F{FZ!j<} zb09SBd|m^gu5S9<1}0tL72N3I|BS#S=LLM}aQO9)>+);l{Ks?ouBnvvlk3Jve#DkQ ziD-i~uCLfQHyG3Og0%g=_twOxT09gC0#gO$HMB0^Wv)E~BsFvtTuOD-iI&|MF041w zKZO1F{F{nhW*s15n)m%*@@&253AhpLUuRRWL&cn;oC)qOlq0x+>BBxszb(H9E8}GzWS{v z8nL;FvD(8+yq*Fmb-^i6WAHcp4-xZBrZ*&q&Y;Sh7U${@Q-gfg4oD|VUT=a)nMiZywa@P2>ix5H`OYb60-)Yczy1i#<)^W^~=A4H8#7|@P|>@av-K8iRCKWVSm7IwzE$16}i|* z1?Q_szUG6z@Rj0#b}tn*?BlVhlza7o_QM>RN094;sc(>1K$ead!Nof?LDd;aG^|mey6d0ai&|j)!e%ZZ32a3-H;E6gC!C!u`)C!V*aW!pVUEAk?1w;Ie`x7O@;U`t=Ol?uqk;-1Mj{5B0kS{wTqN`zfmHj#RpJL zZM2MPV1lypu(jC${p#Dj;G;jr^kqav0y{wz2f^HjHO~mgd>=)2kyGKEx}UwL&PeYcpIUiP_E)s%_S9C9aK{*8lR)mD@am?j zMu%9U%VfkZN=lk8F6uCz{rid?&nW;6fE)CE7%=Bn0H!)SXtps;`)abE_KTvhvUGk} znO*+YfcBBBw1HNtEZf`|TRsoxo=khL(vufI(G$f#a=;@f@>S}}uV+IMVFD=}ZcRJg z;yyo51pDB8=ajb*Wml%o$c;u=M=f)Q-yd1~N*gI~(zYA?NuE_25@AYu4hW{Ty>Cl) zxgyj}t7d9nq+l)Eu4NL-MuLA0QzeL8 zD?ZZ^7NZ?k*`~Uk;q~2Q0KyI!gjgz^rk%C}@Acn7FyqD0gNOuv`fRW@Z8Q>QC}~5) z(7s={NL~d*H;7%fi(2nw6J;!O#0z`Z=SSzC`y=f@tSt!pgwA!tqJY-SFMA2P5DP@`#`5d>h*cXtFi}< zlPc89u3j5mmXAa%T?l+4m`6ae+BO}Mk#s&VM?2F%gr9T{cch^n-gppcO!T~-2oG94 z5@hNd5R+&87k^{m3V-FVWR#j8cq5fg+k(`#zIdY#)|)DXLB&q{#ocYu&~aEhaw>2& zcb*t;?5T=U2*nPoqsJ1e?bp^r=YFD7(^Owb3IyTam8E9j_$05N6@4eV}Lf+ zl&(4y*L~RStob%|9G6hyfF++Er^GdRjT9}CIIH4u>s}XNyfL>jx!1L#Y#~U>fJ6Ip zTMZ>qiMD6z^8H-&p^=GRg452p{98Y5OPQZoQ%lu(j&NnEQ}b^-3nTr;dMkPro%Gt= z!|7sMo3S6q%U(Z227gIxgQ*~QH^!h^23s7^pnn^3F6=*0L^cIus#xW5HEh1uA=9n< zB6eK{aJoLjiPwcgi&ZC3sG@~GGLMJ?AZth41gGeZ^QbdEh(mb=Zv}iEE#nDZtLiwF z;}Bj-KLg@7ODo&VXUmiK6`)LMsJ|~TrVrz&pyBkn_A^7L2`GcZt3zGSQJUJxrZz~y z?zPZWf0-F+cdjoTn!~lwB|Cj)#z;MO5oZe^LyOOiQ#iCPdY^O;U` zH?4};>34~va~)!Pqcxs(jDD?}iwXa#94#LCOlmgnPJ10>OaO~)#-}tM2_6Ye7}-%jZ~>Hk&IS8;NycSHe2q}HJQsc1(%#w3hz=f&E*(r z!p@Sij{@n~X+J*MK|H~-+r!pW4v#L@;2V5~IA%nVh_acyv`PZCk@LC3mH4RgjZ!by zWW4MMkYmsnShBVow3GAs8Zr%X!+ZR+a)+CKvLr2_fYDt z;lk8FO&V^toCj0|EfaCS<7YMALo4x*6WN+sXWCseaz}rO`s0`zlkaZVJI*7%!11$# z^|N85`jfkbyz0S4>@2vLV>sEF!8Yh;j_}h4v~>MYon_{NI4$)@S4)N8IW=B8GDE~{ z`&%q2F@rQ-fEB$XA9F*YZ4w&3?shDTOfH3(nw|F#ua2tJZ3tfY@&F%|H!N1r(&iPB z*f*Z%E2^?nOJ6*HkuQEx!cfoqneMs4%@Lc`$XH7+Wo`$yldF~0Xh{Y0{LN%A3)MhG z=}Y)RD#E^!NoCXwy>WclCd%+?H@1j28`~=PE%7!9tRP#G_WH9q%cjfqr=8bfvdb~r z1(IS}+KjMLtrFu);gTJbYR(%ZXFyN%p`@xuy>L2jP#pY@df_ z0Yy{-uWY|rz9uG-a1?yE%o8p@t`kaI!SFly89P&iDd`U?T6w)kg#i&fBzkADVUbU5 zG)~cay0DI~mQ5Q9)9W6T2dR$OOhNqRx>fWeC0L8)R;Z5P0*zn%CNA@@FHhgK0LV8`=P+4XV&9W5f)5Wdn|>^?ULvlR&nHhudcx;=NDt7ywP1b-Epbhc!8!|kBLU0w z5w)OUdo3Dw^-DQGMFbM0;p=HBf`jeAZTqUBY-m!Koa3dPrvF2mtSU8QbXqIMKGk6k z0&&_urG$~sJZjMBlE@yYkG37XUrJ1bLLGCgKZAu6U&C^+045f)GAT0KPPOsO@yU-( z`;IqZ!?wnrw?A-=n~QLv5dv3v4nw|qW4h# zBDek+gP2{TAvv%2RGf~r0*K$}US_0G z*wL1JPt?DTMgTUsphXm)z=D1xo|vFF9&{r}@)%Sq(&cU z9}i0mBH>HIGS6=+DBrYT22Y26>W1IlGVAQ9Hcvd@5s5c-`DWh|?5%ftVc(Ax!f6ce zsAbF!-1s8ea_57H_`8Hepv>o@u|AV1yq`Y{G#o3z%8y76 zf^eKLRqFQ2bgP^9V)!QARDRb!GIevAR@hR`?Rz|!=%5nifnsMNFsS`ykq;I|;AC7j zWVWsV6pno`qU_+Bc|B`z^bOnlupEDvWCN0(Rmcc*vPa;=q_OYPT_5R(Uer)7;znoR zK;*b)wRgs{CT1LXI0kIN~{zyR16yatI|e=1%5Vg zn`Uj*5T=-#QPTXgw!d)=(0D~Jx65UV5&H*s)3Gda;nfPmNY~=aEX~hb9O-opK3Glf z90)BS1`R)ZyuXho{;JbBfb>tHwQ2)IY7Pfb0i+wNyj$XLheUj_r#Esr2^(<9DdRKG z&Yg_m(qJshyE(-k?qI)0*R2=f0evQA6}bu2V`CYu5)f`r>&i+JuPkoIg76<_ZLhvhS(o&kQ*} z)Vm&5*(O(QX!DB54!vW0@xD>0{>8+g5&FxMkccPsk9+!@SoA3~80P~zL+--N=3URs z8coQYqTG{(vG07JFvEX+ZG=$*XVkk*u!zHG#h14>Gv!(8FXalBnuEHvjE^T?@SR!w zO5zi}{nmZ(o>oC%tDni*@WITuG?hQIwq_}c}-RifHOM3Y-cE^ zhcLXzJ9rGoAN|UGKaM{1JbK#lsKe z(+aad&wCYt8Q-tds66oqxEQk&Vo&#HC|OjirRVrfyZGCGV_a__U-wrkY<(P>92v@R z$o^*NU2u7WO)es3!2;Q?=u$YuCFT5JrIllfhu#(PP+p{5#I zdKt4;g*G-K?NVrr=cA>#cedR6MZRh;TQ1zmXDL`Yd`c3VoUnpyA}mRszB_$$tn%mm zks030l7ru4^0$>H=-0)$i_K;Ag2!)1B`p!V$2IbR3ni)1B4hpI#W^lmvx74I5ABLm zl+>dPP$IA2$k(PMipq>~xC|(C6&QwBR?;S?2fk>g^;PWRZAptck7^_d?c)47 zVwAHiHgLtb$)0&6kQ(q=Qptz1Lrs(spN$?r#{z1gu$nWTmd`;Q71cO#5*1p z9V!L@C3}g{mO8Hs{!|=XXyK>va=+%c4#U6I9UeVtI-Y;d4bm&$%AAVHv1p5~zk0Mr zn7p`9wX-YqGk-jj3>twGw#jFw&!w&P^D`lT^dl#_%NJD~EYU?Y+mxRa0wVIq;xJ0{ zK9YZyf-YP|B|LjGFwQVSsLH1G8fN*fxd`t32{?9PjIH#Zf`!^rE^u_3$3$zex~ix? zhoGhwR`Q#9Y3W}UYK1>%wCp1Yc-gb8-1S(`w#LJ`pQr!UZ-ZlP`%mLHXoDUpb0}xODqb}Dp7xVmA)%8Kg~YWph(&B&3$?ZQenL^ z`4uMvdRcD?D*7lIPV;A?hv5%7q7thR)abE<{WjPlx>%?0us!@;14Q}Ou9~UAd^F1BsBE*0c22tw*xe}2 z>I|_iv2s$|%hS|e9J5npf1SNV-=tue=879VL-~5 z%I(u4?dR8T;$D+cAKX#gnW&4pi4y-D(-=4*4`+3Z`CcPB({!NU~ODy5mHKBF6 zAGw>u`>(e@UIxYJlGcwJiNlZ~Q59-G93D_zn~{T8lk){I-hw zJDi@Lew?TU!+$Mt-fL^mJ4~It1^3a+LgH%yY>)V@W(|{UkM^7TgVWVj13yhoE;?ou z#*P`gmPK!7wD3^de4CMP%e2?(-iDlzQKpz~(g(|8S=oGN+wSR;y{g-Wd6F`eH}?yk zFtWD^hL+E7jm+89jM=8_y0WA0a+TXLd~>dj(!-^CwvchI>6kRYr|wt&-0&5-o22~i z-Ua@UCzC~~V5*ppDw;dcEw59|b6w!3aE%dn$25=h-6Q{J!$03#nh(O-Gu5vcm4s=d z&rc~}f%Kt8L>+rCI&BWa4|d*s{fh|g;=<>kNTm2MA0X38--&IRS=Eiv&++PKIkfxqQ#2T9(2H{#|#mxN@T!&Qg4`vrU0JAZyRth2UZyez_caijSRU4EeAiEho=v1fu^h{xwb>aGj`DdKmCpWp>ZCXC?uvNC*#AsXGlu5)4tMZ3B zE(hE0)WYAwiI0yTOl|9yec7Ntc)n#~*D=l&voe#YwKLueM0kn#ljuFCeLpshyt~9JU)j-e%GY^rSS0&1 zmoI>Xggy6Nk3g<%uhz%1V(?=7aU~+}E5%DtMo4CQH>IO58I{XXo7;h5NL=V?)biq# z@Q&4q_>H`ab$=j(*$s!m_5*KJhirGOF3|6~6<(=l7?fTh|AuYMl{GCt#)?MawZ3ge zrKY%SzCrn$)3+}XxFH%KlJc{}ozbgC#%D2VhDS1a4%CBjm-Rd|RY=o+>-m&$qzk%* zhu|@)sgIF@#_Gc+Z$sgZ7Jeqo`_EY4BxImkXhlzOd>czPyFFeWf}N$nePhqMTxzi z{<9Z%_Ma%8!iBo9@ger+U&XOB_;U3m>f#!facnQjc!ef3e7*iWoc%wx-aDwt=vf~Z zklxhLK@ia(f)WTtqzMQL1`z3;(0dC?Cx8gjrH9aqNbf~z=rw@!-XU};q1SKx+Zu>0(C_>!dVy#upO^W}R#mHI_-^;M}Y z7-tl2{|#s0FmvLDgPJF~)K21#aC|~Z_a9=7l@Tqa!+uRq;IkAG_b(Q`<7xNGGlLg{ zR(AJR-o1N5s#cxt{^$Nbb6_KlBLMR*S-i(dx<-~hG_Z`YI8!p7LiS4!ynp)D7;u-c zN3C%qr(c)4M&THj!YwX05Ird{Vm7J9kW0w3>O2Tf)zD7ztBZt80eMS?D4 z>+S8Y5jtJz*)Hk4J$rdx_%zygPHE@JwyGGQ?kM965Ar)}@=r>qiBy(mI$bIC0uGK1 z8XwdJYaMsje(Y2zul*uQF*`y2CWB;U`@;?#gAFsfvZi|*b8|nnjyqVmt=n)|ND-zj zNK0gmr>p1U8&1CVZXmk8?Ah4869th=2`_h#h!&C|)8hJhx#QxRr zc=Mi`>0c@zFOH8*a^X=5{1RD7AM1kIvkPR&&wiYAjb+};*J6Xv$y03!);4Id{fzxw z@K1A|S$fK~Y_DvaQBps9Zt|Ft#iHj?(>(dF+1EsK*Ji4X5pT9U*& zH;N56Ob~ei6Fc!V8dSka*+^4Ax)(;=p-SJKq~7)UkpBmhUfb2jifFp7G1XYg*}Ce@ z@x42g3l&)&cXla;Ku(H^h(sp4NYPD!j_hcdxa5V#4nTm=+VUsxLF??n;RkqcwUtWS z?~lA^CL;MQzTQQ@p7#FI#U)$)bP~}g{Rh%Jzvkf_%z!A@xbn;7}evh(sD`B{{KdCik(>VG?{!xv$*^<0E^}()!s{va- zi6p&PdTv;-fa7%Uqb7q^c+sDKfD!1w_lX+FYsw&``JgpZ64x~EwYy%(KvYj#8NL_) zVgLGsMd|O`0lPHL4ZM9LiQcX4m<2boN1v+r-< zaJ`}*j#P=|v)a-rSu@b*2Q|LybXJo&veqvswvblJC7fK>Y8sYMn5ruA-q{a|#3)qL zR6@D)*_r~YJY&fn6*H5plbo!Xh}d^ejVQaco`~eG+s#HrezI%xr5)>f5NMdynE2Tn z-70)oMEBC_@teQ!AB3Ugi-L~BQ8?4~be62hvO!<<~TLKu!jrUNz44S$|1=4W9L0m8Veno{74A9xeUR({|-0qhZ?c zO5XFT7M(}`j2crk_*#hV-o)GF8JZ2vGzJIfd^Wo8kNcZurBx~uCe}kf{T#2Fu6QJv zOhf)-&<>nc6#J=QK{|5X@uR_cy#IJk=EVVvY_Y~6{yh6FPFnp=C)2!gx*EIz{#QiSrXrt?c!szV5aU0hqqnh_TrH-)ldm&s?N=P+D zrTH5@RV*9PM6TU)^#x7};|TD9U>1zLeLww|e6%ExuJm&NXvAK@y~-mt!jWgAB=&<} zO%6omnnZoK#zq8x4_>OG0y8YB$|1_JMD6~91HvX=#BFQeG2B>W45-|qWvC*TjsEH} z->H9Qna%S?rSVQ1uO%wK`1TxAfvZbe^d~=ZiE-~8e6{3B_s==rGrecX_EvhEAvTuk z=;^t5T$;ig$9D3th5aJK$14$66vr$PpSNZ%>YL)&<9>Jx!C3<;LPi~=iYeowt&g8QEF!!L z4EeKGw5h5lsbhD!rQh_3`p37?@d+E!V!s1XYu+vkUmyc7_`jh0c<=uoI+>U04SGiU z*jF)5iV21{?p!7eaLGBB0tG(<0Y@*+uV)^4&~M{>8(a@Jqz?-=Bo!Ix1QU@?Oa64c z4$qwMw+03kO9Jt(rnmMkG`fXL%ga6;JYvzw3}oBPPB4>k?X!jbl8rdZ?{X}pTZIP~mk|*eNeI9})*jbi-V__$g3L!FL!4xJ^3?66ur(#p z#m@?|yMnuYXekj!Yjl4C-+DgB4bM~2neVvwm!IsZ0ok+^?HIMx&+57#q6mb~_1JR& zjaX;RG43|(fctmX*^`fiU-L%B;t5Gu-}XE_lMed0<(*s5ek9k=WWBrYT@ssQvo#`dFuP@UtlnLyC8=|Hu|k1X^7! zotmw4fE_|dp0JW^3hd|X4HQ5@Y&ti^4rYxE2Jk#BcdelZ+^Qux?Aq^T#3?xMP}&vpT`I{&LY1ieobTtskPNG{847xt4iMy&EI&t zU>acd31Gq{BII1~cy2VtQkurQqvww3Kj z1pDB~4PN%Kk@}~6f??#|DiHiG+{r(Ck!6WGNZXGw!;`)r3A57u>9SSRz9RVy_2B%W zn#%QAswxU*F>zw%b`G^=_+t~>{F}~KQ!I?C&h*2f~iM$ z=M8F}X>50jcR1}vC;3qs)05$5M3ZPqV9QnhKV97FKt5xN3!r^2EyP8Wx3vA0PiaIq zkZZfAmEz9?IjGVj&{n_)oZ2%x3X{eY8A`>=HFQ zlaUGVjv0y%=IbMR&55D&7*?bW&dE$yS2J09j83OqOBfv*cxZN~5SLB|L_@->36_!H z4f+e2bn=1&)Y7QvCan>=_r9u?{osBZ*Iu+hrLHN+&N+n7^SGM-`Dg9~B|U~SN(Of= z&(h2b(!&J0sWbTO{fWho%1?VTFqVxTVGAbYZ=_nw)J2FmeighRf5w0Rnd>6?!}odX zMRSM8)>`!+|I4_3!|_ynnlbV>cocX&vQlkFz8bwgtnQTe2fmwHOA1<_hQ6U>VapRW zEMfgeHP(L0{F$&^u&&Lsn~x48nb@HDfS8*g|6?x&pUW_qO)>m*t-sJ4+2_LHR``ig z%W1jgxL4Nt?Ba`}fW|OG&JGZpnNdP-gdo(GPr2Dy=e)-DlTX;Xt>F5NDE&NCw+H=b zf%prixeokIs$o@v6^A+?<>!b#y5wc4>`_*7h$eNJ{)g2$8A=R$nbM7MwCqiqz2;d=>Uua<90hcdaup&o@SdppMof8syME-m zSK~z$&iJTF12=K~^1>%L)0*uS=lLO@GjQR4@5Vd3bfXV1y5^$91Yg1@Y92I`!E;i} z{f0~YpERFdR==k-jNH7S)s2X>Q*z~~^}5?zog2izCc|)~Z~Ky4+mKs>6+1Pk*ZR4I z(9qAmT%m2%+NOunmz^DnyQTVm*#E7p_ef_5-)AcS6@&z+~Nlu`!r}()P_Y<2%l8NuQn3&%jM+sz30LSKBXo(#F)uQcHzgVZi0-|Bv5#Kjh>%Zx z)(jK2HU8!>STD*xUs|B5>AC>U7pfYQq5UR^XICp$x}YROW~AEq9fg8B0^gwss^TqM zmi29FTt!sIE^;L{Q&-?%L_1Yb#p9S^LE{eD7Cnb=Mo)5MgLYw<6GFmHKYGuu*xkD6 zj}H%t)fl%1-lFSf;6(1wT(mR}VhK%TqySr5Q|gdYk1tJj7|$C&BUQL}C?SP$BjToE zT6ar#r{gWV9)U$S|M!wpnVi|zImc3h_cvm~lqD2RhczTRe32v!A~j9&h9PwBigSe4 z49#7RhP_&_HM}XsB^6H^S!M9ZJ@B6Ck;e2-q^lqlbn&sy|C;#$*^9+@8*nM!- zIOl0;5*6;F^#6K6b9C+YpXPWHIo>g1mf=xPM73~0T4v7Q{e_RIk~}=uS524q5cQ_} zQ!H1{v!;v+Q<`mNO!&k{I6r+4=K;cf(TXp3DGnmWR*Ng@$UAu#oQtc*3cAj!@jrf? zSaB%uv(Du*>9m^TF=(P^9pzRbX5ZcZyu9y|oy-g_8&Y_Zg$ShPvESzCcU|9p%5MMi zTO*;d)-IEFXDobu%tMW-@P$YX`=Owt+!GvspqwJZUHW#0vBrGpJdh{)I{EED(3+i_ zZuMiQt^lE$p^7;vTC^|p*qR|QN+IH(j^Cq!_~-bBY0M}9QSZYuFWwXY9YX6E)V+*# zYah%ZDT?N*`D33xLy*0C?0p^HlwFPIwP)Rj8y{eK121ww1z|3>=!zizh8K-L)CQ{V zV4~NqM^BFNbB!|h@lVzn!SZyEzGQ+{G#=#|;QBm>4@O_(pG>1FO!jO_v!xhIp$a<% zhSaPXR1&T@uEy><>VWlgR=mql+d7#3e9Jv^bMDuPqJXyA%=A+IOJ2|TJeJKpe!DK~ zs$=kLcpR}Qo}I|5$5UlgG*#}YUx=AyZzoM9l75Vyi-0xBF?{?{b-uNT9Akl0nO;BP z9n5LWVTs_xe}lhQD?X5-Al;hu^VrYxd>$j!7*Q}v0FHaMKhDvZ|J<|e=>>802am9C zXYaANT92VFrt)f>0mEt(ifK4!KYyJIFE8?sFahdeJ~7!AaP(x5Q?jh|;aqf?YH3}> zn;nvci(GDbehf`wv4zyUsinkQ*tVwv_)RdCtg&|^VfzMH+ z?rs*vBzwFzd{L)6`mKab-BF0o%1|zsB);Uphb5#vl7g1xsPkxP{dOIQKh^*@en!-Y z?ytdxOsbEM`3re1$vo?OIv#mnBN9ki14mxYseQHN=jO9BTUWttu}xokffHO55o&^q zA|h=bH!Qf=($C%1RLw^Ha*7Z)-NSYF&iX=Cmc2?yl?j>c4ArqqQEdw@_5JeDRs{kz zQ$it>+O>?I+G$q??3~)l9>e|aCQ5Uq_$L4si7zy=N)0MixU`|)GKzjhJ9p6=_r;WU_}}JyK}A-V@&(K0nu$cp?UT=GhY_P}7wuzr@i`{CwzV z=-*ewE&RI|J}qKgM$;F8bz`OJ0LCnt*us2)$&WtvFpnsyQbgz4b|d`jvzl+D?GgJ$ zX*^Hm<)HC4@`hZR{LiXdvulr=p!SLK>?`TkV(&uQFFazJH%7mqxSxKm$u)8|B)C!Cgp8fqm`7j{YIA+$fhUMuiin~{5jg*jgCv=pUj zi-+Z;L;q22^nt&jhJb5naET^~AP-Fs^;VVYF}t08?^v(!FFjd#UdF^)@lR>o{qJ<- z3l9f>OJX-a{BAmoE3uvC-@hHonzDP1wWd>LDm0E~Vt5^mL;K$)0@pZipq1`k^nHB7 zqj5c4A-)~FF_<#(%KmZrmY`@)hM4K4Y@YkjV~ zdRfA%Xs#bzaSd0GNu?U^K5j2iQDYNuIIPYkH@xM%Y-}*F9J5~w|6}U%)n?lwymlUC zEWS`BoI_1*XgE9@s<76KFCpSKLpN67KA0VH*N-z7-u#^U?%IwD< z`^+ds6p<9TB4L(v38J_8Ps(cP7^o)RzTqDtDM}3k_*Tb*Ju3g?i~dhtUSYo#0R3vB5&_i9Ih>`{(v{2q8=^z{LwCQ(w4mA7AV`nBX_)+oGIYy(CU7nDWyluu7h$vh z#yBriqKdY*kk&zAwzr>B`1@>LO)BJIt6$`6%Fs5rKI!FzvvcMy!anzGmT%B~fm;sY zLx>rL5KJ=Q%^#neJ(zH{vCfPcE$UR^Hsu>;#bwr5$wmL$J)(}cqvwQ;ahAVC$%`_3 zbW7$5=%ql!rjD9&GL-qxgHWpY5UnMWPnQjoDXG(iw5d;Xqd?2H_=kfD22smka{7+HFyCYVC(6b0q8Sl)lwAIqOP2IPBCPXLa+o zXZ?8=gMitQEb8&}|EMKz!uZ78KS^9ZkZ^4-?A8hk^`{0^_zLHswzrE!O4_OMgj^vL zj@b-)u!WiGTwMLU2>d?1+91(W{I1n_Vc9{M+^{^_q9T=Ne8aNat=!K=Ah=9P;|5%X zCT_?uw|lpqYRA6K{T#*jgN*w3jR0`up+V?)DtRW2imkM5LvOqfGzDK3^y#Su*|hz+ zN6}ZB=DXPCRb>>jXt%M^6H}5CzPUfW7p`wDUpMu682ye@y{FA50 zrr~e!=AA#3dJw|3=aCCe3r)DrG$NOOP4~rgt_@#i1od6w@mJdtd-9X_RS*024cli-vm zq{dFgn+F;(IvL$QoHeSZwjZj$-FZ-}(`UBb7)#CqM^epC2ix?T1rTY9;6?^X6?+@W z3gz83pK5lH?B!Ic!?D7TugXlyhPc!(sy@Fa8Ze4WyOi(Ial2QIWOPfCz z?#5cR{u6F`uYqDB-sgk@&J^n{=qE<0-t-5@<+w1ioSh;TQ{oa^z!~>|s*^_}U`Ly` zk9nAw(`x+rSW0GJXxv>R{B(8uMhArM$v9l7`S5c|Kl;O8r%E575`D2Cc8Fg6?)lY%KD_F{zkqTGE}WqkK5=DTmaB$ z7rJFa3M&nC2`K{IkJ{wbDDW9E65l>IQ|U7kSEGT5~+r{q|z$Z|U_VMNhGQ&O5iWz_2CU_J;++zJ~+e(5cpIHR)mdWJf;LL->S@lsX5o~V~ zW8b&fZeG++FtTTTtWJZ8$6Y|NG<~xL+KsZE^{b)gdjSnf(+KDB8(BI6FG$%k$=u_WM$Y zvX`+>uE|8x=ifb!hqH5kP+hdEWpd;?feAO<7qIvP)_L$-%`Fg)It)B>z%=d|5|K7Y&*cHn+V|^Qb1n zho7uj0u-slGkuR`rJVge<}ZA{^1FV>9H0hSPF@x97%?5ftxXu^XHV2{<$fqt3893} z?W~SoA`EARk<cZ~rb&ri%{GAtdX$PJ<b&VCZRh`+Ri zcP7tH(6yBWLx1HIIpec0+3BiD3KFCt{m#^L&YiUfdA^QIF@rL3GwQx(#W_D6d{`{s zvbQ3V9_L?E9sMBfiL!>S{QGaUws^a?Q^d%JG%7w(Df|FF>!;Raw(QQla{V=JJM^;U z@D3k0N2W~NHGbB)jrj7zg1oZm{1*?d5_nn(%$?2TG=aYjH%6k1 z=gKC*p^bopo!jvI&_crdz$_u9NFztR7UIWQmtNcUV~t+U^tk@viE~Xw8vz?0-b%tq zI|20Wpl}*#!mAE~UvPyTjXOeUC+%Oa4K3GaP4VLH1yp1#zZkyy$@gjh1GCv&^nFk| zB)d0{*R8Kx^dQ$Pu{wwLS|Mj|VuCy!zZ-}LhzvzG@Jl88V<2#pB^GWY)Wbu!n;SmUYpUX^5p#HHt+cQGd?=Ouba8&+gv z-j6&_#wKU^*})T9oG!7i5BhexPNXF6nY)PdzIykhSNVPCXiDw@v^vDWKE-rxraCmO zX8l0awK2#`v>j@q97B9D@UZjL&(<<`hOykcvIgL(TYM~CaLGK`B(;pa#>?!gbxCWO z(=h5Rfa)a{_8@su43lvraclTQPynQS4@MxQiJ3U>fHUb-U)G%GAlRyBHZLJbL!TQA zJlm1if(6Nxp|*Z1c7MJYLFYIMEkS-(^l(MA1T!8RLUWN03Ani*bKY%Va}+AJBK z+c;e1u{mGQe4FuEZzu7!J7-9++w~Kc;H4EGsJ+kjavwmikyGUlo4BBt-=?g`d)bUrZ6lqBj(a{>% zg$t4L!*35OFVfjC9Mw3m1{bTZr1lP~I_6)(_YTfw#n7bH`u-a4F$H49kZ~7QV(kyz zNR6DAc7`$pu!L9i&9ywJKp`c2_k2W2@Yy|XulJ(on1? z7V!a?q8IGaKWSmg2LafmQo3;Aqol~zyp>+CxT#BGyAE1-Mb_ntAz{TwJ*?l2t675& z?Xi20(N7J8+YY>S_bO@{@U~vZv)hO0^YVM{k%IRG%salkQtGXNnWJY8U@T34!Edq> z+{c;QXucglx5%<<1&UPl^>(vDp;p@#t#5w;5l*6hG>s0|%BeAz{h;#07)&TCd8@k3 zDXq99dXU~MmpuFY+U`7fiTHX=;|AWKD6t~|A`)kXK7uHd=M3WeZon-*a)V)ji>P=8;>j7BXQzdYH*9CV6HIaM(+sc zW_CRyt=@!=cLq^#a4%HD#aFSj;Mpm(`q>X5PRB{a!b1EYuh`$9T~)EYDC$efb`wE4 z_}?5%Y{cR2azpe2oLMzvCsPDvts%G(VTHkkfvJ^1v+78 zHn^WKQP{R1(eW@ES#P%R0gUMsD{A+&OXbM)xLa*qs zy;oE_Sgwed)ia1fug4E>prXR%B7n7ns=SWh*+)@i`Ko-opQnmr|;4qLEWdq29)_bxf@V;tt;%nE`TS zVoCR-DY(SM%pt>wQA9NHwbN(IvsP9Dm;!ok)XNJKI}dxd@()OUE)u+z?WNz^!HpJgGesz0bnGo;I5x4hHJ@b6$DLwkG20{`g(VUjWVwWYI!lKNGQ1wCz*zY|emXrv#ZSes?p_dFpUGA*X!Gm|S zM=tJS$RceX-Mr~2+Q*vmotnV748&;2K{c%?jRiJ`T=(wR3l9@*+bI$+i#NPeftg-S zJHWW$j9W9AK9=-6OXJA=11yi&Rht()J}YbYyXjgG0h&A&9nQ6yE#@PVG<)8bJp7g2 zhcyeF(BgU6K!a}B=7VA!ZTqsU4`fS?ojXNi>?lUQc$Dbf=2QdB2iEBWNDp0aat3dx zjR9P}>n>yscIS%1o+AnCsn9RNhLpnk>&?888Nq2a%12&Saw1YAe${N(pI~rf^ga|9 zFhUWsUZ*xki!&00R-`c9ay;gMaA61k#3Be=C!73(mlBbgZ9NUG48ISsYQi?#)HSW>E|I#K zi0*1HiOtUw>s2tjM79AG_=6Irv*94-@cdd=5)yd6l*5faXAv86yck;j_ff?N6OL05 zTib78?w%vNwkWZ0XzH2ig0yw8U<=XU7kk6T?sIxND8^LcE*vv%;Wa<7A?}kZrXt9B zB7bhH4*wIsjN2wpOeiS=>+0(?z6RSUfoHnhoA|Qg)AMlC*Tx2F`C@|3S^DGFKf?}Z zPel_7wJ0&^3+wS+Ta{;Iw>@F z`jbo4{%>g@3u7r3~SGBve5Se%-zHr@h zumVUsYcFyd5wk(-Pw54GE3~?g(Gn?2J^GA@5gtANYl&)nfnGT8s%PCraKcV$_kO$Q zd_O$z9hpxBm!;ull>{;>{o)9}ZdxbTU6ruIQ;(UM_VE*N`A(?1N>Gd?~iW+4qrYLzeF@Mhp z(=aE|hyBcGZcm29H{THxMC^JO!BbHQ^dMfJH{VA_1hC5>IEL4I4EH~*;4g^C;gj=e zNq+)h&OvArDTcI7#9q`NHWnfXjktuLkmn4VSdW#IiYs5}nS4zv?4jq@Z#YaXH!+&y zv~dO8X%jJ>nMk63vasisTLSCh7f9lG+%8K&>MJ7TsQq z{lBbU2G0E58q`Ic>A|P;`$URCV)VsYjF><43zFH^N=3_XYZw`A-+-P8L2BWq@#9tQ z20@#U1RcKF(-I_rhYtOUYk|#ZX5}RJW|`ca8zE6}`lU7O#dQ&fpfaHBPQ*}J-(Hr5 zqOM4d^^^ojkk@>xW#7Q0WY?wHe)3+#39J{yr;7=7W`2m?ZQO5)hvJaEabP6`NE`bu z2R$+!wuet7>aL@`icO}`B4Ba{aYw>W&FHslK*WZNeMmLT9A`%@=Fi5c`OyuB$(?@p zzj1?x^uQ~D!NRSm8(}s?<0xB>9}e*NA=5&!R*)>#3Ijw0zXrthz{)8G9R8l~*Mfx8 z@Ho*Fd^#;*zj%C7i%(uLE(CLW?64V7j`YLLF{w~wV&s)i;CcUU9evt=X}-M?Fs%Bo zA{Za7gh?^f6{x64NHLsgZTEy(aF0w>^V%Cz}%yX0a zWO*>$^;HD~d??VbaUPM|Qt#j8W)?4Pq?E>2L*rf=xGRs-w7u@+!*4somaW6Hu0B0I zARbs5)TOF+J4Wr?F4TMreyDR5+>|i<;JVtMG*$8{xP+zcXxV(ZCZ_sweUfJGf*FGS z&KLOrwwt0`buEJ;sX%3=EZ81rD>LlYyi*7Q;vy6&1HinAd&Nw+xEdep ziP{5)8t`=2w9dNpc{X|BW8vx!R~O<%kOl34#KyUXQy^*&cZ2~+jc(*<==6f453ChUi6(D_i7zubnRWs104ncBGCb^#x8CCrt? z+mDfZMsFNvgmD_gR@XAi6!W)1amfY>G%^sfWSWGtT@{z;`6bpzIaS3KauwgBJ|jcV zHd5o>oz1e8?T#elfy#C=@GCbc@BujMI<& zfEkU`(1($Fk)R=JIAv>Tz^;>4U@tihlgxo=hTrG@ug-n0`(zQd7O(tBB|oF+Z3uwy zUXua6!bY7}Pz;f|(q@6(AgYuE=F<}Qz=+>7)O^5ImD9#~e6QnB77;oTOD@v2t0GJv zu2sH_O$8phVn&}Ams*hZo4r7AT#W5HZaS(xKM#}TmWF~dEKv=p$V^e>K^3Z zLFLF(VbD4n&4|H%hBJ70-6y~<0tzmYiKwEpa>j8d9inhkjH*2ThoA^6CA|2&oygqu zKKbJB{@T@O<|D?_#0TiCc9!4T&cf3gAlLfsplwSkRa;r2E1qBxF!kPPZAoon8mMB` z3>rvmgb_f9E(rtWDa?Pg50-5_6t%#N z(9o9znU5PWy zb0TyH6bWap4HiaWd`Ule8dXye6f#5h+rw>%y{}<E|2H-jhQbYi&uF>U2{7#WDrwT|^ zuDoJ5GG1YaM8^Pw(1`^gzV9naHKDtt@>l#u;|rIX(1FWFtp}j(lCNFIo_L%Kd@X(MF!tL+t=DTtrNjfyU#cDyD06GAk z?18vwzPs*igkdfP!Qcq3aPm~qq&%o`1)s%)7BV2ML}?LQxS5%D*{rv7(Ew_gYeBoC z=s!^Tq9Hk@5eS?Ry^N_8Aw>792S!F%g}uyX3NLcepxmlPI!A8h*4@>s7<%aaH42oKzO zV2ViXK?@}yYTWrfCC@n}Z%5K@WDi!@t{YF2)%t-jGu=cm0cO|maNI+p#B12(pl`~w zwY^|zIi~zqDJ}XtzBL%WY?8*9lXg+F_qxo*9%x|g`9$w(Km`tx5|n#B-Wd9Dmy6|c zY#JRLzRUY7kpSAM*w5`yUm!UM3~CN&Jb#4dP9yNT7;%Wi5oL!3?@xkBvuqfP8?5LY z2r4*5-v1JY3-&>k?o^}1{76L?3^<)ZD%s2EABxaF<8iZ&5^e zkH9fSe>ShWf)jrq7L8yYpua^7)JyPg5@9hDJ}sJFQ@oUee17!(?LQ4DW9)%Q-d41@ zwHWy}sO)D{e>+PO^PUaJ;acMT0f+q)9|%IL#XhAu!6=`V96{~VKXci9RfM`2-`fmG zj528`OiVi;Oe5eBQ=EY^eKnwHAImRFgr8oG{}h2ef{1;bwz}RQ={>uCXjBcbLNg9H z>2j`M>k>U#QyyD}z)_wzfccrz4lh|b=oNYXy6f}Ut6#SlC(1YBHP!0axSCy+Gck6P z+DA6!zz^&6l43SYm+SX`p%)|{Y|VhA&?hk+?602kEvlHn$bB50F=lII!^Syi0dDT=vz2 z5Wwv?-8Ybr2bPZ004-gO%eujl!M}{wcj*nu|Fc8FlU_HsKW3r+W($;a4@%rFQ|9p~ zGkDS39)1^nVa{u`Jow(_Yw&3b2(nW1idwjW1mG}p3=KJ*HazpI5%MI!jQ7vKVuCLv z_{^(-oKj>FZOYup#4@axJ>qx08`3@n3g;5PL4Bsh{{1mb4zjSNhD^^5J5Ukylj_YFRd2eFw2iHcyjE?JCU!`SJhO3HEwV@UL;DrQPW9(8RdKTuh zG@;ffI%2#vt?ko30LY>U+H1kJ=`%$vbXnH@{T~6j=fy%_Qjv^C1MB+;up$~g5@Iso z7$K@1z_!M68gqN)&31d%g}RKqF}gZ)xV;XohPgoyh&Ile>YKjrNi5o`Z`sBzzlEkT zyb`j3BkS9>KojeeCuB}7Wi)$|o9Nwl#E9Atcg^eHbgYXarr^Iz2NKJK>#ZauYuIKi zpw}=+>%kj5*kc&7){1)Say>>0b&&AuTyB-YKamo> zNjR7lef?A%x@@i-f4!Vw4;F3-)3*=XyMeP$V*5oPL9Mg#{QXvvX*o$o#wsDdMA0m6 zOqAKO2(~j5;;Z0;EOY}>XgM7h-GL6F%Ro}JIG&l_&j^6*??^rh8&UXi2UgAmi@=S( zfx8Ed^xlwq!4YSo$j9~~1&Ds6dy4zOKGg@Ev^f`uFydHT>XH>4p9Iwwp(@JtLLy0SKZ^*xXNm zb@#e{JP@=pDR|6!yrcnc+6@9h2C1-9VH@Mni&@BJ#l#dqRquMhH<+|me`;&cB!Pjl z#NcY27lOFfwKOVkQ9!f&FozdXj^N#yAyoBc@{q4 z(kI%Cd&x5+<2E7mPSBL;G_msH`SLt9EXd9g#}^iCJ5ys9TF^J*?%WIuBQyZFo1U4N zrQgR11kMd|=P*TAs=|nVWFgkBaQ-r_eS#AB*L6M1l^A>p{ktCxpTqsmSUoMIuugOx z{SbYpLfkQY&}3-F0a)#LfJ<2Q$vcit1&PXpB`OztzN&B4g%>$lMzYnkUahf(pt$DZ z1n2Hzu_KsoPzm8QmzEu|hq_SXjjqZmQb3jnX0qU)D7}CWL861cY2+}F{45h_>TKQ= zXz({lWQ8dQKFPc@E8AcXx>V+N1y!(NsEF?x4Y2JWSnf$anuQ{e%|8U^MChGeYWk5U zDE@NczK6iuuf-~U4;^B(AOo6+E zH3c#nDaGe#Sui2b*A_~*vsaXs?D;GFL|!QcuWrFDZr5iz7d+~~?UUJ#U2S&<-NdW> zrxJ51!0g~yR#s9auqafn0RS4;zYF3FHMnwyET+t?TvCh-^v~mD)$`gyr=?+~;7cQw zaS3e_TTNmL%)I$X>(J|_qLCt4>}j9MT`utUm&|~1C{i?peJK`lCPb;&ISD#yNV$l z^5-CvY4Q%WyO`xHdUN{=i&wL4qr{jPczIN!Z!o-^b9IlRsjzL740uDeB!Tn225xK2 zz>uyk(c8=02D>X!e&Lq4fOp9cCRjjlQN!6b2mo=gj>HGXzFwWJ{OLZSiK>#JLLhAE z6OKA?kt(_G_o||SNbHta}i3SHTUTC!&{-YSCDbFRq2R)>ZXICNsukP;k+^JG|uqyuPz+!wMX5w z{h?{OXuI8@yT*uW;IwIPPSA8ziD4nejT_>1E9e(2a!>eD7KOonp;GmlN)S{;ATm1& zsYVf+CJ@nPoX5!qE(+Z2z&WWB>u4XuS?B_9swy2h0r18fE3Q0piMmdK4K%!hh*_?v zgru#oW$4B_mbtSyyCOy4e&@By@9Z7-_atngP1p4$4dONC%L{+S#M*z80yQ>U0Bx(j z!8QZXI%{B)qcGz4!Sd&O>beNc;NF%zBLwL>ZoCGGeSnA?ewR!c8&IQz55iYof@Yxr zM6UZXHjbKCgL2-QwYF2S$4~j=B4uUMdtTxlphR@Ll2zEyO+VZ~nBf#RBjX><@Y$Wv zO9Y<=EU~zjQwOgsxgk%Ig7+?|;#!5yIwF;(mc=wN&{sYY8*Nd!j{WH7z4Jd^#vUw} zZ8aX`$xWR15iviVulNJV%`e|xuhWy~<&4B($+?yO|FeYu@0w+=(x2U?*I>5DpZ8s+^my#9bt=bM zNaMRNU%A(&u%8kLV$PQ~j_#S`JFn&SO!pE8Ky+eb1V!+FE+b79r;dvIdXW5*$V7V^ zwJ)yRYlr@|!&c)Ofw+GvGFpAx0hRl|OZT|kFv%=uT>K+R`>P0r$rZ}aR59U(t*1s# zPiW*h4i1^|*pwm`^KN8$zj~|%fl5M`9BwM4p1Lb?toq+`2Ij>j`o>yV4Skfr1rjrp z3-h|av8bCWc2Mxt^ zQ*I4ajCU%CIKFsrskm={a?U*Lh0x=aEQA6kQI31ClrVY?v5XGJj9lk`uan9#??e$} z3p`=%5Rxx`Zf>PQ2m$^Yvm!*;A~N-SOhW<>@iG>l*f`%+=n(8dhAI2f+GI!H%|2-u zEm|dz2EORUJ&InpitM` zR$W;xI&#Btd%!@CqgG~Vtri`WuqR%wtP+d`a3-j()1QRJ@0&?nDxGb_Xc~hLZl!ILYTOHpM7 z=eo*9(*~U0g_p)&y!=A`iQaEhp*8U*;mD@}mKS=kEB*Uq|75O#bCVs#WfECwZR%3T zajZP_g;DBgP@vYJh@VxAKmxm2{HHl%Nm9i=ao+ego_)o!!UmcYh zQb3nH<;16wC}kz7KWm9O%9Akl&l*2`cd72cmtBt69~nnK{4Sd#KG4uO)&%4 zT)G}ze@%Y3Pv@bnqZ<+jT=mvlWAK>>=NEmP>_*Mw0=n(Y#N|o}6PK#H%q$5EK#Hl2 z2{^VlDByufOpVWN!fFf~_Mpcbt+R*#7lX|>si|hGK%xE|ek}T}lNB6X->%Jvc^KuS z21}5XR3aaU+)sa^fny%q* zYVx&&E`dQF-OCGp82~Q7@7w>d2_{_&6ENj|sg%uU;;uQ#FA;KJGk~Jv!?SzeXB&od zz%X}_%X0^Q|C39 zGzLpdYYG*|CJW=bQ5U7NHMD*&u;*&+&o+URYK(1o0zGy(N)t!jjYs~je*EK#{uc`x zL=ixrDh7vr-5?6Mu*S$No(WzFsv$|}!TfXmI16Kw!CK}K9DIVFxv}DhRux;s&z#h% z-2NeiVL9u3GzU?FsZ>z1Zm{thkDFl1N)`&d%m-q- z!Ig4&8Ezk~6ji{xGaz?@uV^N0DHBN|hyNXjULT>oT__pH8|2M54Daf>Ur1$4lK(OYn^iR8U9 zRb2G7wej|RiW^Uu-xJy?Ga z=kLM#y3)ZZ-!M~487{W-6r`7WF_&we1#4FG;M0B3Ml?Qu-Kwk3;#{x-|0-Jlb+ASR zU=&|F0eeN)=ou4Q%k)r3EEl+>KNj8lLc$txOB~>S?;VW`&NR6F_nTl&Jo+ssW=>UF zRGfj1KLfA9S&O@#qQ6uGfS;EBlOh0HLyBFeYbd)9%u8a8cT>+-`5)5oiuuy!cx3&j z0Yl#MJXKU0D`oDW!XAimWnV#Dx7Ay4nkRlnz<~2)45TMuN^-`=;5fmTpF%n>W-x;C9NWcJ)jDZs^pvBh%%Mbaq{os%z!xijgtMk@5^KD=>5M7CZ1CFl$O-A}3 zfOXGihV&g}O(xVreX#trzV24p@}=8V=Zrf=iF}GMvV8&=|6&vT5y`VX5# z2iHrM$j0P{oZ_0FP&#^hN=bYTCAQ|!JawB8i^nP@5++R>W=5mT}}T3dpqpFL;ntBNqp|w$(Cjr1`vWoH<9GGoaNf2{fjy44@u1! zkR9`q_%}S_rzrl{lJW_(2e0x0!)X-&*^3)6ku_urlKbDZg}d&$E2=-#Aj#>k=0Db; zQeB(!KSRl%E$?|)Nj$}uFveH~F7ym|nM(9~pm0V5)`M&SBoGEG&Jhf}RQt5P*m)Q{ zBB1cWwz75p;=%wGWx%fiDt(eq5g>>;alT-Tpm)S9)4xb2&ix_PRLEY;p+3 z#s7@Y&kFCKm@x1=75*pm1QwvINrRJ2Nu$dKD?Z@0N&_>c`_uTXg4(};jo*O=NWp&= zI>6t;ra#c)(|uu##IW-_BN<&fVI-$T@CN|r#Oe5e=~N3W&FYCX1=X3{p4g5uB{8Q?Lu~68)t{4etL!OH|K^v!x_lH`DAs@Sf8go(|{s}4rzpDy=X1>3} z<`b;^&rtakZsc8eRlPIpSnw-KB=CbOd7k&4sVv{ZU^3qrm)hTOU4B8?JQk_pp9%~= zWu3p1PT)_n1E{X7S}(9t!3fzI%P#ZDfhik50qN(i@vrH%7521DodB#>i4$GvCoKT$sZ19JbN1j4TH znj|nH89MtqPm?L3Kyi$sk{vhdi)H4ov-}@;!wJcUX;~%49EE@!!%M*yi)TXvx%e_w z4%*x)8G;#+&F}G;)rly;1gq=hDmc^1*#{mPL|L!Y{{t>|QucZ0NKeH*@6Mh176D9y ztP{1o4{#J{$A4@rE6zNhbJZ$u+oynAHQA8ZOr=0EF_E@kxj3$yvhAedc?A`gw=Y;&RI-MV07smUOA|Qc(s)B-~7C4nb{{?_LU23PxC&;A~ z>^lDeQk)`+Gr7VdHVu?Kv(8JNKV&4}2g&mX@#~x>`d2#G3BPW}TVOa9`0;+bkT7{4 zWPAmRe`b6?vOMtK>c1p^|AO(INbP$A(_c*gB~tvU{`hmK_&-64z^_Ba{|QnApRMte z%==TQ_&-64z-bTVSD@nm1Sx|2_m3@$zk-VY6Ql^7>rnk)YRrF%6oC`1?;MQyYpD1? zL5ctjDmLsf-6v>HSE&Qgk#{H$n3^_I-ayMXS_#g#4K!OKvP#8+q%Xg2wmz?$WOM1R>V|E;k6 z&_aj3dxD){<)$^J7i+^fJ6_dPGeyYZOSc0L}ozEOOl$Fq?fp4X3 zO4DTp%xV^r7@AKG6{aG9`b&o?2`DMPfk`p{u!+5Kx&z2&x2@xIV1@u#`)6>C1PbfB zW#uowF43bnsi>!&-k%pY;Kxqy`I7dBRxpgXUmipIBBq#Ow+RTOgXWPnO%bIC=@zk>?Sr9mA`i6726RpRY~(*FY`9{62~ z2ZvU99UNMw61NOYx${JNWBFZpi3pD=(hV>8ukiC9;4W~kfBTcHTa$!Adhn2^ae=DU z)?B5KK<3dyYb>oq4jig%G8l-kCV&G?R~c@*bhU>FEpKPi8GW&c z^ajADGziBUepxX6n{)&6#Bt}Mdn!Muro;UYL7C^wf*>gtI1hu|GClfD zdk8=6vuFOv)^ZLs{HwzxtgVOn#N(>1gg0$v` z`H;VNrQ@z4{DXc7oH_pfIftF7rf0nmQ1=>?X5c6U#YsaPklJQnU+hNAN(NUVTt`_+W1kz`wImR z<_?X(5(D>j1yM!(<+&7V`Kc~6$!zNcO_0FsV?7-LE#$z7hy2v0`rl_)=j!=S$Q8_@ z2yorl>Lq6m5X-l$&@W{)_8aYz5i$MP1GdQ|3c)s+=dU}v&YZDjA5MEWC;Xqr_SCa+ zA_HT4;x!?u1pdISfK#FSe?qRlx8dBw{@{DPPS5b2ZvcPxz5XBqgZcGbhYIX_wl3%9 z*n?d_mrg&pIg#GKz^wq73H0~E`P0qsHT3Vv)fq_o zmx~DagCXL~&-I_7!atI$Unpe2d8y~$kgGGM^S9>mbKdfwZ$@zeCM?pY1by}GWza&u zq}Elo{pC=U2Pc&C5fYy57K{-Lo9dKF+s zQ}Eb}^j)xat`U{8wo(!J%K*KWT1{Z)hjpezy2{GUX@7K$<8##IyaCr}_f4?8S}C)A zwvVsV?-C+F{|nRl55WuT;6%85ceR5pBhq$Om~lYSI(9zq6srK87N=GiS}1uU%wPqR zN6u~%0qs@v=L8@YYtUasd=2zhdHlPiD0!l>b->J!eV|XHHC48#>$_JUfZ65gZm^4s z{lQn9Fe?t)tZ066%fdhTG|tmma9@}zd(QCs2Q~}*Zg@RyL7sK4e&$vDrLqTWXMvab zSFMV`uiPZ^^WZ)AF1UdHMHok;^5qF1Hf_*?RS$rbJqeRPm%W6v1E7(%Rm9k6aqn8O;un(&O?~$Jg+1V%Ca~McUK;Pr-?~;iBfaPF@~{lp zioi!EoT%(dI%>V)?*0j$J`eQwEz!F%!d&Vgh;&T=;iy4KeS7Oes}k1Q-4j>NsWcUe z+1I-G-C3qVYfOLLs)iS+DkcD(Dj}R4SzIVY0dyQu@!cC0tG*`(mYN0gjIMP_NZy<; zgNk^h_>gp@_(Vo~#>z4A5v!R9fe?X;KT#q{#7aUZn(;zUVjwFBu1@TV8g(8E^n0gT zJdILToX&7GSK^`;o6QQnYXBkoN3zgy@JY-n1AvYWETRhB87)Jtx>UqnoZ>+f;q z9Ht^VHT=mT74X)z=9>15O4z+`j%sS1VC?_)>7RahGjsZC08uNKTAn3>#v1I5L37gK z$Hvcugd=hJD{`Tl)$JJu13Ee?U$ODh8 zx?WzQh^ z0BvSWCC)g3USfE^#2yjVzQ>(ChsDR=xHaTJLIqM9_k<=HQwj#NzLQUOJ7k$l4I6jG zm39kD4=aNYW>Fb~JnBB~ixlkCUBdL7|JwLrZ^af*FG`ZyO8v7_1$}LnwpT^_9IAb` zMsr3nxI5RvR=yB{UKDsp0#6;Fu&am;s<&41)%Tsn@bjgQmMYG&)rXdmt%UP(WdY)P zYWbyBT?=aNj13enNnDKkHJb4{O3aPpMxPL?2MY`aMuzfMlkE3~$U<2DW6hq@Z!Yac-aHEmVU!Bjo8orgmF0w!GK^_tvyM@KbZ1hr&wUsLNgFK zHJNO7t{c4PC$$HBs?gf2+HW2+1IzNq1KIrTHcU1*K+jyFdd%nnvN(l9l$=BMO|!8?EJ=ZfZqhw8L7vOj5o@A45N3)Awfg_!7y$ZpUg{ZNi(kqgZ&~* zPu-o1?=-w-SP@72dnl9ZSqCqfhN(Gs3v@@aJ0QuhlCy&ku0a^<7x*CYsUn+% zrq6E7-@+-P7U}j$7y;ciWm#-p6W3^URazt0cv$+PzPz#_M7*tR(^x&V(aK<5d%-jx zi9_qkM1KNo(X{mpx9yafE?#L^P0%-ssr%GW<<%|Yx0hA?;GnLLlDsd{=Rj?S{0Mbl zZ_EYzh-9(x5bA;_s1t(>k^zlJCKuS}?pzpNNvb+niUd@nZO4=mtw4|=V=J$X1r7@1}7J}NewO_5WT!`k<}Xx zP5*4u7C`u(;cAYlvn8QE)Zmlv)=j+&I^*a}pq|@W$1{@`p%KtoY6u?I%M|ompQE2$ zjY~#*=Nhz(0sV9pf{)$nO(!>7`q1b8-paIX)u(4vurI;mwdFy;cEgKCQlUXOyzl6e zJnQKH`*FXY!b>6aO(<95o2U8Eg-Gs6htxqrs3Ld@crL6j$t`P=kTe=Md-eA6H(0wI zeW2;!_oA%pQ}|+7MZId>h4xTE$WeD7qPu&2Rh~00QP3SK2sMTm!t^JBMk+6lrH>5} zw@xWgYz? zgK$>9x88NOV3}hZ2z?9f)w5=*u6*FuiYq%Fv05xk$d~&-r6h%}W6bw14;l-<5^kx) zf`y5{Vq0*g(OvySG*^BTr(2(-q;9CvOykVIk72)75q2%u04N8_;duNaSMp}{>;d_uhGSPGd`#Q*xUDhIB>>km^Qrjm6FYP{7U`sFM6-{t zJ<>R|slLiRtgBE)(TzwqxR_M*#H)yxXE|3`vWk_ijJVc6MaNvedurQcU5CLZt@_)? zz0_&K?pS+c6cZlsQKpXeCI&VrCijf*8(N~UaZ#{Rz&5lfSZ<4n-8678F{WVS1>d|& zq4Rwwc2;Q9N6hO04;LRBg|INn*;hP(E7Y-yeb?snEkX~@L3|yp74DOl6b4l=POemb zOAL=9P9e$lavf%90=?vMBmD>GY4;kRHnitd(JG;rpV%EV=uN&n6guWUc0bzs(ywx~ z{-KUBuf!(L^ksHd>UbXUr`#*;HOondA6$qu37nFlz}7Ud)SZ{GayWUgT6S=#OkgrL zzq_$TMzKjAn8mnVnYwkbyVreikk^xcc*L@Yxi`xrAF-F)g~@ZY>2u>n?AR<_!%*GM zYQk~CG!PHiZM+r=6~iET)uW?EXrooZ?#Z2YWb59ualAOoXUH9d`!a<*$S>;c=kQkr zW_a=90BX4?T2v3|WqlK`3yAs{Ira4m?U9pwVJne|wL4nXs8z>)Q#J~^%e%na_t)(q z1@h<@M7^AQSkXn$*dgsB_mHr@YRk<$u)kh&xS4+7P08Z8I4hCNT2dWf^_jG``0q%d>Iz?`J7&U&3eLFW#EhzVC?ksfO*MEmEh)N7G9px^BE) z7Q9EX2Nz@EvJ+s@|Uc`o@-G0(tnQT}N{X^pi6L zkKRT~boygWZfHrK(z6>L_^V64_p!FIM-(dOuE6V?NtvV&y&=q=L(1nDfwH(j*a1vQ zO`^gW>4OUXC}ja=%c(0W=3k*fy_@1@+K2B@<(LFTf?r(V&UaB$lj1hR(cba>SYw!j zMT=vrUxqCe@JyS_N=Ab($@cBh?C8tIpt*WlRVThCjK?%Yt%(mgh`FELv{0~b*`H1L z8l-BB)Gm&L{QC9vx>})8vyG|#1r;YiMTgUm1GRZ7klF50Sbzn}g}R+*7fcs7@eCJ* zlkufbo(3kthPhuVW){C$JRS7l0H`Iw;f+Vg(hZvWxIa@J5 zPF+qj$zw+4L-_{p6U4p7gKU~bWeBKDQy^a4+s=_rt4k3Le9faO##Uhy>+5&8G|6Dg*Lsd1wXfBDXeO8{^T8Asnkf;Co(Q zeU&`Y0O@CW`xf+F&Uk3U@RF6;jG+l!%B-(2&@_DyCjVof!vP@dZ@Z*sSM^;L7AIH}1x|g~$OdAt@w@70d*|HJWe#Ts7>Noz*8F5zz~x!h$)n@lf1v!)P?H?B@~u+$DACL(=EBY|i6mBGp5 z`GJi@CLc#Xq}HA`fJ}!>(tqB#4Ntv~;LcrhStVtY5xDWI^Q-!|Q3`FMw}2;^<^D8` zq6&_mOxC-}a0mA9(dHFd;B2}pGm2Jjv}ZgdWwFEOsf>-ZoyZCGd?gb(5s7qtD1m); zbCQ9#CFO~qIOe4P=G)J786!dF-sm!GQCnD7$JbJ}TLf;fhslVsBnn7r5^=|rr=Zyv z=zU#8?)A&MMJsRp{__^GDP=dXhP|em*vk19CnhknVtK}-3SHpSb(Ntn;?tpYG%{mv zlTb0r^W3O?zs0?(?+aCR;vo1&vG2*1Dq5RglY){X2G8VtIqa^TAKS#Do#D31po(~4 zh@Fo+_wJbE5T;~ks57Sp$Hyw%N6gLj_0FUspRMeeDVE3S?#l0|#9}JN0>{&7+Z)@* z=-=E&92;L}t6gqB4lZs=U(x#Nbz8y1ovbsW`~zkqvaV^R$V=oM=iofDhHM+vTj8S% ztDo(1m%M|5=PKq3usmctq#gSHiobq>Ctu3DSnL zuJ#t?sq5rhBnaTg;Ri37yBAHe?Z5Zv8C;IgBN^fHS645`u3W@7WE+%5mofJ@ zoYh~Z9 zm%$DHMj~o=Pi?Q(J-ml+;Firmgc#2kw}#>`5|$8|c?_q>kSNhz0~)&^6%A_S+P)I^qz=WXMwIAgG3N4Tf8tw&3CVbNHLm7Ay+rLJA0b8(M}y0x4Khdzv>(}v4=KlNs- z2GY9)C->cq229K2g&N>n?mgK_8z+L!u5GgYz+RMV4`}w{4?>>|ktoX4tl`Hv2RBDI zvyHD*O|QIAcsJrV?M?N976A>~&g{oRu%{96J z0_#B|3yS5-60456l04aNI5AWcmkK&Qk*-riKQE-d>OS6baktF^>EJRXhU+n`97d&lL`MO#h|CBIl=?RQKy zOE&dMmU3kto0EQz=d!t1$~sVx2Xo5;PACXZO}?_G}Z`%fQGz|O{KBL750MI z>1)V#6ijVfHw-UzksgY_SI04Nx!6Evh6QhXF{8z7l6k*NuN?s({AFU44T3|zUfjWA&;aw2ZJMqqyb5tS}x?mBlAxR&2ik8LNxdrgR`82msOvoEXu4!zQhnF&rUE1wPwE>3Ilzd1@7&f1?6EiON zps1-kKY69_P-ar7>9WhQLMG#cjyeVx{#C7RG~Z#$nwW=L{V@eL-|{~d6FskC?0NjL zdv=`|(QyoK=Wefo=x(a{nuH$}lq{kL`>1*0=mm)b*@%aD*`M zxD#x{Df@^#O>PeY+(A%!w-i~N>y|t>6e?v1lRjOC@F|X0!&ux5``zSsx-3EWN2&+^KzG;1) zR6AELfcbSd#)rTL#kvbl(C|*&21;ay0M-s%&rcco$@c=)^njNu(ft)T*KoX_7x=e5 zEV}ijfUV1)%SfGK>y2=pOsd}^_x0d5;lTk+k137Hw*j8Ylj;lEWCqO+2ouf54=eX1_;X5YR`X1eUxas{!Xj%J5IgijXgELBou}U|djzX5jtc(%3dlRuJgXvVSckI>XJftSr z>R5eM3un!jQVplRhRHnS953C1FShP`QQ?KeJ`#bn7g_->FgdT!-e(%Lg`%qzuRVbD zYW8VeaAaf>l9XJjI`O>Je$Mu7l=3KJYSF8>I|x@a$tB)wMW=8?^Jq)WUzTg-7`9)) zpSzsADcR=nzaq}wc)l0O*$7FcGbGxPel6I7-hZWUNp*}(z zI*LZwPJ&cbQ>A095u!t)307=JOJU$+*vJ_#A_f{UDd7gXkM`$di;D**b9$~2s~Cky z5TxF`&h4`3+Cz(sei5tZ9*2{(I2$+96u000XTp$-)aTK>&=D>Q6Zf<#bMuUqp^Y04 zH%1%uKHON~2HpX^M@h$s?;7mUb)GTRXr*(?5DZ+W$VfEUWJv?IAc9{=1rCQ;3ib4l zT9^uJT|#EYv&YvD=7wv)-##eFr<8KRZwt!AaC@KL=RFcR7TP^LMOz;wp?Qf7PS*mM z?Gms?E_RP9q7<>Zd8I-r&8WAeg!7`M9`q_KyAB z#D}VjX*tEHIZ0 z6Kf$3&NGuNM@rd5T#K@KgR`ASj2&rR4Nt#q>5gf^u&H0n74j61>@8PDM+hXgtTXNA zA&V@smu(T`%02MOp4f_$4(aMrLd{zFBTJWq2yYMpZjZw(cimg>6hA4J;X0rirZ0|y zBa7LUPtO*tmA>c{jO87OJ#6y)(c2df6O=cWP&+MN^wfSdFNognh%GPavU-4coryLD z)gd^wTHXJ;T=nilGQ>{rJJ;x>y`C`AxeOyb#bb$hjYuDXk(j+q&r+hF74s>~(pdT9 z_@s5KEh8{MK{RJHly9?6!xrqzJ~bxjSol`Hj1578pO?jujNRgG(JgPyXae9KE$1Bl z!yHRNMd7)o(ZM`C++7L$$2VdtZSLjn;zLI&)oFvxhS8Ag#^1E!>1*wHU1mT;%9dO% z>X35^7n5<8k)*xaMVXUXH{UWM#7{qUY!HRyE!_{)T1%}6Hj7o)rL2haDr3Kihkw(9 zRlnzum|k~%iyrZ&M(9oa$YKFD=9?pvl|;DEyCY2RSe*w8;UMHPEJe&I%63m3U#-WM zJLb)&*xp|IJiK9c0iG69p)I6siZZeVIO1Ir4&EBlvs?g7eT)jP2@+lO85k->vS$sGeX9?s_*A2?d<=?)N zOhVaMeq9O&EhfPnJL@JB2TCp7^BiE}1CxpuNz3K7zh%Ez~j?LI!6C4GxB|;UDU2>;Ue% zw<2Am4fAkEXu{H;ex`u-2SeQ9T%dPCAY?>GGyO-6Jh2kGhnMf`d3RHf*uclgRi{Kk zeL^5ti5j8eQqbBE2nmrvzpo-rb$+Ek2lN#qBGRE4 z@ndEpN|aUTMhJuusH%6wqrNGXYrNirnBv4j2z~0&PianSgv`K4T${U8eJythSdIzO!Ljt=jV5Wn=PX)zA{Z>QAr~mkEMY>pSE^ugbS~392q)my-671 z+USIxf>FHkr~+@)f9#RL{P2^o_>o8WqrL*g+Ov-o*=)F;_oW3kN8OA^ z$|8iz6pGSq9P7e#N%C6;wTu=~tIz@B%O$S4-Vv%t^x_bPx_xrOWJ+BsHfyt|{al&; zlj2AewA!QV1jB&CW*aLWd;M263f5iOqx5;5R^B<4{jKJ_mOP{2-L)&L=5`-9oANOg z_L6ukliQmK{%YHQ2<&nt1M|QK#xS9m8K7t9OWii@u5Ztt{gU3inunQ6nNt<5ahlKH}#>9c8;Wyx1{5B z=GAs2qd7dGT4_|R25Bbi&uJ#>(PVdK7bfGq5^N^W+*U~$h6mDLMJF{)Yd?vdk6yR~ zU}GmlXiPtTUq2Dz@#$;&BRB>^Oie?3f~_#}pu+tp=JzE>pE%BXJegFzZO!P#>Sr#AUllAdA?v(%%?>%NRwzssh~2$AzKC;wbMUg&Cq=-W ze$v(-tL}J&Tl<2}UQ=PL;8hFH51X-Cn9M@4a%Hv`1FrxtTH!FXsr_SPu6JRF;@ZD# zon&cu`TBA@Bb@vjh8k6|S^P+9q0yJQ#b5}ojMJM;hU3a1jcPK}R~Pp(EZ%KhUQ(-^ zkdJ6>+;d{KQviZe!w~fX4PGYa!VO24T)+r*rPGFB@4vsiY5o;nDAs|;4IMMMOHF80 zBQ07?(hfO^<^2tB*;{BY9zzi?Ds!z&rE9hiaJ;;3I+C?m`uJr;@WeOxF6S&Gt4>|N z>8DMZ=yzodaW8+MLj<^rT71*cH2JI66mF{52fD0cMO}OyZJee%Es1sy zlTjZ{nB2ZD83b@Vj)nRTCeCHk&T>ZE7aecu#Sj*hAY@^rO0Znu9E&QrRzD!@6v0*) zk(x;v4B+5JzcAXY455jSluwkrH;#6oAr{uq0?8oi*raac2;jIu(cG+{kFg$w2mk(2 zBcnEFa@hE)vcQzNU(Rrx0HN~~e+z}uoY6fB-JYu#=&t}DUXD#D2sSIkizj7L zqM8WFcj0(j>=4CKJ?WsCp<3VlIL!P7UP^W*_5k{dgWSPviw0*(2T8eie-*OkPu>@M zU&flTto`UMfuVf3G33UTKvF#OYr~_r*BXsSy^8dr zn7+k*q}|m3xHI(JLvH6Um# zT)8;sO;Z6lB9J69#=XB}3>~x#36Mu4Iy?$VNG1# zh5_pABNpg&Q<)dimN`R~Nn-j6#WokQ5@qh=-M_?$|0207JIbr$UcFOTtJmaZqY@6? zDQ<6-iv|TI74%%JmDTmR5b!DN2i}(sNex9D+*QL#L<2@q{B~b#M&)=u0g{TO8NN zJJ(Bm_gSI3`k_142g~d6Rk0YKEs9Lu`#Y9uUBX}jtXhpl{7Ch)U&%bb0%;oEL~Ltm zl>bKPC~EwcD$Y*D$#{w)h|eT(2NftmCbMqAywpk8XeWoS-aiYyG9RPHR0eVRxI8Z(QgR{Scz?j|qA9*Y}kB|`SffJZo-BeT|hS!A>{h52w?vQ_>e z{hn8mqLMF0Yyfj1+^?Ld>H(^I)ije?=IQvxiE{-v4gtOgm*z5FYtEWH;}5r>O?a`L zE&q5QVO2Z%rumnbMpbC>`}0cCIB4keGaDcFW#<4Yi08KLi-E`$YVprl&$pw)BZFVa zz9J3y*vm@q)aXSt<6)P%`|)8i&*GD2A*@Uad##@Aq~_uKpSSxe-ZR%{E7;y|^f(B9 zRZC|b)?3Iq8u_A)-j&-^u^#EJ9|zMXD;ag_{Qld$E^v+C0G7F&c34O8TmupU>p((h z>@it!*x62cjx1vL?>U;>b9A6!hf+eZ$e?N4m`FJ6rwvK4^?25{WQX2yz@&s)L9ti> z4%i1#Sd^97DR@r5Ls^-Poq`?K*}O{uzR$)nZwSIot1*)^gyuxuv2iJP6l{VEb8)xmL^7yD1w4u zgVqN86FU_900S3}JB-T_uO=Fa=}j94E)^3eT-{xyeB6>@_h!h zC^%1t``hGj@Ub;vE;bY?kKMC^ytk%0GkPJEP-caJ0wEU6- zdh1%kE}C*;!;|f-8F-57vnD^ha0LzL=BmFZPd=QHYA9)sAuExeMqLX{FsZ=CM_#Y@ zqrs%@c5}S)Q!Ph)!BECxT!G41Uf1J&Ppb%C&&AXlt|6hxvgQ2j)PY>B>^{C-rQIo| zs=S)K>_8Bg`U+sq09h0Y^)nqSP9I+_N}y3kq> zve3C@si0fG^7Zx1lJREe zr7a50GP8o{FX-}pd8z&wvHRJVjJtdL=1#WZ1PR4iTHWnyaNmFi9aT$YL>vmA%M`5p zDc9AG8zT|BzxL~P+JzfH_Wc@V2I2(xkhz0C+1}{;!v50N1Tb4)-3iE3NQh6mns?#r>y38=p=J8j z<+{rBdgO%|MFZf^KMpxsy>Et!0-szZ&Ckw*Ldb2bjBtcpD7#wF-g&Lh1>@ZdVI&HT zAraTLDfHY$gk%a9e%E6W$*qB{=^8|>0~*uY@x6g!WZ$L+m)>)eHwkxrjC?%#8MO?z zC|@n@iRm?R%D&r{_a(G+p+d5QlN=AnZSKqKG+G$vZvva9c&aP{+zwDzMWm-Wcisd= z^q0j%x-pn|7fw1!ARRW9Dd_UOe^NQ-Oh>NLCkRP@gh6y)fOTC8p8EAgpB}PsI7l@W zw@UP&=3}9om&{^(lsY9x{l)uO(^>MrT5V&mWrFE!L}o1evQMg&Lhg#nNC}6N00*BaH2#6=T}$qba=sMYNvF0s zhvBQw-%JUB?e=4dI2Qhe3+O6a10ntILJBLGlAl*NPfK)od6myE#wtz?rY$vK9gcDt z?d7;E8@4Fb&+%TtoPTqa|E4DSna8UspT4=W5lzH+AXcw4Dev?3Jc?H@Yvs_;y6D#< z5R*z*Hj-3|1$DW$9}7EB_Q9!s`zo%|7U5%MXBnAGtISOjRxd*JI?aBFTxksP`h^R+ zV-IZg;T~`aV%I5QHPa|VhH`H>q_14c@`g_)X3;~g7-&59&YOJzeshRseuN7>e}zk< zn3Hml4!|R)ikTOI^fF;tbMs=Cy7)-zMnQPQZN*hI+}+?eaI>ALabfdR)quad z%qV?Tq0w%nqa^mp;An$xshQ9Og)GfoBG1jG&xvwnkbG0WA`&Fq1t}iF<8yYGt0Zuw z?>Z_9xsm0Yu~tielt4cr1NXp>{y>Y*KARCxXtvZ>Sgg}!I$qCSa?du@u94B_aPC&o zELPcp;OeYr`$&g1IQbh1cflNG7iIfserZ}chopQ0HG z7xpiC*~|odan5?Z=J9muqr6^1O~Y5(1x6va&+h#>5lF4%(7Q_X#=6CWg+8yVCZzq1 z0YbEdpr*bUYH}`N$3zvsu;xp>Q!7ucVuU*I0W8EE4{v04~<&x8Kp~~~zqtR*wF(tK%t=k8PNm>ZJ zZahn9z!%}A4l%=f^L*5FfmD!UqVqNZYTBVyc?t#iT|08m+L{9T?H;3hKJwrVM&ouX2NK zP#1S5T(;}hjcm#aLZaOyLI`~}se1kV60q8Os0_&-8{@}4coaGMEWE4BJNQGXzU$$% zo|u4)4pFwD5zbtL%pr2PL7h=#>ufkz=I8F$4TzEkz?-x;5|@D|C-uH8>VU z-vHE|ZqbszIbw;_?2=rl5SXABlVHtpI@rs3OGxx?-C-j@)P31{c7bZz4USdl^9ax& zJ5e}KHG}>&>1uQu=VX&-Zfd_eEdC)gKO4 zp?PfuJn4#wlsMXAqaA(r>E?cS)6SOS`=R{VeEZ>9R-zYW`5ccTHz{!EN}QTxAD7SP zqFTpO`e9nGZ4Yd!Z3H&x*itbT>Qw7l`4{@#e}_jDXZJvL3p+LTmQ+P8kGcN?Y5;9^ zXkEBj6;dildAT;^S*<%9kKJ?k_Yd#*$(DFP>fp#VWCNfO0_%_QvbK0u^#u3#mL}6J zvQ3BWB^M=gxL&mhvGbO8cceXQ)Tr#0(S~AZlfEUcqAD>eHGLQ!&W_Be58oh}S*E{_ zrR=&-*!=B~c6(RSN3WnyTR7=~k2m1?wih!2M|brI`!!-{BfK8gMwm`<=xn&G%6Nxl zNwO+gG4Ge%NRRxem`bht!c!6RRKW_H7YpI@g~|8$_nF^2so#W04ffs`c<{a{LurS6 ze=dbLd?d-4g&h6(NM{qD@kLZo(KE@&Z-asGq#70SbIZ(1C=2g*Z*n2{<*=Xt-GLhg zweRVG4fF^7xr_NP0eJ6d8>AjdrL9W zfqv==i*8cQRMp?4Q<}U ziTk`!?fE2l8O^cHD@r(vcZ)Fc=8l{v)Cc=m&`-ThQA&&*HI?F_U2Sd|O0a zFfIvI5wku#qJY}&7WRIY9#%YiWQswTZfpOup(iLldLNaYC_1VgpMu zY!5y1!X$+|f|3B3q(JmEdFx|>RrcYEc%%N2z5T2DwNxfJ>YI>Fs?S>n0*EZPmu|Z$ z29Z1+YR3w}0fZA7$wm04tg@4$24fZwG_S(%i1V$Q^Q~r5JCxiAmzTdsWl1aVEJZ$L zwV|g!%wKWw)0JZpCDk>|4@Q{OS^~1J@WoY^n?G_HJt#DNAd?KN+o6~1an&m+YI__- zGc-1|GFNEHwdszr0MFZuO6};UJ~bD~=#VI(_oIw)2-k@;b3T>vyK8poW<-MT+^IMck|214Y;(6bL&7;&R-T{*ZdLV~Ey4i1|6??kwMQJ| z*P!X8kYHem#AjaZ(kFDhT55(@_lKPNCbUc5R(+IKuBPHzBh68FqrWat*pX{vk;Z0j zI4zU1rC4iV^*pyq`=-J26H-6>w>MKlyp4#LW(44UB6Qa1uK65s*Cu)4VMbx9$BT*7 z$gqzeK?xkfTr8FLf>PEfB|^JO!XjNnE{9V~O4ft{6^YYs$w`u{kBztirB7b;I$6ug zZRKJk^AjJ2t0i6n9+#&ZQ>{du1ye1&CdS+Rm}hP*gvEfEQoTZ?t?GHYpQoR_2I=t_ z!zi(J45nqI$9DRYcR=wwfLf=a9eVjXii0pI*;mcY{1VLRiI6+ck~F_6tq(!gH)0~h z!U*C4T%v|@jFeHyHztzH?3Kt1Rk}mBsQHSvdGI%~-x_K>zyM9Pq+W?82F@cbOM^!0?s{Jdoo8kd68djB6&Zygs^ z|3wSa-O_?Xml9Gc=+F#EGjvNcq$oWw0*V5{&_jnvcT0zKONVrqbk{vRzkA=$`cHxE+{Em>R+gtkET6@#bhxo5-qQzbuKAew269i~5^HcjU9T z#ip3fRB#t#awffkf6@s36EpFc%R;+)+tjX6E}8FsAn835J*ZjL@#?WVcKvtnuS~|- zaHBJJqk;tfni%9KauwSP(tq+{*=b06ej^j7G z+N-;8=~wC*OOKds2b7vuX2lZL;1HsZA;2$W3Vx8rP}u-k)Lgd&XJgk)v-8K^82rZXtUAU1nH zzCueE)a047ZJ3j9BpdP0a@7#|lQ|*FeP5ooYt3F{WE?)$ym7Y8=(#jA_>2wo4xB5- za$%@T@7VMym4vXWeo+0B&VlYO>aTmg_NUh_x51^uqcx|0z&#Bs5(swn0tqkhnB|D0 zjPxD`LIn#`1uGjCD?}o#c)LZ2siLnfLJlMXF3?Tb$A6EM0+v6ny?CPF*5uxt$Xmbh z>*f=;iR%%$0Ta>Hv=iAzOu;Gc(Az!bMY+=&zR4!yFQ=S?eShCbfD}>W4Jv`UX+T+g zo+)+*tN5j^A{$lbcTlK2dHJQOZ^|M>Cc9n<2G-1BA^Q94NwK|$v$_buhd4>#{w3PZ z7_#P6Ny{8X+IFhi%T+A)q^`2=YpE{YW;!*c)cD4;ffS8*7nW6Tr?NPGIyNAhP<%Ac zz-*W$pSAu``tz-^3QHshEu|``CXZx(ZT|Q|xX=FBFO}&s zx7rH2cLq)BGqy=@oOXZmSRR0lVSeY_V^*6V9@Tg@oeEfr3sF#x)E@M!Rj@pYrN3^i z$PHH^PDCQSuO7v>IdbTI!Wa`6#x}b?H%g#yaAp;@;x1WuF&qj1{1}waDm{{mlZdTr zH~@*?0MPO2C`yCzC-dPH49o*6=MZ>#Q+3{&-uO|p`ADN2MhDZH{;1a~Lk9hA;amzu z>?SLAT^)YVcl;CZDsaKON#mQcfs)*czXxJ_=9d7yR7fr917%s* z#A{aV!Y!vdjx?H}&xa4MA#%xyQ$B1W7lBjm;HZfm;=u*D7M#Pj)w_0)9lPEl5^jk& zl?%_hUZ0Lx$myV1u#)5+mCqj4L-ka)v`w><^+5n8V#U1VcIkWbMMP?tgeSE;rZ8ra z++pSd4BXaZ0x%d$t~=b@-dD$&+f-c{@;$wYGtaoD-eEZ#OGYT|t1Uef^IFc4>Fk|| zZhYZH#1k-U!Za-pB$|NCEW^RiQV1gMPHnD1b8x=N2{l$Q&Z9B!n;ei-Q6OCS&Kcxi zZak8A*!WnWafIicbhuoZiM<+KMG_v^AB%5vyH%X~@-0 z+>`>JOD<39zR@HJ}JKsOu_~uK6wK)5bB$&rjImeW>2O>B4JRX^?=Ht%0 zhj1>0ELH4+u1f=`L4re8` zPHFQu6#9n_8>cD)p4l|;nyyaG*?yB?5o*WeZ`E{eOa%c6 zgVShKh69cAo3R)?>XNEg06ULm^l&RUf)d<(13Ba-$7&*GOOxS!goW-M^>NhZ@aX@#{ha58cF*oM_j zJ#h@I;q(#wj+N4v)-pR+v^ylQr^Bx#K8Yt6KX}Xfo;kBpFVq-y){4ar1_cMC`CSdN zCyw?*(5a2((CG7v076Az?F71q74XxiDl-6dZkhjs0KtRxa8p`zOL;uU!wH&B2~4!r znFY9tbZev!_WzdFcbB-zE{Inp>IC`KY(SRzHU*#OjCea1{dw!y65*&KC*=PAr3-Ap z`~RzIZ&`(}=Qd-wB{dQMetX&!?dGu5}hTr=dy|w9O7{u$cbiIJN5W|nKWMbFKr9dd_ zJ0q0lU!>?5$y#onLCe!`8q0?Pw7pVlB2rZBbvyxGp`7LE)P~PY451~+7hiFk2#N_z zoXOVwu^H$~f+*}1)aA|F&@^9z@J*bBf+p>85aa|V1?$MXIqa~vu>g)}FDyOm)pl3( zcy8QDzgx)Qp70H7*JwbFcc-#go&6&?2u0_(IVP<7{YgUoDoyo_m4h5p&4#c9n-e?w zLs4Te06E6^*7%bt=if1l1CAmFnT~@~OlPvU*+Q(cd@9Pp#s-j2Us%fWJh= z+>itz$jCN%&pA)?jlFws{Z;J?6iW>3SM0}%E(t25v5M>Wgx$(2DLntpZ-1`un5!`D zK5Boy$Ec^Jknoo6Qwal~@%QW`$PZ@@Z+jE8zoO@O7fAkVesB$C)$N5Km}+jMX;iC| zM&od+tXM4N(zzx>zKkEN_DJ_|E)+r1^h*VX;B&yRt+cIsjuV1^}t zRL~)v`1jKB#7Aw`YBA`MM!0`XWGgB*6>ioPUL^t$jkYz5c zNGf~|wKeO@Hmt0BP6zb>5L}Gbph>8**ijB?zN_H8?RF*m>E5gS38om*1$@5FyQRw( z+M^ilz~t`}n2h>zUg_Kgjcc0eNCGFsc%_KQ+ht*Wp>Kleg#8#Le^lg#@jf;{rvY%1 z>}^JHmPCq;6Iy7HBe+#foR`C&VEMD=g$wbTB0;o$VqxLC0!M|rRJrm|cmDz9oR`CS znZZGpr`RR!Xe(1}s#%uym+jBPs!R$Jc*S!lJ~=l67ilHMprM*2q4*D4A&Dho|D!#l z?gWO4eyjy7Y?jZWPn$Ytyf0iXlm4#v4Q#@LE?rf|^*m!We!Xg50riKLt6v183{DyP z=r{Qc(ijdM^9^0i1X%k~gFuF)m@vPM9?3@qXT>3nc2**|h+AWNO&<(RqzG5}5X80I zM&$WG{|VQqS^>A?a6AN0p-RH@~s!S~w02th&?5H$8L8mC`v1_SOKp5dC)( z;OrWa4J9!0$*Im3tgj*NS-5S#ZEvy8hQ7*fVxE4?P{t=TphPgAhqY^1Qk1uO!Dn$e z6M`EirIVFrAhNF|>_3yA_pA%xa*R9U3U*UML>8>t?RMkWE9do4e-QsI2!MR_cfWlA zvfmi&{i2@jw^D$*(sh{BJD|+Ua7G;+`eeLTkJGkuErSq7F>X(hr}P;FKA=f1we5kf zp0~Kg@;v~3PH$`Owi)V?Z=bB6VYxaq^1XK3)Kt?-f@24uYO(@7KJR2KG|CiZwE>J4 zVG`1t29-go)3}+d2t&Ib!?rV?C{#y@(~>?$G~P^Q?-&QASL|MfVlwt~X*dlD^+EoH zL`gaV2vm|5ERqXYFpmCon}TtY(y>-XB03RwJPtEspHyr${O!3i8FI zulBZ5vbhnC73l7Q=iutb_lWBVo|63Ts)GEL|4^Z^ADAMislI3GOMwgwph8uds@Ndi z9*Gqe>l_I&)98<2g6`9B$`9LJ#d;gPC&gbjD=shb5CnSWIaTJI?il-r)=!|X{L@sy zQ5LYrQC&&;P^9irWU|t1(CVQ%3^S?hk!Q->tRmeH%_Eb8E@4nf4OvXO8*>{xr%eh4e#&{iE~ zHDvO=E?vg1qW~!O2LmQ~W-rru3eZ?DFKu^0rogxYpZUCcPpKn~+p-UZrrntfz658E zbwdpD)_kDbz+txeGEsy<0ukfs)ZtuyUCMBHAjUTtct{O-@E1Nh=hG*qWrcI#DhEV z7=5S@1NV>=hNryM;Vb+1fgIm>TLlss{pqFxG}E8Ji`~X>5Qp zyncM_zg52n;wLM8 zF69}2jqBEmOd?~us@LfvkW$&>=miR{!{|2&ppI}qYiwUwDeO!z6v2CbBzzpnSl@7X z6BTz9`Nn_f#ZJxoid^$Ca4mn1!?i41lGorT6}qp}(%YXvXCrPJgW~=@JT67>%Z~T> zaV(yJbpZKLojswjd#6G6SZB{9!eY{l*JRqNvv_h1sn8_P8>S$2S}Qh*7qd|3vy%06 zo7ADrm$)9NM!qAC0HV^a#q%FFdsUKJIgF9WYp2(3 zOf$9gWzyyO8KU;*PM!KX9w>gkZ&*Urgve93^Pfe5)l2NoeUXsck@h($Dm4W=oIuno>>V#(FX}e3$*egr~wWWmc z;w1G4>kCzPalR&d=&M9JZcwZUx??9^R^T8s1`*rOzP*VrZK`OPp=h26l{)RNV@f_f)=jsP2=lB8kA>-Y znKVE$YT-)v+YyzBunXGi1@f$*Qci*i;w1Lq8_O9g+L}qG^d7r!^_r zHFTb`V!73dPk)!Ivaj{Am-C;6olGY(qZEZmlL6a2AX+AWZ29yH_AmN*o-zQnq{HGc zo~JC~Mvu+xu9%_SH^%w!EnX^U&aYbBgzC*Yg*{+ejq6zL>kF5n9xM(bQN3HZ1~Ybh zej>g$toMXml@(nW*G^ zG%#r{(LopKMZW7T%4I54$(zV;TjX^9G!1Vkn)wOFk3hr!7$pb4*POjO;fblvtJj>Hz>d7_rh!Gi}yBqU`+U!I89v_6yAdBqs? z7loye?t=w8cVf6lPIlezxP8|WN=9xY(+DTW3)D8Z)Hrw>r^k&?`T32A*rHmC2Kgj~GVrQ_RpWrgM@T-$*fZ|nu@;xH-?|=bZ_)EP7Zv_*UmwM|4xAG z+_Mo{mc09#ayL}lrP_}fpzfK0nn^hq;NVi0g59khp0NQ+h8!$wPS9LqhKF4T-Am!*&ypIXW}DrwLm3U}qc`v|LvBQ? z29Oe3(v5o|dqGDZ2anbz6a#c{kGj(&h^ppci7{)Yhww4a%eQ*8_d_4uYqxVi8o)?D zDrfrHD+wdEr}lul;Q%y8^XnfsJ6E2`bv4laDL_4W6u-vva3v_&1fE_h3})?_5|v7| zbjzwM1mzoqj}NYAvUpq)iVX}QAV;e>A1nD-%+4Yw{wQm+fv{ofpAu8@fdpZmzn5r^ zgF6{74O6%j(H0>9$~U%Q9)BQXy+l2^y|&pazeuj?$(L8FHC;i$wZv>8F?~_lL21p2 zy|a^AMZcItiW)akU@iyH7feA%OX3@}iYe5dx%`Nj)EAcu2Tk}4=?LnSazq4@$A*E( zwh!zXY);v#y5Q>R`sm^5+wuC}f{)ITH~0;!tVBV6ttwf1Zmzvq*%OIrF_&{0&3mh? zgk8Pver(@vZdnn^Z2ZvAPV1~UQFv7?m4t`0R?~zi7PB;Zc-{W26}$WHmi>G+w4oRBrE_Nz zOOGdsbh3!WY&oILsP5(P>i^qgo8w4ivl;9_{B3Ya9w_U!2|H!*;8ZLanw;%rd8Ek( z8fe*jMHZxM49R+0S zzV<3suN{Jls;)()ANZax$%RkGfJgp^2Em*x`mIw>)b##QZ}gwK?Y?6o9bAO#+6iXH zIwv2&J)!meq4xltJY6SO+k;=G0x9%t|4laek4VeyG0>~W)I5(# zbX5Mk5$X}2ZgjAJqxfDf3{FqpQF1|wx;Yeyw`rtto!8ni4lWghpX0H9;zkfq*4wco z>!#~kG^~m4awMT*R27K$K1=$OFTe@uKwvzgdosTHD+mNz?-_>Op<+T}7O-KG7?)Ts z=a!p<`(;X3*S8lE4bhK!&&YrM`bTN#^5XB+m+w8@l-DOGl8znD3u0LY%2LUE0B7Ql zu})ID+huc6F7@N8HM~5eyNG^u%31pPQzGGlHT8e}o^Q zVjaqF0}-+<(3yUXmVUf!mo(c;=f9Sy-f8;}XNlg>sGsDi)OVs@+l+Zq54$kRG`xMA zY4;2EZ#!j1oWG2e#j>d?-L5hRSKL56BIh`NPxN0*j~4qvUL%6CAg@R(oWVyzW{^)# zwC6T+Dy5u-8W>Ul{2X3#u00{0#v8q#L7h(#y3<+iU3OeuA3S*V?G^Qp{`8hOm-%V` zn{~7@BVKteO@Dtr8DSovQl5D8mWlxA7VEIGjDf(9*VcY#H}S3wR7WBO&ixl|hUk~C z>4zgBi}A?U?hmh_Lm-w*3hr-lO&N8MSN{A*xrU_{huS@mJO9;qY(-*0KnHlpuZMUf z;^i;7t5Ks2HJFkIkND$w1;f?XPRFDw%R@l>lJ6EfPg^I#H&~7Oq+9N8$G;gp)ikN`APX`D zDuS_ey!pJ<%t#4ef#GK*#0woYh|L(x^p(Rlj^4eJVohjGTF_YYHYb09uD0zR|Bjsg8T4MPzY zQMbAv5ycd`%Bp1jHba+CqtGS}%OlJvtTNaSi=wOuj z1}zwrmHp%mZWZl)4FZ`v4Jb4Nu9nwXjTSxg!ttuEp_A@$l^1_m)ir0!xrbx4?>h=w z$aNJzVO5J@oN42GdYV<|r%Bjz#Ul+;^4obHuV%8SrBHb_ss63f7~O*3=X!#rJxBBM z5VvxEDi#O&s=)GyDY!}&YVO*-w%S+EpjKN8LhuI1&eBx`(ipnV3g4r21GIqpI{`J+ zm5dFmnOCDU*`j5y{rLKq_ddMBsi}es9~FJAX(N|aORhOmt2T4`vg7#{-`N4J2GD(B zs`zm$l4~%bQ;=a4fww2LodBly=$e&wOCcf(d18%Qz7%5({EVM27_IB9{_FdoY3Dmt z{5arxAutG&(Eibhm^3h4;x&Kc-fM<&JPlpA`z?Zjmt38KJTS+iEd*L}+X2V~9P3%b zSh%puZ|^B(l>I_no#%1`gZ&3l&{Cv?7CQ8`Rx4k@-6{LeC?=7M9k&3F9>*NmTvqmP z(^`+G3{ofS3wLj70I~web(FSzK6mD_BhYOGp>XZn|MutG?VT--dOL@e<3{GxJ~A7M z`ttE!;U(U@86T7lib8eP;UB0Dfk0(Z*3P z3~0l?xSw&VIeota!U?h9$Q$A7b6Wg>cBNVkC!nr*vwuu(GL6fam#2q=h?%-{I4TI_ zYW?uZ-(H_LZTJS8+HW8x4-;`+Iy|z29=Poii=|-JN)`eCyu|gP6)3evU1A2ccyw$* z+tIW~DX`=_9YCO@jrWuDitXxdLamSnaZXFn&zTG8b5>Mg@2PM;x< z{9gBPrcNJR>Mb4q7L8{?$w#}1ao6ua&1nSgva9|J@z5Jl&SBXDe-VA+v5=Rs#^%k8 zzo9X+zW+q)&4L1q%bTr5G@#G*03kyH`7+8}Dxw^QkP1TKT5ns54}APBO#xg{CjT#T zEo#~~IcPLGVfu*2z#m*nnE@omA*GW8H>j+yUkg*skvWKEAD)!pS+|j;B!Vr5R!Q32 zk^c$Bvl!`Fe-fwk+$t^_z`QNr$!+)VG`fEOo^^8>MIW+v`+H<0G?kU8daqGaixYDA z5QputT>3CQMs5X9>OGjG+Mn=lGG)ya4vtE=zQ_LiQ1g15-1KNFnbeG9h z|3MGi=9#Ue1lxb}#*9xy8yjy;rlOfy{AdqSG;;uQ##ip)dE!?Ai)e(_nta7w$5cVh zWswp-(*^iD13uL?e0YPM6hPZ;v5l8ubOWl=QadWH{}#%?Je>8I;j(Jy8_J586oe2e zV3knO7QvHC4r#i-)mTrmu-HGZR+S6Cz56yaY4t?>AxQUgV_du*n38A`xI5N;o<4Ap zRY9_zxl}Tj_6*cQn5BX0wAYo1vk`TGMIV%YN zRQNfCzUXMhepmzRf+v$(<7+r`ki4}21BkGWZ+SM~yJZQ!I(oCn0j2tj9rz3+P<5#Q zgPAfh~4T~FdN-?usFQqs%-qAV=rtJvbq9c8X9IPt(>6>+Drn`$G1S@1JbJ2k6~TI(z zcgekw1Z{cdV}u53Msxt!VoJ6}ey*PIS!wXmTxm;q(OJ+mF*u?+yNcz!c9j->=(pdE ziT#q+<9%V$ND#=`1V*dfo2;DKar?$__`;-?03BiZSHjyoUKu6>Q^Y1 zuW={hid}Fa<{3fl-4RI)xxqMh1qMH&z zmPEJYiO%?)2KhM=SQwi?7k>K_v?Tx1nlHF5b)P3COkZGjh4MY%=X52k104pqEO2N2GZ!`>$_!~1Y}6X4-O!*i7K^#w?%(nb_4nR?AYyix#c-% zAQYG!0z98}C<4vz41jfNw@VdYn>;z6G$r<2YAh5#g--5ENVwf*lD4_cUgEt-HG3O3 z;$MI+L}&7Y-Gy>-Z{dT_9FO$=$lG+*f{X0mYy&m_QuBf!V;p%!vt8n`@Xu<-Sc!MV z2``BmPIcaHg#!xKwPi{if07~B0{wd^$27L376fZbOW0loeLvj$Q8v|E-2JJs4B3Zw zFolDuSt5$_bNxpQ5aD#w%*VuYgS#z5 z01RMNJP3_n(;BU`KPm3pIsdW)Bs%yN0}kqq2mbdJi_M6`j|?~f(RYCPW9-yo!@R&a ze=_;g(Y(QjxXR5v+;mGo&r~?G$T|N-I(YgCUO!_Yz2z85Vs2hO{(LN=oPD?*mkc@? znlwaB4R1!&y!;|H_8#bBK44si3{^QUP#q4Rq`aGKt_hs7*QfV3AF17Nz^opvJ*F_u z1;l~~NnCt0)z9zy@8S@hz|f5t#W1lbWJvSEY;$w?LQ({#KZn1=RI9Z-^uP0GNAH1n zW*2++{3$0wY&j7@JRi~PME|*pp`Ou+fFnLLjD5TXBr+A&Jr&@ht5&Ex--q(Pl%TkiOFfS>!zu}b zZrl2=Fp_vqr;Pi5M>f~^7S^&pL>6U5$s=-{rT(lv2x0aKA$AWW!B2y>J~r9{Q9BN_ zbmjmF4BO_wU>}Dc^mtS zln1>VCKDcEKeSs6Q_HY=(QW$baf1;AqIvFx@B(~B`;}P3t;Ozxp_EaoG0LjGz0&waS zSF~!rMg%KtiRK2zolC>JCtjO;{oq>on3Dm`@F$Y_55;0SE!bk?m1`=O^Tbn) z-fM@5eEI*9VBOnv1#oXepTuphSUl1}01WEU$>R<>H!GX`RmcdaqlGA&5tN?o+cByg z*ZuJO?yp}d(y*xT06!Nx#U_rw9Opjrnh6k>uG4nuLNZ1VFUf(4p%spQUqz`$a+HcU zp;OA5h?$A>-rB_e%$}lr)|%q0S0GV}$h+HoHLw<00fhh^n3vM4{@nV!ptd(tai>Q?``W&ECd)QWOAab($-RBlm+9dt^S?byKooX%wi#_Q5i;yqWl?z;87$UyxTs40UHS8=5Jne3O+ zqj^}1)`)U(qPAz>Hw;&F9@Yh*EbGH_NSmx*BFtH@@)kaq{KWzDsjJW6HcbqWOt3wE z(*Kv8$uXjkarYAgfTD33W%-p4z{IZW8DU6M-Fh3D#hSa5FI+VMeq=2aqrf@VfC!A> z0U@=GmFcn@0giZW;r1D-97-m+NDfkw^m@E+gSXp1puL`1>t#es1$<-SqX>BA?Y)=z zgI-AK)lqO73C`a`cub*whIZ~;J$l9uYJ=r8#mB##QkEQPOg=eLNFBcwf5}I!xS}0)>hXXu0HVxXWrRp>V~V=iTmWZU)1*CaS}=4+ryD0n z7acc%a-HMC%0rNrB5quf4^d|WsBy|`oMk(2zYV_XsUACov+$hUZg13m1>w018Pi`7Ijo3-&_Jc1lR=RI+Y%TJ=N%>D2Z4WDnoXorb%;H8%oS zUnM?U0sWST!d!p{iWsp~d^EG}p^&r3BOFmk_t`Yr`FEu8fxzS5J;=AVH>^7kjl@#{ z_pjtrvb>r!Y9^n`#Jd5cmIQ*t zvpvZ{7qh157F5#$)?fd7_XVP{%q?_rEn2RL4Ctkco{(?`z68KBe$8$$E-wc2}-k< zx!py{`iLO_L6RH+QjKOr`}w3wv*8~A3rdpsZ?APN7sy-`tE5-(uCl(in*dB?%_lL} zvGRbFM4c9>b<=+m4<@2YE@ziCFbw%2?x!p_kSab#eR^$bgR5ND z1bpoGer%22EFm_GdgWe7*RH?Hk$PIy)*+xT4rbiZSYx^DR+Gr_Qr=rrH-tREIJRMO zj9SS8^`}QZHQATHhvBBYvN|hgN0W8F6z+5|QpZ6&kLV^a02>YD01_Gna9- zk;j^%b4p-?Q+^PM@PoybaEUD|2Lf0eX2k?Hk2UsXeQ}FTbF7yr>!)hm199HsEc{Y* zD~xzn!ee1rd~^W%o6@O{aL&&I|1XGAqQ63QO6Dlt?IQ*Ek7{U?QK=;rtrtL3kX^(! zR=e~Z`TqstpG{ivBYB=(n50u38?4hjB2-DD_^?&7n|-A00(dB0L!Wv5|3$}9_TjWe z*%`h&*}1w+W36(|d3R`^Yo5rY*ID$uur-fF&W@6?rSh-0zW;;n{-`p<9U0&BR=_0F z&t5<8_|Ibl^xY&oUnsCwcth_#&n;N|d9M_m`ibb>Rtg%WQN)n{*;E z>;J}NUyN>S_w1H^kQ?aLo0_FsQcVn$nHy<3qNR;<*zlj)wst*moi;qGt|_!~Y77SR zE4YaX*9#H{tO%bM;Fa5UPZ@SiuanintS>I!B&VBWAD?9HC3Unsk}_wDCH zj2DEb#VUxcRl@t9;W&%ZyH)Jr1R4>!|C)0-e6di{vEP#y2Uf2V0berTI93+J z0QZYvC~dN4G(j912|I~DZ-Q~{$6;8z(9N0;L6AQV4vg#BoxVVmVD#p zO?F3WHf$H`x_JimN=ur3BX%npd&%KuSX6)MQw!|UgU5mXmrPo-h5ct|Q6dH?=Z zmly-U^os;}2W9~r94nEaNgkTl*_&HaeJ_4mdLcGv#ADTcQFQm01-72mEZA1>w+}g1 zh_UPpOFVeoNEu_V03Wsw?=s!xD6SkX;+?=)vL0&UDXh#7=CKQ;KvfZGyt0B91AIb% zn0zG$B(k43L>ob_>TU&Wn^z=A*djMa@5aib9yBV5u1HB2;5vJc>b&X5~(9(!Q zVC+PdlkzW+^C|t9(d;1$%J>MI^9gnF}_@fmUy)W3`mfC~o z>K8MG-lH6;N2d^psi!s~?xFz&=iksU%aG-;(h-{W;(}I~ zWmKBjt6Rq4QUey{XP(LyFIe`d5Et>#;8qX1Kcpe?~$+cBM=te4$l<9N*N#iI5oaw~EBh^Zqa(D!#^+{r2B4-C{EY>u^f?^SDt;s&!+ zi7j47)ESJxJb$qY-F!Wt$%5aQtYvzPGO>e}h=#W%of_cmV^%RdkKgXwAe33xjE@>A5eqN?N1#aBuK9Tk(3G=C zfAt_>`;>3+K4TnSdGweRtC$?=xY}dONWhASOSLS%nnY<$BYP-T0lCBH!6_sd@0M;5 zQl0iTc|0^++RdBhMH)wku|*6K;LZd@x-!i^xCBbL`-t4++vl+=Pn^s9$j(l~aD{ru zsuI{0DP);DWp)_L8$-k1@s0;S+jC18o2m01VZB(P85itSE-Jwrv3ltH545VyrzcL> zmG4mM$^fXYqg&a49&`x_A$_h8#@BzNE%QU1r*(7C?q4;=0IyyjMzQla$M&)GMP4A zzV%0BO(JsDNUSty+>seH%|Y|H9t-j8)3Z zZ9MWL*Qa6k^(y&Y?quF`*p$z4Sxt!JjXf+!EV z+1*V~!cWSmUrXS4Ar`OPxJn*`2a6RTQzBE# z=SYmfQPZj|lfpI7s5LloxVpke;%#W_6n8RdlNstzyz?y_73s&rThYzUAbcAfR+5(@ za$$C}xajutCu?RU!)W^XJCO;s58-*TR=Bu^fZ$7fV-KcM^j?Cfcj8M5(&cQ*b1UQu zGYJ8BJe^Sw;}J~24xDn8t7oI?n!x0R0vtLT-an#RD&E4WN6!Lv!%e^Bo2JFds^M%Hv`x297TrX)ud8vW(Egn3%D;8nW}eId2nUsdaUXQpL0JgW{An=lehwglQh2lNI#*ZePU@&b6NR*NiAcm?Jvn4!+x{|9kjV7O zH)FIi+q&fSd=qOiYl7~r^e4!#xYky0$ck*O8?lwJg{+CCERUZkd#e^ObY&jXLv+xo z+tvIN{Z>G!5M!0^J=Z8b!J3i5ZN8Z}YWHc1DwXU(iV{NgtdM?C*nD2&iTLmS>8y)C z=kmKfsmUHa_zhUQ$B~sEic|}G6k+NjcrL4rnX-U-Fxw-sHk1EEwWDzFk2}91^fB7t zyFvqUeO3D+^2k3?LLR8RkV(>`$lcIn3>D#1WvJ$6{dd~)jS;AO0t0_Sk^``5+e2A~ zy^v)&d4ye_Tw&kT#M8v4v=9+tEscogrZj6E-U{{PPI%OiO zfN-T%rqP!L+-ti5Qo#qcXyyi1=V5e+WRW*Q<1AU)z5SWuDPT;=Gx>m_TAI{{h1D>{ z>|$(5B@w3KkrgCZ4M9Av4-t>3{ABmUBEmxz476BQAK8k4)_4t9CLBZ1{P@D~OPURZOPCjV_;|7ion8&>Yzufz$I z-bqbX@ax4xT7|SwW#w3r2)!1pd=$f*Glc1P-dL5dyo+@nrLe499e-vp-(RUDVeN>P zmeU=y=Cf3f_05%GN!+j85Su0kX%!8cG4I|_x_&F@wj6!9*<+ujFT0I1USIS)4&fS# zQw0RUWR_mHO%+sVx9+i@Ze1E~=Nr32hs1vr%+q{79FZ!JascKjA)dw44`hZcM)!U~ zs#UMGf0w~zWXNVnxh%&Qkuz4`;l_M&I~YCO&Y6_+@Cos6(qDHC<>3Gp4ZLzdrYHxK zO}T_HZS8HTS>{QU;&*xX?B&=gsyXl96#Q|J?S~6L9$Pr!cBDnD+{YuA9p?XH_|XzX ztqz>Nx|C>>iC{pW)>m7%9Mr!I)(=w*AMTB~liA*vvSxnkzO)Ygr9(Jlp2W^K3|Nc( zv!(Fg@XjS7n_P$31cx2+mCsE6x-Duo*za)|@>Z@t4^e5Zm=Nx=ORvx#olWFAEM^U1 z?qori)yNreyLkHOI5W`0!QiZTiT#m7;a|hF^#T?Y{nLxHdxf-BuA8GKGCrBo>>P-wF(_G9i8o z9#P=|JSzyoUTp}L5wC_lOAA;OTUCyZ3uA4$z_o+*AY6Nx@H>{+d|rortOaV&g||E3 zN=%L@*A=k2+_~m9&KUj8(<3Z44~F_Ef9X6aF~8(vMaQai+@ZooYynWqp$c*9b{e3{ zjx*~GypNHstzuNu=g>c>iGr&KxoNI*K|wX5kMf}h_S|t?AB(RtH_Xnj9&P9+Mf7p*<%o8i0`flt{)RQ-NeTd1C zB5S(_7kzrm+Oa~V+Rqd*KsW%D=~=}C;}lzeRB}3ZyI+8`0z1CU_kP|%nmqayH*Pr) z(xR1)b7yCqKQKz#16jF`#Hb;p*EB%6BFr9?j0PgzS(u$rl@B27e|nWneb?P4_G6RZIS3toc+AMR0(i^7O-auOGy-a6mJb+%lU7c#>bCCK9j8 z>~~JZ&R+*6w^*=bS4At*^;XGZAtzJc;q>km_0nZap!jSDmSc?pi+dZD-nS*FlPtaFGXyBO37B1U*ri43AOjTpu5NF0%+ZSot8hYE%w8Pe@a@6?eNdNh zb6@T)t%)`l*Q&%2lX0iDOMKW8?`9;dLXK%-l`U0oV??8Fywr-ewP$v7L1L%N#$M$} z1DKT)txa5yWr1Q8aNG!4%e)G-(YKHIdVlWo?gP#dIq*MFBaBLJR?b^RjuLf@#3nb- z(=b82$>GB=FaZk|LY8aGq|Mf?MLA9vGbwYy2S;=-OQ?`9{sF){wUs?hEA>#{Su9?j zKtJ{w!h($}g`BPG zDfSSpA~NIcftgLwjokWcT$PDP!N6p|Dpb~&WSo1c5dOuvvGsW@e6>sg|2WcdBpIYn zPiUCB0*ovW-v?cuSu7oe;hv-e|E$yRq;+`a^DRYcoA+^AWBN}apnAy|-*K~$@Z=kH zyHA~M-m2w1UJi6}lmR>uWb$_Vi~)G9AXdcBA;_;HOso2I=69xl|Ndc${v$?752_a} zeRa3L<(LBS7`OE$vI^ci1T~c8v%plN3M&8apzo~GmYPLM1sK+fQbkI*d+~LC$7!pq z>3Cz7R5dyaT7R#a_j3X!=Vu;i&w6KJ`8htWh!Yo)puf6d{Kn8+oFaR)$mmb5GUJVK zkg*2CFlS!Rb<}(?jMPs9G0#nTHUN3Cx7m*U%n|v|4EPGnU2O9lWT(Pw8LHd6=FQld zU?wF~E-oET%t~Fdive{Xd_2`-RKMTzFlOc0G zT>V-sO=+lG^nbpm*tPko?%$pxSFBV3lH`)NZ%vY3e2O-D*3%k3JUkoMP3@0HVf;AM zHQ3bnn&}=Ll#i1}ny|Tho6Rw5ESwo9anx2I6u}r*M$Kr&OX=C{p`^C$U*fTV{{_Z| zyWQeGUpcbzMH2>=s;IsBg2V0SCvZ7N6Zo+e!5M#f5?x3-*X!nMfa+hWAl0{$O3&(A zDOKIOD{AavGkIVeIqcz?1O(sxAR+GYSe+HGu-{)^`!O?jn&uGr^z$&B-8)4RgWl zTJToCxHn>Sr)5N24@R!(ihSnEf^`4LN2`}>opZHKhm->!bBnU4hgki_%%N?D2C^Y} zA)eT;;Bb|z+Q3huXSYUBFe74rbG;|77w|5P(E(3(r%AQBgHA7(^UOBGAv*|49t!WM z75i-?c(&8lc!8#dJk*jVX|LX(f{3^{r`>2x)sk0lJgq?&I@`E>Z_R9(@G5A36w9UI zqqxk8nt9MW4w{d5F}}%|M1`L&jCwD%<}+`=HcC-v3b|erhJZ1Q`pFQ@5H%xQx{vG8 znpsy;K9pfD07gmGS_0qKnW^f3PKlaUY^=(~C$J00*xI-R5*fGS16`u5mT8rg?u5qv z^sxH1^VqJqNKPH5zvfM`NIO;Qc`?X;;i&2FyIUXaso7zLTG!<;yBNkFsUjFD3~*O7 z;X;bt*d=_9R_hmOe2WdQNT3h?QWc;B+{}n2O&Y&zE0W>8Ris$Mc2r9g%HY>!seRf8 zRkMBYK{sndZUa!^4u_E>e{^Y`gEJY|+=DdGk`<@@jFs4iHh0GH`xWE&CA$t#Bu69vkY+ z-N3aC#bw@z?q%0oljr@V1o#**oRQxl0QFaY$s50Eq)ec95<)w_=_2(NmO-yB;ZOuj zkY)g7azzs$XpV{383J1|cX7Fsi8Y29xb4d*p=BjYYe-xtZOi*z>0_pU ziozM%VMe^W?D=R5rSc^AzV?{8@oX!sGtQ$&TqR3n63#v(c{+^He~x7csP&}~ayv1x z1_E~yanaG?tARX1&;6hOWL5(44QN3w_sf#ilq_@8^x&J~`-*j{ag5R6iI|AQK%V4;um{-i&HFA<1^9AZjkVse_ zphh74I0o|(^0z!YWNLsspgsd<3H+}Hi?t<>!y%{diuQZtr7NLVZgcWOvrE3JxvQB- z%J?bex8|iubZ%`!n>!4PmJaogFHa^vI2OljrI-y*ovs_n*+rBk%y3(*SZZznDUMc| z5uU)0NhVUYE?CyzYGFN+P?Cabx_ia%uwP7oar{))vegL%!FwE+cX|5pXYG=MB}UId zBb{{8x60PbtODkE*x_2dAqJbluhY#08{Q5&-}Ep)niX0#H8S3@pIoBzU23@UmZ`}i zM08MnDR~iPUIyHXb4k>=mC{`W)QFDEP;|d6e+4X5Rjg)bM!m~B4eqg_M4;K}AD*fD?+77{LvyrS zDR$cU`PmDE{VpmGA1=GK{gPwT42tOQxRB*f&$!AQGL^I&3mdZsjcX7ki#3|`3S0rF zhA(Ko!6<3Dy~g7>bW&pE^ZM#7Cs^s^oi{N5^#De0Uk7<=0aJ4*V0Dwm{j97pvVKzx zs3GP^K8S`09WSZ3o@LsNV*y%?pYd0CI7$^?(Unx!)_8XTo!YG}sZXimx&4)+HYz(~ z8G0@)E++V>P(`HOb&cu;S%V*K&zmp4mnfXD$X7}`U3%{L`BMVoOTH&yj8n{5~0=IOw9l(F{6%`_j<f4~4}awaE5>8F zf?i}HW$OczN&;1|XYB2TBV7)6?~rRw01u*0aPsR# zS!z(a)=e>>+z^s!qXr{#4i??ftM*fCy^ZP?O}@ZxhEEcI!L_`wL{|YEy>rAAa`$tn zBzyO}ms;>Farey-AiYv_(RaNqe?kSke44=2Sg1R{p6Ig2_a?Ad?ec(@`J9nvExr)( zxnbrn|t-Y7?bxp{8adsI}&e>=b_rq2Ew%+}|2t?Y@8=9>)fBQmz) zqfwo=JW-)t?GL1pL*%n+H8A^(ybCG8k91G<--*iXdKQ;GinzM`S``Ypo^~S(T>J+&{b+?Q z#lWuG_9@4jyr68lBa$eW}oq`?STw{D5J|qjq*aj!$Fb4M!7dO_1ZVRNVvGldOPXS=& zZE1`SN%{~ELK_*!c~=s2L?R#$BZ4IK`H{q$FI?{m#$N-aZOcZFd~tTodMlU%=@BfE?9w2uqPzXnD9s?qwAs1zVmA=Fq%SVh2E!?E*xTckk-V` z)hG{|XO?&Zj* z5t;(Wu%V|K3I#F}D|zul@I(Tq6gt4zHgB)>w9N=c|fT$Y4q znhyiiEivAd{P}kgHX5ip97X%Oo&53CW`gyusPc157jP%LdaSD&W@tC)gdNClp>HP| z;bgk~$if+v~{jiqndSDSA3hp4rN zv8yBRxBDSRznCEvzp9;8rF+dySej_)knklvO8TVmi>hD?@8%1X)T-OGbB% zQ%3Fvti~-klqFgOhr@usIt8NctB(L|+T{x~hTr-SQvrw5TjEzIp7*w_Mjl~gx^CQ1ZbvZd9}VtwNM{MTvoEmg?-Ntz-gWlO$6V+T=@$UkmN;J z=fd8H$I!U`*f!*(GoS6b*1xaI4yf@My$4wF*{CUaEHw))Xmi93A#BQTo6;mO(bOvV z)Qd~jW#FSsLKX6f=9xpGvB1@{V))_6o8nY~-3j8&aZ60>zNa>9)o_`+zjqp7RoCZZ zTbyAZo84n3=9xlS_LU^wlB&42d*p4Xm>v7Z4^@yv{W)Cm`-`7D+3=J)sz~9HJK2D| z*LR&0BeWlz5z+g_Ch*2&AbihK^rFw^mg$#jP&z9h2t+GXx~q_B7T+L5y?>Tw_F&^oqcMe?^>(FmOWImXf5ce9d3e(^-kfRi-~!o$w-kBB8$@np8q zm=?Ej&<>bSq*dtZUYtQn9HD?4_^fQGANT8jE}HUc*!-wf#Vaa~QEOg;{kt4e=G^yu zbWwbZjxi7NVwXgeMt~MYe(r!D===SrvO~m99v3Q4-v|)vJ_0x37b6s)4RFjr`28Y? zUDiVpNS@R@Af)`dZw)qb5k4MH!ZV6D{>{#G6T87hJ*9+h@mZ>WCD~9hIrc4HIM^#$ z_oTp~J;w*}k7AOZZ(>7!lP1=F)*)ZMA>HbtMS#qQ<`p)STAx>9e+%YBeL4+zmu_-H zAX^23Y*+Gsj*dc*QsjwSpt%Y8h~?D7@F4E-0@0y5$t9MzYDO?h^vtLSEJk1)qo}X`|kzG?pRF(4Uc5s~Mt#JHT zkC|jZEVtslpiAkp*D<|cS^vcLg%nT^=vrSx{>jq0#FSnf|DI%%O3Ib0B|M7_3>*i+ zT}}{r4Q;mO$K=bB=(+%JLxTn?-obi<6`nI3a9zJYrkkj&nS|Y5^{dXsxK1Y)ej4{6HbGU%F1FYZ=iQkR|rp5fY?JIJ`xPh)&MQdIl;19w5 zRuR5i(P}+I@GDL9Wmuu}>@Er;ERY)q$H_?C$9kHmsKB?c{yhpZM9AGh^k5jVB>IVYc%zhTr8 z7k7bHdW|e<%Lk9|bvpqmE-_t!Puij{qz#;$tO7`t01pIZV7Y_Dr~7qheABWr8*RHm zEZ#O%s@V^)<3zBz{u@vqEn4S+p)=6vDibu+YHg*|(ph91Hc77ORCfxJg8Nu-hb~5H z*qrDi&AOl(3k|H~t~7H3t&?=}^55<64>M&}Rt5*o35g7fTs{1V{?-$|scB{73pE5H zw-PY9>{Yh_q(eSW4=dN6eKAvu`c1u)g$tp#h8yS!f_k|6SXpgT(F}1xcaCJJ9*uio!W}>)UFwq>O(|M)UyoL2% zn)HStj`Tbzh&1(r>*Z8PSp{KCUi*5JGr+&-=DO$`H3F;vgYv>-y#=nh@=_J>TS35# zRJh^-mLw`Ap|Na|F~0oE#KKL}A}0t(AXef5qCjfxK4kD<4!U9eN1uTfiG4;-BWMgI zC#HPbI@k@0zzC5RjF%(+0%*Q80ByfyJ=xaYhEBy(S%x3}B`(ej-!--OX7YQwXBtQU zaH~b0J5-ta5`kSF*-&Dv6jD0`*gyp*cq?z&09DObm9y*g);E_Q$N;462ZUl@aS69{ zackXD*H+qAeXuBTW zG8zNiLQA`!I{jH2&hqLd`|wBZYuJU9*#FRjfOPv#poIfi#@8_hE6Rpzl*@0@yto$) zB)W)@eXD^oGY5}3Z_S9`wBh=&Azq+LjCzS?IFa!z_GeBGikY6hj&ulX7{Nq>PjF*$ z^PCUkt&m_pS54S0U>At4(CHLK4aitJA}jncDT}7E%@8%0YEtB&AbVnEFv?MX)2~*a z3gP>@1tz(@lfOwG4V3o3VWHt}0}rz0`s07!Ese!%Vhygbl{EhqW&3F| ztI7X{BlzD7Gt)a%4LRW2ZqP~7lrP<=&2`(qe2)m8c%z{SzLhDP2hsUbPHg8{ zxP4p*rh?{;I=v5~5SUc`?HP-b!U0 z2aeD`#yvPs(=!AkdxI(+m9YkaC^%l@aogn6Sen+BSV(f=a}AmF zVcvjM=4oIVXOrP8TL)kSw`rWUG%Mybo`5UjZvj$9N9d%bdR_{5!VcbxR99eV-u*lO zF6Wzh;tfj}xJlSujAm_J3{2hCIabU&0#~-&=rqU!TwPEZgIdd%9CItjdR1?@v|idB z2~wv+bbYpGIzT|wlC+t62#FYJp{VVVy2~sX;FJG29k<2=q=c*%IgJQ3w})iCie*2hwH?<>wrgl4(18FTS2jCAcmzU9*F?Y%4&|nNc`WX8 z^@hM3mIcdy$`D$xQ+IJ^3M|%HGPLE|Ct==e@qq8z7BVx1Wdp*PG#)uo%jFgy9fjm; z#v1_gXLgFTcfq=pNL=|Hqd>(arwXs*er{u1Dc>jiK=+70=TA+p zoa_Y1()hD_{p$mD=;Bye@9z<$@d@CY12iY}hn?{>&YU5Ru%(ysOzSez`c_u#I`L`$ zQW?Rmn3wCAVyy%-4BScxGYOb{j4Y1pddZ@iSVvACi|et zXeODBhAtSP`ttZy8%v(2PcyF-PQmN*pWU>F;+V>P0`I2sJJGraxuOe_jQEw>sX+zjpThzX)r|EzWp+U_qW| zlGpz**FcCBI*!gT9{k6DpTDZlQ8u znok+mF7e1XJNql{+59%l{7u-vV?p3NlR|D=iO$k$VUUIP`NWNzGw-3k zkUbB5Ej*DOoxqxLJ?_{rk&99jUt_)++NQbE@(@$@rMx^@6miY;4Zqh)w5mZ0(XPXDT>yXxMIM{HNLSbhydwa z=jActN`yja2ia@$pWYuG0@wSK@*(Ltz837DAbVfI5`Dhc{YS%IL{(dqayh4kt5JC& zs7@}Q7KtN6R0?O_^@Ypfmq~*e}Yc}&2#xF5NFQ)6NA;e7|m{0Z|=}+ z;(|Dp^IIe!(w(o@W`A{3K}bWs8UwO)XUOoJtCqPWQ;Yap4qCy(J)zMuB^zU*%ftk6 zsAdI*g|j}K&wK$JFr4cvR{TTYINz+%tc6lzH$1gVw+Ve*knym5fv~+aPLZC-|F)%RE)zOLPK-ULGa3jh=3`rhw!Q1{XyN*72#ud-w z|3K1Fpz`ww@(KJ8lg<}l(&@P$tBkmnP3P&di^o|DTt=aNY?O2c-L>_$!b3S0P0W>( zwwB<(H;A1w8sQtBr=2;bd141-!R2$5k695+7tHQ9X#0m@VOlp zcegL~Zn1`a`0Sgf)#P`T;R^N?aX(nD+}~T1 z-}hflu+U0(s12ZAj-XF|Dr$|Jj^Ln)&>mYisvRh)O+k2wkf@HCQ<>91@6(QG@l= z7G7^#ce>d^S+pgnD_#@=&^uGF2A^}Tj;o_;O)VDSZu21HMETu?Pd^=lH#&JAVS2!< ziWJfBWOgMzyhQRVS0()*ie6Z|56PtRUFC~O(;)+zyP_Q=DL`+=K^v3ow_1!a zgInSbUo{ta{v9|x;Ai$8KM4?CRrgKINABqI3g=T3*OzDZp4ZX83)a)e=opgBYCZp0 zQ2l+AQ}^`m@Q<37FzFci_B*26U(xd8kn`I=)&fT|N5Ol5N}$vrT>iwB@Q~Aa$iA+~ zHcecscfBIC2pi8)#_VD}kFRbx^1XUQEo1cVwHTNkUfiUKR@3lS4Vd4oy5;Ms zEj2khwGu(tijMH~l)WKMN2L65hfGnrB>qM!5X1;CKIc z0+O}v8{inXu}FrxK{Px})T&R$3kI=@sj2}S!Y3(aD0|p@Q?R$l1(9UW=)JGc=)I_l zQAisYt?<9k!{XA022%Z93;ab(^mWU|RF5?^PM=b%Bl5RBC`HWg-EQc#PPja~g!jwJ znSYDl%No3eq%P0$n1nO@&$AxipUIq<&qnp+Ef++teYlv+tB{OQ_O4JGa{6&v(~6qX zV@>IR$o9HDPEcp>0}+7U^M+s(4YKO?fsyhvZguMRE&Evb#&%&lYt$ywc%RjI_|OV_ ziYv4bwP%jQKAA9deE7D1pk2F)h7hbLbVy=@0Nu~RSY{#p!$gGAQzGe`wT`TlgOp6p zZG<+rE9s+PT3m&|TEx;|)~3-pwS48&Q;hHJ1Z19RM`7gf!s^E<{J%z2CWl+ogUR$k zI7CtMHE&hQUlt&Dz1(5rCxlo$VA!ktVjG&NxnLM!38ZPY;-E&&+ASGI(ZCnKUu9s@ z@yAM479spcj7%rQ`qGP2b93%A;}fTic88x%&uoeM#ARtjmDZM!76Mc{_CfPUc>*d= z1{#*-=z-}?S$5!+oNTjOs+6_E02tuzq29@3{nXuUP>4NZ2+461V?tgs$pJ$Chq9ow zXVve-8!;`-7{9_l9&xlQ?bx;Xq)vZ&8n43r)H*s!)ItTB@_Hya(}a;wR*ES@s2~2p zA_#J?Jy>-LWVX*lq2w68Z!3b8FFX7(X%0CQ*EKd6NDN6Wv}t0X(~4t^VDH;Fghqg% zh)}llr7ex?sEJc^A1{lVcB{VrE`?sU*g*_GS?&o=hGUirSBNr`Kv(K;;Yh zF(e#SBU1nfh7*%c^#*MA+9b5y1@Ghr-cK%@#57BThqqQ#sw$UUzzWGMkdrN5YM|b0 zypWN@nnVUZDx4*D^vpSkQl^Lc=at(qoN>}1Iw&rTH#tQDLi&B_Fjs5tu5xaK+T@Md zmC=nDQQQ21hgcl_syXuDkmbiCE|T4_QpKwBHyz2L*y-Jwu|V0jg0oHY;w}nzM}|9 zM0|NyoAd2n5^M}W_f$#qega9;fdF)m{fPH|+B>!<6m7A2Q2rl|BVhDVG8;PpycAd= z23$`jpG9Z;Ka1s)5)9roJq_h0t!vz@9y!L+{UJ+ec>rneV-q=D++0cL`nolqtj9V- zX?ZGc%a%)ybk_-Xaj(f9B=@#ep1cbh|3D3fiLBm$sdJg~6wR$|)%PDW7w-{?%q+)0 z9+oT#f0zDajJ5g2I9u`6%K@n!(*HvDKmc^_?0XNx0q}*`B27Fbgxo{xb(TxT3N*^p zWrDati}3y`n()&QDhNjb{oR8*Aw+CCu2y{`w)#KJy)1#km;lsa|7iI{t;?Rg!!2-j z^VbutSv1)skie-P1jz)_d$z}oz8EPFB=PxI0NJS0rY56Vqxxc9a?ID}LxHjx0$T&F zzy$}k}CgJF?CIM3RmJ04Zaa$hhy9^u4x{PUhLB~26sY%$i#&} zsU)hnnj-zQC2aj}>C4_T64Yd{864vr?Y`fZuZ?ls#IWj9V6Of_!#O}kBvW`RSeN#e zPg162O=zayq@S7rob_lmFT~Glv|#P7ZpR@{|IFOGh~^{H@4&gHjvfu%d#Ap+Cy8@) zmN&dYgX-~B)D>>Cj*8?_mQKUd1D(4iD8_#Agz}pf%759$CFkr=Iti8&i{Lc)=G9aD zS@N_(MsvXldUNil$B ze?56Xs|~p^5E*ZBy8e8(27II7AFI{+@}QY98tcV|%Y27zdS0yK>3-~Ib7w${94a~IjB_by{r1e*vTUKtuS%b>NNQ|Htp zMy_T=aD}D1z>2gH3+fgIf%5C4bfP3tpW(%zS`#XiJBrZ5BpCtUdw1E#!t^)ije&7@_e*@|f5u8!T zK{HN75JR#zp0_s+Nf`d~C?ElCj_!!ba)@_&rt{^1XUH3{;1o~5Zgm-UR)agB`#~!! zXZ9d!;vkmSi<7S$R`^W}`A9Ecn5_c{W@$OKznahU*ud;hd^klf6Jw^xGoz9`9PIw7 zHZ9I>F?M}|gbRz|BUbte;1)zmP4X|cDLCgW-_tQ?CB5rUp^=QMzbV0_7w54W+|2_sD4onmeeO=f;t75<&#Dz``+;QQJsRqI{>PL2(6dzU^{ z2CVjGuy|CBfF(ARSNNP^G4X2!OMG(B|5pcT@c-AR?{N{dxF+0n3YJR+-QbndgN%me zpC!(Hi7YPiN(*n*!8L~^84gYKMfC+seg5y4RaAh~)Gva+rVP30YU+<0rA3th-GEdk z8mW&wZO0reOOtT5X%2Rt2crFUtRsS>kgO=T_%vzu<5bNE06jq~%<79NN3KEW9X5<2 zqkry3DzM@xTlDgQ*|9R$Xv8Nl+|uXCt5RhCE4c8+(f$Ch z#s4XRUvvLMhP`P(l(Q*vP@8m1#*e3S;!n6-1LzGvbJHZ|U%TEv$vCc+jiK|G;Ud{D z@Eka=VRK=}j-3TVb7P&0Wv=fVX%yv&^JKT)gmPCPzr0guu}I)DPgnOl&|wrC;LQY= zJj3nsL`p*y!fAeOyWV|hjs5iDXssRP3 zN+3MCp8UQT%*SagU!3$>OfMyRCNGv7_1DN_Ax0Is1_aFci+nly3gqAw)_-t~={CAu zcYSg3xm9j`o&Uv5ErLH$b3tE)x7Re7ax17&%fc4I`zdZ`TA_)j-_K503abW zL33k6Z%q@ZG-#`?|K6?EMavg6^^{!jvB)GydR z_QpjSjv??96ZfezlV#$3Lu2D6GPj~=%3AzTPVDrYkNV^2P99~X{BI6YJc8F`)C{cH~VJK6ypU=hVfI&0aCP z4%HZ7IXB4f4=~7W(#Z?FQm*{1)QYxNqd82TEt=kAb zQydtH!50MpuTI} z8j!7(HAC}8e41aHJEHyO*M6o#xuly zOc<$6*WU5cGe+C_bAT4?#Ja?USuRRx+Jo0=al(6aH#ELI2?lhnRrw)c9p%;C5JTd0 zhSYx!_9A2JO5=n&I*)6C&xaM=ALc$PQ2z3Aw5p_sWBgFnyuO~#drE0Eq=DE8XT^w~ z=-MaDq}zH9zF)E2$8!wawm3NH`wRwvvAb4lvHWX_7hMd60`EJ@`Nx6!2wmm#B)w-! zobozB8dP#yTP*Iu{U*=t@P$@H5)}l;vj&vltWD(R^HBUlb5s7=+BqTexerj4!`HUH(GLN^)Hd)9lIH(2yi%|#{w+fF=i?u>m0p#`!`{o ziUKJ)QyY?gWCbtQC$2vjgVE-w_olA@U8ES>#`5qhDL(|%PhINY6z$cHmUlC(SWYSq zE*%_PIoDeTP`Z(E5(Qhd5hP3*b8?MIiPgHN;c#oL*fl}XExQL4oteOU{B zXY*03hV3-R2)}{=3dfDuDZlaN77|DxR6h&4*OxaplGQ|AHB5voI6>)B%dPZdvIVM8Hdc79aWhb2J zp8)(KkPe}uDhZwtsE}9{QpAkHWvHnsYx8K*J_<_Lrf@onG4nhuKK1GBW4Ld@DxGBA zgze0Kj644H^IIC+CcXXJCuLLAyGRfj4-nJJjIBQZc+T2h?bQI@Nwg*7MNRn&+N}54 z{Si@KzQSE_8_SQJk3-veZ!--sMw+8e@hWB`-~dXFe(-0BC^XwXu1!@{NspwE63Su# zANUos%v(K>SiIjx3{g(4W*xI3vvfx)%6?|iF3LVU>~MmVi5k*fnfRljW3Bn(#BYi zt$=mteqlQ=r6%?{Aoe28k;zdvs4@;&U1>J00|W3tl;dcF{KSCc%X@`kR3m09!0f>( zXi(x#TFY5NYmOI&oTtkv2a$4&h3U3NHDEN0=gCqs6e{DGSZP2vS-j`2vOeZrlLdBp z#hqI-?3LUQs2@v_vHnW0ZRWG&jhIdvE|Va5Fj6fuK?k_mr3#LP{e2qJOfPFN(>NB^D| z%2k{teH$Zd0TqEoGF5ut&!vz{d;i4H<6@eiFyqhy=aTUQ_uk!${*HIKvt2%!3mi7u zSeJ6B;U7&m5{HgrtpIW$+keS{U=08ri2omSV1D5HKSSq20(RuDpXcz_0v2x7NY`9RvLDYr)f++(&$`Kn`8TR*m=`HFYrVs2+^6YT~;|KHmxnzNA1 z0ixc#R10s@Ihj0J*a$23hQ&rtH7Ow^m7=O#MKi@JEspQ@_mr7g_l|H?ki{^0n)3$+$txE^H)lEGjvBGttjJ|$ zi$a;n<9e{6>&OWkaioa}BYQ91$?c)90E}VY`ZLBbKM*d*32mfJEu28$K&usQX^vvI z2i{Hr5WNd{WLm(3X7yfh4IAx0U{G@Dg%O%|5m&Q2O9`xPhGLIzA33DCSBitW=f3+( z@TEE43Xz~QFo-xuF8b&gV;)~S;Vel&`_)cQ=kRBu?2E@+@9Ba|lwj&IbqgBErqvid zKryTbD29j9bJHKnU!#fgM=NFChFXxkF*CACgAlc8e4T4DHq`6{AclJlsCeW- z8A_53JkHuNISXCdNumvdfS`Mi%{%WWu;%iTm%%b-C=UMTYJd|dkWBm!7@7d-fCFIa zfs?q7>B%f}1VH{pb#lo9l!E!_CL)Qu$S|O=Y%!Khjxlj={b+@2`B;uBM3>97+JflN?RGc0HYS97|3Ty-mA%^Ok!o zJ4$zG09CSCwwN&IP#Uov2A^gOHJEm5>H~_p)Th8^{hc@vN^;IC;B!%yOgSw8{e#al zl+{YxVImjq=AHc!n2_b8R40CJ2X>wDoLg6va6tNX!QvLk#F88;@K~pp3kya2Bom3H zf*j>mFC|C^lmX~8XqpC90{Jz^;>aN$hipfUxTiuAemXg>6PVs~(AV=|vDReTU;AO) zv^BMU?FgTMGFNcv1~ipzK~7BLb0C|E_75=G!!dMT(VAi;mRS-YR&e>}#v-I1^5iQF zr^FzY0$uT>OKQD*40eetiBIH!%&+*zYFtKyhFCBnLVei+Q&R@zD$q|=w?Pk+di`OC z4_Wqdc7Up|og$XvdKf&p)yKfQ-}5Y3qAkPHKNh(lOJ;%?-2taVk#JppmT|be3%4Wi zf<%jAH)^Tl;BZ-Oa5a(d81-|}&AAt@G?oF0*sw6U1*Tdg*fUkd3)%5a!}*}jxz;A? zG<8ON+0p(BEdaSPkR|CY?Q=zHv zdhGMNcOx9FG;$m&p|L=z+PFZA2b84hZ)i52*^`6`mcWcJhhtaW-~^a7`CI4C1|IpS zcd|(-g8jtE?{;=_8$A}i1tLipsi$egVGAK*K=xVgO6c9Nsm1pC#MozSvG-*`VKxB< zEN@Lbc&MYF`s{OR;HQ>${n&NX5FSU&Yu05;J_~fE&Z0#@xMMCBk=WAlN5|w*5>=9|aFlG7tPjmvRZW z8Mx>(#G_*{-!RuJRR&mxgk^e^6f9r>cG&eX{6Db60B-}8o9t)zE)8OvZ2wu+N08S~KB|2b2LrE;3KP4lmB9}Nb zlk6X@4!;>ae&1A{n*2^glwIT+9dP>A!>J8q`|ud8KU*#TkgKWybbT{LqkOp)N`RSJ zNJ%*l!O44aVC6WnPH%sp-IRSDJmv!AQTfZ%yXu&QSm?ZS2ZE^(7+(=^nAG`vb$v=% zjU_N~Fwx@qR}PK=P=}?@sKb;~b*NW`U66k>&Y)!+aFWX+JYnw z0CmX26IKvS!K?y!C6*vVvMcN+hGT#jGxgQddgD)% z=HSCSAmY9^&%YS;%f9pFm*lvQT&blwWGM0oNq1b4;hbOkeUoJ)9Ns3gB-gM@ZI6+o zWV9b}oQRzf<-V?t)S`eeCI_QI>JQ}kOuTSTtJj#edxp-jTrf?sE->B|lFO!mTowA=424Ikf+VrpIFELbFk8y$*H*(ux{W^d z{;idrm@)n;K!hNL_KFm_hlX`nsnak*zHZ&LOwRJQ1jd2^^gS!=n6sXhG(1&g9fmJX z{ti>8@Q!2>>Qsrn`hDa1dxQ$l@FL%3P$KE5-pyHgWxgN$JTL(N|0liqPkHcvJAZIk z!&wEwQl73tfEn(v7~VzEXppVOT- zy(*?XG0%00eXdaUvaUPfhi9SDE(h|(>(L%`nzCeTFs!Yv5y`Q_&y&y(47BzWr4-?? zOC`~Qi9y9X1O{{^?Z4S7j93^tsmX=$0EJQb9p^KJ@kMGfFpT-ftKRDU=NkN5;&Et} zS99cxSgEAPD-}TY+gK!->UM5L;yK+8#SpvCxB=DALmk?gHNCw9O0qmj|C);pu7v}- zO`t5|MN3+4H5Ck;0E58}avKEnYLkZGb;^`VV_g%?&swenRNg2=reaXzHucQe} zheQp<$Gyt-?Vo}x;FPFg{9D<+iS3b+lY8Rk_YGX8#?IyuJ(qL}@CLpSsV(niRU-L; zWdNG3Lib!-5t-*ei}ZWktaFn5Rt0dP8m^#kHw<(q=fINU=swN`QIYs9ypn)>&uIM9 zf~UL5XPI}>d9v#ht5xkJ3x~1hQ(bcH5ARpg#TbLTXqR9I(GlAgce%9OYMpgJ?|+j5 z&x=%(Cl{D5i2iwbq_UEt0Em0UEKNMtCo&I!aG}FkiC5|ORh~IG2%+mblJ_>pKMA?a zx(^)0!23oSX{26fx+yG24R*B;SvAL!k>`POXB!$o4)D8Zh!JK8a3=lzauOyoWcb90 zVSuw4+YZ90UbZ8DrZ9r0Kzn>Pq)%1P6vjU8vTYzKs42nmk%k$_F+%;$bKiG`Qs}LO zsadKA1(pKai=Pb04S>Sq`JPyq=&plf|0*xGlbRrh5riWENxf-5V57Zx^kw&`(ZDfl zz8NtpNfu>TVv;YdB%(VH0S&>#qf}FjI=r+|If9H*#T=`IYb#mXl;}TCwStcan0sGM z0&VLk@mJa3zSNdZX#LZBVxmsGTza+VlApU~T~&BGBN#$VlAqtOxSWRtMh9;gT&qji z+)*->OdzG-p33iidkG0%Zo)v(@p~b`3X*sbeqhlU_nNM06X^7;*tcz>VmI1z*VX@(}Z< zBO_Y=-REEKn}(1D1~3)f)1A2j{ExXB;zeA78_+W?9R(V7i5`n`Ldq;0_}f-uUEJa7 zSSqcn?czz|U;@g^+|IDO-&DHv<{v)0&a3U|_-Wg;`B_RS1F|eH9TXKYExu%~7cu|m zO&;xKI0ko)uktHJa03`d-{n9#>+5fs*Q|1|H+yw{r7&4z4!Nb?@w!u2QV|wJagaIeO>2y z{#0hrImH#2sT!Y+?Q&g4jkM!ZML8(QT!f9Za_|{BPkNSY4!%JxSg(T`xlSHL(h+Gw z=QuV+WlO3t|K1U(Y}A?`2sgzOy>9QNg(S@%1S4w# zK4XIoy}^Qmo+;Bf`kiJrb7YwQkQFz{<@38M+VGgzFqP(FRW1;tkJNxKAFeu}#fEx+ zxx|oPijsRB@q6dBEk)gZdnSa~V6eP0&0Jy1H$QvNV0`Q4gFk; zPS@C+>Up1!y`-kCDn7Tq=)VL;odL^|Sm%ifs%}L)>;&50#gXW^{62a;@i3@7o@7)4 z2i~d5rbkn3j?(53!pg}x7+60oTJYi?tKO@icVr8ohm~K?`c76105KO%omqN_((2s- zg_N?3f=Zl8F_K#I0g5(_)J+agw6U1WA1mg{hDgu%pYeA#pvebIQwXvR?Od?8vnf*G zN|E*MVGc%KmS7UrnB!{+WFvo z-Y007Fr|sUIKb@Jg4_=3&A`&fQF5M%s3A93rN2It=7DB&JA@1A)4__R2wH%Ykrq0Y z@Moo>{2J~&8tRR_x)r37H)mp$U*aT0o&$l_u|_>;V^2IpWX>1uA*Pjb=)iM!#fWyb zGr^6q4Gaa#l0!ev&`Xini;p*H;>>BTH+@t3y{iO^ym%oussVJo@_L6;QCmMv>@H&~zZh7hR9&;ke{CUwrT53aWUiYiVf$^YVgiGN#j8TI<6~QN(*yHc3jl_>X zq;qie3Kw-%y;L*drP(`h44Tr5xp6nIh>TU)ypro)C74fBQMlRWI8>sb&Dw%rt^f!p zergwB2zK1eT?Z9hkM}5?oYT1U4|^+^R|&p)gw;$?&F5Kl7+}wO7_X?qg@ze|uxHqI z2dAGYgADXVD8Se&t)CzaChonSwHWf5By*Kn$jMhL6HEyP`nS&Y5d}z z&}iF3dvQY$@7ARdD)(hNz(x?tpJii%F$)EluEs=fOhxSoK!tRP2=K2kKk`c+yA~JB z6#_p3%~|`O%A}-iHzBry56B#!!G3jPsJ#RgOFSwS5AH<68rn}T0XdjH#C@F;8?f)Hy)-Yt8Z-DUGUM5UU z$bAXn^Ui1NWd+q@4vE8fu*R6b=}SePf;{VF=X78`I+A51HaMedd_j9}3~3577lU{|t``{C*j?iB2K$a4ZBU zB}KXl`1-hVk*8oGrRNfC(b8>io>|`IgIj|V$DqkFswX^&X7u~5goI8YUVPviFOn2)y;n?tu2~J^ zVKG=J3vm&P;|vc-zsqIBmK7P$`b~7>=iDu?Amezj1Sm!o_W(vCEK5*-I|Sg+&6UfW zm^~J{rjudneBpYzv8l7@^>~%vcks+|`$Cq)VH4w1%Nx@e;}ayDZl6Ad5q8_pRF?-= z#niMS_&YrjD~&>kgx}F;*LeL&xLEYy07mwKaxth@lv7$Vai>!6MZ6YqB2b4Egq-KU zT+U0MHHrSU9*~mk0Oh7w!>dL3l7<})Fun&_NQN)zt!?28I~5MRv9i+{`4|)g$tmWh zQ=~pjhu<4h4hp?>zG!Kvp(E{XG`=L**Fbd$ZIpBVOpMHvaeoG~z^QBWoA~E!-{Uc> zuxXo4FW(4XkP*w)apRX^zE8jL!Lu1qAiq3phZMhv2m77rpnRB~48*I^YTkOz8XtAD zEk_nr@Qr14)NR_r7A>{kJGZ|;TcqD+|DSw0 z#yLkmWGW=Ummvd8rg|_i1!WXk=HMu(Q8^<-jZ!td@0bxcH8fBqn5d#}aA#tiAyIR) zZ;-1=wxUY)#c(IJckW>e-lGjTYdvS4))f$HA z7)+Jn&I!YXP8KaM5R923Yj};Dk!p5ks&XV1!=~I;OGJaex;%CL)lHz!0q@9a06-)5 zHzoY&rbBzDM6ExyoPD0fe9@lI0$Ac>SNNO|37c0nr0sQ>YD`6-P6O_2EG%_**}1{_`jw7&wpg(&Z+Zf8{IpO)W_9%aPnvDHYQB#2GoEuo zuKQY;lL!Yorf*&M6Qam!^19xvynI9L)*m^|v=A%w);J_N&=4Gf&Y{+i+_M%Z941*R zB1lF$1}t~FB`zx6xd(S{`GB&KpW{+AOE`xDb}I=q>)?RtR=1?G5k(OIXnYF?G;Yg% zg1}D?(a^>m6e&5T*@0Dxsm~wErXz2B?+LACO?As-pYrrYJDE6ATw!Dv3Xs0NITHSd zfyd`uH|=uM9V4(08@*1A$FR^Y>HbP&V;}=)xWP$o5=ht{96h!1xn{}h{d&ySM{`-& zvy5q0Kks?sij#SMiLlmSGH#bO)$#g#$#dORFuL0B_y>bwckhWqjP_pzFXTq3It--+ z1|P^Ts)We__DJbdvDdjQ1UVkP#S)hnG4T_D)wswM`(AVHbrd9K*NnxsRKOZRg@Pc4 znImjQ%v@xmjlmB(N>y#-Ou&{>L1)iPV7_@ zBIR`6Maj9s@r;%v(9V%?Dd02u1+R>3Pb6Y#Qjl!>wKaO7N-DAlJ3go*Yg;nd_c4B@ z_emM-v<_VUg~DJU)&om8xmp(l#&>+g`Is}8$-gtEyHX8H{|p{3T-GL|~PA2q=DVj9l$eo%zf(u z4O~#sYbmmbz04(@_q-WUW{g{(A2EFke+6Js3dcbK_tDZfk8kc75}~G4gdX20-S2xd z8+ge5`x@7aJg0A)DlS89YJvCt4Nz==Ryvu!Qd@3jD^;R>D~>7d)@vcGS?`iR1dyX9}33mzh!okUvw>a(x-`jmr{Y_M=p zk5Bi$)EkrJys@Qk?_>VD#ff?GF@wi!`V&89gU1_ZtrY?>cLM60k`yvr9DrxE?RnQQ z<;H~-l#S>886+Dp$5|rsgb^SLYa3{`H|H+WjAP~BG&q_w0r{&08YDdIe|;Cpaw|VM%GW%RUTh{>>gs^Yji_PvP|35@aC(@~)H6MwAS`?>LNV&r~2>GKY; zmC?hI`IkcOCPnZ<_{Nko@{xBkd*vK*!85|B{jJwbhNnA0Y9FI=qTzKXbx$aJtLXnZfA6J$;!=x~0*Z97Z6Y7aYB z_e?@){s{a}$a_!Q%v4e{AQqv-o7O$E{7TMz`jz?z2~#|V0p0x7SutrkM1^@LbLt(= zBUO2#Ibeg?`;2nG=2`eXw$W&6=zeEThQA21_dHPzjik!94-rD;QaobF3WNoLubPQwNWyS;-1A{b#6&3tD zVDd~|o8J>CvF@8F6%aS4#kSm6Vs6t0QD%ES*u@F$UThv5+xWcQY;`mdU>lX3BbW;A zS9jq)2{8R#3nt=}`@N+qZ1b>07#uy`7>8zjf3O2k|K&a_yt&dOJp{WE<1<8(mJ~AZ1{*8+6Ikb-2Te<95YT787|oe*D`9q zH|6qMb@K7%-=vn1WDSPw$fqaFc0#^%qh;NV8NfG7Th?>t0Q`LuY&{a4|xwwsagU>96!SV$3 zC?K%HESQ>tX{g!bJm;xV%JuUF22`Ml;X~hdfh9ToSD!wrB(K6Ln z$g%ND@gO=GX9s;ZiU3hSA zW9|DJ{eTm4&RIL={jN%IDBJjFG^X56?HVY0W!?^6Re~^$99u0)ms;_DydX8~^@~~0 zg!`)K6(|@$vC9Zbo@Ue0)7vjXN;U3feTV7Y0Nd!d7f6mtiwtjq4-ufz!PxG~AC+uA z-iWeHyXQ8}B1Wnj8a3Pdnl|1}yp_M_HX6Ej;r8Ty{2@;dev4HE{MHO^KaY+qS$7=< z9t!EfC`w-AfnTu1$h?S^NNU=NR3&`Fa0frdJF`lgk3}tn96xi=}A{9mSFHWxhXIaRl zrzb6|{DjXaLh4B%z&47O+da%80ocZxf9t}Ma_0Ce5rvRb#-T2}+q{e$cR!y?m_kW_iKto5`14AD5$NdE@*f zEb@~$o$P-b&H7-|L&0uW1fMuxtr@P20;P$R2UCDbJPqGL*Jp@K$=Zb{G*f;L!$?HB1!P4!M?5^F(Bq-$NukE--(lR zSeG6mexnc=)N17H4P|rzb|{mqUzy4Qjo7|3gc_mw{97{Y-><%PY{2yNPf4nMzjra> z%~ayoy$@A(0~D};LDp%mq+Dlp6ojDTN}s+wSxw?3o@18SNQ>cgL$+QjWT{vY#nE}R zHVXNW%3u9vZOB4&UWHGnp8{m=I0P17rHszq_Wpycw!~C^s&py$c>I|s{GZtkF{Pvd)8FQ_Wf4={FnE2$1{+{gEHCg7hm9x{kU@6-+1 zH%ddOrSGEM;oY9I%@_9?xEYYGS?DX}GjMPR*lPwZUnE(9~#H6IwoS zPR*=5LG^49^fn)=6&5JPIZt8RWbv9NUgbRJ-+J=bl&i>XIwZAY<{*uzPu8NQH!V)oeGMoQDFBN6juAa3ZRW| z(JS;y?aYYvb_|+3&@@0}*qBR4K2bNpBJCd9m~yG++)1qZ@A}J(wq{I$oB_K4QM!if z&Lb)8yOp)y&%uC`p4TP@J_OZ?8mPX(>7I)EPmMdh@6Rd*>(_>ui+|Tis)>dyLlB*3 zbCzUljnvS8)<$klBeYARdHK0-OGO4mt>KENJ#)r(dhGSq!m7|%n%DZKn{RPw1g38B z2&vwBW}ZM5_h}_n2kkS_eTw(QJB1+%FG)v*wxrdABE#@OaT4P!%5C=Lb0=T_&(K(F zvifY-HUucJO|;-gNB(eO=F8GVZg{;3K8P&DE~ICg%V$wvRE5~U22&-&^P@xVRrw*A z!TOn(H5aevt1qcE^t(-%Z;c;%^Jw%At3SCfRr$~uGN*oudwvul9&0;q^04=sl~5I_ zv~O`0VxYbV*Ex*l^l>{~D4eYl;;7+-4TnQ^pW@)pn20zt;y>J

      6OyEhB!tHy6+8 z6Vu9cnFU#tbt~3yczb}SA0e@%I`rWI#++BF?$_50FT&Zl*esk`VP;Rc(meDh z+|JPMyqcMWR=wJp87D^ta`m=UCRqS5e^&4*0r#qSW2g0L>o1+xElt|mdO3|68rnJ% zUxL){Z`n1TWvD78YH#f4^$G3u7`k-PLbS*Wf$O}Xzk#O)mGr#76N9Jy(At(B1#=rE zWEGT1VSp3Pejb(Riz3ooH8L{j@U^kCmfLL7Mlm4@_cm;8+RwSUf8JX1i`~B^?tSrf z&Mv+0nmiqO6OT2A44Pi+(r@ZiW-F!Y>_GM1sdy=yF*tU%xvVW7-3ulD&8^LOa^x!s z+6q)P)A+)sj@Zm_^|9iPI&@q{yk$*#!Z=b7&g75qqdlNRI!R9dUvkC8od+9@bYVb@ zBzzl}q~0?MdCKw`ICj!0FAKC`Juv%?-B?hd%NOs+OPGganDjNdk1BjbhL6~i}rIsqw{D-^Gmbtp4=gsr+3~j9dxC8X!fwf zE+U{Abl&Ov(b^t_ZvY>x(OhjWhZa7pqh9cf!jD8@E^2O9$h<#a&6-NAj830r;fk^Q zb4>oqMQ%k-;CE|?$(I-D3^^@}`)1NAaHH65Tsq4+Wd}S>U*m3QWZy2p$U=Z@A86UX zsyLBI^)RmHyvFO!&1$3Y{4iqzJ%|Ehj!=q@-f?70^sKT5_0L1_F1?5qb%USfD_kD6 zI-nOKTel3LZHSNCdtG{fv05cwKok|mx<2&xx-UsrW9M34{&ZW;M(g7Ghz0&FXy|`zHjjmsM7qCLAoH`PV{?pR&!RY|prgD8O_nBEVA;#qxTZ zv2XUguiM4?ASL7_{?kYJ&&`2j8rdaA^Qxu7&{!Dl=8oK8Y0Q zP^@Ub1~#fEsBP__;|+rN9ub?OvgR|hl9vNP4%`EW`_f2*?%yGpBpRowIcJ^R?d_K# zqgSYosGOL%lf2gCK)l{vMAQ5a^4@Apa$-IuA6uZ2_<$Q(=*9Sm#1j=?5iCwfCL)YS zqY%CnGZ!;8%GOiEXKb>v-Nae(wxs`73O;Bog^&GzKJZ>{b{5jxr*C#U$(u-e$LxvO z+yFdh`Mx@@Hb`<`><=bcb%xP}jk=9m9=6RIZRd#VEnK@t89KN4=#Bq1c>Xg>@RjS| zOv^N{la_BgPp~AN{kx!$qn6Hzx|Bzxyez4|z%ZTs00Zk`tNmVkU3!?s$~(SUl%z{; z(dV+=*)f*p=CXXW_iq^p8d#A1ZZK-wD)hD1{YuQK2w5WTn?sBWfpDrA0Vu6#i@%=lLEu>m!Lb|_1-G^%RBU)D(j-l~Z^jjR_q zYm4~_);!o12X#s~$EPftt;pf75z~lNu6V9G>^~RJ{(|J2RZw914MAm|W6Zhhv~MK; z3HICEpbP>kAyjMQcw#@eFetr7OJ!EBv=YeaUq=H3w6RQJKIK|`QWq1kN+?}Bw|~iV zlIi%F1dx&wEdI^pYiaf)8&i0`O*G~8w76*DFe`eG(ql_%@)DL!+9gj;xmq}fn)=l_ zp_5bGz1|=CFTwxjlI=)UDO<`@s=CKv2wUP7e^EVe4wMtx89tKsYOg{G!Np;HwaE`Sti!fZoj#l{C*_z=6gqvi2dH z6Y9TD+dor+Y1Jc**o1nCHmZHD^FnFJ_it{VguXbOX@tsOWQjr5`6NyJg-|c%S2w8@<#?2qjsakYh&@{kz%tS&5ZOV z3$k+cgl%|xJYP`GDX_lp?}8ie4j<3 z^c}mMRV!p?W-huam|e&|sx%2zEL&()Ke%O(j0oOlZEfuvYuvUm{nE$$_hs}H2Nm6% zW00F56_X^QK=Y;|1@%9|PY-NBk>Yf^UmhGFeDd`P>Q4gdqbX|cgz4u$$e7~C$y!Mb zt5inEWA6FSHnWdNbbXT`^1B&0|Ct)qitEqTi=Ia=V-27n8-P);oIGiD?djNm1^7Y_ zaBii{KBNl*{&Uq!@p^L5R4h|Jc_6j3^`drAnOA;E^=O+{c8_j6#xFI|*W9JbbRuXy zj~-Bw4-Sf;Bm$h}NZoJf&;8mf7p~cU|Lm?Y|^^F$I$>m2jLbW$8_H zh3m7rKRoaT_~%_lBs!JRW#o|c9*OAgNGupOO#nX^1+Tivm1ITw`1Ars=HfSWL8`R` zSccvhLg+Zq@k(Xfgu~yw41*PEii3E3C~GoP`4kH=`Bng0&J+#yq^-k~F|mLsa-eQc zBJf#;%z%*b&sGATZ;Q9sI&|$|*YtUA=iYwC?#`F5eO5)ob1nB~E*B(C49e}~seoC? zB8i1QEpg}K6j){8yU6$A(TE&VR2vs31kC!0$kvmQ?Ur+)2v={@} z&(u%1&!mO<(OGJ;{Zo**LDK0$fRs>qIt9BU+$fCj`S<%)jq(1C8Q(HF=&JfDxp5#6 z#AOjOz2}h+vCw}H%nhWeO%q?CjamD1evd7sOG<)m66Zeti zvjCizFlwWM+i5)sJikBsGWxlai7Dcae6Y9p?+mDcZ&(De=G?e!de~U$Y^<8$RcqJ_ zZC2~a)fmBd47{&aW7?8>Y|uhRn-dgF3SF7wjE56wiKAM$=%E@!95ZbOI%Aah6a@gXKmd;Ay|3=<#NQ(0AVU{>VN>^MjOyZxOaX%q#9xOEGug~J+!HppNK zrm2F=PF3}ovpTot0AYB0TZ{dIfcfXC_3UZS-74SD77zrWod9UuvLG;px*A~Ytc?5)_Y6hkqVgeS*95}>=2qu!r#HyMEd+lPr5q!?Rq=NLcm+9 zQYLKPL*%f8F6cl$1PQ}Y&bYK|v2(_4$!hk4oX4lf;d0eqPJw`0;Kd9co=!rPT$BDa z-shi)OR1TNLL0`wo(zs+(@wP=9EQHv$*;yeqecod87XY!-d+gV0ghY%I507v0nw$8aj09J8s#2_FFj zieu^e?TRtMfzM}X)CU6}5K=OYed1F|pV(@}u+Pa?4dQWIdw_G!(=@!mS>Qb=(Jzyu z&UY?!A)@v|8B6OeP5Sn2t1B1e+$&}P@%wK>*CU1dX^Rx2Y;e0aG4ueqeMJiWGT*#J zh#m*LXWblJd1Yy8i*7n1Onw*bQ>sAvK2ugAA*)Zo(fu%f8rdC7RjsWAQMW#il(}Z1 zFi-CgRfOfhD;gl6Q~i3sr#{BTXRc3E!P&R@w9G=*yg$j|f3Wk|l=oy}(?CT>o2Kha z#P7xt7eJnrfW8+)dw|_*6;Wfv;DMdQPj^Oy$qNIa8f%{w2{zuzcc3-2lk>8e{%Fr; zM9n@Z8@SCUq+qESSdK1nzv2Cn{+i{0X`#sa%Csd*r&5r=zNq)7{rH$@lfnymk=PDN zMJ$SdUKROx>~h^0Q-rqxwsxBJNsld-2&Q8CNPtI!xkB3p|VD?OLXE<~IO+Rjn;La)lK(oOC&^BshC}f9|F*IjJq+5~J*2kfX zMMd?Zvl~zieLdbXtfw=q&(**_;X_1XdWum23yi9Zwm&=>i7wTvfJNchNH+e;dyBbQ z`D4P6QUkahPtL~Jx@oIva_i!0CaoN{N%HmzKjGqPBOFehjSqdYVh9_Z{;*c7JY(gf z0HA!jzS}28veBoD(h**-3Q|^@Blt=N!lUFh#-QotY%J{$d~~R8((RMj^N(k8efscH zf`8z$DO;h=F~U-lX#v>|2--UCO1_-lYOu+wrC2^Z6i{j?xx)`AT?5@B5fkmCrfW>V{ql}&v#N^EiHert|Fjr zg+|*$ri%qwQq>Dn^c)n*@!|Mqa$lOFS_(M+*)(rCgeR<8N|kxFQ1v_?jkEd(!=-N$ zLA^%dWC(L}1nR>WM>s^>-OiwrtX@2raJV?GVz4jYvYBK+`5ugIYCjP(_lYrOaN z=XMcu1R_#5(W}6qw`(Fs_nPzn>uCA^&-o8KIRLmUB5sx*n#)By`fgM1TjZHNx8}?>bm(g({sxy zNKjt{t$3w=5rWeRFM2sO_F_{1_s}?#u&*_n?Z;7sTJkOT7kQrJ z1g7#91n8f%;81FO#tpeE$GM4}7vB^as;k{9pFlj_N%~={9kCTqD-Y4I^_K6}wN3vp z<9dQs=kJY+(E)v!#(WQ7* zYsoL>&)dfdK@{Ebs)ngndo~TiL-7PgSb%$aV5@|JKiox43c9<&uOvwmZHdLQ`+%t7 zW>xK~?sJp+D5*#{VQzy;P~?_)_o0z2CB}3^xh}%(Xo?&8XS=)0%|EDM0?}~dl^Y0{ z)D&^gP#srUzA+yPuIP%fqkl_+CL#w^>Q^e z!N>fRiQl!MHD$|6aleM2n4f*FGfOm;qprK95z)xK?O%+K<@Q1c!lu&Bi(#ek1^gaK zEq#xqX4;qE+p-s{i3V2RC6o6sX4^mCfJrB)Ap-)Dop;^#TiUNdXI9X?#?US?-%tu` zj19;2?Lua;?NQxxFSqd`^=E+lt7Z02P9wM3rG+k9!8)&AEsYvUdpo3`Xm@lf4cCbk zyq`nHWoA?QEUqSa{%8u=-^UhLnaAckR)}TrOkIIJ#~G7YheMb!sRue`DWN5e>SBUQ z(2}*qy%hKupE8smzM+M6e~CE%!K&oUp@_>eAwZCLHyJ6P^oS4rWMJFf=|P?QhVzsRU3|rpGb|YOwJy6EAo~^z(-nyi)W`d_=Q&F zFD-`}7$&oB`HG}0-o;%UU@VNM1~WoL1!=nteBYjr5F&$6%~KR(*(-j-1_AHr*Svkh z#v8aTt$VqX)+c7sgI6?8?z*xmbnPV$NKYdwo+*V z3#S&%(3VeC*73%dlpao4ZzZr2Msfba;_dp4YkKcBo`^5k%<3+bhor;0X*;~VkVU_$ zpqf6?h_)Jls}#`c*yAa*P_UXMolQG4HLi2T@g!l>le!p{f|d_y>D^mo3BGyK~m_(XK6w@}Asw>3w{B`uXrj<#@^c zhj!VokDFDc3w_;!$5Dx*67M!nikji!y7_oIBm(%!OTNPX+8iQ;4sQJOn{`xkRwAtY zf}F6o70F`+Xyz|e;@>dCI)2B0R}zt0Iu3cb#IOj>Lyr9|lg z(|G?@7cF^n%WM!uR35v}HtruS5RE0HK^El@w{hK9Q(d(#1d9TGtPU{Hqkx&TnLLGW zP^a(kLuMI{ld7<{%c0YN*zYJe-$HbH`g($&3VzI zdH@`C>N7Zwy0d&Aj-&2c^P3Bl<+X+Et8r5fig3p^Y)O~CJ4#yn`n`9txH)hI7Xlhp zJf4Fsba=+!`DiGQn2U_X>c_IR#F5up4iApk55?D&g%9X9$?_Dhs!mOahY%@-nl@1dT5BdJ?d}kHC19V<`2GYTb zK+$t5kuLQ`hBQ7LhxSv+!DT}6mgZ@-hrl4ZW8ub*zaCJ;)l7(c;#FLpmP$XAa3|ZS|CFTf=wEzqvgJyV8k~* zj9PZFmJDR4mDTn6>zlF+l0EeCpIl8g?_7j0vlP%Fy6#7AL*vKp-bEXAZYE!7{d0aI zsOW>zHvsN zr&?WolGzw}>$r^@ftGs03r%KMDI?+;ENL~od7P@QUumxOVbtEh`8HF5JYOa!wKh=1 zQ78OC3wSdR!sT?t0U{TvJ-+bk;Itph40%*R9`p|k5#&i7zgX4A^ydGn_7O&6sPY=VEzAA9_Px8@qO1~h;0deZ`l?yID(H}>Lo<3K!joR>S zBJ19J$gs|K22>v+p&fLZ2?8u$(V3x*l8Bc9wrPtBcxgmKShX*lAAe`%HcMQbz7@7` zgknED)Uf(9ls83d)nL!Z?lrZDSO!SckztGXBx?MjEmNdSgJx7KLCPk^!W4Iw@~KsI zlavjIZ5iy5F8NQqdBX*@E?>dk3gF>?COpWi)xcx1WlWj6KUVtejW78O))|v z!5#nI_jdMeB#?r>{SFoyo4*frGojt-lt7R>dZRIfioctO<1@QS_PRs#5-w#%oX67! z4lbdAki7|lTo6tfAO$B;*T3vUXmTKJ5FvY3Hqk#F8#2N-rJ;u906^59orbT=93cRr zj_-rPLDU|m#+LDu;U-G!p5f~vN~=I-(vSG?a~MS3@$_;*PqW>o?5XeQ(|8b3l%U+kWae-EL~}3LK>eE^m;a zeF?H8cta`=^uwL=;2dfC~{;P!LFMy?w)Vnq@Rcsgh zA;n0M1zCg9J35WioaWBU$j;B)^;-rpTJI^;NRUL36F$J2oOIbB0=a$bAJu{%cEC3O_AebH#7Kdk zTE~*)$$NQ5m5SnB`XnK0&L~Fbw|tT$x+-RtAP`>R!5P$xmCWn8srL-(%XU-6!mm$2L0_WL zF;#2~0M+g+UJlZ+#3qHHr1vx^1T`^Kf^s_@Ol;U`TlNIA2GA2nxawMq{bNgv=B#)L z0t?@GG)GXOi2^EFUh>zI*|FhIl%>8V1lSy~U%E1$Z)-#?zs;qG$$?~qTG+}7hK;(| z{6kx*29;e-!fnGz_BG%}>*Q^>8^lWnSyF&v7ja&V%`kQ`%EtIh)ElJdK)cG!00r$G(>8DXn4$I2wT-lCp}WY5NVPt!NQ1PG8%dxcR?6cmmILXN}#Bf_vIBf z&&_udWvy@!yQqRLJ|_9hxy2<^guMa;I9Re8Q!lWubOjScFByvfJ~f})85&tlFHp1w ztIU1QGw$3($QQHh#Z|B_pV5FJx>vwzoc3B7whuBl^>uL}Q@k}@7BBn8% z_$pA)00Os=f0L(4vE-mm(G^3`0YMti}U? z2AV9h2D@R*C^~tA5jRIiDetdttk1=C{kudk@XpyZY#0#SKAd!YG>V078#?yVs@sF894*djGrCthQpz3L z5nmeASPXJgF*-hu@r! z;c-+KJy%#59$wz7%N?au%t2LFCpfhFrrMwhA@Mau?$oP(_(Vz5Cu2Z+E}Bl}4{s3x zw8V74xPv(8ir{!mKsTTV>&tK*A?~%ZA`;N=zpD2U5+X@()j+74Am1Bz~gDJ zuXWm;kA5bW1Cn*LjNj|EUUreVUTu--#u93aM`UBWavvlmv+V)N>T_1CLYf}r zEh?0D)mab9xk#f9niI9ndIfN-VNqS^#Te0LaxTbA zb|QrJHSwz<1O_h9l2v8N4z$;-R_pZ>3n*jhlID?ema7pp8LS3yQ0iXUtAp%M(ye!< zrW1jZK_x8g#31~1TwhCQ@^jgv3yY+Zz~x>SIxufxFn29`LV|S}+CmM{!H;3zJZitDkj88%#Ky)@O2&R$G03b*@ zm@P@^ax)H2KhdM^BGWBL4w!=U0Pmk|n@i@G1s&#!AmcEgWGu5Z+G(!|#eb=db^-Rw zpmOl0DXcOJ1?!)uAKmWKd;>ZV4eRY?B|Xxm3hS|FETYGrRWbRmKf-Mn>^>9b;j>6( zFvUngu*9hg=uw99e%NG z0L~+3uXoTf8+Su>1E#c6w7-Vt#}KZWdXrq7Uy5w4xhel zgm|`Iy>grZrPxBDL&uY(Q@!#HMelMXeBc&bFb}>vccP;~V=e>TAW{UqV5CsR2suUK z_kc+1PsM3XR4#G_1ohmW)92^flcf^YB3KwHDdU&Og}HX%0LjaY5ktLsfJ_(1KR8WFP1VS`-4(}042 zklB;nXCZ!F6gb#pV`@JDG%{1tLH9`QV1U$?jdT3d&MOwXSj8vik-rinrl74FB~n>x ze4zrgI}{mxU~Bf*u-VU2lq1R8vgX2$cwz-43!VL-*VDy*iw0}(3X{z*DSMC$HHQ9k z!+T>a6dZKZfa~+X%Xb?dhVau`$*xu@gTD$lzQ(~nRWIo2)pF$v2@g`SCHk(R$tpDqcD_?6hcr9AMSy%bK= z7Adj>!IWcD#02k+ho}SH>LA3Q7Fizp$w+0Uk@_wKdJ#R7C|nO~6=QQsW+TY~qJope zM>>{07l8yRcSRzGohU8-LYqXea-+-?doDOa)~ddkGFKPzYt}&QQzoqWKB}1KIaHL- z`^(;>WV4DmCLk8ykhJ+~g3uQ*`}UfIyEMfe<$XK5qNYn5E3s zhVs-=g+LxdAsN9Rd?zWdFRdjf5a1ihEK2->H^zvbDK=6>*}bU<`Cbj(U>tX?5Fi-% z{$)VmWnjJ{E`#M6h1tpbCzI%kKWrfkD8(JZ%sVuLURq$NU{Z z&B_O!C_Se3*NhzB`g88yh714To&EGa7$s}8NGpK^K--9ZU)}AdFWDQ(Jm}r3XAlth ztRP<$FHshDPF;kbe~W-=K1z{48JPNB4SHHsmq4msMsgx)r>~Ixl8U(OIASKdR}cs(Rg*{H2mq`ZvYFGnLUyQL+DRNK5M zC%R5+n=>_$#?;%w`~vw156121ONaY0)6dJu)lS2HG2Of4Tx^$*Dl|L3;IOhRDsa6} zz?tYtoNWkFX`}yI?&qb-+O=w{wU_76P%X-ok+?5T1iMOg!eTF%@T;gqyq%Q&A(oytRTZijI0Tc@db!np%G6h>rYt?t+S30P+uQ z#d{xE-b^P@9!um`3OhNV&-1>|Gw+;p=G4p?|Irx+*M_yObzR^3Y@(j1 z#A~n#rTfn)MYuPd?+5XdiX3%eV;|)LO+T~VvvF_mn%W9mE(S1Q*QC^=E5Z^OAYN}3 ztg`C82ARxlUxhMHAcnrA6#A}ojiR9p3a0Ja9Q&p!Uax6=zAq)W(dl(}eMWZwPBy7I z{>PUB5lv09T>E_7eM&GUlGBm&=|wJ`#E*TIg7J=^j}>Q*XTuIXDaj8&WPC{n-YBi@ zg%iX%bnz@9ho%)2T!^`$CmM$z!a|?rP>HfZeZWgo$*NqU2v+nR${wj5@nALWV`mLI zJ#AvFUOHf8K4+c6+3I=S0T(>9J1x@dg}?c^M?zGjT-N<3vu^jBl|bo^H;$U%dcJ+} z_O{DUXb_Xn!Lq0j^zQK=q_-Qe-WMzs@s#2|sB_23KC$BAeTi_DG%E1BjYnd9cowv_ z#wAQX&iPdJ`7fKx6mE@JGtOXt7Qsl`{HGZA#0}ECO#g)IvvMR)+Qe765rw>grH4ZL z3^=sk)1SK$X4qux&^@VxL=YSAZ11DY(~e$#&i%%13|OlczD?td9!bInoSx+CpzN|u z8xyOX=-+;OJv>{HC%k=9<9-$Vd%45 zo9UWdI}bPOPfBf!V=_Lyz^|gwzNpdqRGUP+(rg6FwA}BC9cs$B><#AWb^i%zN_PqT z4R=_?;g0~mBwBJ0ulF<9SDmbb84~x~8`=527Y$?`pSh`YByt?eliJ|07cjf%{0f`E*+Tq zUq~02In^KwQ8Z6S!f`Vp@$sPxJW&2}QA74veT$!YPlp2dyK~DW{iDxMS=fKQ`N3X4 z?XfvKn_#Np+ssFi-4E*-F9|2T^|H>TeGz*ajDgHjgLUTpZ@r1N`NZSbmc_5)wLarYK)Pm2vQ50buFIC?=-X2FIii;In=; zjFa6;JCcsyQnU2WJK*={_~#Qobe}KYC1tw)jG2QN$+gODq!HX-?dO8C&{TNZ{(e?U zd~$pND<3tOm70Z-K388D)`#NEKBX@FNn;;lAJ5;LKRtNM6>aXClP8elZ|LEdZzZ** zaM;Y@$9rfb4eVZj(SP^nDhlfo%}DrGDXL(d*b(<%pzyPO;_&|j3O^9~Hz-_p0}2bl z^uAd3ln+@wV0M#c4iUf|zjSMv{4E&s=1nbQm(bYQF4OksBhQ%-8@HK1z9At;{@g6@ zC5}lYB^i83$j}!$(4ks%GP|UQtX&2(I`7)Edw;2-s;jd-gzDVasFSJ>Mzp4D604o^ z^J=P(L;s_x<^M;ZutlT9VmAgyY!NXkh`8%9x7#{oXAxj_?r4o79wUO+xX|4Ce3)EJ z+!@Ilom%Vg$LRLg6c>AlOpH1kS?Rn2H|CBe~&T_)dD^C?((zo=8Qt5Y&VnEbDOpgYgy91`nLG%QiLgayObV7C|s#xn%h2Y|fAtxESwx zob@0WBED0?`S3x-_n)oGrtz~YeX&~-ZoT^Xehy=$BN~^p@en1LD;ytp;kio+)ojo< zcAmuZKkv-%IUE;l!Ie6M{2m-dG~q!8$?n(U;X=O4!Yp|rh60=md(Ik*Gsy9YvW5Pg4{K|hX3|6 zhU$|hIMZKEIO2cQgz5g1CcOI}n(z;B-rB29bSh^IfBxlz;`3p}CXiXst(H%HSh)Zu zX93>}ZY*WaYx?XkMv~;NONRs-Rn9bGV?MjL>p(XD9uNiLEEYQmcVWh#TqbUD|W@X2)KwI=*qB~RAB`^?E*fMm(0fq-Y65aS-A zxQwL(egPGkX=Xs4dUL7Y`xUwIB^JOe_7|qd&^(x69(L@Vwv&3Xc^Qe3v2nOjsuIq$ zpIi#6WkS#ug?_ z9IAzU^m@LmzvePrO_20`|U5%6DN_kGu%5&Ivf5S;*!F#Qnk3Vt@U^{mzW9<08=?qLM<}zf#8Mm5V`N)Yc!I z3O-c|JjJR*dv230+y~w0RAUu~VmYnZy*(45;7r!{kYn7*sL&I?Kd67Zk2WFd7A%{w zVu`Bv3kAVGeU16zFTYaZy*yl=va$yz%P~hYmHvjW8(ZIL^@*N#Y4ig`s<+#7z&am) z)BdZ8OlR8DHN8h|S=XE}&NjrRLxxb+_-Osq;2Q~^AP-;ya>6?X;^2+kc~*Y8q^x?9 zQ)^30%WwL~FGr^rF1Cm6uj9Fd&0dcBxug%ZF2rB^WiZliIc>ma!jLj7j;>{ak<8Va!Nv0mZ0< zF9#1qg!0`pwJkxIP6aO_>5vZ93(NqwO|&qluz(eXtksSWD)D;4Fnh}6$qyBdQlMU8 zH#}QgcF77xCUmZb#XS?*PR7sok-GRK=jdCVJ*P*6D}ObXh&$MHg@KW1^1&kzFp(KX&ETFT~u zmCh*|($7SQ`7aV_!7YOkp*x8zx_Q&}%3`g|M)pPSXMco9e(b(C-QbBy-ooOVpWVMS z@5Lu-+Xb$f_GeOjAulG5BIbqwD8JQWa${u<7 zqm3$&Pc&WOj~-~14V_BI6LcG4BSNLkoAw!T-FAgrF3!PjZDb>lb2bkaXXwzh;L z|CYDX3v|86{GhS2{Io&Fsf!=GPC{<$05x<+Ilk@;Td#(B$}E|NUlou`3%y`nj7cR)_~ zit`Q<^UktQO2-PMbMxM|ASx`h`kE8I`sJ3ps<*D#6QI1n?9_`_Y1Q5#YWT!L^VDOM zlgsJ27==>;a>9$pyC5h0lnjV++%6ZDFc!h+?i3#QPX4jsfW*{p$c38yPwzjR@c0hq z{isO63xxqhD9&OS)dg3})EEdjB9k)j?%eueorGo=~ zu_7zW{)}i~=fV5*Rs+R*a+IlbGZm^V3+1LA=-i}e%jtCP<#eaY5mn$0nehtVpWo?aD&5z1sp|C2gY`p$`>n%`l16%d(_5gJ>XgwA zlioI#7EPI;)|}vTUpGRY?;8YcF;1xG+$s#A^KAI#pK<@kn= zB-oW3#*+HSxoQ(Jnt;vr^ja53sbWEZ-&1akLKiQW1(gPfDvF zdlBF5&DWEdRzJWE?vs`^PJ?mz9fS<+2B1I_pGv;I8X}Bu-rHi%ijIW^;7G?Q zN_`LV&PjfkxW`S{$>es+O#xmSyQCsXizE)*;C|d+9usva#*28^|u*<`kw4(J_$3n ze@UMRnf+9z!axq88C_#JIqz)%Q><1=`t^2VQ4xIgb)Oa-^htCes zK73p1j?Vdhm3z!zQg|=?nYPEid?w0$`BoTbY#shzQdsHgBX3Ju=gCJ2{nJ(2*|Hvx z6ps3b6i!3@C50D3QrMvqfc(gGIKq^Vz=Vt+#O^y#{s$>+qVf43QurjvTAB0$TBYlB zidEyQCtV;F#-j=oIHN3lzSQ+{k-6{FJ%N2T6mxpVG7@~Reyl7^b{lC?SYTG<-3wnt z2z8c#FR~`8PfKfOPw*De^%c+{to()(>pbMfKuhLlt4fS4UG!Vhm-D*M0~tp30!yT^ z7*H1!slI|7GF4-$-gwg%vr=zRuCPG84LA3ScjH~!a(3O+_fh+@FFx*)7RETFKYZ;#uLYIV2 zIFQaQ)E|RvTZYHiJ264Q@7|>e4RoRQpj@;xN|idXSV&39({*?hbtLWr3hL%{QY}$v z?`Ch0LIRv9@%=>YCSM0`Jh1@`DaGIY#Oy9xMix8#^udcnlTD23s@R-XoPxu?a}GQK@E*qa5G^tb^p~Tgd93{jJK(;-dLwv1 z>1{%b+NzfWX1(JSc<9Y%f{|5`4kq{SLww8i9ici@Kfo>l2w=R2lxSgbp`E@q zh4=mkQ}`tSqQZYo;fm+^S)eJbN8rc~1x;c8f0@Fk^P3Veql`r|r?V2@jk8!O(ftZ2?6dW1v=HgS_8BCrQAb#I}Z=&kAge=^` z%q#B3B!oad`0y}PdSt)OZGNH%Q2{DiuFEDcxBpSQvPpi=% z-p4D`986gbIqMwW0Y%~Rg}h|Vrhv4QFSmj79;g0F;fLRs7p00^i9$|Dg0s7 z8u#||V9m$R8MGf!Ga|cwMXIcw-QVjsbxppt+z$REYY?!A31ShAUGPm) zvTTFJDg*^O(#-70+E)k(+}wiAWjm?g-jaPLS zu2F2(Ycmq-Pkuw9X4|O!)r-XOSix)OSiTE(-~wN5wLKeON03p`N*kMKxLy3roj4QD z71EtZYlW2{JNK}_yr46MTBhm`w%*b*mYJ$&dn|kXfenBfve?&?Ss%MQGo^YOU9GYR zwuqAtMiDh*+CSZIY;9+*S;VMRHQjEnQ_X{l2ugH9$ht#cT^v96-u#gJF^{Ziz}krj z0M?%h$UJeL`!%%BoUIkt=`&&a0_$UOH4dw0zh7|sZ9~&LoXJW-0SS3vqgdF0yUnAg zu^mI=9nBj&d&lGUE%5i-$_#h{1dr|!cGTZ^!h$NKdD~BjCL$>2m_F;8a9z$;q0!eA zh%GGD1Q)2P#XGR}+%G>{iQl>165GrUblYPu7!wyL3r`{o_705|KZK{gJHdDLsE)p% z$P)X<6OR11C+rD&!blR=4Q{L| z#}o?N!57y@jz-EJiHQB=bK1+y0|dXR@GDnkTIcsEV#Dx25W`IXH|jr`BIpTatTT2 zP}wLIhr{@Ki2ljLPjy!(d&-|@ki?w{6G^^9vlsE`NL6w1T_Xk0TYLRO?_kT^{Lr2g z4qJ{!KogcX?bf^_3{3#i$ZMYwiw zT{EVWj{;al7}g{Ww&?pHZo5Ko`E8+2U18SehP$KHN;DjsaCoL zIGomKBlKoJImN%2R@sqmGn#R?0j*@c_5o`QkQ3hcublAWf5QpKw@ZMVQNoMWr3t_6 zDB_7}+YX?wxp6po>J>WQPC&j7O6Q~)g%pK!6$(_1A+b6FX%wCzd2;NpGb~W}Rf+#RM8Kr*v>zYu(4;hZKxt+C%!W_w7)Ln2Qth}? zkI!gNIk$*Fb8!QMtx;m*w|Q2{SFOAc3`opHG!?-4;u!>Oo}{}?BzA%M8)ii+kCIN+ zY*_WG4UUi2dG}*9?1!>Zlk45PXp?Cy-rQ%Wb@naU*uyEzGBBFUgpX;rxt>-DWb~&r zpeG3l&XQ=W8PXU=A+hpqb-+0ruAVYv9>_{*pR}tgS$r={PSTkDbxCYMxsnJBZLx35 zwwnV-5t!iknaAXZw!fZ0M(H2Fe2Ef=IY!QOIV%0l#=fV7V%yPb~a{BTMZz8 zug*tkL)(3k{q+9NYfo79#uF~Q_JrxLJ>e+uTlE@uY`jiA%J9SycPX2qoOUIk4BdF? zkn1Vx^3kCt~ky^=NuF-L3n^}`Tq9>;3dcXofcKtFmVY(4xKp4$a)a24DkDIlUx z$Ffp#ZhT_`ZW|Z#Q%kNggNDNHWQjqICdhVFYr9N^SZe?Agr`LkoKA2_qlGt?@8NJ3O%fb30%=>F?Id zQC{`fol{0U&R9Bn_GbX-30rpjhbLSzyLj(Wz-8f_CgZ0e`gBnfYId&@wmqcgraAVC zs-OS(Bv<9HuE=h<^TuxHp4^vC_#ryXpg{bKBJXKZ!&eH{o+Ts`#*)A?GNo?g$`9k zsIn@v3JJ^w4ACvRZ1r6=`-2C7=IReqR>W^4-P{@qhK)pzd?VH>;M#GWc(>79GT!1^ z^?SWKZ#iS^73Zjp)tN5lBu`JuC*x$vKUFt(+PfuTOxlFrzPPvE8+wbL4LulVj69f= zGYU9<5xCY%YIT$?s>WkbG{IoVbBm*SZT467ixA-=xyaM-LNfX$Z{2riYLKvypQGh zrdZ|Wr=YuhnT!YYs5`iSPAtGg_drgOxCqcUe)WciSznNh<|0ve_VE}6G8biM>rgc< z2}9pgDm`9(he7-rg*cuZcKq7Kbv7ZELnAIOyNqjB&E}g&#;8>dS*&0K9`c1qvX}2M z_XSDrw}P2E=QJ$=WZFCl4flQq)u@FMAq z4@FO3YAkFRYf+aq)8$ux`VhAg!ZoT#d0S^TY@H{FeB7Bwna4!KyE{b?_t8~uS>s-} zUPdyQVzGfml(7i9X6T7WpbeVX+h$qD=dr`gKp=PY{^&rS5>|~Di}@-er#uX*6^np1 zE1%^g2-;+)2tl>{VbBicc)&~BhA<_*+$!kDC8bMv8Ts#;VAu5dV6oWFE?r*Po;-JAg`GAyxXTza5_rSX$!ncPVic#sPQ74lwUkzz zDi8i%9+|9PL51u3fbbnp&G6O}>Mxts=Jv9eh1>k|*>-i$tL0TsZ~@*etc4%8!TQ99 z1;QKr;B1`4RHGaPut#T+*}3G6RC|}wqb{JAF~ywzW+F}Z1MI*VmAIHjFm^ULZ&da# zP*?}A$Lb$YIG?&vgUEiS+ZF_c6F+gp(i6>`3(uH+{@CL_;PERtW>cUVl{NEbLHv6E z4*b7c&(9D1uhJHk_Sidx$rHVX~vZUCk)7`kfEjF zwi{EIl6ZCk6A%rAq!loJ)oN_~UgSH0a8DS1A$r}gkXlCo?u>7XA8S@UIuq`hwC3y^ z+1OTS?gjc&>gd?-*H3C^5QZGmm$C7cGN#X8nlh0xsJ*8DB0o2~J%d|uuGQON|KlCl z3b8_mG2Fuze7RQ9f8|98mUJ#7Cz!LF92X;6YN{h|>D+(vOa1BueP`$0+Ft)Rk8!V% z=or~XwV&=dzf54DC;agyN%H`ka>}XMiUoNExOvL%k@733VV~zO&YGTz^yV zH0Dv~$E~qP=}cK|@!%>jtr&AN!6@)S--O;*L0d3V43mQoN2YXL9NH&-=72Zv zLCjkcNpRAQltDGd7mq{jyJN9KN_`gL2m!DpD6Pl#u{8Iag(sm{^&KxI*$Fzu>ZWrn z6e_6+ezx(rRys2hUkzW-e;|{;&MYYWdG=&bnDY9#C`TQajBq?_A4u1)$wal?yX53% z$M8;b9VSlU?b&X68tl5+1JA4nhFWKr?LGt~Pied?pQ!+Yw$g4-ktZiPLz5U%7exkm z{?44xZ(^MwC!BD_atq{y=Nh~q#VV{XJ9mp*Vb?V$7E~8bA~m`Pk+Ev;O3hXiI@_;4 z2AFE8qcIU&j3@c57A9k|Mt4n9FuX=NOgH&xZ{18M$K&VYK9MN|GB*tfihWvsJcF?K zQE-2^_1IGmSHA>BqO2|*qWG^U0CI@-!exC)7YvG zQOb4R5JLUw0eJP-P%yr02b_xF;=}Vy%}0+H$#RF6*j`^c&Y}_#rX3}vNzhx*y+GA7 zmo4(zKne4q!!~;ZqdEW8(=y{VX1j`e0*DtOTZuy-qpdLpI4;sE=Kt&*-(DGa^B3hx zE0&0hKf$#lW4zIXrzpie=;;n~o3hW`CV5%{^!C=eoMnr4k&;)|vDoGNw%Ymd=Z&oo zS>GuecrWnWJawP~AOv;0!q376(xZ-LF4#AFxh)(6w?_j~j0Jj_Z=;xi6AQeUWzl%9 z8~56jKkTcv?ldsz6PiWLI7%-<;V@tTxHhH?l=adWZnPNE4;E)Q%%w>g)aARczg2pT zx{T$Kbn8u?lNxe|M`cR`fABEh+g0QSf9$a@ z2Xi$NJQqU;VUfcafV&!I_gzDarp5KQL$(+x->?@uWM2N+74>VA*b=iVl1XE$` zsL3Ac+JW@cAjxIlc5c zht_x8D)z+W`D|m4nLjteV=6CAlQ=kxH8wC)Q_skSO@iE;se?lrf1!Q3I3~~;dGQ`R z^t0Do4|w}NeXH#&pM(&|314dP{1gZo_3wmlnF%j2Ck}WFc*VPdmtZE2)Z8KxjnYfZ z!RwddfA9e5&h*c8^SFG1<6OMmeqF+tw;&<~)|kYh24%K88jOT%Wd>GV>-ujIapm%w zYbv@cj^Xg>ko^E((!&_kygUF_+VOTisO#q68%EI}=f-Gw-+a2k=$a?698*jj! z!@0TE9Po;Gp#x!6;~&i*pSM6;0e0=m*eE@A*f=A2oK60i1^jn3P|8H}>E_-OXp`vW z;pDtS=R4GQwcF;LKaJq({PRmsb>a)U98CML!sDx6^s-F@SKo)X^gJP#yXqNnvtb+e z>~bMWbzAJ9C%oySs-!<%^rtjRV^R{<{0ql<5A=i^iqL{Z(Z3@WEX{9$4}}f`#y}&GnN>f_M z%>E$zNZd+>6b-zyy790(Ki1WO#dmw56Jk5gox7ydgIcbe%Fc2l@3*f#;qbTLI8w5S zYNPdPK~LB>Ul&WhsgOD)3nifa5VMVKxEsbnuVY$2zD3-y@qTbYY5v#+4PIC8N=r9yq%Cy5D{#N z`fhW4!wH*-&uZ{NdnTQW>AUpU8Us@>C<9n)4MvlKb6-*zr*ZT_s+H;&B1esilMrB_ z>s0@m6Fvg5$&^75&GI};10Vqi%#0+~w!#Mpn5?>(BS$*b#j0FhrNhZ7gFY9m8FNhz zY$e*3eL1P6GGlp$%mBBGU?%V4niKve2L?bvPWVC%ot{3faOav6-cv3Er!N*ig>mc- zX@N)mR$csXg{7m=z-8ud!tpW}%e=eHA>q9a;JsTZf!ztvIv0RxJ&^}ht5oCFE_hJ8 z1paGI_`?ZqgL9y!)sfwR=FYHhOj0a(G@k#e##RYe@Y6i=LSetz_3>-Ct%9Yw3$#J#WN&VPkh^1HtH~`6(do1BOq3 z%pNH$7~&6>3QKSuKNys-v95^-rPQrJ6B*nrb;-O(FD3?`4DNxuE)X*s27V;js9b~d zGDKtMHq8{>cE2<28r;qkPUPvfcYWnR0#E~{TV+VyLuWW8c)XVATnC09@=7?wf^Vy9 zP52D1D)Ib{%7_CjunrK2*IXJn4c}qd$9@;jbn;_RM|7_}k0DhU+vM@}?T3zWeda!y zZG;!?=xo40ou-IEXJ6=#%-2!W4ye>zEj02Z>qxnkIzDY|pt^few0{N=Jj%R^mP7X@ zh~vE4jF(8;m`8=6Bf_Wb8|BH4Up>AeS@|mF<(!J zQvPTXucasjIpKQMktRx_@q#OVd}RxBV0WSYhd9jAC@dW0gs0y=vL}NsN>@$2^17l1 z^En+bLzZh!SlM_PCl6yWQi^&zzqu=ssM9asynjF?C-DhsbOCib#)8#637 z?3*_IfXCx`rUBDB56E(JxGyimXE#rkn_k3EWM2QC0m>f|r3VB1w@1Qz6jk0c>9S-S zc-b!Hk3O&+EJ7%jC)=qtIe%v8l*3i(FxyCKsx)fak{?R$I9%~05ke0vE~ z<`L8)atiXQH7AkG!-YUm+4dlwcJl)@oBUXRv4{Sb;{ zW?UL#_60Dyk%+(rjT`%1t?i0@_E%tBKe%h=B?3yO7(Rz{C{5hs9CZ2slnxkQ#wbg?ZY;{r0R9Fd;uOou@dDn@Vu3#h0ladKZ4OAz@PBqM9ha{ zysgEK5__CB`pn7I5GK)lB9To+i1(+HSV0%8ASu@k?!0|`Jm8zB=h_nvx`J`a!MJ^v zXi+!K*$?0Q5OLctz(*;OhZoGE&#{B}ttGNrmJG1SkPd2>?Or0fu=zY0g3I{1ANjd> zeoC!5vlnOZc!SR&p1@yD_>wf`niIa+xg)6>dk4Rsqs-yJB_4M9{d%X7cCgfQxF&)X zf9I)X>%i3-YuI8uVFC?{3L=XZzA1EEmGG7lMwfQLe=ban#7wa*<3sF2{JZLx%wCA? zaS`yttO!}^6;kn7RS@mm67#FyhWfr_26DxP?f}sQIlhuZ+E?qbdD`Nrw%GZ#Ka5{(0ValKiloR|tL!EGzRZiL z*MI;dxXL}J(zHMLpf2u3YI4Wx@r3OKw4PwG#Nd?Dl=LFx!8a+*`$r8953m)_K~FeF ze9&t9#uEm&H1-T87b`w59|b~3%NX;2MMt5dN&wIkwnd7&-I`YT0l%)jlLL-Kz`YFE z65+GI#~d)^`-ci!V0N$4$5N1^c|}om5C%O+uBC*Wv%Ft>uN;-?rOr4e@nZYd8GAdP zo>8AW{mquJap(b90ZO&v*ag({yo+uSblH?5H@@pqr>**WFMN1*FPttqH}Jz(U!cyw z93(G2lGBbaL@F{RrI5x`;@buKPs3!MXTS8N{GD<{DY`wX6~hjao_u-)vxPr9g_BEl zz_j+eMC!|R9Efd~%cFdk zHfAF6TyuK_F>IYTN2vH8Ab&~j0j<1UxQ;1{=@D6cdnqUKX5o29CG&xD&a-!{x5a5l zQC>=AP*d`Y(bb2Bw^xA;9?yhtK;g3g9u%G(F~Hi=lQu?^jK_Eh(o@7P?6!b|^26!sjl3ET_!94c5`UN?K* z_5j)w_*ZR{zk}hrnOW->Lx-zM3kUK{3#=P*|dkkQi-#voRoR{7OGi|idi1#VgxM5zy z^1;|2Wb4FM1FTW~4mYH5uS34}6-Q(ux*0h$x?^5twYMF-?nM@b`=OW4U8w+qFc7rq zv&CMxV0M%ICUs}$LF{JsOSUR6mTjn!W=uFWG1A| zd-6p6{@%ygElV36$du1W<=?#tDdm=ga^fHl{fS)@j~5~7mA zr^?U&skEa6lZyYXx~|LlWJmy1LjL8^a0)lqv8NsQF+aUs@KDT|s8>C@zK4$VFm4-4 zgL}rGk4YBw7H!*1?m!W^hNVHD{>8saWAm{!KQfzHOesYGrfjdtly!EM|7?4lZIAvr zx|hM!#}Y<3Io6#Mczsj#g`su8U9$=uww{&}dj4@HfFG05I7fzSOA&Hous|7chCx!; zHm*?2%gn0!1SExL@FNs&NMZ0V3Ga_Bu1Vpx8F4(EjcgD8hG6nDeUcSCa@h~u5nC5W zY5@Mv(DzqNz5kHH#^vvS(-IwzCACSkhc=*eM@7T`eGwojjEaGBTUgwIbbzF=@?TQ; z4GbiOA46Y|?OTDXB1j4c^!z1-L$66;;u})<%WFuAE?74C*qQe7Pzof4??T9(x9Pk( z3bcTW$A3v-4KQ|ZkWQF!DdwZ2ei+ei(ad zA%E&{Q0%xA^Ybe^PrFq66-8m@bTG+w?`>a}^z%mE0;x1bLN6~hFdMBmVJG9fPirv-v^Uv_y00t zsYn8H@RyQ*9(j}(a`Hpu_w@oR1CqiCb>FW^;p_GFRN0WU2AouRkb82$yiG5QAXAfM z{|kzVG&O>KcHc#Q)Fw$gME%x)`&gcx-wxM?uNJx52lPcz0(&0aJ^Ek{<;bERT6 zsnxs(n!@+_Cf<;L`>j!h@brALJ!>Y&UcViTL1G6c)3hUahd{sB_K+=Gig8HNtzPJ# zXt^eK)}IUJxo7$>EAT0~#SMf_UQ~Xj&`K^l_ALdbYi0w0_cwQ_87_Eo0DBB4I<>ZU zbV}3xX3ST_-p*Wx>gv~-m>8nK@=bQs3?hM1x1x=330e8q6z&$oW`U05L}YXQ+Z0CL zVz-++Bk)O%uj)bwJY4tJzmA7&63D=`FI_^fqfXA8aIg975F(Tql+`*0u)-bgp`GJM z?<2dCpYZJ(1=m@y@h_Zuwvnx{QU)>2eR~9qechPCU=ZTK;~!Hvl~3zcTR@zoRTY)L zB+H+00HHE`m_+F{qoeRIzFm*q1&43EE!1@QLDbEAWYa`qH(altlzN?X^I=3m?&gUM z3}9fmG>O2+GJ>sob=k>yAw46Iza5%?=US zL-V-05P9t107OlF(UJuZ5gF7nuMV;sq}GHGHE&jeP|>5qz(>b{EJ>`6FKAkz zLn?iuLY;Ppi&WWp@yvQtRF*-%wluF?|_siR<>9M;Hl)Q&5%{L*PWt&cWw*R zIlA^gb{ha-F^|wEkDC!X_oB@7?6ntXbWL;!*fs#6x*YK{e1QtzhJ7xXm@Zl$cOe8@ zzZL=|XzO7(rq(C8M_Z{cLjw7B>)2BJvax{%BBwxAr3RXyq5C7D;Q0^58Gl@HsB+&s zI&>P)GgkBeyRWq|ZREB8v9)@ zbNFxx8?x)$1K**9&$*@A?|)zQjY@$Qoc1nbn1XUb8e?LHqZ8OES^O0P%xD@Z;57Pj zepagB3nV)mwk`G{s~yRp;$)HI=q%vYa08!>Y}hvgi-CXczk9uax2{}Q1?s#9xMJVS zEgSi@(zoX{0tN{da^%(D3^7d}Fz3S;$M&4SiEG+?93yXU6EB}4Sm3NG%C?cI?nG#j zkL>QUI$yBgCV6NTeH}FOCXkQu*}_~Ob>6$PHiBs;-Nf8?Xrbzm`(n_oGg5`dSL=cr zr=9|-iAZGx zAG4d)=X&$}U**XEPv;;17uWrNRoe9bDCikSOR%3*>U=T-)2>?_`!GjYjSANqY-m1HovxToo*@>Xu3ZtKZ9d?6K9g zp1GZb-K|K^KT1?vi0UwDwFqxQqjKuScYd}DS1#R;9DO-GnLDafb-Z&uVSwqJz;l%d zyh8V60-nxR0pZ7%duk8txo^#Y52E`4J-Fz46ds$bf0>vro>BvT9j&0+W1d(m;-<^ecofYRz(oUJmbON2>xts z7ecbZW|CwkbN@ay7{@`k)KK(0B1sSSfdy?tLykRVB|osEGZlMzjjLmcdB!~wHN^I# ztwz2ygUtTh?o7&XKhl39R@F=yCL5#CB+(TvoS! zBG@1A0Kon-FHZ5U(Gw(!=OW3PLWuMIe3IM}d{Ine`8x$IUyuRS!g|U)5^VGNQ-BO_ zU$Nokj*qSAsPwZqDci5kXA~ELF7{#uxlr4wVavaGacR*Mp%oR1Cq2ZYsS4JX9VXRZ z$^V2?$KY(M|?q`?_~= zg7l~;KrM`& zIE>K6{5cFg)6%;EA$Zr<>rYPk(w4saoo_~&T-72@cv6EB?c zOI`Cb7@>>CR}|R#JN2Zfkt}1%wP!wtA>%=kc_#BL zG7pDsii8Z|P@&8+MdqPUWX?R6p{UHW4AHZ6-}mQxe|)~z_57~q`u+FFC1+WC@3r3R zz1LoQyzkQjU+jlXfMW~Gj7*0xm&NS8z{gVuBcI;;!U zM56jd!FK%aYwMq9aGuuyAg%At{jW}Cx4a|S(ndR7OKU({*ELh|vVq_pz`xSFB5Fu~ zj9L?A7f*=6acG#&r|#eq^xsy#8tY+-90$Z6Rr%7Y5fXBox|%vgZ^u!gZYx&ZY z?OuAO%jLmObC5}T(>%W^?P6VExZQ-+(v>~eF5AvcZus}rkrv+Zqkz<2v36li@UB2+ zJ$I!I`@?*1J2*4NqXXa%>pg_oXUfjSbdpW9N%`McEbs<%PSU#I-Q~UkVy7~V>!h39 zf;D6y$XvguOl`9=mkIP|IFa^)_Z6rKkt+mYH9q@G?iC}=9J)P{up2tg;$(_O-DGWH zb+5(Q6Ztm>^120Ghi5YntElZ=)?a_mF5OD3==cM^b=}e}fz0^Qg2685SH(Kx*IKE9 zd?>$DA8?G^!&61wa-PEMBK53S(qbOoS@N(w-pst7;&zptY2GM}Jc04;Xr$0btCtn` z!G-qbYR2MY9GM<0<))X4Z*yx<8RxY90ssY{Dyk$H``npJ6;ogvyjp0!N+UZUk*i|L z?~}l%Htas&G!OoLpd@%IPthkKucs;ULa3&B3T8e%tvtzVPZWRLic|I|G( zX4(~l`}`9(jed|bRBrK+coWT)vMJ}4FfK)r1wiOe-TGmb-_NcZ<$1jiMw?owj|uPF zzF=BAi&nj}vA%!#YAd%#bmdN)UH*%KW>VWl&m70iIOm4;vX^Pv^_^KIe5zY6Ls0pQ zzuuH@A4Kl~5Yz>aONEI?H=L!iUVRA`<$tj!%qOD+ykGN^O%n(R zHrM><(|OThT$)|n7(bRMjFc4HUF*I;P}BP03C&cvzkCBjlh?Vg^2~QdvR)aa3q3nk z<}N&__|5w2X&!c~X0<#3f!%<8ve8j-2+o4SZoF{W zJOIA`ij-KifAeVv$Memyi1Ptyoh@=9M(QC02;;{2x)=_})Ei9rPE1;%c5+B2e4V5! zlyUe{tYK`CdS`q?=$Q)7?9+-9w@EqNObX;?+ktkY_>7WuRy?a9OP>{2q|`!^4^%6( zrBFm%x!oE?`R2Z`#phUNa+jxVXM0F$0Nl85%))Rl@AHt7QAmraXLTc98)4?1dP}>4 zcRK!~gUQZNot9ylJ)9s93-bKcpV#|-XazqG`%E~MJNz1M#ZgD|GZ3ksW&}!BSRa7Y zwhT8bphFOCDS9(CT8f^v;}wH))uO%;w02)8m%tTBu)L{dxkm5Q_#v3#KqO7&Mxm-i z_39F_#qee)ylQ*A{C(wQrB@2>gE`h|vEp#>i~nN9pWa>~4_-!OcmiSwgTUonq8pl3 z1c{ditcWr*&fHIlOZs3?GJZes2O`dP=4=jv?3oHq^@ZrmagNbpHVDbTd$u-en=Svvv#G ziL{f1Ghp$XbAABqG7wnn$WclGQUO<0NU&jbOtzo|WbC-b60_H7fJ>8)t;Mq3Zw^x= z5+u%3)(R3zF{!ElZoi8JS@V>&L1bjdBwF96vCx{dlQjl*(Vm+aY(mW8z{38!Z6&ef z?D<(n_U6-E`Vwjld!uoy5_VM`+H7i)epmfF51gW1_V2tA3;zffiFBPC&|80=%Sjt% z&g9l}Z>1v1Qqqr?b~Le>Qn$n9KwgpZH7{GhGz9WRo}U8PWnCKicX!@0ClCGJ6n~;m z6BR!G?nZv-Os!4H(6mt{2!@khYVU3Sk6O9^N)Zq%|L*xeV&$h8pCJ}KZPX?C+k}Mm z*}ic@$7|@xRr|^N!WZ>9tp{o9#ZOO^TT`CT8Ru zyQ}Y0rR}+>tb7Kx$>66oXSv;f>XoP$?1NSmg;K@O?vOJ_w>?>CV&_+i1uh5H)+*0k zyg$db3@vMDnQx~(W?a3>@E)?Q?uk!uQ7#)$1Y+fP%L<>Q9V96es8)_q&bdhPVFSOe z)F=}3c;*6zoPd>Y_h_daS@JYj*_72T5F#LIF3kublIS3rvFJXBCelU5Dhih*XT|pT z-f~g?;(cM{{-$p4n&ZFK$`uY~vYY^V^8G~?qThV0*Q_r5ItLwf9*~5*Rb%0>(lsb) zG(Q|n8%@GlM!yWFGxu^{Ft#p~-VbvTF4v3u&HSUWE|e1qUfH0UJ?Ik>I+YYlJ=I-<4x}qEI)? zy$m+u)NFods5UtB#VMu8^G?uMb5WgDUaX*$SMYf*{iC8S*n`#ZK{;4~9;eS(k+6H~ zS?Wgl`dZ!Ut(**2XnH#*HwQRg%1we=_VcN;0E7`@)u!cqzk+ta)4xg;dEmU~!J6q6 zJg+EqKj7SXK{4pchyJ;_VB?(K2ZKw2tHukqO0QSU3GDJzsQu_FFIi!1pWD%y+euDXLkeF$l>ga)Rs; zeQ}~t8WGt!>=59ELieJV_b3RPC3feL&eQzIBW~8Ge2S4!LcFMnNn{(AYJIU>V*Ezn z5`bhTe8ot}pJnV%X_lVQ1u%X!zPbQAi*@pk)UnA2vLQVy782tz#?_b8p9DZRl06fg}^3@j^==B~&q*##MuBN2vwTk4ZL4w%X3V@g4tM=91 zVUnNg;11N^JKWO0f29Xonf@@{--i8x%hEBdkuBl$(Yg~WMX38xK<|#kL1AAW5Gv=q zeRV^m#~36|>2S^hP)bftcV3r0t&nq6+cM^ zo8C|WCfyk6Z^OEq!OkEx{ZFE{34uZFq{5-IFbaYwUYUSGAQqD@*8vk*u zRPN?b^f#sQ+wr_LzvaqSlX1V5?d_7;%yE#d=F#w_E+%(*_>)tTxICNQe*U5>tINj#L*g?NkninJ zx*xZJ>3%&(us`@!v}Ut~FL`PGiB3(MOA)Vsv>YCIeoyK)Uq36gYRdj+FfK+c92usX zA3fJ!hb{^+|f%WhG@Qsw?z-cP6TxX^1|1mG#x9Vh0{G=z0f)6*m%*lPod zjT^VwZxAOZ;j{w2)3~$?6AB(RIyYx`V90Mh0C|8joUCtKHH==Rc0y>(R83*e;4?qh zcSLePY>vI+Qkm*Q{VX9zok!h17C#rm-r%rmBV~rON<}JDE)&<)^coI#%gL%HmDe?L z^@u29H)0JX*tffg31W=CxZC-)XGpf`b<6pHjIx(9^N7yhD}BePF0x=Q3y<*)zGZTi z`CM7Z)22!I@mTCHu&v;Zdw+Mt2*pQHTBmoG+qE;!K)c^+Km9G8@Yt;d#?>CaYCuCW zrn}lv=y2e9+mH(+Opi7-B{`?;wTadQr{3J4f8zgY4@-sn&M@vTzgt&P8H|`4UKwdo zumi&7a$VT&Z`^*-arzFAua?i7l+TUif8!>c7;9k9COuOJg>LpRFCmWJ>qQ((YiC;- z_*xi~DMDsnxx3%KS`U%!nyHNH*T|J#eOLVU$$}b4Nt=A6V4rwkruL|385b(P49zqz zG+fpd7Vo+XP=7DZ-@&Lod?|V%gh9m(AaCv(WH|Au_-_4(F(DYen^+(Uc60#a1z}@_ z`*WAEkrgONW}@chQ_-B9l5ska-@7?=F7MX90N;lWqJ-%y*V>ZDNLj{~C(6&-7gSv+ zvA=g#`PTu_4|={5=c{*!<^UuHVDyv3_j>d5iA66E`?N^avx~zKB*k1e&fn@j+|Z`* zw`t%eXcHE;y~j8bd&8V1)X1dj7B7S2bl!F7fj1`uCIH$5Y_2Rbf==boMVbk^vNWc13> zEqhXt2QTqW(p;p`jbD`%6Ee5?8BR|dnQA>{S`z&-9`7?G9IA(x{u+977}c0scPD)4 zQt0{Q*8!d~NsGdR_wQCybfE?}_SJLHj2#UZpo)UvWh2*OBNo0Jp`$Hn_h*#3tuM@# z3Uocwd^qw(*Zk_vZ0$|q=@zr}n5u~Htsd}%W}(>6nFgprqimgp0ojH_D;@vpRA~RNe)0mgTcu16CBD zNpDLmkbn`MvMN-MZrFXm6W2;cuAL_XxL=;1kpXd$dmfgezj+^x%8Qo65{Cr*KFCtJ zg#sbydhN4cmF$p%)Jfaz8D-$Fduz3s)dcIJ8wXR z8m+Mya`@`Tw}>}%gZk9`nV0-v%4=Vu%nM`p7S8ZSHNMw)`s1gu!k}`h0mKfvMJ3EN zAhK{4R* z_W4<3y*j4lX@I8oA=JllFq|h;l1X)Q(p|+&Hj|^#LJg6UXR&VmvVvxo^}@cZxRf%q zX>egDwEacbMzSmI&Lw*|9V_4{OyJ30_K3Gg|yPd^pmk9*nN$Bt8DQkLwO)bsK(}_wG!d*j~eyiEM!^PDCW)z@t82$V$ zS-q)k+BEv%(n0=o_BMaOmd#c2b#$FWor*L-5suis&WT7rOSS>n2Q*Y)Hh^dT_m{tM zfb*b?zr)Z)4-oXc(7Xrvf_>H^)wVfqFU?eQ-`x^+3Yb{mlZ&f;zqeUU0Tz%c9IVio zH-3CHk?AbYf1$O~gQCQ}bTK*XiQ#DiTd{<`JR0kSlup<1xUJzkZ-uejt6ywBhBRx` zq?K_3ii3yrW=C;#U>W|IVZLuTFAeca_vaN%%l-aegYE!a2<2ZC;4!>Ptu>Yz+XS)R z%r1nrV>90>pQ>VG)p#iAC7=;{DZRR*nd9dY{E327aeHZGEOGJ@&C&0feg(j7d(Rfc zk21y0L8K?czMubKJ@O?+Z*Emi@}bAM^%ttD`Js8z_xE`LJAuj5{!zor^{#XDVkq!h z+OHRIRQAOW0vuMwPAW1ht$jnkQx$}YbQ=j(JO^^`J>R)}m1yblb5l!~SAPV`vpaCr z(Z>Sibs$Mk)gr&^qx*BknJ3tmw+ zSRGQldA-3`5lEwH+-1{nQGWM1OhKO5fH{MVDX3Ysmo52K-CkVl0_tsN-VsX!B_G5$ zEA^4Xn5?p}lBMCStPdH2&cXGIeWBYt-)BcTMAY;br#}pIfbDEPKBi;!6Q_Q~=-sAK zD{_^InvA_4laGtgZ6VN>AG^G$JX?ETa?vvr%3r z`Msvr-@xMJ*{G7FMU)Bxjtt_^auIT=Ck0nNTU8?cIdNq5yqweb5K|2@6*c*rCCC!!Rrj)s+weQUs%sgNgz-D^g`W}ZXi#dpdu~#@QLRh zU-hU%n>Nky6J~zaaw9Iv*kswL8>_+mW*bOhg0u;)OI_GXCAR>}F(`Rr#=dvYEv7W(rZX zY^wiM?X2@Mp^x9Bo$nL`2nc<0)4CU&Ge&>3$=l2c$V@=W6b5iDzi>0yP%nE2Gg4+} zVLJ#P65d5`B|_JnIAR69XFX=L)QHa3Cw!g7v5?lFlbbX3>+&9j76||_^)EbaT>tGa z#pJu(pLPQXxx}MtQx}}N?C*~JvR!i%edkth?2z*QarATgTjK_f($q#opSK&VS_oNmbayK#A>e7iz?$iMci56kgz5YDS9E; zW!YHeM)i){tn-bp?o|6*^-0LEj@p0TGr`bOx~2`r^>Y7C10%0*%;(PW3;2<{nctQI zBTiAN#*ss(e(kHzHOt;B-+%Mdn(q^2c)%EY0Y?7T`>7o3Q+BfzI=(^^2&I~D)F7Q2 z`s~S@qaPr#cgO5y7op~MIfrQ zJ4KgXJ}HgtpfyOrc%=ghi9N-05hNs?XK%*EVM zw^g`g_#5{#fh}Ja>mNbZ2OtZkFOMkda|Ki+3bQxU=}8yS%fink1=I4pR?Xh_b%`>W z@ZC&LNl-UH`CV4$@_Jfi=Zr#&z28tbZSzVY=ye(KIyZ59&K)cUQ9WEYru4~a0*>Y6u>3RO3kPvg#oJttS#H1jS0J$JLvI1Ya_xlP$v0jHdKk@P@Ka%-8d#0YY; zRC^S@UoVr8;ANbz2!A5@WG$FUhO8^V2Qg+{prQ?utrdKDFZvFmwulE14KFX#0i6WV ziSnoVmd%0xuv#L8zAE0iI>{Z#vG(npSfiJYEx zrHC{tOQikuMo7Ug=JOfKKJNv-X%`fEwhs`LJP)n118rs+4RCY z%Z<&>Iqd5FgkwCloNv zSZ?x5ki!zEX>NahMGfC%;WuxQP97G+t9nZfqmvxt+Lq!G&bz6XKwFu=7bhj5)6C0k z5jKZ>On9)}VrSRjB+BZy>p8yX?3Xog5mKioUyGt#`F+qWRmU~H-y_CBFi~Pg972AL zIB;p_;#;q2+Pf5A)qIQ(Nx29UVkR%lwLe*N8vp<|8WcI@^4&OGC~X-jrG>(}v8{?F zc=1O*dW$&7&3MctqWyrcP%6bWjyL?actMX=+hOmW93~;bk|}v@r7Z94Jd-by{ZMgu z>;27zDMPwcwG0+M?2EyCMj4#}uIJQzCM1O;B+2EtC?Lx{T@<|TLCVpRclJL5<@)~; zD3A4iH%!G(yKAT{CJZnS%iPs3151srMhH`?^|P|Jff!Yspi`uBs?GkD&_bqzs@KVZC3S|1J>{- z&j6_IaxKUceAC3Kx^HMR$roE1e*b-5o(v;qrTv9o){Y$HgTLdRlXH2!g$W{`e8VxY zcF3(}b|K_+?I4^F8v(4buNySW`!bD)b0DvGkuBbTPzW70O10ZK;kkTv6(LhnulbEl zV>$-<-R5-;BX2@lhI)9t{aBrR=`1M2QvL)X(Zzc7Sv$obkIw+Y6d}S>YFiiOp|POV z5X=D`4kq1565lRaig@`;m^;oOlj{Hovu^m}NewfOJmDJvHJ?RVd0SX4jN)4xs1X!`;=s>g@D?^Y%{d@h{$>?cm68hOzxA`^{2Q0NRty22=47B z65N(#pJAhM;oWkHa)B!BK0j#huURV)&+jQ!%to(YkP>s8!02t~Xda0=%Ngedv|~Hp z9?~(I*DK$aeqHm^5(g1bI!VejD(J7_sVDJbJ6elt<&cIC{6t|L`VFpYY?#^rhi~BTGu~ z5=XfMigp$gSn@XshtNnWc#pTPouWcvaAcY{fu2L& z*~{+dI%`fdR!GVN8H$JQ=OQ4+5T!=im$b#>!pM~x584gAuT9U3E-K^C#kd!y8+7kv zruudaQpF!jk`HLmQ%H9%x1^nwq2QOg&*`qs^gHM*}r+>OLA7p-yISuHmM=~;R?ZX61m{ZOozB&(6x3678R=k z<|}jD_1d8^mnWcG7rg8+|X?CE4lTY!x}{Zo?4tJcsb_tVx}g#F^55(GUSI!}>O`s3Fj^@H_ zTNrcZ4Io&ka&yUsz(1AJu2lGpnz#qv2mxCwPb$bd= zZG=#TwBK3UEA1S4qn7Z<_;E6Od&333QqCF^=PSE-Tx=@_t4CBf(gS`fSQ60gWHg1{IZ^AoNl8DF6H-qBM-> zS=STXEE)XNr|;ZyK#e??3c1%a`4-Yk zU?ZqErzfj%gI=2JKBogS;#ttmoi|2HNiwGL8+{!R4vtutM~k_gzv@iJNlAdi_*KjGS z^w?4iPz4|oQy@LVrr_EtJj-~#CC?#5V~ll3hQc$Ua?VV0VOi-G_M*q@%yR87b6lwc z-nc%2D<+}4A>z>~ui^l|*nG77I5-#nJ>LS?s9M_m>loCTq&s?_0LL-O{xKoE zUJqbcf|SSaQmIHCMgUT33%2+K#Z)qpFHp3yB&F%7yukKw1&>t!^YjIMjLZ?AQ(cty z7dDMvtEFemrV?JPbt(Z_3o~1ko-CzlyAK-~b3o>aODb}$Phe@-YbS_r#O*(tZ4vAwFFV&pImI$Xq}}eF8X}E0<|R;G>_c> zqe=d3?mO1|`svEG&77~(BUj%Q`|GITeoWqD349@?lrGE$a8jBBEBHW?2-owZWQx5WH#f}UjOP)sECu;jNbWvO z7&|A?d}1GgmQ$hXI@js7T|_dM{Esnbhaqm6oIaLg-p3wD%D$>;>TTcMp@~Pg zZ#@-ln=J%8uNj|yXXK5Hy~^^IwC~`{qiBmWp752n?R)qp=Z)q|`2)kXrx!l&mz1p{?3R==2n3#!@*{0|#2DG)7|&85rft}$ zpMSbUY=|a~XWfGA>4NuAl!yoiRFE5c) zf=|;A+xr!Jm6B^;9SRO$>`VWFRi`6mI{e{0l_FA9XSBHF_EQ4+JxY^}=H2)r&ZeOU zXls6`d7Wu+?y}Vc)i1eUP{_HVf?=y~dVf9wS8fFvp^4h&xUG zM=%Pyy;dDK^Xj@c&quxy>#7Puwb8f)gKLk}9i+I>rq(eHEzj}_7%Udrv7!uxHMxSq z>_p+_jfx)T){-wN<5{*jTuJ{_XNNO#3rsiQS4TB7ACL~|$SO!|lS7qVpPC4IWeMM56YVCBoI zk_QGg6~4)NUyQ~--nst1|H|Z?Ku?>jcP!=`{c{{@IoJOKuJoo!MR(^-8}?Okdhf38 zi!TTf?Ay@q1o!i(c&g5;-KmenwgSp-ne#$#&ZwMvC#XPl*P<1qw0pg4P8(S@e=JB37H~?0&w3P@Cu;^4KhM~usHVA7EcN!{XS=yD zw@A@^f@lPL_D7O4*ks8IyfVxi>Xo|lXC@uvvFrJYbhL-;$r5;RP7LV$8rbt5KM`>EpOo5^-6QUjVRhI#-|g zrT86Xk)p;;W4Z=*$>%Vwgna2Q%M7KEHf8VYf>6dbr{c&6jL@|$|U#Y zi40{Fz7+e=Rrh{^xsnvTK3SSia)F>Tp&*r^l|V@`n_)@zvBpRm$2W5g#leYoUt{I` zc39LY!??V5Sm3Gbgwtzwh+?Ib&)Pxjg{lnwW2aI4i3zRc0`;d~EhkhO(Q{cTK)x|@ zL{8st$h6kC^WBjt9ir%+NZ*=F+F01zSn&O|ue9nYY&<$TmfNa5QA-3nO?6M#q>y>) z7NJ^$Ph34!?ZMd7rd7RO7Md4?!6AdsW3`sV7R8bxl+-dbI4-}*za|^Nf1ibUFYl;> zOiXNZb)=UJBWKG07N!$L{AOOGl@99LUh|l?(8^zvY}pXmTq|T41zKr(Tz>O9o9a#< zl-r)|bP~4Ro6FRjKQJV0d>>2w!`D1DW-KBZh_n@*&K}mhQsX{9->9onQ-tT zS%_$i%un%>um`I7y^#W;?pk#c{Ip)0wMz;I@7s7JxrCa2rAI(&h zDr+ed@5aq?YsfW}3$PO+YY(uH&>VMJudluA>=_e$E`L3@Gr}`Kj_mSEfWaM{*r4Tf z1?{Lg5O@(`k5j?ty5qlL?f328J;efqHMwdSg_`8T!MD==0=7AAgTkLyVdQ@7j--MM z9!E>TlSk$0=>?NziYCUli)E{>jCd*we3M~Zs0j^vWIaE(-t(FGhH5qBkS}AshQHXJ z6vMyR6HXXw%7(AVdbN6P!`A5KJDyd?t4<$S5cS1xI)`q$o%V0;oX!dD!p;?Zo1yL> z);6D#?=Q^N>HICkZuT$;t;c4RJ#IQUnJwD>@|gN5wvIrPlW2`-Du&*QQ zZOAGaG3%wejus3&--2VjRVd@=V@l|^e7kK=y?BqJ?iozusJKHU^lK2cj~R2q1Lxdy zPYng-TwDz~A;^(0qfM+4A#CWj-MaWxjS&7 z5ljT5xnwMxIv^7Qx?w>{CYfWArG9uJal6*m)49-h)gbRNGy171k2rZYijgYv252xf z=WD8YZPka-4!h}BZ$7%5D#RY=ayuI{$35XS7Z)?CDOOOtg_FC$D}i{p*H%&ab+eYL z6T5TSj}*9@GU3m5>HBBK#Iba#qr16ILlexGxd;m+ z60Bg{n|)bcq5?A;;m8Uh0dt`c>O1DEjbo){zQ0`quJz4kCd8&yq3>yba1V(qVsL?> z14A&?2;sYsev>OKF6!2}L~kRV_m8IjmRv-cHj(+Z=Oy1Np;6A;TOJ&^Rpkx zg39;u$~-xvmVO`EeOjLMqO$$PTuo9io~gHVab~+mds=H%FP`9TYX;5hqvmC`aQkyN zFB*~hwys`nKE3r=gWw3xdbiKS=6B4&4c+%zT3k0HRiQvWEgsXbk{7;_(2pkAN8GF< zg0?t}k|dh6Ho^Qi^P5pzf}Y=Nk#jiviM0e{1w}8~3Z3=0M}->K6@^rLV%qX$?N?*p zmPML=kT&HcbfyJi83Kft;Q3YoF+UdgphkvD&!1N)uPh1C&kbyQ{?a?`OV_d^9%WjsK} zJ{9;ZK0{H52X*QGRu^_F!3puo=u}pQ_5#v@!;1kT-G^msFvvqr3_Nya?J&uPosOQS zCp=qJw%OmQu@Cwb83&8<#;0P6C1^l>KyRQ`TfT)y!8+6c$ph7(?2vVGYP#< zn6!2Zx*Dm>qnD$_AJ?~(c~16yTcYybbK^aV)ky8f8kAQjOX35kKEvWE2G87|F*mGv zZ>mM`tx8!jTg$J2P1w5KJ5l4gmNJW$-zl~7Ld6}a*y<;z_a!KFGaMfW%~8tA7ch2H z5~-6jtk>@F20wvkYdlKJ)o9HfF&V??xvgP@J0Z^I<8EFtsf|DPF=nSYkr_eH^G@@A z7MC_p0gC>7GA9uyQH?@lVvMUcYiKydEMpK+5~FL`^#|1S6!f+Q7bB+HbccCp$7OLc zB(1t685VwO>2vpg$70?XQ4EJsg#NGp&R5d!lH-iqzfe~7y3lp-@*z239H6^eT5tph zLge@Z2l#+MaiIVF<{;5ZaLRJ|k)0q$wisI{2=oX2PFns6hjyUN)*ijJO1Lh%Rzbk zKSC6RL<3_SfBjV^1}dJchk;`-C+ZLSg=XI?zGqtM(o6; zF`{B9)QQVr#1L@UKg$qk;Glo+u_y*K8~X1;a1jIo^Y^~MVQ>TrgE(<89FG3S3E>D4 z%*l(u5hptWhoMA}Vt>_x{qVq6p;QeE|+TCn_oeJ9)5}C=%S($HPN{fQg~-v4adeqzJf}C<^~#l!1o? zfk43i+z=1~A%cSavpr~$zmL>$8^w?(jR?xb{_f_-eh3r$N4v$~$diL2CWeHI{(bb0 z4@UmeIAWlMCk-Jc@{ds#1K#y_w*lwFz+opZjDf*0e|7lZqX&m0VJ8oU!x3oMi5`P` z2+WBdgDC}b(lVe7C3>PUa6s;hK6xwz#_1miBax#2sE9=U!!mFr1|@c)cW~gDh`)OG zFINKfL{Cl)a1j`2A_wfx-ShA14Gu?Pz-{|?Q-g{q)CpgJi(th5(LfQ5i0BDlhoiwX ze!^dY3Bc6y*EOKyxfa+(RP^LHfr<#!N%M=MMGz-^9n5H$lfD2K1^qZ_ehd;Odh&c2 z2!TeNY&8anJb5<)TY)S8)#^Wu24%oQ{!u1&vN6CtVE-%=L!*zK`q=*eG5@h2Ay4!U z0mmRtJT-tH0k=MRVK@d%EGHU+K#0LkbPnA3z>)r^9(c%t=@Eeh)6)MG{;LcO@;?q1 zLI0yMz<^*y0S@`EjsOQnis2u9W{@^Vqr@;~<{}o>Xp9*W%;Dyi7;6OF{CLdG;TSVZ ofZ+Ont-@i

    GwU4TyQQI}}I3(|V4vWS$rGI-jRmDF)q^2)uP%%8V=iRXq zrC}nU-ZbI=kaTdKsv(j0Dks2E&>NpB-H&(?Za0OxOH+c27=3&)%w#Gf8bl;*zm2-} zyqbH~v_Z`WU(x5g6R*7d!_x?`>I$iFpTrt7)|J3+F3RMGTPSn%|IRxj9v+6gTciGIhdwlnm!Pc%s_5ZE6q&rORv=Yt zrNRk)ZQp5D`DX$T6HQ~xR9b+>W0s)`9DkCTBzXF%c`1zBS?j{sFjs%Puy(N^lyBD4 zv41|O{`Rok-X@fOW&4#9orw914bki^@jG_ndvtv82YC}7$7wi>ZW#C~@sfp}W3-h6 z`J*0@>0rZ2%$E$Pq#?^(Mrg)MTecJK(X|Il*j!G|3XjQg0<%v)oWM0szqz~pxZm_+>6FsK*(o+(`Fk}yK# z-iLVM#J@sBS}hZ`CM=55_T4RhKfzOqjLy-QG6%LkJZe}ZxWGToa*Iqp(48}Hv)sYI zAq;JKiS+WpJPxhmdgLAE*_t(sA)=f@;3`*^cvm8>9zv7`@rm8`h$azJUbPuS1ii8u z$t4*J897&LDQFecA2(Eqcw{85JW8IXZt`2$8S}UY2FusGkXt-1$*)SzjjC*9m_#PbO9khS;(k)js^L z>x zf>X}n_@^GZQ>lrVSFNEre^2OXv3`4^hbqh*MTmb&P)80fC(CqJ0;lA{P8zzqIonpHvJcARxGd-`uKKejPw};=jnQ ziWyIj&!X*Zl}-^VeX?04U{SoSur^fTy3fe?k^pQ1(ghX z986qY?`tZR-u%=DxQA&~CGrVVAO-x>H$N0rlG1WMbJ2Fg?8T^xRXYAm6kL`VGv7Q*{&Xp41_@Yyt<`;P{& zIkU8Vi+%4x{=lA?Qoq_esj7q&KVIlVwGB+eL+Zpl6F|z6>g3aek#)RiGiewl(0wv^ z%de`yI|6ByI3BF~?N&Rzh(4-s|NYkb>q2j_GQ*$QMz{XU4X+BK08_2U)m#>YB6lbh zGZb99#2W?=dv(oW3%8?lbGss=A%p7e;`{OLBo-1|A`png^k-l2`Ei~aDSoB5*>6@J z&KFCqrU3CK6q-#+Z1z!!$U$BmX@1-;#3&(Vcu_hJ0ZuB>`*TuzFl+zKt@os07@|oj>2rBhlpXe zqOT4g#ql%I8;80z|2fYwwl?M4KM%~k4Gb47vRE)n#+nTwq4qB)*b?+o?)=nV zi~Es`qUG}_Sg$J2xBW^Ow+^5TT-{!m%t4`EIhl+R@{oPnn!w~oDt!ZwRoi#-_(zt7u+Ks?J$xPSj>u{;OLW(#vO4SbM}+zRAA)QRPi zC|rIh$HNSqftB4*NReJ(q}q#nEjyEs?%Urvl-4v#mPkAM)M_-kYz_Nr^Yjl&Yjwd_ z()`!6-yIsl%K{ZoFZ(@(_rGxWxAOlgbE#m4kZBL+{yOnIXykeckox#g;Xc<0-Jx8H zZ7}lbVq^7@>SdSLFrf%qJGxrfiwj!fpXxc1{H0{rojh@AhxQhglpA(Uj8p^a# zo7m-&pkXJIe-~8*_oAo2dq6KmfMO^IqyuXkQowsTq9&g8IAEsPWYy1xUDYle;*-8V z{EB+kxfKhb^9zA|&;D6aQB|Ts7_&^RVh-y4{z3vvu|l>mZ-SrZu)VOe%?~agkd-Am z2kKK*mRa?Y*G)fvYTtv$_#7~18;jcacqOYwWI2B*2|Q)ECu&?$+Rp^7Th-={U+zR2 z*3pko>HR14B#KR*b)=1-j~)=v#Np?IZeD&!d_`iECa^Rqm^!n$KPgZ z?Lr;HC2CB8&4Tuu->&GXoZ{gq7Qvp>wwBke=DM!xgc5=B`d%Qm_4!ih|s z^|Vfp6oty=&yZ#6dATmR@CUSs7VD~IfBSnOZ?nD)L<>@x${=zm8RD?mHj&Qf!xWnU zd30&nw1KGPOLBvqKk?Nio?ZJ^jRB+w4SH`AMMVWP3G8$f2z0_ap2emcp>MA%_UF$l zEu|xunoAGeVI2IepZ^TFu-7uU8v{11Xq+nYNo5jKF-N4KR-|EXdYOiUA&KPAX>Bl0NaXg~lsk1n*NrPjQr~p8*yPyrl zj-C7^q=;bjWNEWzJ;5;~Ia^lqxv43)^O`9u#Vj?h;2d>hS6co*Bz^CPpg<8Y26DJANC#=R4e}TWEWZBJCFkTZ2G5w?%`zzV-w;Nz?NHD(Mo3H<^>BZ*+TyO=hDw#VuS<%q?}r+ddywq-!DZmgFZ%q-?;2z ztzRv&3>p_O}r+cKC5m+&cdYV1KDkno0NI zF)XpxR&U~XTeDT-V^p&Fv^FLahLmjNCj+$CY!KibNtzxh{>M{H6nSk1J{x^`yuJPE ze_-|nx|{5v`8>BGaQ$mzLq(Y$Ps}^vxPPTkznlFIlFGj{yFev1K@=Q%z&G7u7dpO( zrui+HpB|I`&5jlLq@t%~ZM`1Je81h{o0s8>{_M&U{l=CG-tTTOy%cJ8co+Zd^*+ru zC5B;(FgF&FzY=g6O`7&?z>XmY3z|^3iBZY^sv1W_&B@I8V#xx&lyB*B$Qv)mNhG_luYD^<r+7&sV@ zuOeTD6-O)ej{xkQE}SV&ue8KH%3KI0v%`Wb`?rYC0p$$iU$f{sm82yYBHmz#MH|=9 zaqNpc{JQl$-6P4}UFpRg0TdJOYp*m8MS!-Jt2)I3YOJEGKdI}b^f|-xeA|rwziOkm z7=!uT8w148nO+^i8viZ|We38!16rz}mtyjwR}__4h8*QkERV%{m4!d}?tW+|+m^Eq ztsxDeAUiV6{C>_)T&Fu>kNxgK&10GDK@~5HBC;$rC3Eakn+>cp=Rpflp&I1xqTsY6 zXr~l8nHO3PWqQiIfw;Z>ner+9o4TDSA8@DiLb^7Qf|9I}HzgXs*w@*e1upsWhv&Ci z|0eY8;%#5G^}%+E;MR=S{+!G0<@_XnK*k^!-}T1DEh&>8mC0(C8_sE$m5v-T`uIuK zHF9}BT*bFJ$Nqth+aiO zO=V4FU|+bLWHOUV7gh&bqj+XDZLP?DqwE5C|IRYxw0ld9=mA?JPU^U!{&o^_x{j$r&a0nx@yf%E2^#q7m#x8L5pd zdTXt?6{7BYUh!2WW2>@_AChcfN$&DKHC*7V@wd(&w{vHm0$k>pc)#BqNi8exU>Ju( zTr3FggG37O@<|U?>Ct@$N#F9V0Zdp*p#_XZtv^Mcc2GY>n-Ht8L%s(wwNgs#o^bC6 z5z*%*(GY<=QcBk~aie1o+(4h@z25V*k?jZZs)E*UBr#4>-{oq>$xNRA>=deWyUV@q zJdBkigcjiy#ulXGf7aW3-C#9+x3st)z?2Bt!sYnGldb7l&iaE&6Az_7;3{&hUdiPgJ+N5t6?dmnUs~N(Dg8~0oenT41t?V9`pZcB{Q+#x$5rrWDM{pmE zuD^rq&F4v*YYL<`>glB{{JgHioqftlZ{?Kaeg-Vq&Zr#3EMwj)`ISY-w5b21v~GXl zFFXw5kEsEm(p+0FC^{h zuj!d%Ip9k8AdFftj=y)DOpz%r=COZeIHycCQmF8?SB|dpo9PSJ2pQ!>c0!i2&YaK0 z0^P9bD0`QGvx6q?N$CI16>n7u+uk46*m+F-6lBc0mLAos-cCG=WB@XV5H4=<)j_&_ zn{q_*wquk=xsLF{#|)+7uuk0QiW8W>nVfJ=A*ZAS~B51gJYyJvVDmnqDWTn8h*(et)Z#}aG zJC0|$52`QhmB0G|6%x1|35}-btHNuK>|{uKyAaum*!)Xf=JYqe%mmHNnqL#qS*}Dq zhgrd%m~}^MD4xt{U_=uU1#s?5=-yw)a6TvLL!0xF!eu4&ZI-x{gRQxKQF@iO`P24v zAQC;QXO_WtN@EY?<&)V9CQoNUX{78Le#HPuY}m>4 z=l~rXA%bh8xHanG4^E~dVP(0t`_6QwqKgo$N3U^k!l;U%M)+iD0s&#+0a5 zXjqG3@TnnB2TP_53h5Lpj%Yd}_zK~Rn9t@aO+iXh)@!3ll`M>vK2DcP%6Z3D(o`L> z!*dNe-LFDCc z?YSF_hMo2Ea`P(pKl|qp3gX6SVE)DzM6IcWxo*4$?LiU5bRR%_P#AtvPrz{+paDit zbfm`R5bpiZB!~;cs|>>Y5RnE(g^OfH`PaAZ)ss2{6XlD7Y+(9lk_sE2m%xSY@AYVB4(|Blb0yYY!Mta}B2a8syj!MI2!PJ?DF@ zS{be`f=A_DXew=qB4(#8!}VYPbndbhMs}=o#1_a3w`lKr9(mbC$wduwqAwvw2SLwE zOG{I+s9XyHoCooKiU7;AhdB8*+D}-1Pa>yH*(UgOwaePV^fG57%*E> zxEeU__?YXD>!;_WXf!;+N});#YH-4|OhGqz0PJNTpvy<{AMR0`s1iv6s@yZe3sd$YO@?XDPuyrRMs} zDkL8FAvt~PVX9nAIE276(S!Phn!7ab1vNgcQI{4!*MPg3xn^O3Qa{FP-pB6158AqB z&ukZOEkaByJBmIbJ+?f4?2W~@;V)(S(`i$$&RHZS;qAq?!}agSzVi7!bj$A_9ni$VmIo;yL1s3%^;*4s0@iJ zzNU}qbgykD9l=P_b5EE!b)EaNi?7h=o@c(dbT3hxqqk1(Kf$F1=(oD=L-zw|{Mw9ze0P4RF`pKNSgdw$P; zTxQz7K*jw;19UWk{)T|}7BJ2cLrGWM|F5P)2h?<=lih!k|FOCd_`EmpxZ?ev`ntNS zGxfbFI<+5v!Xdb1Zr>42f6#`9+3+rmRNkmr^_!+_t?ylzF;qs`j|uxj>`3q!vGe>z z2Jjg2_)0gwsZT#+Pm{9}tjhny0>Sg-BVKK|<1hb4l1P(|y8Cdf(=1YexJxC8(bWIl zkub%xp93VdTzm!Ec|es}LAq7&ZjZdIkSRCRMbVw!d&^Y_cII#)M91xdXXB|l4z=G3 z$I-y(^Pu!dnW?=kY|4y5Zz~p?|puWe9vG9 zaW{#F>V!i>syYk^^=Z66ZE?H{py9*)PvAR6J?hq01^h2x{kugfa>|hpnPoW|p!s{@EDIM)AGk;+dAizMT%3q>MSNfxyVASZk&eA^oA{&1R~3x=wK=7b7l# znkX+EAyEeW5we6aF)&ygoikQ(#igt2X(hB&aS``*1*@nj2d!rNu(z9@ngAJD*8L%+ zq10n8=YhSe7-U$jc*hYGbjpcjsaBn}&{$R%hzEt4Ea$pQgvAAlbRR#F4{q!&mo9SI z@N@l#CwEZ2r=-3@7&}`bhnhQ1Pt;F_#)P#)Qw#w zV{o@w+1&}4`s%&$xitLm$pbUSlWIoUyF#}hM_kEwFDNS`YB;_(v|=?LONF`tt}_i9 zqoC%}n%`#rhpys+PBipe$R%aLoWx!D1N4v16_-nHej^zQw#P+v&b$Ml+J8GKmY?aF z8dNv#uGj?X?GI}nLe$R+rwYcZk~r1~c|Jm1EWPo>PHbV|*`#(HQaQo5$}MH*G^QU{ zV5c`lgNPQpk{wx#j(+O_>NPSd z%r8Wlq@e{E$S=AKhbzystJvx*>66)?eGzh}RvB0bmrd^26t!M1p7+?xj;p?$38cN+ z%=>`u=gk39hm$zvF#2;IGB@nZ-+_`9zUIYB9&5~+|BppBqZzGf{eM->Zi6#nA7^2M z+ofF8T3C98(Gy|4WRhnF=R@BJ!rVeY_E`@JfnMrq2n|z(^bA#_ zBs{u_Hqe^1w$&=FtJ6Ak3lp#Bts?(5Y&hb-+bK-3^KN6L=dH;Ke;yrhuFL`X^Vu=^ z*N2BAg%w57IOcyfQ5fGy(~{ENZ4r`Cxr%bAu|)xgV7uSiYvrZWb7k9(@V42z@$Ij< zrdjDSjt-;WZ127tu$ngZvo17IqT*$ze;B`u_s-*aK=;r6>gw{r>d;C_m{p|F%O@1a zrMjM_7L^?;ZzAbxTS+(olfRlF3n4WM+{$DC$| z_drxjb}6MfA|P^qXCo)hu$jW;DqCOj3)*vieE(drPUw%j@>}B7IHz2zm?&&1q|JJ)8Z+HG&u(gBMAb~jOeTzUGwKVnzJQm9G zOG*KM(OpKlwUAv5HV&A7$vdWT)rZZXdzmkL_XE9LqB&=wj4Fz>m_(qYJjan`=~*pP zO=$Y?upNt4={=?MJM*5>Cc9)j9Ah{a$w$!Nm?l7w&EVDZfnE3Wl-;S{g?CxRgU>eC zp6PKfnG9{Yse7-QR4)n27@y#5Hn>%G8z0D2;#+B$m$G0gKW_QA?ia)*)WUo^GTT_< zO-|+ZS2+qNXtn8BkrCjwdg(0Bx*QJSD9rga(gV`>G? zA()cAKBiRERJ@g$A5G_rL8}Xsp`w*tq7sN41(CLa;uhOWsCzG#Dl(hl;lH-Y$dk&| zlw-NW`*SwH+6?3ia%nnW`R0mUtzu5+?AHt#3ibE?k;0^BJVl2-#N{S%MHz4 z4#sU1meF%YD}P-2P71N`9IlYsoROF=ko-nE;a<)Dw$q%0g~^z5lwJZT2vfzbz8(+d z79p>Go}x^Xm@_Ud2`vpygxLGE`;upf3`@xy(vVbHhE)Vcx~%C4uy$LR(u(!;r0TcX}u(Z$b3B z*QWa$lV*1^WK(d~=+#t#?O(e&iytcWaa(27KP?65Kg#UK5ix(DA`$A+6k6Rx#G;L=R zj4g`f>2DuufFO1szK;pIg8U5Gs1m14!p#h;ndnmh=7lzgpMDh+9u7OKCxdyOwV%=|)*=}K}q-rbNPp#t?Bi)PW{Sm$`aSG8Rpiw3VpQ|PX1a}gXax!k#b%2LqUP( zKH;D{;ZWBZD2H;m!(ojiz#aw71LEs<2`CvHkKPS1{?n;~O+H8}6iXk9FFZTLd=k~W z+3!+y{*+I6s-o*9S0r>WuTefN)v(UH_JIy6Rr9Ic-PXsdc8b)s`68w-P+?dv4dcV@ z`KD`1o{W)wl~luJ18iYUizSTuR&+FnOB$`wuuydfk!`Z$-tV($j7rh#H)2{1XeSv& zqzM4VDVeDZ${3lk&d&JL5|*^4+^XIwKl+V;UgA#hrkIzp=-~;xG@9>kP3L9MKk`@{ zpNg)C+2m9-iBP^{1$+JC@oW4gu3CzE`YCI1g%)y!P%b{ABw1%a`D;=pR}qx<&l~RH zu8nvI=hbpA?}8(hCuVlM8|>Y?cTi9J2@$Ri6D=(g6b{vs>XtSh8vgRmfMFqn)6%a1 z;_gug;-z4tF86xT@@E5Legp&pnGn5y9ck#L)*@}U{WwqIAOLm}(%V>}?6)k$W^xCo zcH+hkHz4O4LF#|Y(<;afJ%{`tq6J;ad+T$PYgVNP;L&zlC>Xc1$z#%3H|S%YP}Y2()XR=6F+A5W028}?Y$;y)9xkH&w~9CX2itNQC#!F&LLra^oUY_r%XX3aXI(j*z0 zuFjALA5wWVHk7*aT(6R;uPhWSXmp<4^4YUxD})gNa}?6V0v7T;ON{FR@213A*cuAY zZ9fV*4<0$9#q}fCLGzgiJm%xIvU=YoqpE)AHB|LAzVJm%`IKb{Nb=?4!Mn~Rj8S2` zg7+VbCgqf<@r8Fo#-iB>Gr32Y#ARRiHfmcM6R%*X`$~ULX&gGyOlI)6R zW%JxJ(vxI?cYQo))zJYN%9Wc$Bn=PgbGDU)h~F4rdx4<3M0u{dwbzSa!G+HKGVslM za7CV>rbJu@JbPZ?*#kGi$8vdc#LJ$kllP%FzL~pK4VBO!k={#QBXsy?2!PFcGpDwQV7?jS`d>c}l#k)G&@DdNORm>~X zhXTY>=ezexEG1=0>?sSr-N;+sN;Z1+Q+L**3^I@OW&9p4Zu)qE_FHgj%bBJHjF${z z{^s)s?`N4^SUDmVW8lN0Tc^t3up`CgkJh(&=mGPIH8g{XdJnG7HyY)KP<;ck`xDH? z#fOf0%d)0=f&MB|(e&ALva*cXe-xkloddfjbibjmETk&E&UY$cpvZWvOyF7boAojE zGi2oTexJf$ABnYdIq$(qHz9c`NQo-EWsD|efBFVE{`KzRLZ*b}7>%wGsS13@++{Lv zA$O|*RB$a=9%=KtmGI@KVv(BXhjvs_Fo{ZOx2HQ-EocC6xLFB<2+!OS$%^ zOqtf8r77D5-z(P(k!PP|vgs&{ta+C6G7ty0_x=uGQ+q}_fZ%T>IS=N(?MzO~VDEpM7}Z&2^%);k`tp6J_B{}i$kg1RV44GOA z)_F(c;Gh`q!}ObZbZ{$kp`yW=elnc^zEZ+Ftp~8cKYscICEs5JM{~FW<#vW)AZ1+VtP1gMD;AiVLXDyJ&IBQ-{@Y+MMgR*dLBQl!H0NBD#fp@Uxis(nG{ezjh z(!!^YFwZO)5@Wz%2{WfxitkD#h9?U@XhRZI44cQHLD$#|ywwta2NBR{ ztSm5<%Mb7JiTI{Ju4)NOr^c%1?GvIVg?9-=`DE$@#R|X;std`u)Nl0358S;KKdAm_ z&?TAt>aBG)M`EIfB$)WoK;Y?w>$V4Ix+4j2qQwc~sCl0A@3fg+Y?+`$e2sK3;Ysr( z{DsSeCD{ukw^?_LP+_4;ALZ(tkNyY@AM-c8ija@=n&gq$p09 z{p8FqpIY|8+i~zkUa2O94tG}}LTIojOlXsP%oc4I5Fr~aM6Z}(o3HvfH(VG%r6vci z@kg$e-0oLV;BQ~`?2Ns} z5(_!bSHJjZ@XcV)Bi3$=sFuh|U@Z_l)ONU3Cx06f4DJ1&h8AQD+>z1sRA%~|Vg}9+ z5$WTfdxsH0LKof6;O|_a1uS7d^59*(C06avH>_byYep%mFvhRN!C4qOyL0j91=!?b zz>lY%lAO|+^=ZVXSDsdMCz+W}CJE0hhs5WDhN#(J%NoZ)!uwg0BKeq5BH}LR@dEI! zqNH+1Gc6NTZRr+uCv3ymYY4QG^w&`jP}}&=v{+_~Fep(r0q^*mlvm zyTT;EdIo_QO7L<@Wbya{m-O0CMFoIUfrw&F;t0`gT<#fXxo*zjo8oWYJXp*=9@_jN zHrdjSEMCta-$JJu3gS|lE=*Djz6mp4%BL`CAWA7A9rv}ua1K^u2)g~ye2j7 zmzd;WuT6EV+h2&;cJk>w2**7%4c&PcFU= zEmEVMN_!@LWv}|e_Zdfaa4*y#HXVW~R;AE~FlhI_n<4Aous%6|czO}*YO1{&!g7e! zt=fk`lN$eibHmns2zZ)O$$jk&fPtt{3zFb1n}hDr!KjO;(3~9ae|ekWAvhxez^0_s zNli9~2}!r)695imfL6+@iF63-Z~wPF@!t?~ZhS;l#=UqFnZW{GEP?_w>eG6921M{= zDi=@|ZDn^R;`!0g@BV0~Zu`+X8dP7G(x%Bievq$E^(&3<`hMa2YgQ)4H%-+e`kS+z z6~1<;Ft-=WAPMBvRhKFr``#XyTja-132xk>$TKD6=L3Ce5zj}^G#GEaLi4=e_Z~OI zy!gnQ0wV>M^}DmXYcKFjk?-1+^Gww^*m_H61llN8MpPaHzqRm-kbhi6d`qo zY+kwIQ5I?`KS}$J8_QkomO3C+$?aNEDy4ay0%IDo=fjy~+^p+%%wy zJ~|x?dEET{p6-qtta2Q_lO}0(N0c+Xv$3S4WRer+4H5f;DJ8{iQpq^tsIpg{BTTN> zg5&U||Knnv^`2tZ&fIR@=aeI$w$XEzR^4+?XSV4wZ1*M1CNg<<>Y0vde%K6Wb?9*P z?i<$p>={`f$}h8L1y+$jl;8Q{(2PvpuU`bn`P`=fc16kqmgdk$f7nf4hd3D0ZSOkk z*S{h*otD0!n)-1YWME%5U;pP7BkG+{XBCb(^!&T7s4GtkL>H6 zk55a?Crl5%m#FKce8%1lTmDj=_>Z`Ry6o@Bz?GOE z{Ap2;JJ_Tf;hY1(Qkyq)=Uai->cgl80+GST92yuxcSVZ7gU4Y#jy=6^l%s`FbO8jV&-i<_KwSa9ZiA+%QvY>nY3HNd5-NErb3r%SVUZQ38N_VTU^ zJo!^^3rgN@L%(`>zSBv_CmxYH|0xUr_OLx3upoDwcI7^j4H;4MKbp`z7(?HgE=Uwm z12P4-aEmUBx)I>lP=&Be)0te%p6#`pd5+rl#`w*^#mtA+Y||>}-|LT~943{zS*Imx z@_tSSpDl^0Q_yu7B(<@B(E1Z5@JT8i09%VsunY1$A=?CpVR8{^oA&McNt|>`uedu4A`a7@tr85-^jp`oaAcTukSXz@(HQ@``3kMZi!qi%UqMO3@Rhkjq z?}*!z(kv&&(?xd0Th}#`cB3lS$_Oc88gEHo1Hp+N3h|J}6;``{n_~VaZP7Q4Gx=*ai02S)zyq z*W*)k??xO$J!nzd4Gj27Qa|U~{ki=4*zPkwL!~!PBoNnh zJZuQKojaVzH(H))TEqKbh2Q5_B-|Z&wt21eWzmy2mtvH+OUk}TsT;zo+`ucxNJs(4YjN*_1c_8Ryg zqxc@r*8ef;23@9%>J=04{c*Id`Z&qSM?JxNRAoO^h9N)T3v;^8uOl5uRy0~~D$qG% zbidvu0Cfw+HM327Vs2FX1QqA-+_7ng=?f~C$jPo43DO&iipyh@OsPLQ|Hg%yD?7PN zse_67jrKZ^+4(T3N|xsLCQj{jzTd|YkT16BN)DZ~6}o)h-GijKoXI*r&TW&%UCtb)*CQ^yzgo9D^5lTmPFS0b&0D@CKqAwu<9yQ{e1JUSHzu!u z;aOofLL10fPho0a9M0R>&ED_d&F**PU}u|=JMsUpq2535tW{fW_~xH{(31~f*m&@- z1w9jD$EJNKj0b_ckUb`9m-B%a6uK6znc);FDA*GhdD$lK{_V&*8qG|CkkbBS81Tix6g% z?y>^=cLX@(%l#+ZVS+nvuA&D1=IW{C-W;gxtatdTb23vK_zoMOGy&ibrH~a1C(Ev& zwdk{9X&3xy3rgV6NzXMRk?CWc-aRR!s^;Y|@KcVrgF(B+h9X4GlNnVZjeK)twPAhT8}=6s#WsIRD_&o?i8PZ@+KJj5?^Tv~ zoIa$an0&NYXEy=92$kn-Iv&rIz%_J<`KEqlwFI;uy}5PQ4ltgO{P{a{d(V&#WCi@< zdDD0jumMqr>{yW`b~X?_V};gr?e~@90~k?7QniZ6o7czwk&vz1B`dGm>w*u_`(E!s zM8~sTG1GV%yxuSQKM5|J-heR99*$QlP&E#l9&t?z`FD(P<4ft0iT|008Bk%RPb8BW z16(jPY;;907f9kyP6;{ax>@xRMQoHov3X0a>M~VatySx99_a^c?FVsL6kE}n@hovM zIXn;6r$f*5vh8 zdMWwzPmf?5+vaEXB+)1*B&d8=mB1|O+l5|8?tXr zf|XVssE~;ufk1vYa3HLb*6qhnNV^9 zdT4g|t}M%a2Zx7Svsb<2+&1U6M;}qstVydTd((D*|ARbP1n=U*Y3!RTHfR?!I6~4# z2aYPLc}@=|y0$oaA*i;N?Hvk{8<%P~y_8|n>Kf0{($9M&X*gg|)iRt7=x;Ts-vY1I zCN2<*4L(dG?RobWlpV1X1w<@X%$*nQ|--74DC;aK;HWr zp7u->W(K6DkGRBDK?)Ot@h^p$_pfb?xP3PnBZU@G*}+)??gVc$v#)UmIHnm#Odg_7 z($aS4j+$s1xF_Q!>c?s<2#B@Tc%sYU^GshTvwc6_+&>tYXR?JAjL)B5B_l71L}FA3 zKVls-{UN4eGO?I-{%84r2o9D&kdU&*j;)R}6hcPBu>~Awyz+^!E6@!DC(jF6(nPxu z9jYtL>;qL(`c#pPugKmCo%VY5wA^07k7?&umrB0rjV}ZMGTpe9T*i2IE5Fpa{myeU z8~FhJ79I}xgU7BG6Uw@v=U8aRenHPWlKMCERMFy>(j7WU_dGJo{!#dxFeAJBZ`3o^ zNX;Rus(@}ghL-5vN1orjLa(d2s8vaim+X?O`KRw({1*25T5``eErcZA$86_OCF$Lj zhS+EQA)%by_eElEjZ%io*GIo{+jw=!um_X_cKxWu#0N{+Wa_sAPHovjS4TzFweiy3A&unFB@7J$(lCU8fOLz4bccuTP(pC%7U@vB zJ0+x)MoJp#yvO%_zkBbRzhEsEbN1Q$*-!jp#|<{XyJAc#E_UtjtRGRSE7)F!ou96z zD)b4|(kqrw`>RV0s0T@McEH$+z%F_+tAwhEFW3!oH_sI?ok2@O@h>&Np_6k)optSR*))pV-(tdN3xs`w6XwO2&6xv2@0 za$=AmYFw=*N$7ZI)Nw$e)iBINBB8xz>pQQt=K#f*sd@X$kYJm4bV3nis@3b@&x}Z~ zi)%yn25XGIxQ01Om`JcSm+;+(S^{0c+;cKj*>jKOY$BL;?gPo(0cE!4r@KGE68Z}b zztATuH>?h(=@C%;Lq*iaV#g8`Zhil+k{&xRcqj^SBbo4ko3tBjkdxygrrS-LJvGw!R~xtJFT0(ZP2BIPmc{F*?4`srJ+!ypVFVGQ z69eb#j>>2%Z+_It0<6oos}Z{y$RGn8`d;&dx$hKbTy+fk9*QiKJ9{@9hWfDECCf3I zA+j{*zqg$I*k2~1f7VJmomky((dFkWUp;+2d%n3H^kKe=`;X`N0~*CU))De)wlC+U zL|mAd#X%$$UEc0VcRUI|sDIQrMqo1&HZk8>(Tegq!koJusP}9NvIGGoIe`bo@X!0( z=c#7Wq0EKOpenp|L)5g z0eAHT+Qy{G%#vz~J|>Zr+2>`#n^@?jbyOS4(BZy!XZopSk>Ckdq;;Zob=Cnj$-caw zxEPz1_QuC?t8C#)o>|}mygI^023~E-8-MoyTu|Z`l-Zq;gFU4y%t!C~A_(1$f|9O? zPOI78DbxAG^I-7y8b3XII9$HxyRpM@XF&%v#ICI4(s81p7N4jcC#MkqZ7s#WTZ=`m z5m(EyEUFa6LBM-e@V&O}qhQE*mSlY8fT7Myzxq9{1F6}9RYJq0BAEdpxtY*pRtmNl zhvnRKv69D2xoX+a6cWMeChH=Qqj){>P8J-8qKKlKbj}Uh&aK5mV#z350DlBbT%32) zZp?LcY5{57%Z7*L)9oqwRC;lAK4t{kL{&yZbL%4|h)=t}A^+9xf9e zE;S!cHK|3&so`C(Ho8cF+R!W}TCa+dm5K`}wb^jxoVOhf8^@u$#sBC~AVz1)IwxVl zg^#(La;Ks%_`w9~`DkaG`Ji%@&HNJ04I1!`-2;+D2 z98}4rE4V9;2HAJ(KM)H3{~l3-T70xMd&dac?g)>Kp~YK2BiA=&9mh!KtCHEaK{Z z-{v3Y`yWqFR~Q=zc->yQeEGul7jW#})arKu+0AoL2!)DuvwW^hYY;`Z5bl6Zw1rQh zbEjP&0XjQ1sQ3?!Z?-&J%m0ij(0-(N68#yWtW*oxYAE_8H>2x0Ew(*vc2i#ok(qk> z@aJk-!fPN0*;YL$K1XJ2N`rjidpVpU76mU31=K45r(tQlcp`&%w%PwS{9?d{Ukety zaO$KLZ2LUerluc;1~V|}QMYXgsR!?BAVRD(JcN`%O>!|bm5OdW^qp%(RQmS2N6XEf zA1h~3GQzmh--!zE7*eyG98B&Q2m)tZW}u#gsxdo-2Qdg@W1-i(ARX70zITWfO>5CK zURuSVNRB3@)=roW=70Xy_%&J969JYdT?7K^ibTIhagpo)?WGNaDHa5qeWUUMKGaq)0mwE?Q_Qe7ryd(|Ykv^}s_rUIk z(tS!C<_CB4AKI=Z=pn$dmh4E%njQ~3VGLV)VLU1lzx($OBcR;9R|nx2t7)Z>?C^f4 z$aTAXV%+Tc^rkOc7NZVjpsbuQx*q30@|NCy1Wtv6_Uf@vbuwQW@*Fx=_t^S(-a!PF zy@j`5+%aU#4-XB*8hi5bY`=PyIvvem@tV$@7V-s@Y!ULZ1D|+bgBTLma+%bz%$Q)P z#fatPO3k=)(qWzLznpop9PkxdZmNklCtAa{e;JS*q2p*Vr)fS_yUj4BWL~vV2pXdj zOi~e+i^+uMDu_-#)b7<;PoFlaC51^&uyhhBV82fJnx3T7M`Q6@E9#Ijjy$OSuOOgY{;u&wPNV^85kWT${R!|=;2$hH zRwa^GjsfG(#(xw-Q#s*@2ebQ=>zHX_46A}vj!nJ;UQio3C;4wZO-%lpWA+1yHVMk(oMa_2?ot96Q`~ku ztzAJ959-)n{u_8sd{f#2|B^R|W)etgNvq{5bVYnP-pH3EL#xFxcB1S%S`)xF( z3N&-s?C-ll-WYPuysRL?MfMJoiB$oQ`|@!%)~8JE?l-UNsDz^)iu(yvMtw2|1)R@J zPQ;s{vQ9LxW1mdM(Wd~5ppJiY0zsnOfdOe#E4`sDp9~n0J>FS3PUF4E*)|}BkYaMV z__{3Mi)d5*`@KNWRu$(a?>B=a3|WCWwJfMg|D&~@tj!JOy&$ahv(fc!^O?dn&TRzOME&Gh9D~p(mku=4Akfx1B|sE`wJYcEEIfeYzD3 z&WPy~+^2D=h3|2{9dooli)ilLZ-Wrv*Sgc9N56+!P`gx-uss61JESQB|H2lop35Ab zfiSX;RQE@8q0nk4E2<8qr*sxU5(G`JQsruszA1M$WMM-%C7Zg&F}kg+z!LL}ff zF9}%ouUJ^N6_y9`!J}V)+7zPW?dIRGyy|QL!@JmOmP1M~IW7e`2=v7LANe;_U*=mY zIFP`K?p&HM(7ALpe8m_gUtn%L0uV7>J!&vFBvp;!?8xx5vD0PjFn_y3M%)r$%g?e~ z8a}J7OQ$=7Z*il@EB`G^Tb}vaWY;>YhD& za{l7y4mCH9qH^R6-K=`@j|3g4Snm~?Rti!v{w{CQ@;)nF1S^Q^?pl~7(=7r0duneM zeO7YX?Lm2#%*Mr_B*p`3e6eR^iuLMO1dDeU@fbDZ%E#UT z2^pRkYLXvO=srSaNz2yE^K4*qcZ{$MRODB$uf5+6EjPkXZhzJ`=eD&uj%Cb$`BEz4 zv@}-*rwP{O^*i+X|20wjkA`_CAd4OiVSE;#h}+rDA`P9Q`0PR7e!7U$r&6O@XA1z` zH@UcM1|nuiiC86B`??Uv&)A^PCq!qiIFZ%tD z9|fkF&|gZ*|6`;S?UiC_fj89^N1q(caIYyn&}tmiH8}Fl%#%v&08Q}dbHyOa#U8-x ztIZGhy$tdjNTrQwRY@JCtZVSm2g9Vb6$`2tUqRQ(=;Cd+9?r=$_-DpmohF(PgvRiY z{Ltffx(B~4IsVb5(z3+azM27%aGp4e`bWjVQo6K*}W;)ap2Cv*Tz&L8~qT znGUvpy@(+2u6W2V=PGjxvW^$pBUyb@G@b;XO?+~N&!EqG_UvgFW<1{uf8oL?^RFyh z8$Fm-^J_DkrZA&ws5m&GsRSPM_~Bjq>I9Z+`YlHK?o!J*4met13Ap_9K@!X_WJY9IXGIhZW^JfG z12}xABEg5RwL#|D`7iLuIyAnX4IuGlI@;U2jO8Bh7H9AI?v8$39NT{4(zSlrDgoSV zUhJ@ba0=KpGP|Bk^}S~}9!4=G?Lh1eK`MxP#C2>tA$WM;neUz8gzHU&YYj+Z8Vc4~^OFQBXL zQ(vCmsNe-m*MPnv1u{=&>flN|n=(~JL8v#pm8Qv$;9YY)m26-JOc5Wz#r?4%GMY%l z!Y4LNph9q(%7r61HnEY$G4F}(zkQ!s5T6?z#KAhul*I8znw4reRo~ITYkw`QYEQ!cYGA>psqBPN zBiuO40+vU?@=uVl{Wr+C{&jh>22Yol6-;dZCoyEH6J*ancI*B4jMNJN8RuuF}zIfEaL2Mj~C=N)DwU zQlxIBr|(&bufC^4*k1fHJM=9OiN|Sa_cXXFt9Z{w|3r3#a1L*6iiW;&tD^ucU>YsJ z`O=ugp@Rj?NTd=FzsJ#P=DDO3KPAdAn{2>tm$A#?m>+m@!StMg70$`E3(7+Ao6Hm} z=T7NHsBx&ja=(Z_e#a}L?%IpyOxi$d<>C=EsPio&UIG`%OX?WqP>|y)Kh28-?AHBX z6;x52ZEP^Y#Qb%QiG_-_R-3oG2C=aIdcsTzl$*0MtC4|JK;wo1jycZV;A*C}-R`8D z%)S)LBVXh!1>g0W1X3w83W>IEC6o5^h|$pz1pH*i-!&^L=;Oo zY~6UAyWzy0+K>5h>L}Q#s9+CD`H>vMUk28Y7X}gBD@j!XRLUwv0cyX}mb{TLj#i)37&g1o)aup7Ly_%6%-EH;#X zFfp(Bf83Ee6kks$Xcz+g;~3J!^{6KErwj*6D%S$q)g$_0N<$q1O768T@_5iY#{HM8 znl0W3tQo%-8OWtTK+*A%>RLqcU9qf=Tt`DJ#&$HCBR(>@Q?`vIOo2r; zb3a`>y=t&aX1FB-bDzh8N9QR^{;Z(!0~*`^YUJewA@~<5tAusY1#Y-IaOhB&P-MbfW>jlF;HrvAH-Nlw0?Bmd- z!_LA7RC0%VGyieXR4-k7N%7GCbmZzm`kUBAG?*O?7%Y?)5>^Fhs;U+o=7D-m?T8QG{L|NolM_b zQ8K(6QDa{aUs}hSQ(fe&rs7R82Fn-6V{`X0rAJNK@OW$Oct0K2_N}Y*(pP^Ucq4?` zBz?WR0x>ITl4C;#KS3=lGEec^Uw1GZ)F6?poW=zWz*menw2Tnu!It6$B&rzBCvhMzdT3C^VcM9|G?;@A-O zE0sZmA~%4Wt}<2NE;1xNkuWHKg=K|hyq7g6^EGk~`D`d7^0G=*%&s*?^S2yjZ>!|V z=_<&MG`|p<`L@LUN$QYyK88xx)3N|`m|J8f_^)C&6leWeq{^^=&;X0HqUe8!bEMp) zThL!6uzX;C);!L|VA83Y)r?-I6(bi~)l#{=?NoTkQ9f`7Wa z5C4tO=s0_61sg0^Veb%DT3+TdYrwY6Qq23792=6JCu*(VuhQ}NlZQsR-9<>lAKAfgty86p!1^ibi*A*S_WEE16rK~4^rJ4f}dqY!p_4bbHx0DiU8XwRL&Rhbsb93IDE6dgS&_A0Rhx30Dk1N|6 zTzi8*x6;H$gFE`?0_~lHDwr3%XIAp8i!4HX=GiEv(DV)%smcwR(dEATyd&R_v#*bj zj~~vuM)K&oxOzXr1NVixV8qQySh@k@e(ZTR-q!f1PDb>X`JKcWS+bflk1FOQRq!(B5JP0+sylgQN)H*N#Q869PKi*k~58FPL2DpdkCF z$5llitPM!HMQ9y^+2oV;0_FAd?`lKRRRb_wZY8iqv8@fkZ_J65LpB+D*pq4#wu5L9 zD;vCS7?$mSO6NXbqO)aHcxWO<&3Xo^JknPzRlOZ8P$8WuGyLMVb>0O02>4V}ly_UW zmE8=QWPcOiEkh2dyz7K5D{#J`Jp3_QgN6pDo@;+frkK1tkc%qeli7@)6R`)wlSo zw1F#dx|PpM9Ky;;k0L@o;-%n^8oxBXGQaQ0Ij7th4sK;M@i;Wv88Q?!@|6$W2-U9S z)8|-tfAsmwZsabvX6Rn*s_*ugGz0XL7a8>|zjmLlBqnU00Y|(vafwYc`79%VS;nW2 z!XA^L{b64MP@U(ma`!&LFyE~GZ4aUb&r5VEnjN_lp_o7)p!nvc#@nyWdH%;VN&Wbb zrODcNvc;!eH)nPp($mxNM^8;H-4+|zK>nd|71~EsIux$DR_U*&af5V|pQkmeQ63Mf zWF%NWWhQtu0Ytig-Z%30dPXM7Y?4;Y*Bcx4+GxfkfjRvsD_&!iCjqlC$xPb+*LG{^ zaD<*--0kUhYqrR3G?b98X^Su+!PPE=VuHkEN+B5x6#6Ffm@j1VUpZ2p^$ubt&^Oor zUde>dEIFUf1;EUtJ|B4U*Yy?k*HJMMwgmhvQge6S1y+hsBv!kC<=hTBZW*_9;in(? zhhQOt4z4MRn$KQ!WizmJFri>mHKo2&S|~?RX6V@$Eh|9^e6CFDE6y7TX@IP$bXdS% zI_^rhy;|)$HXyK?p%G!;jFF^gCHYmqJebNNIFI;&nkBD={yZ|cBpIC zC8NL%DgMynCfgG&8#It|*DP3*f`|8ESJwKp3Dt+iP`K!tBE4)|kL8xZiMyD&i^o}^ z-r*>=|4Lc@d0F;r3_ELNdRg;u4%Eni&u=_{7i*VKdZWVcN!Bb+6eiox$Vz9s$VFvW zGU+OC`0&#T&goAD*}r3$diOQC#%M3Z(|;jS?pah-LHY5#siwlV-|$*K z95548uRY&`s|G{yskf^j7m4Py*x=*abVu|q%_h^ryLwFgwr?Uii4WFNntn6y?@|M4 z7R5aX_jVRYZuctL$LB>iE5p@8;IopnbV(UCk&J^bClH9W#jLEe&gcEtYXbavlfmhf z>B#YORcEI~TG!802RVX>^RF#VZ2X7uR+=-u73njk8yC(lGYnDBPJ52F2p=&pRV*~2 z)%~1!8v8q(b|#r5lI%VA8#L71{$5~1kQEukZBf%y+`J-|dr72;Wzo-qyXtQIpL?W1talLlfIfI(&`rmQr> z80E*ljD7&Nco_#1d-8KABB~qVe-UT`RIg zImkyQs?hk=S9z?qGYCp|d@G@=e{+0Z{y3nn%yqa(%FlCVhE^tpF55rYjqQ@IX)p9N zKB-JarV5gQPY_1DA+Dkx46myA@VSzP-oYyV2s%w_>eVrCtG3 zTiNZ^9zV^p{N4^AzO*kkUwq8Zz7Lr`-v>=@_v>kAbSJ;=r6uryA#!MF$Q&IXzjVw<)b#F(%?0)8@d*Aeaq&HC>al=T z@Apd0aj+7FLZ|7=dM=3n_Qakz!+Iw_NLE7}PI^QL>U1V?75iGz2N>##yc7m!UE3+@G*t06-Po+zt+jvjyc?E@!^C$ z7SNM_fo?^JpX<>gq4)(^jfT?+`C3<*{>jn@&lciUV*SxPG|}nb^E~U~Q|R;SiCWiV zN6h{TgUcrdanebhnzmu~@-|xEoe#^UusM}x?GS+}v3&>(;Jo##P6dH+aoOJk46Xp_ zL}?lkO|jMd*BuhtQ!-Ym2YJoFmsORKu+TbF*LwM`4n+KnCQDC`y6B7$ur#vN>i5MG zHoWzCZv7nExNoF^Qci-^h4}rs{zY=VCG2t%u5gv;587y&B{fiEiU_!ea>dXyr)1>w z+J{#|!+;!QLEJ~Ngg#F{A>EBa^wGCP5i4uKqvghDWDyutu>?*k@3g$vaV(-*JT~w! ziBYYIuF9ObyruQ=-34$2>& zqb>=Z;^XX=^IqB%Et)xHOas+HIm|9{)6z`yZt$A)fV>$alYqs zJ;hvkboB8vD!FJ$U+c43a`mON2`=yyR5aBjxR_2=TdkiT0*|&p0qmR1fSc?$*C%ff zKBd_6m?Os!%B{7W?<5lKD(N={h<4U`pC5#}qoA?!}Oj0Q>dt~08iJ`N0U z2#IR$d@uPEGD%8!DhDkKcDX0X#y?Ds-@R1s0x!IlQf&P-t{~lN$mi-T3&T%>ASnEG zmu1|Io7@v5gDMLdJQ(Tm<^=D8ZUeIIQN`gH=CkmQc_fkfYulM`z*^h0Eze89-2@wJ z#{J%Bt4rla4M*Bm9IAvrs8{z~^&7E(Zb(EZjf=C6Z{C4*^2Q2X2fFOCGoLC@JD8$R zw(NJ*tJI85xPqCAekD>tCp4AY#Ki0WkZIVb>i9&92-`=D*AzOBlc;AI%w`E6n zmy5YQ@cs4|s*DIv@6vD1yLBDH_w+LOo0P?+8IHkS567=8xhu3-a;hO*O_)ql*Yytw z&1L#5Wam(PHO9+C7hGr3-|HpLH4V5=Nm(tZ49Ze+R((1Y$21Hp8G!A&8-h$XToWA> zYIu#KF~Yn$11#L}M0R;I(I!90+@zwGZGI-y3v$Z{2{keGx5KB2KCKWu7DG4HT5o~0 z9*>xFys=M33y8%k4v9R9EVb1}A$uDH%V$Xzlu-ZCi{RhB4d9UoINgx<6tGZhsb0Zv zVp(8`rVED3qF_m50RIvqhako^&JQMV=i$GWjfrtTDpqT!W(MJ=@GF6!f{dz;CNy z3ktS?@te+xX5gLkK7vd{h&Dv!Ia9F(p(rEKybHt~eJR`xsDgu$f|T81;CPm}tA(~X zu3Vmt`kH3(Jwxsh?rX7(g;ds%?h2osDo9L+Hr{i*EL;D>A3h;*ZHB-}jT z2)m<_B1({3PT)Q?FCR;xYfMn6wGmFXxQx#YwVayn_Xvfj`0?h3l#=O~3abVYkPo+^sxni{yca;8!{w z^R|cCy|*o9EL?QJ`_v8gO6 zO>!=2z<;NZ;;Ym0uZe~k6i#a`#U+>SaMowjUieP|)Ar1#b}{)6@dXn6}3aEHGo_r|K^=O9Z(cFsmUvGJu}2xY~r_%TK1UDpfc zFx_ZLAap9nbA3ya&t)L=#CN*FjZ6_;Ss&65-i}Gq7xccj9m5-NJw_Kvtd-^*|Jl(8 z9DBzq1{et_M%;x_U(VPuQkvT^cZ-`ahODlPvxLGH)&*E(R(Hj!x!6tZ&)y$s%-N2k z%o0x$i&9aUy?Cam>LAwfZl>GG0vuJF>~0C$j%5Uej+AX|s&u{KyZjXgU6o-&g*RR4 zi_FkB*_;%xPQJ5sSitjt%jHGt;o-3a%(k_QY74&kT_78B9ryTwNqWc__+>S-Gg}D~ zr^Gjg1}uxg1_Y>pLiNR7>}uZ8fAc185On?}R$F|wJ%Qh5IXwhsd@h@M z5x{|j`y-y=_neGi1Q&AsH9&Cg{JEs)wc6Eh1ya+;g16zh@LB&$y{V{p@*kDd)YL6~ zSh#mrpVVH}hK(>Z|`w-u@e)pUw9u@uH6GNG3Qx885qG~)|cS| zIV2a#a@bnOiRsTiOqYH4*#$dDFPcTw35TDrJXObi)N(zxKyWCHJ3|H>;~K^aw;GTt zKJF=GM0F$q1?^=o8=NqPkIu)>)xlWET9-G-P|9HetD-Q6`I;r=$mBir(aUurW}Ljw z%%|@KeKXe|;%K;9Ku5hCF=o>4`0edeo=(Jr7ak>z%OSyvTHPiTQx5tCvoF6u0pq)zgEsOlCD8?j`5l#~m zUqaGx7;Z;|bHB*7sfM6Fw)T>eTZ>fry`HSCVU*bUgweDgT&~lwG(u^m;Lt<0KIM}# zWfhwI%7(fy>NrIzhMH9U{I(3`Trc;~C--^f#o51!fwAeH!PGqJ$3aB$$0WbivAXA5 zHmZt3&9%Gw6_$@giji$~fF>;cnd+j*xwpP+)1_ivu)dmjgQ?BY^xgcspI^%-Dc~;~ zf43KsTe}x?o5N5S+aUF>vCKiqRgn2vvQQ`$M6s-dlWGPnJ+WM;s%Gx-PadX)9v`iY zkaLYCKvM%1-lZxGl-VmDa`}F-fc+udn>T0hbu`m)Ats#lt!DX~UF$jZLNxb4a~lf> z8plC5Go1-=2FU#S1^*tg%PSA53{_&_y$x6kGVR7Nj&78u zO-`DA^qK_2I-lwMsJ$!wduIqkFzK&x_UpvioT?|`dVRB{f;ShEv1Ap(pQ;@7;B*$) zM1|y;jDCfQ6BSTKhc)^HM&!OZUruiFjU6U`CbCt2l~k(I&}KgAf!70A9C#v;WT3@2 z8h|ClXywqvFjEHr-(eI#>z%+h;z`tVBBd?~`KH;y<=oxn2cybHukEI#t)xW0(XOhZ znq4bU3_z+9`Q()-^raq#q6`xn~ zEiu3OK%<8+(g>>~dyY~+(Otd=LbtW6AdxSN`}$f(M=|}U?D~aMQriQ*Nr|*Q+~d-S zjC~So2%WB?`RqDu8v$h%VOPo}V`VVVF*KwqL;C96$9qmIR@YKuFor29>8*Sck8J1&>vzQ$PIk)uDn=+k*)}cF+AQ;yy^L+!m(vOy= zz{~XAe0q^qZVAi zvaVK!O*pysuG3~b#6HyuF#N0y8Kg<)jfC$1tmk{R0Z+cMgl)%2=PP>Q*Q$X1@4(`` zZ-=YUMXzmDmsTCJEOfA$P)A>(>$523N~ZK~O%HQMs|V3WrgpwizVN_N*9H zjp1mDs>smf|JsmsF+S4vUEM5qC=Iov_P3I`@zo;Tl8?gsnv(!rRjSp$X|di6%^I9a zywaCNUYK7|sFt05H36>j)YVodu12C!l9s5k*YWnvs7P!bc5&vcDXx!jakqo0xXHl^ zWZy|5z;vC`XY^3frVI9huie8lMtV>ow><851 zSYIUzs(CB&4V|tV=X5Gv%~;qNQTZxV>TFaLAGP%~{|q?V(9(^7+AO@J^W#tftJY&~ z_G_K0<2urh6Q(gscwU`ICLhj|*u9)dS*IEWzsjI?8il@ejRH5++%VWLU0)Oloe}6@ zJ!Ia_ZviHXMs;E|)JfvjOau?tk5y%G&?Zuk%++gGN9~;5ThwhE(Fi__iDBrj(W|f{ ziGjlay?ayzIYQ>BD%Qw>{OEg3!?(|SKH*_8>{*(py z8yogq_4GU#B1?1X@nU(EBYCS5QuGOrihe5n@eQ?t)pS$EF?9`I)XKLhlSKw5o5N(& zOJd`1On=bPAU?ru^!@~yT;sviJc>@&ddsQO+GTz|XL8I9QM~%JXB3fAZe8a!Ixm6b`{McXZbjfpGnmhhqc-q9`&)2X0$OrC@b)T4E>otA z$oR63kn@+Pw(JqlnCT?t9JMv11r?$fnqn)_eu-wrrPDuCj|s(#$DkAVT2_-jHk+fw%TzFWwjEdJc?6+kMTT~u)qLS zP&+qpOvb1spR}NF31A#{jUo`Wl3_h~A4)RrX8hr-K<&6FRT4W0G5}NJ<`*%J<_{SX zWPPm;DD$;mu1(hW@ggK4lI2-qF6YgMInw6~a*oP-WlqJ;sns65YKyXmAYmk)N zZHdC~BQ;3vfuw1{w+yy5ZYrPNs%hk4lLtce%I+^RWJq5E-($GVcAw>%`d`gNgw<%s zBV^ww@Y%&AldB4`8H_MlmzUFjwOKqHOltAittyIqvHscP3HB?nl!95TZ=_dV1ZGZ# zVy|=%GGr@SRt3d+HvXu!Uk(;`SM?4p%gb1>jV5dKpn8VVq4}YlhTp0$R)sW`f7R9J zYVor3`=?knfEF+QFn9x(9ep~LIlZMk2Q79r)tJx9JF4Rb?tt7tB8zO9m;4Ud&yC{g zb*jL!U=tEopf)In)`Jqt|0Warxm9d@B{j`@%CXvh5@{FY;FywRoYc5auP~;Hjo?Ke zE7q&Y4eg#h=0^s!yc;DsC+tGFI+z{A=NwX}yFZtFfRl*~_^QHsc9zJ6rsN&r6KlU=5mKg+`=?MqI8nM}wr%1~ z@84Exv+W{oaJVf0 z&v1D< zNSE24ZfQ!4{rS&=8{mYgzP|a02d5ixVyWKXyBfB&b~1Utu!dBIJvrVr;bX29o?|`D zY>-sWBjx91MtRo)IV5|WkJeD1@&|zi_nqk528Y~?`M`Qx_Y18`3o#sND z^7x<0|D@&94(04ly$B4#-}>5Z1+3WtFfjX}coke)o!G-OV1_B8;BuK+MnFI}Srs+r z$4GX%$TUM1`a3f8&)-Em4%_+(2;?_3_Qu>@K*P+<=1@1MapuU@-29s{`9!_h7W~zI ze3i($7ZCrI=GYt;>~_R=_=iO5{9MQ`3RY&{_Rv;DR5qv|=XR1&f0O#NwFQwy?h=5zE%qBkAT;3a#O=ylBjp1ihCb}ZGJ-WH zlfu7xCM6con~9$_*;OF;ei|IoUMhd`^QKkuWL{MgV$dJo&$uQGQQ$TV_=NNEU{<~t z;ky_ba^Z4&zk~V4Fkof{(jb3ndOJ-=wj4Z8TwLOZy69YHf8X*^|GbgbU@Cg!pVCJD z_<*iy%=$+K-vl@~0HAcA=P@F&4W>GR0;)SX%*s?i&cN(8UJi$KVadLfFMYfmCcUxl z?*SZgp?4KhS?ANNvYbuUO>(2}6vW5rE78gCCUvzBYf`uSC)> z6dwUR|29drE`4i;%f7n5=nF;ycOQ%Ecqbu7<`Um0NfjfNEcB;bv#Bv}!76pN^YHfQ zrRQiW{sQ@0S^)RkWsCU;HDX(dGLGw)`NK2c4U$Auqx6I4Ft&CTu|JuU&84wKVxFYF z-K8PE0HDb5>-9OMax2)1EMMDcUhFw;x((eWFW3{JmFR}_Jk4P={Ak%bM1thIJolE0 zWmgKJ`npXMCH7NR=7Ii07reOGwp}&h=pk$xg=U7}<@=SG6;gMut4-WM=!S&&m1i!{ zaK;FXq5L<%VZRLx*D~he+?fgayX3zz6}04=pJAlR34W6Fi8*E;kfVIR`rvikF26AA zF8lB&d~va92j!uQhWvO9T}mBOd**De&iq!w)U4M09@A^V3>dR-U7{~x8?EYE zRS}VzOTBpYe^`YHB`yqfCWGv21P=~$9PKd3!{$Uj6$P`)6If-UU63ENmJA7c_WN%A zT=3T)%gd4XvoUP2y?3@FX>C?!03Syw7>S5L6;-0{w~QfN#@A0gG2k`Mrb&D=q2DrO z$=+0+dAv)ks)t`Jn#xAWVlSYLV+7&13D7aG%1XwgZ6V>}6VmJnbIOoXqZ>M5=BFC; zfI>Y-KsWISaQPY+V?aMHUobli;XL3z_K1;8i8Bw4-5*c>(J3PiA&rO>b>T~u@7O5G z!9#C6aNZ^qMc^%(Yc-a8=1;x9a7UtI{Bx$pYzfmmqkfxZDjOlh#`VG+c3I8bb=_Kl z-}d`xD~1$0Rir^J^lAC>n9s5v0AV#gKSA`Ew~KWt01t-7(Mw&&{;12ibr6YX_pv$= z_4mcDjLY~BQFDx)n9&qHkkr28R=p0#`tjV?e>WrV5KaQ=<+rgsiNjvaH^6Kgu8(&D zx^ZPc)LJkKoFawTi~$M(N0=nHa-vOTW9^WV(jl-R!ed?P7nS#FD0rfl7`R%ODwvJ z&Bo~ttJ-ZNiL3>>-4SZe4g~ta(m-z%BYO(9(ZO|&n}6ZNvUdc z6u#V3ojW}A&%O7WR!AHp$*}D^U`74!JSq?)W#JJ{j;-^AvyO9$vdo^E$-_T~AcG{l zzi$un^zpB_n_6o~{jx zJPA#({3ncDH8F=X&28mmPrq?#s{4!dw6|c6H){Ti^qj;6q^f6<10jqR>vrCF*O*{+ zq|!VU`zz?l#Hw4BdtVy5YfYTT^>;%rMwI?GI_~H1kWLnEOCOE`v`lvpLiTHjl*ju& z>L8w<4W0lu=lGXb*nf)wM#}D&DuUtAU?-c^-*F-k){WQpl=>@}?&&^YA3c*D@7$g3BLK4>J2{ZbiD<&7%m_jW#8^D(Ngz?}pqp~5>m z6WH48M2>>qYCoG5uzKHvc|IaMi%akmTUX-J3I*Dj-3OnLY6cmOPYd1)4QP894}p(y z86Js7XdsEC5Y3z-e$Kil+0gbKxI)su%T*Wc;pBU)`*iQ$3#K36jW z8P)@=trdqPsBcW1pG714_lg#E@;IZilwCZg^?@hv_sDu=0Zk7Y zM21z)M4wo3*New>&5 z)Mr@}{gtYfJJWd~`?UEOZQK85Uu{|JF?rZxH00b0hpjzMm}1#Ow&=WX7=YF$Y|d}< zxIDDWgyLx0fo6sHzZ;>S0LjSsvlCH+sZyVoXKZ=#-lnAI(A6{6_2sR8!qIEhjYlk%VS)3CfG)Q6Mo;?!YU1DBzIfL^^Q?CR)pHfb0yG*aH$+KmQDV58 zfzYVSFzR-!rxNx^IK7Yas7VNbR}M|6>5OsT$E!*kuL7OKU$ooLE0HQKNPEweQHVJC zR90+0dyz!CwBWTZ9;DFB+w&h_R`hGU;>1ErWrgSb2cE;xthh9WXr4ZpJR;|~?FxI^c&oaWSc(7mK_!M7}txs>k^P5zpDj16WrDcwv(=LfCWH8ud(t*`#gHHTibh%pJ zb^+)Jr#^=6h;_0x@G?}3wj`1y=g5jiu9Hl$n