set_global_assignment -name IP_TOOL_NAME "ALTUFM_NONE" set_global_assignment -name IP_TOOL_VERSION "13.0" set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "UFM.v"]