/* WARNING: Do NOT edit the input and output ports in this file in a text editor if you plan to continue editing the block that represents it in the Block Editor! File corruption is VERY likely to occur. */ /* Copyright (C) 1991-2013 Altera Corporation Your use of Altera Corporation's design tools, logic functions and other software and tools, and its AMPP partner logic functions, and any output files from any of the foregoing (including device programming or simulation files), and any associated documentation or information are expressly subject to the terms and conditions of the Altera Program License Subscription Agreement, Altera MegaCore Function License Agreement, or other applicable license agreement, including, without limitation, that your use is for the sole purpose of programming logic devices manufactured by Altera and sold by Altera or its authorized distributors. Please refer to the applicable agreement for further details. */ HEADER { VERSION = 1; TIME_UNIT = ns; DATA_OFFSET = 0.0; DATA_DURATION = 952.0; SIMULATION_TIME = 0.0; GRID_PHASE = 0.0; GRID_PERIOD = 17.0; GRID_DUTY_CYCLE = 50; } SIGNAL("C14M") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = INPUT; PARENT = ""; } SIGNAL("C14M_2") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = INPUT; PARENT = ""; } SIGNAL("PHI0") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = INPUT; PARENT = ""; } SIGNAL("PHI1") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = INPUT; PARENT = ""; } SIGNAL("C7M") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = INPUT; PARENT = ""; } SIGNAL("nPRAS") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = INPUT; PARENT = ""; } SIGNAL("nPCAS") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = INPUT; PARENT = ""; } SIGNAL("Q3") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = INPUT; PARENT = ""; } SIGNAL("MA") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = BUS; WIDTH = 8; LSB_INDEX = 0; DIRECTION = INPUT; PARENT = ""; } SIGNAL("MA[7]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = INPUT; PARENT = "MA"; } SIGNAL("MA[6]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = INPUT; PARENT = "MA"; } SIGNAL("MA[5]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = INPUT; PARENT = "MA"; } SIGNAL("MA[4]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = INPUT; PARENT = "MA"; } SIGNAL("MA[3]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = INPUT; PARENT = "MA"; } SIGNAL("MA[2]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = INPUT; PARENT = "MA"; } SIGNAL("MA[1]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = INPUT; PARENT = "MA"; } SIGNAL("MA[0]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = INPUT; PARENT = "MA"; } SIGNAL("RD") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = BUS; WIDTH = 8; LSB_INDEX = 0; DIRECTION = BIDIR; PARENT = ""; } SIGNAL("RD[7]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = BIDIR; PARENT = "RD"; } SIGNAL("RD[6]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = BIDIR; PARENT = "RD"; } SIGNAL("RD[5]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = BIDIR; PARENT = "RD"; } SIGNAL("RD[4]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = BIDIR; PARENT = "RD"; } SIGNAL("RD[3]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = BIDIR; PARENT = "RD"; } SIGNAL("RD[2]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = BIDIR; PARENT = "RD"; } SIGNAL("RD[1]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = BIDIR; PARENT = "RD"; } SIGNAL("RD[0]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = BIDIR; PARENT = "RD"; } SIGNAL("MD") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = BUS; WIDTH = 8; LSB_INDEX = 0; DIRECTION = BIDIR; PARENT = ""; } SIGNAL("MD[7]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = BIDIR; PARENT = "MD"; } SIGNAL("MD[6]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = BIDIR; PARENT = "MD"; } SIGNAL("MD[5]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = BIDIR; PARENT = "MD"; } SIGNAL("MD[4]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = BIDIR; PARENT = "MD"; } SIGNAL("MD[3]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = BIDIR; PARENT = "MD"; } SIGNAL("MD[2]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = BIDIR; PARENT = "MD"; } SIGNAL("MD[1]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = BIDIR; PARENT = "MD"; } SIGNAL("MD[0]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = BIDIR; PARENT = "MD"; } SIGNAL("VD") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = BUS; WIDTH = 8; LSB_INDEX = 0; DIRECTION = BIDIR; PARENT = ""; } SIGNAL("VD[7]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = BIDIR; PARENT = "VD"; } SIGNAL("VD[6]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = BIDIR; PARENT = "VD"; } SIGNAL("VD[5]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = BIDIR; PARENT = "VD"; } SIGNAL("VD[4]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = BIDIR; PARENT = "VD"; } SIGNAL("VD[3]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = BIDIR; PARENT = "VD"; } SIGNAL("VD[2]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = BIDIR; PARENT = "VD"; } SIGNAL("VD[1]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = BIDIR; PARENT = "VD"; } SIGNAL("VD[0]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = BIDIR; PARENT = "VD"; } SIGNAL("nRAS") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = OUTPUT; PARENT = ""; } SIGNAL("nCAS") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = OUTPUT; PARENT = ""; } SIGNAL("nWE") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = INPUT; PARENT = ""; } SIGNAL("nWE80") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = INPUT; PARENT = ""; } SIGNAL("nEN80") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = INPUT; PARENT = ""; } SIGNAL("nC07X") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = INPUT; PARENT = ""; } SIGNAL("nRWE") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = OUTPUT; PARENT = ""; } SIGNAL("RA") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = BUS; WIDTH = 4; LSB_INDEX = 8; DIRECTION = OUTPUT; PARENT = ""; } SIGNAL("RA[11]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = OUTPUT; PARENT = "RA"; } SIGNAL("RA[10]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = OUTPUT; PARENT = "RA"; } SIGNAL("RA[9]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = OUTPUT; PARENT = "RA"; } SIGNAL("RA[8]") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = OUTPUT; PARENT = "RA"; } SIGNAL("AN3") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = INPUT; PARENT = ""; } SIGNAL("C3M58") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = INPUT; PARENT = ""; } SIGNAL("DelayIn") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = INPUT; PARENT = ""; } SIGNAL("DelayOut") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = OUTPUT; PARENT = ""; } SIGNAL("Q3_2") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = INPUT; PARENT = ""; } SIGNAL("nCASEN") { VALUE_TYPE = NINE_LEVEL_BIT; SIGNAL_TYPE = SINGLE_BIT; WIDTH = 1; LSB_INDEX = -1; DIRECTION = INPUT; PARENT = ""; } TRANSITION_LIST("C14M") { NODE { REPEAT = 1; LEVEL 0 FOR 34.0; LEVEL 1 FOR 34.0; LEVEL 0 FOR 34.0; LEVEL 1 FOR 34.0; LEVEL 0 FOR 34.0; LEVEL 1 FOR 34.0; LEVEL 0 FOR 34.0; LEVEL 1 FOR 34.0; LEVEL 0 FOR 34.0; LEVEL 1 FOR 34.0; LEVEL 0 FOR 34.0; LEVEL 1 FOR 34.0; LEVEL 0 FOR 34.0; LEVEL 1 FOR 34.0; LEVEL 0 FOR 34.0; LEVEL 1 FOR 34.0; LEVEL 0 FOR 34.0; LEVEL 1 FOR 34.0; LEVEL 0 FOR 34.0; LEVEL 1 FOR 34.0; LEVEL 0 FOR 34.0; LEVEL 1 FOR 34.0; LEVEL 0 FOR 34.0; LEVEL 1 FOR 34.0; LEVEL 0 FOR 34.0; LEVEL 1 FOR 34.0; LEVEL 0 FOR 34.0; LEVEL 1 FOR 34.0; } } TRANSITION_LIST("C14M_2") { NODE { REPEAT = 1; LEVEL 0 FOR 34.0; LEVEL 1 FOR 34.0; LEVEL 0 FOR 34.0; LEVEL 1 FOR 34.0; LEVEL 0 FOR 34.0; LEVEL 1 FOR 34.0; LEVEL 0 FOR 34.0; LEVEL 1 FOR 34.0; LEVEL 0 FOR 34.0; LEVEL 1 FOR 34.0; LEVEL 0 FOR 34.0; LEVEL 1 FOR 34.0; LEVEL 0 FOR 34.0; LEVEL 1 FOR 34.0; LEVEL 0 FOR 34.0; LEVEL 1 FOR 34.0; LEVEL 0 FOR 34.0; LEVEL 1 FOR 34.0; LEVEL 0 FOR 34.0; LEVEL 1 FOR 34.0; LEVEL 0 FOR 34.0; LEVEL 1 FOR 34.0; LEVEL 0 FOR 34.0; LEVEL 1 FOR 34.0; LEVEL 0 FOR 34.0; LEVEL 1 FOR 34.0; LEVEL 0 FOR 34.0; LEVEL 1 FOR 34.0; } } TRANSITION_LIST("PHI0") { NODE { REPEAT = 1; LEVEL 1 FOR 51.0; LEVEL 0 FOR 476.0; LEVEL 1 FOR 425.0; } } TRANSITION_LIST("PHI1") { NODE { REPEAT = 1; LEVEL 0 FOR 51.0; LEVEL 1 FOR 476.0; LEVEL 0 FOR 425.0; } } TRANSITION_LIST("C7M") { NODE { REPEAT = 1; LEVEL 0 FOR 51.0; LEVEL 1 FOR 68.0; LEVEL 0 FOR 68.0; LEVEL 1 FOR 68.0; LEVEL 0 FOR 68.0; LEVEL 1 FOR 68.0; LEVEL 0 FOR 68.0; LEVEL 1 FOR 68.0; LEVEL 0 FOR 68.0; LEVEL 1 FOR 68.0; LEVEL 0 FOR 68.0; LEVEL 1 FOR 68.0; LEVEL 0 FOR 68.0; LEVEL 1 FOR 68.0; LEVEL 0 FOR 17.0; } } TRANSITION_LIST("nPRAS") { NODE { REPEAT = 1; LEVEL 1 FOR 119.0; LEVEL 0 FOR 340.0; LEVEL 1 FOR 136.0; LEVEL 0 FOR 340.0; LEVEL 1 FOR 17.0; } } TRANSITION_LIST("nPCAS") { NODE { REPEAT = 1; LEVEL 0 FOR 51.0; LEVEL 1 FOR 204.0; LEVEL 0 FOR 272.0; LEVEL 1 FOR 204.0; LEVEL 0 FOR 221.0; } } TRANSITION_LIST("Q3") { NODE { REPEAT = 1; LEVEL 0 FOR 51.0; LEVEL 1 FOR 272.0; LEVEL 0 FOR 204.0; LEVEL 1 FOR 272.0; LEVEL 0 FOR 153.0; } } TRANSITION_LIST("MA[7]") { NODE { REPEAT = 1; LEVEL 0 FOR 136.0; LEVEL 1 FOR 340.0; LEVEL 0 FOR 136.0; LEVEL 1 FOR 340.0; } } TRANSITION_LIST("MA[6]") { NODE { REPEAT = 1; LEVEL 0 FOR 136.0; LEVEL 1 FOR 340.0; LEVEL 0 FOR 136.0; LEVEL 1 FOR 340.0; } } TRANSITION_LIST("MA[5]") { NODE { REPEAT = 1; LEVEL 0 FOR 136.0; LEVEL 1 FOR 340.0; LEVEL 0 FOR 136.0; LEVEL 1 FOR 340.0; } } TRANSITION_LIST("MA[4]") { NODE { REPEAT = 1; LEVEL 0 FOR 136.0; LEVEL 1 FOR 340.0; LEVEL 0 FOR 136.0; LEVEL 1 FOR 340.0; } } TRANSITION_LIST("MA[3]") { NODE { REPEAT = 1; LEVEL 0 FOR 136.0; LEVEL 1 FOR 340.0; LEVEL 0 FOR 136.0; LEVEL 1 FOR 340.0; } } TRANSITION_LIST("MA[2]") { NODE { REPEAT = 1; LEVEL 0 FOR 136.0; LEVEL 1 FOR 340.0; LEVEL 0 FOR 136.0; LEVEL 1 FOR 340.0; } } TRANSITION_LIST("MA[1]") { NODE { REPEAT = 1; LEVEL 0 FOR 136.0; LEVEL 1 FOR 340.0; LEVEL 0 FOR 136.0; LEVEL 1 FOR 340.0; } } TRANSITION_LIST("MA[0]") { NODE { REPEAT = 1; LEVEL 0 FOR 136.0; LEVEL 1 FOR 340.0; LEVEL 0 FOR 136.0; LEVEL 1 FOR 340.0; } } TRANSITION_LIST("RD[7]") { NODE { REPEAT = 1; LEVEL Z FOR 952.0; } } TRANSITION_LIST("RD[6]") { NODE { REPEAT = 1; LEVEL Z FOR 952.0; } } TRANSITION_LIST("RD[5]") { NODE { REPEAT = 1; LEVEL Z FOR 952.0; } } TRANSITION_LIST("RD[4]") { NODE { REPEAT = 1; LEVEL Z FOR 952.0; } } TRANSITION_LIST("RD[3]") { NODE { REPEAT = 1; LEVEL Z FOR 952.0; } } TRANSITION_LIST("RD[2]") { NODE { REPEAT = 1; LEVEL Z FOR 952.0; } } TRANSITION_LIST("RD[1]") { NODE { REPEAT = 1; LEVEL Z FOR 952.0; } } TRANSITION_LIST("RD[0]") { NODE { REPEAT = 1; LEVEL Z FOR 952.0; } } TRANSITION_LIST("MD[7]") { NODE { REPEAT = 1; LEVEL Z FOR 952.0; } } TRANSITION_LIST("MD[6]") { NODE { REPEAT = 1; LEVEL Z FOR 952.0; } } TRANSITION_LIST("MD[5]") { NODE { REPEAT = 1; LEVEL Z FOR 952.0; } } TRANSITION_LIST("MD[4]") { NODE { REPEAT = 1; LEVEL Z FOR 952.0; } } TRANSITION_LIST("MD[3]") { NODE { REPEAT = 1; LEVEL Z FOR 952.0; } } TRANSITION_LIST("MD[2]") { NODE { REPEAT = 1; LEVEL Z FOR 952.0; } } TRANSITION_LIST("MD[1]") { NODE { REPEAT = 1; LEVEL Z FOR 952.0; } } TRANSITION_LIST("MD[0]") { NODE { REPEAT = 1; LEVEL Z FOR 952.0; } } TRANSITION_LIST("VD[7]") { NODE { REPEAT = 1; LEVEL Z FOR 952.0; } } TRANSITION_LIST("VD[6]") { NODE { REPEAT = 1; LEVEL Z FOR 952.0; } } TRANSITION_LIST("VD[5]") { NODE { REPEAT = 1; LEVEL Z FOR 952.0; } } TRANSITION_LIST("VD[4]") { NODE { REPEAT = 1; LEVEL Z FOR 952.0; } } TRANSITION_LIST("VD[3]") { NODE { REPEAT = 1; LEVEL Z FOR 952.0; } } TRANSITION_LIST("VD[2]") { NODE { REPEAT = 1; LEVEL Z FOR 952.0; } } TRANSITION_LIST("VD[1]") { NODE { REPEAT = 1; LEVEL Z FOR 952.0; } } TRANSITION_LIST("VD[0]") { NODE { REPEAT = 1; LEVEL Z FOR 952.0; } } TRANSITION_LIST("nRAS") { NODE { REPEAT = 1; LEVEL Z FOR 952.0; } } TRANSITION_LIST("nCAS") { NODE { REPEAT = 1; LEVEL Z FOR 952.0; } } TRANSITION_LIST("nWE") { NODE { REPEAT = 1; LEVEL 1 FOR 952.0; } } TRANSITION_LIST("nWE80") { NODE { REPEAT = 1; LEVEL 1 FOR 952.0; } } TRANSITION_LIST("nEN80") { NODE { REPEAT = 1; LEVEL 1 FOR 952.0; } } TRANSITION_LIST("nC07X") { NODE { REPEAT = 1; LEVEL 1 FOR 952.0; } } TRANSITION_LIST("nRWE") { NODE { REPEAT = 1; LEVEL Z FOR 952.0; } } TRANSITION_LIST("RA[11]") { NODE { REPEAT = 1; LEVEL Z FOR 952.0; } } TRANSITION_LIST("RA[10]") { NODE { REPEAT = 1; LEVEL Z FOR 952.0; } } TRANSITION_LIST("RA[9]") { NODE { REPEAT = 1; LEVEL Z FOR 952.0; } } TRANSITION_LIST("RA[8]") { NODE { REPEAT = 1; LEVEL Z FOR 952.0; } } TRANSITION_LIST("AN3") { NODE { REPEAT = 1; LEVEL 0 FOR 952.0; } } TRANSITION_LIST("C3M58") { NODE { REPEAT = 1; LEVEL 0 FOR 952.0; } } TRANSITION_LIST("DelayIn") { NODE { REPEAT = 1; LEVEL 0 FOR 952.0; } } TRANSITION_LIST("DelayOut") { NODE { REPEAT = 1; LEVEL Z FOR 952.0; } } TRANSITION_LIST("Q3_2") { NODE { REPEAT = 1; LEVEL 0 FOR 952.0; } } TRANSITION_LIST("nCASEN") { NODE { REPEAT = 1; LEVEL 0 FOR 952.0; } } DISPLAY_LINE { CHANNEL = "C14M"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 0; TREE_LEVEL = 0; } DISPLAY_LINE { CHANNEL = "C14M_2"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 1; TREE_LEVEL = 0; } DISPLAY_LINE { CHANNEL = "C7M"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 2; TREE_LEVEL = 0; } DISPLAY_LINE { CHANNEL = "PHI0"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 3; TREE_LEVEL = 0; } DISPLAY_LINE { CHANNEL = "PHI1"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 4; TREE_LEVEL = 0; } DISPLAY_LINE { CHANNEL = "nPRAS"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 5; TREE_LEVEL = 0; } DISPLAY_LINE { CHANNEL = "nPCAS"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 6; TREE_LEVEL = 0; } DISPLAY_LINE { CHANNEL = "Q3"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 7; TREE_LEVEL = 0; } DISPLAY_LINE { CHANNEL = "nWE"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 8; TREE_LEVEL = 0; } DISPLAY_LINE { CHANNEL = "nWE80"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 9; TREE_LEVEL = 0; } DISPLAY_LINE { CHANNEL = "nEN80"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 10; TREE_LEVEL = 0; } DISPLAY_LINE { CHANNEL = "nC07X"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 11; TREE_LEVEL = 0; } DISPLAY_LINE { CHANNEL = "MA"; EXPAND_STATUS = COLLAPSED; RADIX = Hexadecimal; TREE_INDEX = 12; TREE_LEVEL = 0; CHILDREN = 13, 14, 15, 16, 17, 18, 19, 20; } DISPLAY_LINE { CHANNEL = "MA[7]"; EXPAND_STATUS = COLLAPSED; RADIX = Hexadecimal; TREE_INDEX = 13; TREE_LEVEL = 1; PARENT = 12; } DISPLAY_LINE { CHANNEL = "MA[6]"; EXPAND_STATUS = COLLAPSED; RADIX = Hexadecimal; TREE_INDEX = 14; TREE_LEVEL = 1; PARENT = 12; } DISPLAY_LINE { CHANNEL = "MA[5]"; EXPAND_STATUS = COLLAPSED; RADIX = Hexadecimal; TREE_INDEX = 15; TREE_LEVEL = 1; PARENT = 12; } DISPLAY_LINE { CHANNEL = "MA[4]"; EXPAND_STATUS = COLLAPSED; RADIX = Hexadecimal; TREE_INDEX = 16; TREE_LEVEL = 1; PARENT = 12; } DISPLAY_LINE { CHANNEL = "MA[3]"; EXPAND_STATUS = COLLAPSED; RADIX = Hexadecimal; TREE_INDEX = 17; TREE_LEVEL = 1; PARENT = 12; } DISPLAY_LINE { CHANNEL = "MA[2]"; EXPAND_STATUS = COLLAPSED; RADIX = Hexadecimal; TREE_INDEX = 18; TREE_LEVEL = 1; PARENT = 12; } DISPLAY_LINE { CHANNEL = "MA[1]"; EXPAND_STATUS = COLLAPSED; RADIX = Hexadecimal; TREE_INDEX = 19; TREE_LEVEL = 1; PARENT = 12; } DISPLAY_LINE { CHANNEL = "MA[0]"; EXPAND_STATUS = COLLAPSED; RADIX = Hexadecimal; TREE_INDEX = 20; TREE_LEVEL = 1; PARENT = 12; } DISPLAY_LINE { CHANNEL = "RA"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 21; TREE_LEVEL = 0; CHILDREN = 22, 23, 24, 25; } DISPLAY_LINE { CHANNEL = "RA[8]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 22; TREE_LEVEL = 1; PARENT = 21; } DISPLAY_LINE { CHANNEL = "RA[9]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 23; TREE_LEVEL = 1; PARENT = 21; } DISPLAY_LINE { CHANNEL = "RA[10]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 24; TREE_LEVEL = 1; PARENT = 21; } DISPLAY_LINE { CHANNEL = "RA[11]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 25; TREE_LEVEL = 1; PARENT = 21; } DISPLAY_LINE { CHANNEL = "RD"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 26; TREE_LEVEL = 0; CHILDREN = 27, 28, 29, 30, 31, 32, 33, 34; } DISPLAY_LINE { CHANNEL = "RD[0]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 27; TREE_LEVEL = 1; PARENT = 26; } DISPLAY_LINE { CHANNEL = "RD[1]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 28; TREE_LEVEL = 1; PARENT = 26; } DISPLAY_LINE { CHANNEL = "RD[2]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 29; TREE_LEVEL = 1; PARENT = 26; } DISPLAY_LINE { CHANNEL = "RD[3]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 30; TREE_LEVEL = 1; PARENT = 26; } DISPLAY_LINE { CHANNEL = "RD[4]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 31; TREE_LEVEL = 1; PARENT = 26; } DISPLAY_LINE { CHANNEL = "RD[5]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 32; TREE_LEVEL = 1; PARENT = 26; } DISPLAY_LINE { CHANNEL = "RD[6]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 33; TREE_LEVEL = 1; PARENT = 26; } DISPLAY_LINE { CHANNEL = "RD[7]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 34; TREE_LEVEL = 1; PARENT = 26; } DISPLAY_LINE { CHANNEL = "VD"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 35; TREE_LEVEL = 0; CHILDREN = 36, 37, 38, 39, 40, 41, 42, 43; } DISPLAY_LINE { CHANNEL = "VD[0]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 36; TREE_LEVEL = 1; PARENT = 35; } DISPLAY_LINE { CHANNEL = "VD[1]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 37; TREE_LEVEL = 1; PARENT = 35; } DISPLAY_LINE { CHANNEL = "VD[2]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 38; TREE_LEVEL = 1; PARENT = 35; } DISPLAY_LINE { CHANNEL = "VD[3]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 39; TREE_LEVEL = 1; PARENT = 35; } DISPLAY_LINE { CHANNEL = "VD[4]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 40; TREE_LEVEL = 1; PARENT = 35; } DISPLAY_LINE { CHANNEL = "VD[5]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 41; TREE_LEVEL = 1; PARENT = 35; } DISPLAY_LINE { CHANNEL = "VD[6]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 42; TREE_LEVEL = 1; PARENT = 35; } DISPLAY_LINE { CHANNEL = "VD[7]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 43; TREE_LEVEL = 1; PARENT = 35; } DISPLAY_LINE { CHANNEL = "MD"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 44; TREE_LEVEL = 0; CHILDREN = 45, 46, 47, 48, 49, 50, 51, 52; } DISPLAY_LINE { CHANNEL = "MD[0]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 45; TREE_LEVEL = 1; PARENT = 44; } DISPLAY_LINE { CHANNEL = "MD[1]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 46; TREE_LEVEL = 1; PARENT = 44; } DISPLAY_LINE { CHANNEL = "MD[2]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 47; TREE_LEVEL = 1; PARENT = 44; } DISPLAY_LINE { CHANNEL = "MD[3]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 48; TREE_LEVEL = 1; PARENT = 44; } DISPLAY_LINE { CHANNEL = "MD[4]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 49; TREE_LEVEL = 1; PARENT = 44; } DISPLAY_LINE { CHANNEL = "MD[5]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 50; TREE_LEVEL = 1; PARENT = 44; } DISPLAY_LINE { CHANNEL = "MD[6]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 51; TREE_LEVEL = 1; PARENT = 44; } DISPLAY_LINE { CHANNEL = "MD[7]"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 52; TREE_LEVEL = 1; PARENT = 44; } DISPLAY_LINE { CHANNEL = "nRAS"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 53; TREE_LEVEL = 0; } DISPLAY_LINE { CHANNEL = "nCAS"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 54; TREE_LEVEL = 0; } DISPLAY_LINE { CHANNEL = "nRWE"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 55; TREE_LEVEL = 0; } DISPLAY_LINE { CHANNEL = "AN3"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 56; TREE_LEVEL = 0; } DISPLAY_LINE { CHANNEL = "C3M58"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 57; TREE_LEVEL = 0; } DISPLAY_LINE { CHANNEL = "DelayIn"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 58; TREE_LEVEL = 0; } DISPLAY_LINE { CHANNEL = "DelayOut"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 59; TREE_LEVEL = 0; } DISPLAY_LINE { CHANNEL = "Q3_2"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 60; TREE_LEVEL = 0; } DISPLAY_LINE { CHANNEL = "nCASEN"; EXPAND_STATUS = COLLAPSED; RADIX = Binary; TREE_INDEX = 61; TREE_LEVEL = 0; } TIME_BAR { TIME = 0; MASTER = TRUE; } ;