RAM2E/cpld/output_files/RAM2E.fit.summary
2021-01-28 14:42:44 -05:00

12 lines
366 B
Plaintext
Executable File

Fitter Status : Successful - Thu Jan 28 14:37:18 2021
Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition
Revision Name : RAM2E
Top-level Entity Name : RAM2E
Family : MAX II
Device : EPM240T100C5
Timing Models : Final
Total logic elements : 189 / 240 ( 79 % )
Total pins : 69 / 80 ( 86 % )
Total virtual pins : 0
UFM blocks : 1 / 1 ( 100 % )