RAM2E/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1_ma...

4853 lines
179 KiB
Plaintext

(DELAYFILE
(SDFVERSION "3.0")
(DESIGN "RAM2E")
(DATE "Thu Sep 21 05:34:50 2023")
(VENDOR "Lattice")
(PROGRAM "ldbanno")
(VERSION "Diamond (64-bit) 3.12.1.454")
(DIVIDER /)
(VOLTAGE 1.26:1.20:1.14)
(PROCESS "default")
(TEMPERATURE -40:25:85)
(TIMESCALE 1ps)
(CELL
(CELLTYPE "SLICE_0")
(INSTANCE SLICE_0)
(DELAY
(ABSOLUTE
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A1 FCO (718:803:889)(718:803:889))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_1")
(INSTANCE SLICE_1)
(DELAY
(ABSOLUTE
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH FCI F0 (473:529:585)(473:529:585))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_2")
(INSTANCE SLICE_2)
(DELAY
(ABSOLUTE
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A1 FCO (718:803:889)(718:803:889))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F1 (718:803:889)(718:803:889))
(IOPATH A0 FCO (827:925:1023)(827:925:1023))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
(IOPATH FCI F0 (473:529:585)(473:529:585))
(IOPATH FCI F1 (519:581:643)(519:581:643))
(IOPATH FCI FCO (130:146:162)(130:146:162))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_3")
(INSTANCE SLICE_3)
(DELAY
(ABSOLUTE
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A1 FCO (718:803:889)(718:803:889))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F1 (718:803:889)(718:803:889))
(IOPATH A0 FCO (827:925:1023)(827:925:1023))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
(IOPATH FCI F0 (473:529:585)(473:529:585))
(IOPATH FCI F1 (519:581:643)(519:581:643))
(IOPATH FCI FCO (130:146:162)(130:146:162))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_4")
(INSTANCE SLICE_4)
(DELAY
(ABSOLUTE
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A1 FCO (718:803:889)(718:803:889))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F1 (718:803:889)(718:803:889))
(IOPATH A0 FCO (827:925:1023)(827:925:1023))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
(IOPATH FCI F0 (473:529:585)(473:529:585))
(IOPATH FCI F1 (519:581:643)(519:581:643))
(IOPATH FCI FCO (130:146:162)(130:146:162))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_5")
(INSTANCE SLICE_5)
(DELAY
(ABSOLUTE
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A1 FCO (718:803:889)(718:803:889))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F1 (718:803:889)(718:803:889))
(IOPATH A0 FCO (827:925:1023)(827:925:1023))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
(IOPATH FCI F0 (473:529:585)(473:529:585))
(IOPATH FCI F1 (519:581:643)(519:581:643))
(IOPATH FCI FCO (130:146:162)(130:146:162))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_6")
(INSTANCE SLICE_6)
(DELAY
(ABSOLUTE
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A1 FCO (718:803:889)(718:803:889))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F1 (718:803:889)(718:803:889))
(IOPATH A0 FCO (827:925:1023)(827:925:1023))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
(IOPATH FCI F0 (473:529:585)(473:529:585))
(IOPATH FCI F1 (519:581:643)(519:581:643))
(IOPATH FCI FCO (130:146:162)(130:146:162))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_7")
(INSTANCE SLICE_7)
(DELAY
(ABSOLUTE
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A1 FCO (718:803:889)(718:803:889))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F1 (718:803:889)(718:803:889))
(IOPATH A0 FCO (827:925:1023)(827:925:1023))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
(IOPATH FCI F0 (473:529:585)(473:529:585))
(IOPATH FCI F1 (519:581:643)(519:581:643))
(IOPATH FCI FCO (130:146:162)(130:146:162))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_8")
(INSTANCE SLICE_8)
(DELAY
(ABSOLUTE
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A1 FCO (718:803:889)(718:803:889))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F1 (718:803:889)(718:803:889))
(IOPATH A0 FCO (827:925:1023)(827:925:1023))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
(IOPATH FCI F0 (473:529:585)(473:529:585))
(IOPATH FCI F1 (519:581:643)(519:581:643))
(IOPATH FCI FCO (130:146:162)(130:146:162))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_9")
(INSTANCE SLICE_9)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_10")
(INSTANCE SLICE_10)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD LSR (posedge CLK) (225:249:274)(-225:-183:-141))
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_11")
(INSTANCE SLICE_11)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD LSR (posedge CLK) (225:249:274)(-225:-183:-141))
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_12")
(INSTANCE SLICE_12)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_13")
(INSTANCE SLICE_13)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_14")
(INSTANCE SLICE_14)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_15")
(INSTANCE SLICE_15)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_16")
(INSTANCE SLICE_16)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_17")
(INSTANCE SLICE_17)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_18")
(INSTANCE SLICE_18)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_19")
(INSTANCE SLICE_19)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_20")
(INSTANCE SLICE_20)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_21")
(INSTANCE SLICE_21)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_22")
(INSTANCE SLICE_22)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_23")
(INSTANCE SLICE_23)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_24")
(INSTANCE SLICE_24)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_25")
(INSTANCE SLICE_25)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_26")
(INSTANCE SLICE_26)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_27")
(INSTANCE SLICE_27)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_28")
(INSTANCE SLICE_28)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_29")
(INSTANCE SLICE_29)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_30")
(INSTANCE SLICE_30)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_31")
(INSTANCE SLICE_31)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_32")
(INSTANCE SLICE_32)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_33")
(INSTANCE SLICE_33)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_34")
(INSTANCE SLICE_34)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_35")
(INSTANCE SLICE_35)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_36")
(INSTANCE SLICE_36)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_37")
(INSTANCE SLICE_37)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_38")
(INSTANCE SLICE_38)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_39")
(INSTANCE SLICE_39)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_40")
(INSTANCE SLICE_40)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_41")
(INSTANCE SLICE_41)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_42")
(INSTANCE SLICE_42)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_43")
(INSTANCE SLICE_43)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_44")
(INSTANCE SLICE_44)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
(SETUPHOLD LSR (posedge CLK) (225:249:274)(-225:-183:-141))
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_45")
(INSTANCE SLICE_45)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD LSR (posedge CLK) (225:249:274)(-225:-183:-141))
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_46")
(INSTANCE SLICE_46)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_47")
(INSTANCE SLICE_47)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_48")
(INSTANCE SLICE_48)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_49")
(INSTANCE SLICE_49)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_50")
(INSTANCE SLICE_50)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_51")
(INSTANCE SLICE_51)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_52")
(INSTANCE SLICE_52)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_53")
(INSTANCE SLICE_53)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_54")
(INSTANCE SLICE_54)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_55")
(INSTANCE SLICE_55)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_56")
(INSTANCE SLICE_56)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_57")
(INSTANCE SLICE_57)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_58")
(INSTANCE SLICE_58)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_59")
(INSTANCE SLICE_59)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_60")
(INSTANCE SLICE_60)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_61")
(INSTANCE SLICE_61)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_62")
(INSTANCE SLICE_62)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_63")
(INSTANCE SLICE_63)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_64")
(INSTANCE SLICE_64)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_65")
(INSTANCE SLICE_65)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_66")
(INSTANCE SLICE_66)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_67")
(INSTANCE SLICE_67)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_68")
(INSTANCE SLICE_68)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_69")
(INSTANCE SLICE_69)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_70")
(INSTANCE SLICE_70)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_71")
(INSTANCE SLICE_71)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_72")
(INSTANCE SLICE_72)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_73")
(INSTANCE SLICE_73)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_74")
(INSTANCE SLICE_74)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_75")
(INSTANCE SLICE_75)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_76")
(INSTANCE SLICE_76)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_77")
(INSTANCE SLICE_77)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_78")
(INSTANCE SLICE_78)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_79")
(INSTANCE SLICE_79)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_80")
(INSTANCE SLICE_80)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_81")
(INSTANCE SLICE_81)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_82")
(INSTANCE SLICE_82)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_83")
(INSTANCE SLICE_83)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_84")
(INSTANCE SLICE_84)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_85")
(INSTANCE SLICE_85)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_86")
(INSTANCE SLICE_86)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_87")
(INSTANCE SLICE_87)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_88")
(INSTANCE SLICE_88)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_89")
(INSTANCE SLICE_89)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_90")
(INSTANCE SLICE_90)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_91")
(INSTANCE SLICE_91)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_92")
(INSTANCE SLICE_92)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_93")
(INSTANCE SLICE_93)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_94")
(INSTANCE SLICE_94)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_95")
(INSTANCE SLICE_95)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_96")
(INSTANCE SLICE_96)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_97")
(INSTANCE SLICE_97)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_98")
(INSTANCE SLICE_98)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_99")
(INSTANCE SLICE_99)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_100")
(INSTANCE SLICE_100)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_101")
(INSTANCE SLICE_101)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_102")
(INSTANCE SLICE_102)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_103")
(INSTANCE SLICE_103)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_104")
(INSTANCE SLICE_104)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_105")
(INSTANCE SLICE_105)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_106")
(INSTANCE SLICE_106)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_107")
(INSTANCE SLICE_107)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_108")
(INSTANCE SLICE_108)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_109")
(INSTANCE SLICE_109)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_110")
(INSTANCE SLICE_110)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_111")
(INSTANCE SLICE_111)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_112")
(INSTANCE SLICE_112)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_113")
(INSTANCE SLICE_113)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_114")
(INSTANCE SLICE_114)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_115")
(INSTANCE SLICE_115)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_116")
(INSTANCE SLICE_116)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_117")
(INSTANCE SLICE_117)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_118")
(INSTANCE SLICE_118)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_119")
(INSTANCE SLICE_119)
(DELAY
(ABSOLUTE
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "RD_0_")
(INSTANCE RD\[0\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD0 (2844:3155:3467)(2844:3155:3467)(2844:3155:3467)(2844:3155:3467)
(2844:3155:3467)(2844:3155:3467))
(IOPATH PADDO RD0 (2927:3031:3136)(2927:3031:3136))
(IOPATH RD0 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge RD0) (3330:3330:3330))
(WIDTH (negedge RD0) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "LED")
(INSTANCE LED_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO LED (2927:3031:3136)(2927:3031:3136))
)
)
)
(CELL
(CELLTYPE "C14M")
(INSTANCE C14M_I)
(DELAY
(ABSOLUTE
(IOPATH C14M PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge C14M) (3330:3330:3330))
(WIDTH (negedge C14M) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "DQMH")
(INSTANCE DQMH_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO DQMH (2927:3031:3136)(2927:3031:3136))
)
)
)
(CELL
(CELLTYPE "DQMH_MGIOL")
(INSTANCE DQMH_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
)
(CELL
(CELLTYPE "DQML")
(INSTANCE DQML_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO DQML (2927:3031:3136)(2927:3031:3136))
)
)
)
(CELL
(CELLTYPE "DQML_MGIOL")
(INSTANCE DQML_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
)
(CELL
(CELLTYPE "RD_7_")
(INSTANCE RD\[7\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD7 (2844:3155:3467)(2844:3155:3467)(2844:3155:3467)(2844:3155:3467)
(2844:3155:3467)(2844:3155:3467))
(IOPATH PADDO RD7 (2927:3031:3136)(2927:3031:3136))
(IOPATH RD7 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge RD7) (3330:3330:3330))
(WIDTH (negedge RD7) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "RD_6_")
(INSTANCE RD\[6\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD6 (2844:3155:3467)(2844:3155:3467)(2844:3155:3467)(2844:3155:3467)
(2844:3155:3467)(2844:3155:3467))
(IOPATH PADDO RD6 (2927:3031:3136)(2927:3031:3136))
(IOPATH RD6 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge RD6) (3330:3330:3330))
(WIDTH (negedge RD6) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "RD_5_")
(INSTANCE RD\[5\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD5 (2844:3155:3467)(2844:3155:3467)(2844:3155:3467)(2844:3155:3467)
(2844:3155:3467)(2844:3155:3467))
(IOPATH PADDO RD5 (2927:3031:3136)(2927:3031:3136))
(IOPATH RD5 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge RD5) (3330:3330:3330))
(WIDTH (negedge RD5) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "RD_4_")
(INSTANCE RD\[4\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD4 (2844:3155:3467)(2844:3155:3467)(2844:3155:3467)(2844:3155:3467)
(2844:3155:3467)(2844:3155:3467))
(IOPATH PADDO RD4 (2927:3031:3136)(2927:3031:3136))
(IOPATH RD4 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge RD4) (3330:3330:3330))
(WIDTH (negedge RD4) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "RD_3_")
(INSTANCE RD\[3\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD3 (2844:3155:3467)(2844:3155:3467)(2844:3155:3467)(2844:3155:3467)
(2844:3155:3467)(2844:3155:3467))
(IOPATH PADDO RD3 (2927:3031:3136)(2927:3031:3136))
(IOPATH RD3 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge RD3) (3330:3330:3330))
(WIDTH (negedge RD3) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "RD_2_")
(INSTANCE RD\[2\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD2 (2844:3155:3467)(2844:3155:3467)(2844:3155:3467)(2844:3155:3467)
(2844:3155:3467)(2844:3155:3467))
(IOPATH PADDO RD2 (2927:3031:3136)(2927:3031:3136))
(IOPATH RD2 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge RD2) (3330:3330:3330))
(WIDTH (negedge RD2) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "RD_1_")
(INSTANCE RD\[1\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD1 (2844:3155:3467)(2844:3155:3467)(2844:3155:3467)(2844:3155:3467)
(2844:3155:3467)(2844:3155:3467))
(IOPATH PADDO RD1 (2927:3031:3136)(2927:3031:3136))
(IOPATH RD1 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge RD1) (3330:3330:3330))
(WIDTH (negedge RD1) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "RA_11_")
(INSTANCE RA\[11\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO RA11 (2927:3031:3136)(2927:3031:3136))
)
)
)
(CELL
(CELLTYPE "RA_11__MGIOL")
(INSTANCE RA\[11\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
)
(CELL
(CELLTYPE "RA_10_")
(INSTANCE RA\[10\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO RA10 (2927:3031:3136)(2927:3031:3136))
)
)
)
(CELL
(CELLTYPE "RA_10__MGIOL")
(INSTANCE RA\[10\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
)
(CELL
(CELLTYPE "RA_9_")
(INSTANCE RA\[9\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO RA9 (2927:3031:3136)(2927:3031:3136))
)
)
)
(CELL
(CELLTYPE "RA_9__MGIOL")
(INSTANCE RA\[9\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
)
(CELL
(CELLTYPE "RA_8_")
(INSTANCE RA\[8\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO RA8 (2927:3031:3136)(2927:3031:3136))
)
)
)
(CELL
(CELLTYPE "RA_8__MGIOL")
(INSTANCE RA\[8\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
)
(CELL
(CELLTYPE "RA_7_")
(INSTANCE RA\[7\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO RA7 (2927:3031:3136)(2927:3031:3136))
)
)
)
(CELL
(CELLTYPE "RA_7__MGIOL")
(INSTANCE RA\[7\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
(SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
)
(CELL
(CELLTYPE "RA_6_")
(INSTANCE RA\[6\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO RA6 (2927:3031:3136)(2927:3031:3136))
)
)
)
(CELL
(CELLTYPE "RA_6__MGIOL")
(INSTANCE RA\[6\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
(SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
)
(CELL
(CELLTYPE "RA_5_")
(INSTANCE RA\[5\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO RA5 (2927:3031:3136)(2927:3031:3136))
)
)
)
(CELL
(CELLTYPE "RA_5__MGIOL")
(INSTANCE RA\[5\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
(SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
)
(CELL
(CELLTYPE "RA_4_")
(INSTANCE RA\[4\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO RA4 (2927:3031:3136)(2927:3031:3136))
)
)
)
(CELL
(CELLTYPE "RA_4__MGIOL")
(INSTANCE RA\[4\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
(SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
)
(CELL
(CELLTYPE "RA_3_")
(INSTANCE RA\[3\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RA3 (2927:3031:3136)(2927:3031:3136))
)
)
)
(CELL
(CELLTYPE "RA_2_")
(INSTANCE RA\[2\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO RA2 (2927:3031:3136)(2927:3031:3136))
)
)
)
(CELL
(CELLTYPE "RA_2__MGIOL")
(INSTANCE RA\[2\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
(SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
)
(CELL
(CELLTYPE "RA_1_")
(INSTANCE RA\[1\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO RA1 (2927:3031:3136)(2927:3031:3136))
)
)
)
(CELL
(CELLTYPE "RA_1__MGIOL")
(INSTANCE RA\[1\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
(SETUPHOLD CE (posedge CLK) (47:47:47)(-36:-36:-36))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
)
(CELL
(CELLTYPE "RA_0_")
(INSTANCE RA\[0\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RA0 (2927:3031:3136)(2927:3031:3136))
)
)
)
(CELL
(CELLTYPE "BA_1_")
(INSTANCE BA\[1\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO BA1 (2927:3031:3136)(2927:3031:3136))
)
)
)
(CELL
(CELLTYPE "BA_1__MGIOL")
(INSTANCE BA\[1\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
(SETUPHOLD LSR (posedge CLK) (100:100:100)(-90:-90:-90))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
)
(CELL
(CELLTYPE "BA_0_")
(INSTANCE BA\[0\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO BA0 (2927:3031:3136)(2927:3031:3136))
)
)
)
(CELL
(CELLTYPE "BA_0__MGIOL")
(INSTANCE BA\[0\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
(SETUPHOLD LSR (posedge CLK) (100:100:100)(-90:-90:-90))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
)
(CELL
(CELLTYPE "nRWE")
(INSTANCE nRWE_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO nRWE (2927:3031:3136)(2927:3031:3136))
)
)
)
(CELL
(CELLTYPE "nRWE_MGIOL")
(INSTANCE nRWE_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
)
(CELL
(CELLTYPE "nCAS")
(INSTANCE nCAS_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO nCAS (2927:3031:3136)(2927:3031:3136))
)
)
)
(CELL
(CELLTYPE "nCAS_MGIOL")
(INSTANCE nCAS_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
)
(CELL
(CELLTYPE "nRAS")
(INSTANCE nRAS_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO nRAS (2927:3031:3136)(2927:3031:3136))
)
)
)
(CELL
(CELLTYPE "nRAS_MGIOL")
(INSTANCE nRAS_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
)
(CELL
(CELLTYPE "nCS")
(INSTANCE nCS_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO nCS (2927:3031:3136)(2927:3031:3136))
)
)
)
(CELL
(CELLTYPE "nCS_MGIOL")
(INSTANCE nCS_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
)
(CELL
(CELLTYPE "CKE")
(INSTANCE CKE_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO CKE (2927:3031:3136)(2927:3031:3136))
)
)
)
(CELL
(CELLTYPE "CKE_MGIOL")
(INSTANCE CKE_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(SETUPHOLD OPOS (posedge CLK) (153:153:153)(-86:-86:-86))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
)
(CELL
(CELLTYPE "nVOE")
(INSTANCE nVOE_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO nVOE (2927:3031:3136)(2927:3031:3136))
)
)
)
(CELL
(CELLTYPE "Vout_7_")
(INSTANCE Vout\[7\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO Vout7 (2927:3031:3136)(2927:3031:3136))
)
)
)
(CELL
(CELLTYPE "Vout_7__MGIOL")
(INSTANCE Vout\[7\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
(TIMINGCHECK
(SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
(SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36))
)
)
(CELL
(CELLTYPE "Vout_6_")
(INSTANCE Vout\[6\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO Vout6 (2927:3031:3136)(2927:3031:3136))
)
)
)
(CELL
(CELLTYPE "Vout_6__MGIOL")
(INSTANCE Vout\[6\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
(TIMINGCHECK
(SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
(SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36))
)
)
(CELL
(CELLTYPE "Vout_5_")
(INSTANCE Vout\[5\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO Vout5 (2927:3031:3136)(2927:3031:3136))
)
)
)
(CELL
(CELLTYPE "Vout_5__MGIOL")
(INSTANCE Vout\[5\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
(TIMINGCHECK
(SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
(SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36))
)
)
(CELL
(CELLTYPE "Vout_4_")
(INSTANCE Vout\[4\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO Vout4 (2927:3031:3136)(2927:3031:3136))
)
)
)
(CELL
(CELLTYPE "Vout_4__MGIOL")
(INSTANCE Vout\[4\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
(TIMINGCHECK
(SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
(SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36))
)
)
(CELL
(CELLTYPE "Vout_3_")
(INSTANCE Vout\[3\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO Vout3 (2927:3031:3136)(2927:3031:3136))
)
)
)
(CELL
(CELLTYPE "Vout_3__MGIOL")
(INSTANCE Vout\[3\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
(TIMINGCHECK
(SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
(SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36))
)
)
(CELL
(CELLTYPE "Vout_2_")
(INSTANCE Vout\[2\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO Vout2 (2927:3031:3136)(2927:3031:3136))
)
)
)
(CELL
(CELLTYPE "Vout_2__MGIOL")
(INSTANCE Vout\[2\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
(TIMINGCHECK
(SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
(SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36))
)
)
(CELL
(CELLTYPE "Vout_1_")
(INSTANCE Vout\[1\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO Vout1 (2927:3031:3136)(2927:3031:3136))
)
)
)
(CELL
(CELLTYPE "Vout_1__MGIOL")
(INSTANCE Vout\[1\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
(TIMINGCHECK
(SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
(SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36))
)
)
(CELL
(CELLTYPE "Vout_0_")
(INSTANCE Vout\[0\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO Vout0 (2927:3031:3136)(2927:3031:3136))
)
)
)
(CELL
(CELLTYPE "Vout_0__MGIOL")
(INSTANCE Vout\[0\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
(TIMINGCHECK
(SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
(SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36))
)
)
(CELL
(CELLTYPE "nDOE")
(INSTANCE nDOE_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO nDOE (2927:3031:3136)(2927:3031:3136))
)
)
)
(CELL
(CELLTYPE "Dout_7_")
(INSTANCE Dout\[7\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO Dout7 (2293:2420:2548)(2293:2420:2548))
)
)
)
(CELL
(CELLTYPE "Dout_7__MGIOL")
(INSTANCE Dout\[7\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
(TIMINGCHECK
(SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
(SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36))
)
)
(CELL
(CELLTYPE "Dout_6_")
(INSTANCE Dout\[6\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO Dout6 (2293:2420:2548)(2293:2420:2548))
)
)
)
(CELL
(CELLTYPE "Dout_6__MGIOL")
(INSTANCE Dout\[6\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
(TIMINGCHECK
(SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
(SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36))
)
)
(CELL
(CELLTYPE "Dout_5_")
(INSTANCE Dout\[5\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO Dout5 (2293:2420:2548)(2293:2420:2548))
)
)
)
(CELL
(CELLTYPE "Dout_5__MGIOL")
(INSTANCE Dout\[5\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
(TIMINGCHECK
(SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
(SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36))
)
)
(CELL
(CELLTYPE "Dout_4_")
(INSTANCE Dout\[4\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO Dout4 (2293:2420:2548)(2293:2420:2548))
)
)
)
(CELL
(CELLTYPE "Dout_4__MGIOL")
(INSTANCE Dout\[4\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
(TIMINGCHECK
(SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
(SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36))
)
)
(CELL
(CELLTYPE "Dout_3_")
(INSTANCE Dout\[3\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO Dout3 (2293:2420:2548)(2293:2420:2548))
)
)
)
(CELL
(CELLTYPE "Dout_3__MGIOL")
(INSTANCE Dout\[3\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
(TIMINGCHECK
(SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
(SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36))
)
)
(CELL
(CELLTYPE "Dout_2_")
(INSTANCE Dout\[2\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO Dout2 (2293:2420:2548)(2293:2420:2548))
)
)
)
(CELL
(CELLTYPE "Dout_2__MGIOL")
(INSTANCE Dout\[2\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
(TIMINGCHECK
(SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
(SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36))
)
)
(CELL
(CELLTYPE "Dout_1_")
(INSTANCE Dout\[1\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO Dout1 (2293:2420:2548)(2293:2420:2548))
)
)
)
(CELL
(CELLTYPE "Dout_1__MGIOL")
(INSTANCE Dout\[1\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
(TIMINGCHECK
(SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
(SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36))
)
)
(CELL
(CELLTYPE "Dout_0_")
(INSTANCE Dout\[0\]_I)
(DELAY
(ABSOLUTE
(IOPATH IOLDO Dout0 (2293:2420:2548)(2293:2420:2548))
)
)
)
(CELL
(CELLTYPE "Dout_0__MGIOL")
(INSTANCE Dout\[0\]_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IOLDO (546:556:567)(546:556:567))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
(TIMINGCHECK
(SETUPHOLD OPOS (negedge CLK) (153:153:153)(-86:-86:-86))
(SETUPHOLD CE (negedge CLK) (47:47:47)(-36:-36:-36))
)
)
(CELL
(CELLTYPE "Din_7_")
(INSTANCE Din\[7\]_I)
(DELAY
(ABSOLUTE
(IOPATH Din7 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge Din7) (3330:3330:3330))
(WIDTH (negedge Din7) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Din_6_")
(INSTANCE Din\[6\]_I)
(DELAY
(ABSOLUTE
(IOPATH Din6 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge Din6) (3330:3330:3330))
(WIDTH (negedge Din6) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Din_5_")
(INSTANCE Din\[5\]_I)
(DELAY
(ABSOLUTE
(IOPATH Din5 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge Din5) (3330:3330:3330))
(WIDTH (negedge Din5) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Din_4_")
(INSTANCE Din\[4\]_I)
(DELAY
(ABSOLUTE
(IOPATH Din4 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge Din4) (3330:3330:3330))
(WIDTH (negedge Din4) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Din_3_")
(INSTANCE Din\[3\]_I)
(DELAY
(ABSOLUTE
(IOPATH Din3 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge Din3) (3330:3330:3330))
(WIDTH (negedge Din3) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Din_2_")
(INSTANCE Din\[2\]_I)
(DELAY
(ABSOLUTE
(IOPATH Din2 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge Din2) (3330:3330:3330))
(WIDTH (negedge Din2) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Din_1_")
(INSTANCE Din\[1\]_I)
(DELAY
(ABSOLUTE
(IOPATH Din1 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge Din1) (3330:3330:3330))
(WIDTH (negedge Din1) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Din_0_")
(INSTANCE Din\[0\]_I)
(DELAY
(ABSOLUTE
(IOPATH Din0 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge Din0) (3330:3330:3330))
(WIDTH (negedge Din0) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Ain_7_")
(INSTANCE Ain\[7\]_I)
(DELAY
(ABSOLUTE
(IOPATH Ain7 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge Ain7) (3330:3330:3330))
(WIDTH (negedge Ain7) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Ain_6_")
(INSTANCE Ain\[6\]_I)
(DELAY
(ABSOLUTE
(IOPATH Ain6 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge Ain6) (3330:3330:3330))
(WIDTH (negedge Ain6) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Ain_5_")
(INSTANCE Ain\[5\]_I)
(DELAY
(ABSOLUTE
(IOPATH Ain5 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge Ain5) (3330:3330:3330))
(WIDTH (negedge Ain5) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Ain_4_")
(INSTANCE Ain\[4\]_I)
(DELAY
(ABSOLUTE
(IOPATH Ain4 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge Ain4) (3330:3330:3330))
(WIDTH (negedge Ain4) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Ain_3_")
(INSTANCE Ain\[3\]_I)
(DELAY
(ABSOLUTE
(IOPATH Ain3 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge Ain3) (3330:3330:3330))
(WIDTH (negedge Ain3) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Ain_2_")
(INSTANCE Ain\[2\]_I)
(DELAY
(ABSOLUTE
(IOPATH Ain2 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge Ain2) (3330:3330:3330))
(WIDTH (negedge Ain2) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Ain_1_")
(INSTANCE Ain\[1\]_I)
(DELAY
(ABSOLUTE
(IOPATH Ain1 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge Ain1) (3330:3330:3330))
(WIDTH (negedge Ain1) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Ain_0_")
(INSTANCE Ain\[0\]_I)
(DELAY
(ABSOLUTE
(IOPATH Ain0 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge Ain0) (3330:3330:3330))
(WIDTH (negedge Ain0) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "nC07X")
(INSTANCE nC07X_I)
(DELAY
(ABSOLUTE
(IOPATH nC07X PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge nC07X) (3330:3330:3330))
(WIDTH (negedge nC07X) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "nEN80")
(INSTANCE nEN80_I)
(DELAY
(ABSOLUTE
(IOPATH nEN80 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge nEN80) (3330:3330:3330))
(WIDTH (negedge nEN80) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "nWE80")
(INSTANCE nWE80_I)
(DELAY
(ABSOLUTE
(IOPATH nWE80 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge nWE80) (3330:3330:3330))
(WIDTH (negedge nWE80) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "nWE")
(INSTANCE nWE_I)
(DELAY
(ABSOLUTE
(IOPATH nWE PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge nWE) (3330:3330:3330))
(WIDTH (negedge nWE) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "PHI1")
(INSTANCE PHI1_I)
(DELAY
(ABSOLUTE
(IOPATH PHI1 PADDI (1007:1069:1132)(1007:1069:1132))
)
)
(TIMINGCHECK
(WIDTH (posedge PHI1) (3330:3330:3330))
(WIDTH (negedge PHI1) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "PHI1_MGIOL")
(INSTANCE PHI1_MGIOL)
(DELAY
(ABSOLUTE
(IOPATH CLK IN (577:577:577)(577:577:577))
)
)
(TIMINGCHECK
(SETUPHOLD DI (posedge CLK) (595:595:595)(223:223:223))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1855:1855:1855))
(WIDTH (negedge CLK) (1855:1855:1855))
)
)
(CELL
(CELLTYPE "EFB_Buffer_Block")
(INSTANCE ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20)
(DELAY
(ABSOLUTE
(IOPATH WBCLKIin WBDATO0out (978:3628:6278)(978:3628:6278))
(IOPATH WBCLKIin WBDATO1out (947:3204:5461)(947:3204:5461))
(IOPATH WBCLKIin WBDATO2out (955:3211:5468)(955:3211:5468))
(IOPATH WBCLKIin WBDATO3out (910:3173:5436)(910:3173:5436))
(IOPATH WBCLKIin WBDATO4out (944:3217:5491)(944:3217:5491))
(IOPATH WBCLKIin WBDATO5out (917:3672:6428)(917:3672:6428))
(IOPATH WBCLKIin WBDATO6out (926:3191:5457)(926:3191:5457))
(IOPATH WBCLKIin WBDATO7out (939:3201:5464)(939:3201:5464))
(IOPATH WBCLKIin WBACKOout (903:1623:2343)(903:1623:2343))
)
)
(TIMINGCHECK
(SETUPHOLD WBRSTIin (posedge WBCLKIin) (3138:3170:3203)(-3138:-1966:-794))
(SETUPHOLD WBCYCIin (posedge WBCLKIin) (2616:2649:2682)(-2616:-1558:-501))
(SETUPHOLD WBSTBIin (posedge WBCLKIin) (2605:2637:2670)(-2605:-1494:-384))
(SETUPHOLD WBWEIin (posedge WBCLKIin) (1409:1442:1475)(-1409:-837:-266))
(SETUPHOLD WBADRI0in (posedge WBCLKIin) (2058:2123:2188)(-2058:-1191:-324))
(SETUPHOLD WBADRI1in (posedge WBCLKIin) (2068:2104:2140)(-2068:-1234:-401))
(SETUPHOLD WBADRI2in (posedge WBCLKIin) (1928:1993:2058)(-1928:-1110:-292))
(SETUPHOLD WBADRI3in (posedge WBCLKIin) (2167:2196:2226)(-2167:-1264:-361))
(SETUPHOLD WBADRI4in (posedge WBCLKIin) (2072:2101:2130)(-2072:-1198:-324))
(SETUPHOLD WBADRI5in (posedge WBCLKIin) (1611:1640:1670)(-1611:-950:-289))
(SETUPHOLD WBADRI6in (posedge WBCLKIin) (2532:2564:2597)(-2532:-1380:-229))
(SETUPHOLD WBADRI7in (posedge WBCLKIin) (2519:2551:2584)(-2519:-1377:-235))
(SETUPHOLD WBDATI0in (posedge WBCLKIin) (1057:1262:1467)(-1037:-712:-388))
(SETUPHOLD WBDATI1in (posedge WBCLKIin) (1114:1338:1563)(-1108:-785:-462))
(SETUPHOLD WBDATI2in (posedge WBCLKIin) (916:1076:1237)(-912:-636:-360))
(SETUPHOLD WBDATI3in (posedge WBCLKIin) (1145:1359:1573)(-1115:-782:-449))
(SETUPHOLD WBDATI4in (posedge WBCLKIin) (1192:1400:1609)(-1176:-820:-464))
(SETUPHOLD WBDATI5in (posedge WBCLKIin) (1027:1222:1418)(-1000:-706:-413))
(SETUPHOLD WBDATI6in (posedge WBCLKIin) (1034:1248:1463)(-1034:-756:-479))
(SETUPHOLD WBDATI7in (posedge WBCLKIin) (528:614:701)(-528:-398:-268))
)
(TIMINGCHECK
(WIDTH (posedge WBCLKIin) (4887:4887:4887))
(WIDTH (negedge WBCLKIin) (4887:4887:4887))
)
)
(CELL
(CELLTYPE "RAM2E")
(INSTANCE )
(DELAY
(ABSOLUTE
(INTERCONNECT SLICE_0/Q1 SLICE_0/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_0/Q1 SLICE_39/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_0/Q1 SLICE_52/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_0/Q1 SLICE_75/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_0/Q1 SLICE_119/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_0/F1 SLICE_0/DI1 (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_0/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_1/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_2/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_3/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_4/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_5/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_6/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_7/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_8/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_9/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_10/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_11/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_12/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_13/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_14/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_15/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_16/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_17/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_18/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_19/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_20/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_21/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_22/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_23/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_24/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_25/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_26/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_27/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_28/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_29/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_30/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_31/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_32/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_33/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_34/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_35/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_36/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_37/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_38/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_39/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_40/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_41/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_42/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_43/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_44/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_45/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI SLICE_46/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI DQMH_MGIOL/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI DQML_MGIOL/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI RA\[11\]_MGIOL/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI RA\[10\]_MGIOL/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI RA\[9\]_MGIOL/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI RA\[8\]_MGIOL/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI RA\[7\]_MGIOL/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI RA\[6\]_MGIOL/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI RA\[5\]_MGIOL/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI RA\[4\]_MGIOL/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI RA\[2\]_MGIOL/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI RA\[1\]_MGIOL/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI BA\[1\]_MGIOL/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI BA\[0\]_MGIOL/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI nRWE_MGIOL/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI nCAS_MGIOL/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI nRAS_MGIOL/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI nCS_MGIOL/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI CKE_MGIOL/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI Vout\[7\]_MGIOL/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI Vout\[6\]_MGIOL/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI Vout\[5\]_MGIOL/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI Vout\[4\]_MGIOL/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI Vout\[3\]_MGIOL/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI Vout\[2\]_MGIOL/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI Vout\[1\]_MGIOL/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI Vout\[0\]_MGIOL/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI Dout\[7\]_MGIOL/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI Dout\[6\]_MGIOL/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI Dout\[5\]_MGIOL/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI Dout\[4\]_MGIOL/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI Dout\[3\]_MGIOL/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI Dout\[2\]_MGIOL/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI Dout\[1\]_MGIOL/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI Dout\[0\]_MGIOL/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI PHI1_MGIOL/CLK (0:0:0)(0:0:0))
(INTERCONNECT C14M_I/PADDI
ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBCLKIin (0:0:0)(0:0:0))
(INTERCONNECT SLICE_0/FCO SLICE_8/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1/Q0 SLICE_1/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1/Q0 SLICE_45/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1/Q0 SLICE_51/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1/Q0 SLICE_53/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1/Q0 SLICE_56/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1/Q0 SLICE_72/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1/Q0 SLICE_73/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1/Q0 SLICE_75/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1/Q0 SLICE_83/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1/Q0 SLICE_86/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1/Q0 SLICE_103/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1/F0 SLICE_1/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/FCO SLICE_1/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q1 SLICE_2/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q1 SLICE_37/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q1 SLICE_37/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q1 SLICE_38/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q1 SLICE_39/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q1 SLICE_44/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q1 SLICE_45/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q1 SLICE_45/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q1 SLICE_56/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q1 SLICE_66/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q1 SLICE_73/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q1 SLICE_86/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q1 SLICE_97/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q0 SLICE_2/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q0 SLICE_35/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q0 SLICE_44/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q0 SLICE_46/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q0 SLICE_56/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q0 SLICE_60/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q0 SLICE_61/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q0 SLICE_65/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q0 SLICE_66/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q0 SLICE_73/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q0 SLICE_74/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q0 SLICE_88/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q0 SLICE_90/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q0 SLICE_92/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q0 SLICE_97/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q0 SLICE_108/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q0 SLICE_116/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/F1 SLICE_2/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/F0 SLICE_2/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/FCO SLICE_2/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q1 SLICE_3/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q1 SLICE_44/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q1 SLICE_46/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q1 SLICE_56/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q1 SLICE_58/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q1 SLICE_60/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q1 SLICE_61/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q1 SLICE_62/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q1 SLICE_64/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q1 SLICE_65/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q1 SLICE_66/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q1 SLICE_70/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q1 SLICE_73/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q1 SLICE_74/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q1 SLICE_84/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q1 SLICE_88/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q1 SLICE_90/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q1 SLICE_92/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q1 SLICE_95/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q1 SLICE_97/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q1 SLICE_108/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q1 SLICE_108/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q0 SLICE_3/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q0 SLICE_44/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q0 SLICE_50/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q0 SLICE_58/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q0 SLICE_61/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q0 SLICE_62/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q0 SLICE_64/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q0 SLICE_65/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q0 SLICE_66/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q0 SLICE_68/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q0 SLICE_70/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q0 SLICE_73/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q0 SLICE_74/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q0 SLICE_89/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q0 SLICE_90/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q0 SLICE_92/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q0 SLICE_104/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q0 SLICE_104/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q0 SLICE_116/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/F1 SLICE_3/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/F0 SLICE_3/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/FCO SLICE_3/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q1 SLICE_4/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q1 SLICE_46/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q1 SLICE_50/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q1 SLICE_58/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q1 SLICE_60/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q1 SLICE_61/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q1 SLICE_62/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q1 SLICE_64/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q1 SLICE_65/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q1 SLICE_68/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q1 SLICE_70/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q1 SLICE_74/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q1 SLICE_84/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q1 SLICE_89/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q1 SLICE_90/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q1 SLICE_95/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q1 SLICE_97/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q1 SLICE_104/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q1 SLICE_108/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q1 SLICE_108/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q0 SLICE_4/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q0 SLICE_46/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q0 SLICE_50/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q0 SLICE_50/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q0 SLICE_58/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q0 SLICE_61/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q0 SLICE_62/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q0 SLICE_64/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q0 SLICE_65/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q0 SLICE_68/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q0 SLICE_70/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q0 SLICE_74/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q0 SLICE_89/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q0 SLICE_90/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q0 SLICE_104/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q0 SLICE_104/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q0 SLICE_105/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q0 SLICE_105/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q0 SLICE_108/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q0 SLICE_116/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q0 SLICE_116/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/F1 SLICE_4/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/F0 SLICE_4/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5/FCO SLICE_4/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5/Q1 SLICE_5/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5/Q1 SLICE_46/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5/Q1 SLICE_50/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5/Q1 SLICE_58/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5/Q1 SLICE_61/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5/Q1 SLICE_62/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5/Q1 SLICE_64/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5/Q1 SLICE_65/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5/Q1 SLICE_68/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5/Q1 SLICE_70/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5/Q1 SLICE_85/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5/Q1 SLICE_86/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5/Q1 SLICE_89/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5/Q1 SLICE_104/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5/Q1 SLICE_116/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5/Q0 SLICE_5/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5/Q0 SLICE_32/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5/Q0 SLICE_63/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5/Q0 SLICE_75/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5/F1 SLICE_5/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5/F0 SLICE_5/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6/FCO SLICE_5/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6/Q1 SLICE_6/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6/Q1 SLICE_32/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6/Q1 SLICE_63/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6/Q1 SLICE_75/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6/Q0 SLICE_6/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6/Q0 SLICE_55/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6/Q0 SLICE_55/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6/Q0 SLICE_72/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6/Q0 SLICE_76/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6/F1 SLICE_6/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6/F0 SLICE_6/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_7/FCO SLICE_6/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_7/Q1 SLICE_7/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_7/Q1 SLICE_55/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_7/Q1 SLICE_71/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_7/Q1 SLICE_76/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_7/Q1 SLICE_78/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_7/Q1 SLICE_119/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_7/Q0 SLICE_7/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_7/Q0 SLICE_55/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_7/Q0 SLICE_63/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_7/Q0 SLICE_72/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_7/F1 SLICE_7/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_7/F0 SLICE_7/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_8/FCO SLICE_7/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_8/Q1 SLICE_8/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_8/Q1 SLICE_55/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_8/Q1 SLICE_63/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_8/Q1 SLICE_119/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_8/Q0 SLICE_8/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_8/Q0 SLICE_55/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_8/Q0 SLICE_63/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_8/Q0 SLICE_119/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_8/F1 SLICE_8/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_8/F0 SLICE_8/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_32/Q0 SLICE_9/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_32/Q0 SLICE_32/B0 (0:0:0)(0:0:0))
(INTERCONNECT PHI1_MGIOL/IN SLICE_9/B1 (0:0:0)(0:0:0))
(INTERCONNECT PHI1_I/PADDI SLICE_9/A1 (0:0:0)(0:0:0))
(INTERCONNECT PHI1_I/PADDI nVOE_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT PHI1_I/PADDI PHI1_MGIOL/DI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_31/Q0 SLICE_9/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_31/Q0 SLICE_12/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_31/Q0 SLICE_13/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_31/Q0 SLICE_13/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_31/Q0 SLICE_14/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_31/Q0 SLICE_15/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_31/Q0 SLICE_16/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_31/Q0 SLICE_17/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_31/Q0 SLICE_18/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_31/Q0 SLICE_19/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_31/Q0 SLICE_19/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_31/Q0 SLICE_49/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_31/Q0 SLICE_49/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_31/Q0 SLICE_53/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_31/Q0 SLICE_57/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_31/Q0 SLICE_67/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_31/Q0 SLICE_82/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_31/Q0 SLICE_87/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_31/Q0 SLICE_91/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_9/Q0 SLICE_9/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_9/Q0 SLICE_19/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_9/Q0 SLICE_19/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_9/Q0 SLICE_82/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_9/F0 SLICE_9/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_20/F1 SLICE_9/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_20/F1 SLICE_12/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_20/F1 SLICE_13/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_20/F1 SLICE_14/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_20/F1 SLICE_15/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_20/F1 SLICE_16/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_20/F1 SLICE_17/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_20/F1 SLICE_18/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_20/F1 SLICE_19/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_20/F1 Dout\[7\]_MGIOL/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_20/F1 Dout\[6\]_MGIOL/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_20/F1 Dout\[5\]_MGIOL/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_20/F1 Dout\[4\]_MGIOL/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_20/F1 Dout\[3\]_MGIOL/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_20/F1 Dout\[2\]_MGIOL/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_20/F1 Dout\[1\]_MGIOL/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_20/F1 Dout\[0\]_MGIOL/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_9/F1 SLICE_33/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_9/F1 SLICE_33/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_9/F1 SLICE_34/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_9/F1 SLICE_34/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_10/Q0 SLICE_10/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_10/Q0 SLICE_10/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_10/Q0 SLICE_11/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_10/Q0 SLICE_49/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_10/Q0 SLICE_77/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_10/Q0 SLICE_81/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_10/Q0 SLICE_87/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_10/Q0 SLICE_91/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_82/F1 SLICE_10/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_82/F1 SLICE_10/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_82/F1 SLICE_11/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_82/F1 SLICE_82/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_57/F0 SLICE_10/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_57/F0 SLICE_10/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_57/F0 SLICE_11/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_57/F0 SLICE_57/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_57/F0 SLICE_82/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_10/Q1 SLICE_10/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_10/Q1 SLICE_11/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_10/Q1 SLICE_18/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_10/Q1 SLICE_49/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_10/Q1 SLICE_54/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_10/Q1 SLICE_87/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_10/Q1 SLICE_93/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_10/Q1 SLICE_94/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_10/F1 SLICE_10/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_10/F0 SLICE_10/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_77/F0 SLICE_10/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_77/F0 SLICE_11/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_11/F1 SLICE_11/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_11/Q0 SLICE_11/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_11/Q0 SLICE_18/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_11/Q0 SLICE_49/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_11/Q0 SLICE_54/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_11/Q0 SLICE_87/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_11/Q0 SLICE_91/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_11/Q0 SLICE_94/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_11/F0 SLICE_11/DI0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[3\]_I/PADDI SLICE_12/C1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[3\]_I/PADDI SLICE_24/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[3\]_I/PADDI SLICE_28/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[3\]_I/PADDI SLICE_36/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[3\]_I/PADDI SLICE_81/B1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[3\]_I/PADDI SLICE_93/B0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[3\]_I/PADDI SLICE_94/D1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[3\]_I/PADDI SLICE_98/B1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[3\]_I/PADDI SLICE_99/B1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[3\]_I/PADDI SLICE_100/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[3\]_I/PADDI RD\[3\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT Din\[2\]_I/PADDI SLICE_12/B1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[2\]_I/PADDI SLICE_24/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[2\]_I/PADDI SLICE_28/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[2\]_I/PADDI SLICE_36/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[2\]_I/PADDI SLICE_54/D1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[2\]_I/PADDI SLICE_94/C1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[2\]_I/PADDI SLICE_98/C1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[2\]_I/PADDI SLICE_99/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[2\]_I/PADDI SLICE_100/B1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[2\]_I/PADDI SLICE_118/B0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[2\]_I/PADDI RD\[2\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT Din\[0\]_I/PADDI SLICE_12/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[0\]_I/PADDI SLICE_14/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[0\]_I/PADDI SLICE_21/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[0\]_I/PADDI SLICE_23/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[0\]_I/PADDI SLICE_27/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[0\]_I/PADDI SLICE_54/B1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[0\]_I/PADDI SLICE_92/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[0\]_I/PADDI SLICE_94/B1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[0\]_I/PADDI SLICE_95/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[0\]_I/PADDI SLICE_98/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[0\]_I/PADDI SLICE_99/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[0\]_I/PADDI SLICE_100/C1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[0\]_I/PADDI SLICE_118/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[0\]_I/PADDI RD\[0\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_16/F1 SLICE_12/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_16/F1 SLICE_13/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_16/F1 SLICE_16/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_12/F1 SLICE_12/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_12/Q0 SLICE_12/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_12/Q0 SLICE_110/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_12/F0 SLICE_12/DI0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[7\]_I/PADDI SLICE_13/B1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[7\]_I/PADDI SLICE_26/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[7\]_I/PADDI SLICE_30/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[7\]_I/PADDI SLICE_38/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[7\]_I/PADDI SLICE_91/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[7\]_I/PADDI SLICE_93/C0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[7\]_I/PADDI SLICE_98/B0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[7\]_I/PADDI RD\[7\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT Din\[5\]_I/PADDI SLICE_13/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[5\]_I/PADDI SLICE_25/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[5\]_I/PADDI SLICE_29/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[5\]_I/PADDI SLICE_37/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[5\]_I/PADDI SLICE_93/C1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[5\]_I/PADDI SLICE_98/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[5\]_I/PADDI SLICE_99/B0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[5\]_I/PADDI RD\[5\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_100/F1 SLICE_13/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_100/F1 SLICE_100/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_13/Q0 SLICE_13/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_13/Q0 SLICE_39/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_13/Q0 SLICE_53/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_13/Q0 SLICE_57/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_13/F0 SLICE_13/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_13/F1 SLICE_77/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_13/F1 SLICE_81/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_99/F1 SLICE_14/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_99/F1 SLICE_99/D0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[4\]_I/PADDI SLICE_14/C1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[4\]_I/PADDI SLICE_15/B1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[4\]_I/PADDI SLICE_16/B1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[4\]_I/PADDI SLICE_17/B1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[4\]_I/PADDI SLICE_25/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[4\]_I/PADDI SLICE_29/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[4\]_I/PADDI SLICE_37/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[4\]_I/PADDI SLICE_81/C1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[4\]_I/PADDI SLICE_93/B1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[4\]_I/PADDI SLICE_94/C0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[4\]_I/PADDI SLICE_100/B0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[4\]_I/PADDI SLICE_118/B1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[4\]_I/PADDI RD\[4\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT Din\[1\]_I/PADDI SLICE_14/B1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[1\]_I/PADDI SLICE_15/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[1\]_I/PADDI SLICE_16/C1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[1\]_I/PADDI SLICE_17/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[1\]_I/PADDI SLICE_23/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[1\]_I/PADDI SLICE_27/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[1\]_I/PADDI SLICE_35/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[1\]_I/PADDI SLICE_54/C1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[1\]_I/PADDI SLICE_54/B0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[1\]_I/PADDI SLICE_93/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[1\]_I/PADDI SLICE_94/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[1\]_I/PADDI SLICE_100/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[1\]_I/PADDI SLICE_118/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[1\]_I/PADDI RD\[1\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_18/F1 SLICE_14/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_18/F1 SLICE_15/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_18/F1 SLICE_16/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_18/F1 SLICE_17/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_18/F1 SLICE_18/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_14/F1 SLICE_14/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_14/Q0 SLICE_14/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_14/Q0 SLICE_117/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_14/F0 SLICE_14/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_98/F1 SLICE_15/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_98/F1 SLICE_16/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_98/F1 SLICE_17/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_98/F1 SLICE_98/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_15/F1 SLICE_15/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_15/Q0 SLICE_15/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_15/Q0 SLICE_86/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_15/F0 SLICE_15/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_16/Q0 SLICE_16/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_16/Q0 SLICE_114/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_16/F0 SLICE_16/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_17/F1 SLICE_17/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_17/Q0 SLICE_17/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_17/Q0 SLICE_117/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_17/F0 SLICE_17/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_77/F1 SLICE_18/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_77/F1 SLICE_77/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_100/F0 SLICE_18/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_18/Q0 SLICE_18/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_18/Q0 SLICE_117/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_18/F0 SLICE_18/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_19/Q0 SLICE_19/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_19/Q0 SLICE_19/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_19/Q0 SLICE_82/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_19/Q1 SLICE_19/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_19/Q1 SLICE_82/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_19/F1 SLICE_19/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_19/F0 SLICE_19/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q0 SLICE_20/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q0 SLICE_20/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q0 SLICE_21/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q0 SLICE_22/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q0 SLICE_22/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q0 SLICE_33/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q0 SLICE_47/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q0 SLICE_47/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q0 SLICE_48/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q0 SLICE_48/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q0 SLICE_57/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q0 SLICE_59/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q0 SLICE_67/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q0 SLICE_69/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q0 SLICE_71/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q0 SLICE_80/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q0 SLICE_83/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q0 SLICE_96/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q0 SLICE_101/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q0 SLICE_101/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q0 SLICE_102/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q0 SLICE_106/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q0 SLICE_106/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q0 SLICE_111/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q0 SLICE_111/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q0 SLICE_112/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q0 SLICE_112/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q0 SLICE_113/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q0 SLICE_113/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q0 SLICE_115/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q1 SLICE_20/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q1 SLICE_20/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q1 SLICE_33/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q1 SLICE_47/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q1 SLICE_48/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q1 SLICE_57/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q1 SLICE_59/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q1 SLICE_67/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q1 SLICE_69/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q1 SLICE_71/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q1 SLICE_79/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q1 SLICE_80/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q1 SLICE_96/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q1 SLICE_101/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q1 SLICE_101/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q1 SLICE_102/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q1 SLICE_102/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q1 SLICE_106/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q1 SLICE_106/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q1 SLICE_107/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q1 SLICE_112/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_20/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_20/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_34/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_34/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_35/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_36/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_36/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_37/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_37/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_38/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_38/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_40/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_41/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_42/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_44/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_47/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_48/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_51/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_53/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_53/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_57/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_59/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_66/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_67/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_68/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_69/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_71/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_72/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_79/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_80/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_83/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_84/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_92/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_95/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_96/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_101/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_101/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_102/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_102/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_103/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_103/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_107/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_107/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_109/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_109/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_110/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_110/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q0 SLICE_114/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_20/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_20/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_21/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_21/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_22/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_22/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_27/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_27/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_28/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_28/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_29/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_29/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_30/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_30/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_34/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_34/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_39/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_44/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_47/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_48/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_51/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_51/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_53/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_57/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_59/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_67/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_69/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_71/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_72/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_79/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_80/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_83/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_96/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_101/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_101/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_102/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_102/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_103/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_103/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_107/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_107/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_110/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_111/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_111/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_112/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_112/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_113/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/Q1 SLICE_113/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_20/F0 SLICE_20/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_20/Q0 SLICE_31/A1 (0:0:0)(0:0:0))
(INTERCONNECT Ain\[1\]_I/PADDI SLICE_21/A1 (0:0:0)(0:0:0))
(INTERCONNECT ufmefb\/EFBInst_0/WBDATO0 SLICE_21/C0 (0:0:0)(0:0:0))
(INTERCONNECT ufmefb\/EFBInst_0/WBDATO0 SLICE_27/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_21/F0 SLICE_21/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_86/F0 SLICE_21/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_21/Q0 SLICE_117/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_21/Q0 SLICE_117/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_21/F1 RA\[1\]_MGIOL/OPOS (0:0:0)(0:0:0))
(INTERCONNECT Ain\[3\]_I/PADDI SLICE_22/A1 (0:0:0)(0:0:0))
(INTERCONNECT Ain\[0\]_I/PADDI SLICE_22/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_22/F1 SLICE_22/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_22/F0 SLICE_22/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_101/F0 SLICE_22/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_101/F0 RA\[7\]_MGIOL/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_101/F0 RA\[6\]_MGIOL/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_101/F0 RA\[5\]_MGIOL/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_101/F0 RA\[4\]_MGIOL/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_101/F0 RA\[2\]_MGIOL/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_101/F0 RA\[1\]_MGIOL/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_22/Q0 SLICE_31/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_22/Q0 RA\[0\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_22/Q1 SLICE_31/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_22/Q1 RA\[3\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_27/Q1 SLICE_23/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_117/F1 SLICE_23/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_117/F1 SLICE_23/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_117/F1 SLICE_24/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_117/F1 SLICE_24/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_117/F1 SLICE_25/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_117/F1 SLICE_25/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_117/F1 SLICE_26/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_117/F1 SLICE_26/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_27/Q0 SLICE_23/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_23/F1 SLICE_23/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_23/F0 SLICE_23/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_67/F0 SLICE_23/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_67/F0 SLICE_24/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_67/F0 SLICE_25/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_67/F0 SLICE_26/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_67/F0 SLICE_86/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_67/F0 SLICE_114/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_23/Q0 SLICE_96/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_23/Q1 SLICE_110/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_28/Q1 SLICE_24/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_28/Q0 SLICE_24/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_24/F1 SLICE_24/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_24/F0 SLICE_24/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_24/Q0 SLICE_69/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_24/Q1 SLICE_103/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_29/Q1 SLICE_25/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_29/Q0 SLICE_25/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_25/F1 SLICE_25/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_25/F0 SLICE_25/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_25/Q0 SLICE_102/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_25/Q1 SLICE_107/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/Q1 SLICE_26/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/Q0 SLICE_26/C0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[6\]_I/PADDI SLICE_26/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[6\]_I/PADDI SLICE_30/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[6\]_I/PADDI SLICE_38/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[6\]_I/PADDI SLICE_49/B1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[6\]_I/PADDI SLICE_77/B1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[6\]_I/PADDI SLICE_81/D1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[6\]_I/PADDI SLICE_87/B1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[6\]_I/PADDI SLICE_91/C1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[6\]_I/PADDI RD\[6\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26/F1 SLICE_26/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26/F0 SLICE_26/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26/Q0 SLICE_47/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26/Q0 SLICE_59/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26/Q1 SLICE_96/C0 (0:0:0)(0:0:0))
(INTERCONNECT ufmefb\/EFBInst_0/WBDATO1 SLICE_27/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_27/F1 SLICE_27/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_27/F0 SLICE_27/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_114/F0 SLICE_27/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_114/F0 SLICE_28/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_114/F0 SLICE_29/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_114/F0 SLICE_30/CE (0:0:0)(0:0:0))
(INTERCONNECT ufmefb\/EFBInst_0/WBDATO3 SLICE_28/C1 (0:0:0)(0:0:0))
(INTERCONNECT ufmefb\/EFBInst_0/WBDATO2 SLICE_28/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_28/F1 SLICE_28/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_28/F0 SLICE_28/DI0 (0:0:0)(0:0:0))
(INTERCONNECT ufmefb\/EFBInst_0/WBDATO5 SLICE_29/C1 (0:0:0)(0:0:0))
(INTERCONNECT ufmefb\/EFBInst_0/WBDATO4 SLICE_29/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_29/F1 SLICE_29/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_29/F0 SLICE_29/DI0 (0:0:0)(0:0:0))
(INTERCONNECT ufmefb\/EFBInst_0/WBDATO7 SLICE_30/C1 (0:0:0)(0:0:0))
(INTERCONNECT ufmefb\/EFBInst_0/WBDATO6 SLICE_30/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/F1 SLICE_30/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/F0 SLICE_30/DI0 (0:0:0)(0:0:0))
(INTERCONNECT nWE_I/PADDI SLICE_31/C1 (0:0:0)(0:0:0))
(INTERCONNECT nWE_I/PADDI SLICE_31/D0 (0:0:0)(0:0:0))
(INTERCONNECT nEN80_I/PADDI SLICE_31/B1 (0:0:0)(0:0:0))
(INTERCONNECT nEN80_I/PADDI SLICE_79/D1 (0:0:0)(0:0:0))
(INTERCONNECT nEN80_I/PADDI SLICE_106/A0 (0:0:0)(0:0:0))
(INTERCONNECT nEN80_I/PADDI SLICE_115/A1 (0:0:0)(0:0:0))
(INTERCONNECT nEN80_I/PADDI SLICE_117/B0 (0:0:0)(0:0:0))
(INTERCONNECT nC07X_I/PADDI SLICE_31/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_31/F0 SLICE_31/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_71/F0 SLICE_31/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_71/F0 SLICE_59/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_71/F0 SLICE_71/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_31/F1 nDOE_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_72/F1 SLICE_32/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_97/F0 SLICE_32/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_97/F0 SLICE_51/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_97/F0 SLICE_75/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_32/F1 SLICE_32/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_32/F0 SLICE_32/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_67/F1 SLICE_33/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_67/F1 SLICE_44/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_67/F1 SLICE_51/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_67/F1 SLICE_53/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_67/F1 SLICE_67/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_67/F1 SLICE_72/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_67/F1 SLICE_103/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_67/F1 SLICE_103/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_67/F1 SLICE_110/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_67/F1 SLICE_110/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_106/F1 SLICE_33/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_106/F1 SLICE_34/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_106/F1 SLICE_34/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_107/F1 SLICE_33/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_102/F1 SLICE_33/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/F1 SLICE_33/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/F0 SLICE_33/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/F1 SLICE_34/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_34/F0 SLICE_34/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_60/F1 SLICE_35/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_62/F1 SLICE_35/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_85/F0 SLICE_35/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_74/F1 SLICE_35/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_74/F1 SLICE_74/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_74/F1 SLICE_108/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_104/F0 SLICE_35/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_35/F1 SLICE_35/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_35/F0 SLICE_35/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_110/F0 SLICE_35/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_110/F0 SLICE_36/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_110/F0 SLICE_37/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_110/F0 SLICE_38/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_110/F0 SLICE_40/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_110/F0 SLICE_41/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_110/F0 SLICE_42/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_110/F0 SLICE_43/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_35/Q0 SLICE_40/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_35/Q0
ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI0in (0:0:0)(0:0:0))
(INTERCONNECT SLICE_35/Q1 SLICE_109/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_35/Q1
ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI1in (0:0:0)(0:0:0))
(INTERCONNECT SLICE_36/F1 SLICE_36/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_36/F0 SLICE_36/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_36/Q0 SLICE_41/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_36/Q0
ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI2in (0:0:0)(0:0:0))
(INTERCONNECT SLICE_36/Q1 SLICE_68/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_36/Q1
ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI3in (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37/F1 SLICE_37/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37/F0 SLICE_37/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37/Q0 SLICE_114/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37/Q0
ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI4in (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37/Q1 SLICE_42/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37/Q1
ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI5in (0:0:0)(0:0:0))
(INTERCONNECT SLICE_38/F1 SLICE_38/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_38/F0 SLICE_38/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_38/Q0 SLICE_84/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_38/Q0
ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI6in (0:0:0)(0:0:0))
(INTERCONNECT SLICE_38/Q1 SLICE_109/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_38/Q1
ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBADRI7in (0:0:0)(0:0:0))
(INTERCONNECT ufmefb\/EFBInst_0/WBACKO SLICE_39/C1 (0:0:0)(0:0:0))
(INTERCONNECT ufmefb\/EFBInst_0/WBACKO SLICE_45/B1 (0:0:0)(0:0:0))
(INTERCONNECT ufmefb\/EFBInst_0/WBACKO SLICE_57/A1 (0:0:0)(0:0:0))
(INTERCONNECT ufmefb\/EFBInst_0/WBACKO SLICE_64/A0 (0:0:0)(0:0:0))
(INTERCONNECT ufmefb\/EFBInst_0/WBACKO SLICE_105/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_63/F1 SLICE_39/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_63/F1 SLICE_52/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_39/F1 SLICE_39/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_39/F0 SLICE_39/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_52/F0 SLICE_39/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_39/Q0 ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBCYCIin
(0:0:0)(0:0:0))
(INTERCONNECT SLICE_39/Q0 ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBSTBIin
(0:0:0)(0:0:0))
(INTERCONNECT SLICE_109/F0 SLICE_40/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_68/F0 SLICE_40/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_68/F0 SLICE_43/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_68/F0 SLICE_68/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_68/F0 SLICE_70/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_56/F0 SLICE_40/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_56/F0 SLICE_43/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_56/F0 SLICE_50/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_56/F0 SLICE_104/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_56/F0 SLICE_104/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_90/F0 SLICE_40/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_90/F0 SLICE_41/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_90/F0 SLICE_88/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_116/F0 SLICE_40/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_86/F1 SLICE_40/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_86/F1 SLICE_74/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_86/F1 SLICE_86/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_86/F1 SLICE_90/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_86/F1 SLICE_105/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_40/F1 SLICE_40/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_40/F0 SLICE_40/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_40/Q0
ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI0in (0:0:0)(0:0:0))
(INTERCONNECT SLICE_40/Q1
ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI1in (0:0:0)(0:0:0))
(INTERCONNECT SLICE_58/F1 SLICE_41/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_68/F1 SLICE_41/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_88/F0 SLICE_41/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_88/F0 SLICE_42/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_70/F1 SLICE_41/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_70/F1 SLICE_42/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_70/F1 SLICE_42/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_41/F1 SLICE_41/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_41/F0 SLICE_41/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_41/Q0
ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI2in (0:0:0)(0:0:0))
(INTERCONNECT SLICE_41/Q1
ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI3in (0:0:0)(0:0:0))
(INTERCONNECT SLICE_114/F1 SLICE_42/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_104/F1 SLICE_42/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_74/F0 SLICE_42/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_74/F0 SLICE_84/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_42/F1 SLICE_42/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_42/F0 SLICE_42/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_42/Q0
ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI4in (0:0:0)(0:0:0))
(INTERCONNECT SLICE_42/Q1
ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI5in (0:0:0)(0:0:0))
(INTERCONNECT SLICE_109/F1 SLICE_43/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_50/F0 SLICE_43/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_105/F0 SLICE_43/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_108/F0 SLICE_43/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_84/F0 SLICE_43/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_43/F1 SLICE_43/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_43/F0 SLICE_43/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_43/Q0
ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI6in (0:0:0)(0:0:0))
(INTERCONNECT SLICE_43/Q1
ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBDATI7in (0:0:0)(0:0:0))
(INTERCONNECT SLICE_44/F1 SLICE_44/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_44/F0 SLICE_44/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_103/F0 SLICE_44/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_44/Q0 SLICE_52/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_45/F0 SLICE_45/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_47/F0 SLICE_45/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_47/F0 SLICE_47/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_47/F0 SLICE_56/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_47/F0 SLICE_59/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_47/F0 SLICE_73/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_47/F0 SLICE_75/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_47/F0 SLICE_86/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_45/Q0 ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBRSTIin
(0:0:0)(0:0:0))
(INTERCONNECT SLICE_45/F1 SLICE_52/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_95/F0 SLICE_46/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_46/F1 SLICE_46/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_66/F1 SLICE_46/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_66/F1 SLICE_60/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_66/F1 SLICE_62/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_66/F1 SLICE_66/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_66/F1 SLICE_95/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_46/F0 SLICE_46/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_53/F0 SLICE_46/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_46/Q0 ufmefb\/EFBInst_0/ufmefb\/EFBInst_0_EFB/INST20/WBWEIin
(0:0:0)(0:0:0))
(INTERCONNECT SLICE_96/F1 SLICE_47/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_96/F1 SLICE_69/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_96/F1 SLICE_96/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_47/F1 DQMH_MGIOL/OPOS (0:0:0)(0:0:0))
(INTERCONNECT SLICE_80/F1 SLICE_48/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_80/F1 SLICE_80/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_76/F1 SLICE_48/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_76/F1 SLICE_63/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_76/F1 SLICE_76/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_76/F1 SLICE_112/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_48/F1 SLICE_48/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_48/F1 Vout\[7\]_MGIOL/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_48/F1 Vout\[6\]_MGIOL/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_48/F1 Vout\[5\]_MGIOL/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_48/F1 Vout\[4\]_MGIOL/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_48/F1 Vout\[3\]_MGIOL/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_48/F1 Vout\[2\]_MGIOL/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_48/F1 Vout\[1\]_MGIOL/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_48/F1 Vout\[0\]_MGIOL/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_48/F0 SLICE_78/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_93/F0 SLICE_49/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_49/F1 SLICE_49/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_49/F0 SLICE_81/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_50/F1 SLICE_50/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_89/F1 SLICE_50/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_89/F1 SLICE_85/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_89/F1 SLICE_89/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_89/F1 SLICE_105/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_89/F1 SLICE_105/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_106/F0 SLICE_51/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_51/F1 SLICE_51/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_51/F0 CKE_MGIOL/OPOS (0:0:0)(0:0:0))
(INTERCONNECT SLICE_72/F0 SLICE_52/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_72/F0 SLICE_52/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_72/F0 SLICE_72/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_72/F0 SLICE_76/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_52/F1 SLICE_52/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_57/F1 SLICE_52/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_53/F1 SLICE_53/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_53/F1 SLICE_110/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_54/F1 SLICE_54/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_118/F0 SLICE_54/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_118/F0 SLICE_93/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_54/F0 SLICE_81/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_55/F0 SLICE_55/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_55/F0 SLICE_71/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_55/F0 SLICE_78/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_63/F0 SLICE_55/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_63/F0 SLICE_63/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_63/F0 SLICE_76/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_55/F1 SLICE_83/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_56/F1 SLICE_56/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_56/F1 SLICE_85/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_56/F1 SLICE_88/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_56/F1 SLICE_92/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_56/F1 SLICE_109/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_56/F1 SLICE_109/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_58/F0 SLICE_58/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_70/F0 SLICE_58/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_70/F0 SLICE_70/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_73/F0 SLICE_58/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_73/F0 SLICE_68/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_73/F0 SLICE_70/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_73/F0 SLICE_73/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_73/F0 SLICE_84/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_73/F0 SLICE_89/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_73/F0 SLICE_114/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_73/F0 SLICE_114/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_59/F0 SLICE_59/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_59/F1 DQML_MGIOL/OPOS (0:0:0)(0:0:0))
(INTERCONNECT SLICE_60/F0 SLICE_60/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_64/F1 SLICE_60/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_64/F1 SLICE_64/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_64/F1 SLICE_95/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_64/F1 SLICE_97/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_88/F1 SLICE_60/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_88/F1 SLICE_88/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_88/F1 SLICE_105/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_88/F1 SLICE_105/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_61/F0 SLICE_61/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_61/F0 SLICE_88/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_61/F0 SLICE_114/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_61/F1 SLICE_109/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_62/F0 SLICE_62/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_64/F0 SLICE_114/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_65/F1 SLICE_65/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_65/F1 SLICE_84/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_65/F0 SLICE_109/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_66/F0 SLICE_95/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_76/F0 SLICE_69/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_76/F0 SLICE_78/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_76/F0 SLICE_115/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69/F0 SLICE_69/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69/F1 RA\[10\]_MGIOL/OPOS (0:0:0)(0:0:0))
(INTERCONNECT SLICE_75/F0 SLICE_71/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_75/F0 SLICE_78/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_71/F1 SLICE_115/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_119/F0 SLICE_72/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_116/F1 SLICE_73/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_116/F1 SLICE_92/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_73/F1 SLICE_85/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_75/F1 SLICE_75/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_75/F1 SLICE_76/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_75/F1 SLICE_83/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_94/F0 SLICE_77/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_82/F0 SLICE_77/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_81/F0 SLICE_77/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_78/F1 SLICE_78/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_78/F0 nCAS_MGIOL/OPOS (0:0:0)(0:0:0))
(INTERCONNECT SLICE_79/F1 SLICE_79/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_83/F0 SLICE_79/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_83/F0 SLICE_80/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_79/F0 nCS_MGIOL/OPOS (0:0:0)(0:0:0))
(INTERCONNECT SLICE_80/F0 nRAS_MGIOL/OPOS (0:0:0)(0:0:0))
(INTERCONNECT SLICE_81/F1 SLICE_81/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_87/F0 SLICE_82/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_91/F0 SLICE_82/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_83/F1 SLICE_83/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_112/F1 SLICE_83/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_84/F1 SLICE_84/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_108/F1 SLICE_85/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_85/F1 SLICE_85/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_92/F0 SLICE_85/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_89/F0 SLICE_85/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_105/F1 SLICE_86/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_98/F0 SLICE_87/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_87/F1 SLICE_87/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_90/F1 SLICE_90/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_99/F0 SLICE_91/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_91/F1 SLICE_91/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_92/F1 SLICE_92/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_93/F1 SLICE_93/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_94/F1 SLICE_94/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_95/F1 SLICE_95/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_96/F0 RA\[8\]_MGIOL/OPOS (0:0:0)(0:0:0))
(INTERCONNECT SLICE_97/F1 SLICE_97/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_97/F1 SLICE_116/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_118/F1 SLICE_98/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_118/F1 SLICE_99/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_101/F1 BA\[1\]_MGIOL/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_101/F1 BA\[0\]_MGIOL/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_102/F0 BA\[0\]_MGIOL/OPOS (0:0:0)(0:0:0))
(INTERCONNECT SLICE_103/F1 RA\[11\]_MGIOL/OPOS (0:0:0)(0:0:0))
(INTERCONNECT SLICE_107/F0 BA\[1\]_MGIOL/OPOS (0:0:0)(0:0:0))
(INTERCONNECT SLICE_110/F1 RA\[9\]_MGIOL/OPOS (0:0:0)(0:0:0))
(INTERCONNECT Ain\[5\]_I/PADDI SLICE_111/A1 (0:0:0)(0:0:0))
(INTERCONNECT Ain\[4\]_I/PADDI SLICE_111/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_111/F0 RA\[4\]_MGIOL/OPOS (0:0:0)(0:0:0))
(INTERCONNECT SLICE_111/F1 RA\[5\]_MGIOL/OPOS (0:0:0)(0:0:0))
(INTERCONNECT Ain\[6\]_I/PADDI SLICE_112/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_112/F0 RA\[6\]_MGIOL/OPOS (0:0:0)(0:0:0))
(INTERCONNECT Ain\[2\]_I/PADDI SLICE_113/A1 (0:0:0)(0:0:0))
(INTERCONNECT Ain\[7\]_I/PADDI SLICE_113/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_113/F0 RA\[7\]_MGIOL/OPOS (0:0:0)(0:0:0))
(INTERCONNECT SLICE_113/F1 RA\[2\]_MGIOL/OPOS (0:0:0)(0:0:0))
(INTERCONNECT nWE80_I/PADDI SLICE_115/B1 (0:0:0)(0:0:0))
(INTERCONNECT nWE80_I/PADDI SLICE_115/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_115/F0 nRWE_MGIOL/OPOS (0:0:0)(0:0:0))
(INTERCONNECT SLICE_115/F1 RD\[0\]_I/PADDT (0:0:0)(0:0:0))
(INTERCONNECT SLICE_115/F1 RD\[7\]_I/PADDT (0:0:0)(0:0:0))
(INTERCONNECT SLICE_115/F1 RD\[6\]_I/PADDT (0:0:0)(0:0:0))
(INTERCONNECT SLICE_115/F1 RD\[5\]_I/PADDT (0:0:0)(0:0:0))
(INTERCONNECT SLICE_115/F1 RD\[4\]_I/PADDT (0:0:0)(0:0:0))
(INTERCONNECT SLICE_115/F1 RD\[3\]_I/PADDT (0:0:0)(0:0:0))
(INTERCONNECT SLICE_115/F1 RD\[2\]_I/PADDT (0:0:0)(0:0:0))
(INTERCONNECT SLICE_115/F1 RD\[1\]_I/PADDT (0:0:0)(0:0:0))
(INTERCONNECT SLICE_117/F0 LED_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT RD\[0\]_I/PADDI Vout\[0\]_MGIOL/OPOS (0:0:0)(0:0:0))
(INTERCONNECT RD\[0\]_I/PADDI Dout\[0\]_MGIOL/OPOS (0:0:0)(0:0:0))
(INTERCONNECT DQMH_MGIOL/IOLDO DQMH_I/IOLDO (0:0:0)(0:0:0))
(INTERCONNECT DQML_MGIOL/IOLDO DQML_I/IOLDO (0:0:0)(0:0:0))
(INTERCONNECT RD\[7\]_I/PADDI Vout\[7\]_MGIOL/OPOS (0:0:0)(0:0:0))
(INTERCONNECT RD\[7\]_I/PADDI Dout\[7\]_MGIOL/OPOS (0:0:0)(0:0:0))
(INTERCONNECT RD\[6\]_I/PADDI Vout\[6\]_MGIOL/OPOS (0:0:0)(0:0:0))
(INTERCONNECT RD\[6\]_I/PADDI Dout\[6\]_MGIOL/OPOS (0:0:0)(0:0:0))
(INTERCONNECT RD\[5\]_I/PADDI Vout\[5\]_MGIOL/OPOS (0:0:0)(0:0:0))
(INTERCONNECT RD\[5\]_I/PADDI Dout\[5\]_MGIOL/OPOS (0:0:0)(0:0:0))
(INTERCONNECT RD\[4\]_I/PADDI Vout\[4\]_MGIOL/OPOS (0:0:0)(0:0:0))
(INTERCONNECT RD\[4\]_I/PADDI Dout\[4\]_MGIOL/OPOS (0:0:0)(0:0:0))
(INTERCONNECT RD\[3\]_I/PADDI Vout\[3\]_MGIOL/OPOS (0:0:0)(0:0:0))
(INTERCONNECT RD\[3\]_I/PADDI Dout\[3\]_MGIOL/OPOS (0:0:0)(0:0:0))
(INTERCONNECT RD\[2\]_I/PADDI Vout\[2\]_MGIOL/OPOS (0:0:0)(0:0:0))
(INTERCONNECT RD\[2\]_I/PADDI Dout\[2\]_MGIOL/OPOS (0:0:0)(0:0:0))
(INTERCONNECT RD\[1\]_I/PADDI Vout\[1\]_MGIOL/OPOS (0:0:0)(0:0:0))
(INTERCONNECT RD\[1\]_I/PADDI Dout\[1\]_MGIOL/OPOS (0:0:0)(0:0:0))
(INTERCONNECT RA\[11\]_MGIOL/IOLDO RA\[11\]_I/IOLDO (0:0:0)(0:0:0))
(INTERCONNECT RA\[10\]_MGIOL/IOLDO RA\[10\]_I/IOLDO (0:0:0)(0:0:0))
(INTERCONNECT RA\[9\]_MGIOL/IOLDO RA\[9\]_I/IOLDO (0:0:0)(0:0:0))
(INTERCONNECT RA\[8\]_MGIOL/IOLDO RA\[8\]_I/IOLDO (0:0:0)(0:0:0))
(INTERCONNECT RA\[7\]_MGIOL/IOLDO RA\[7\]_I/IOLDO (0:0:0)(0:0:0))
(INTERCONNECT RA\[6\]_MGIOL/IOLDO RA\[6\]_I/IOLDO (0:0:0)(0:0:0))
(INTERCONNECT RA\[5\]_MGIOL/IOLDO RA\[5\]_I/IOLDO (0:0:0)(0:0:0))
(INTERCONNECT RA\[4\]_MGIOL/IOLDO RA\[4\]_I/IOLDO (0:0:0)(0:0:0))
(INTERCONNECT RA\[2\]_MGIOL/IOLDO RA\[2\]_I/IOLDO (0:0:0)(0:0:0))
(INTERCONNECT RA\[1\]_MGIOL/IOLDO RA\[1\]_I/IOLDO (0:0:0)(0:0:0))
(INTERCONNECT BA\[1\]_MGIOL/IOLDO BA\[1\]_I/IOLDO (0:0:0)(0:0:0))
(INTERCONNECT BA\[0\]_MGIOL/IOLDO BA\[0\]_I/IOLDO (0:0:0)(0:0:0))
(INTERCONNECT nRWE_MGIOL/IOLDO nRWE_I/IOLDO (0:0:0)(0:0:0))
(INTERCONNECT nCAS_MGIOL/IOLDO nCAS_I/IOLDO (0:0:0)(0:0:0))
(INTERCONNECT nRAS_MGIOL/IOLDO nRAS_I/IOLDO (0:0:0)(0:0:0))
(INTERCONNECT nCS_MGIOL/IOLDO nCS_I/IOLDO (0:0:0)(0:0:0))
(INTERCONNECT CKE_MGIOL/IOLDO CKE_I/IOLDO (0:0:0)(0:0:0))
(INTERCONNECT Vout\[7\]_MGIOL/IOLDO Vout\[7\]_I/IOLDO (0:0:0)(0:0:0))
(INTERCONNECT Vout\[6\]_MGIOL/IOLDO Vout\[6\]_I/IOLDO (0:0:0)(0:0:0))
(INTERCONNECT Vout\[5\]_MGIOL/IOLDO Vout\[5\]_I/IOLDO (0:0:0)(0:0:0))
(INTERCONNECT Vout\[4\]_MGIOL/IOLDO Vout\[4\]_I/IOLDO (0:0:0)(0:0:0))
(INTERCONNECT Vout\[3\]_MGIOL/IOLDO Vout\[3\]_I/IOLDO (0:0:0)(0:0:0))
(INTERCONNECT Vout\[2\]_MGIOL/IOLDO Vout\[2\]_I/IOLDO (0:0:0)(0:0:0))
(INTERCONNECT Vout\[1\]_MGIOL/IOLDO Vout\[1\]_I/IOLDO (0:0:0)(0:0:0))
(INTERCONNECT Vout\[0\]_MGIOL/IOLDO Vout\[0\]_I/IOLDO (0:0:0)(0:0:0))
(INTERCONNECT Dout\[7\]_MGIOL/IOLDO Dout\[7\]_I/IOLDO (0:0:0)(0:0:0))
(INTERCONNECT Dout\[6\]_MGIOL/IOLDO Dout\[6\]_I/IOLDO (0:0:0)(0:0:0))
(INTERCONNECT Dout\[5\]_MGIOL/IOLDO Dout\[5\]_I/IOLDO (0:0:0)(0:0:0))
(INTERCONNECT Dout\[4\]_MGIOL/IOLDO Dout\[4\]_I/IOLDO (0:0:0)(0:0:0))
(INTERCONNECT Dout\[3\]_MGIOL/IOLDO Dout\[3\]_I/IOLDO (0:0:0)(0:0:0))
(INTERCONNECT Dout\[2\]_MGIOL/IOLDO Dout\[2\]_I/IOLDO (0:0:0)(0:0:0))
(INTERCONNECT Dout\[1\]_MGIOL/IOLDO Dout\[1\]_I/IOLDO (0:0:0)(0:0:0))
(INTERCONNECT Dout\[0\]_MGIOL/IOLDO Dout\[0\]_I/IOLDO (0:0:0)(0:0:0))
)
)
)
)