RAM2E/CPLD/LCMXO2-640HC/impl1/RAM2E_LCMXO2_640HC_impl1.edi

5875 lines
272 KiB
Plaintext

(edif RAM2E
(edifVersion 2 0 0)
(edifLevel 0)
(keywordMap (keywordLevel 0))
(status
(written
(timeStamp 2023 12 28 23 9 53)
(author "Synopsys, Inc.")
(program "Synplify Pro" (version "R-2021.03L-SP1, mapper map202103lat, Build 070R"))
)
)
(library LUCENT
(edifLevel 0)
(technology (numberDefinition ))
(cell CCU2D (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port A0 (direction INPUT))
(port B0 (direction INPUT))
(port C0 (direction INPUT))
(port D0 (direction INPUT))
(port A1 (direction INPUT))
(port B1 (direction INPUT))
(port C1 (direction INPUT))
(port D1 (direction INPUT))
(port CIN (direction INPUT))
(port COUT (direction OUTPUT))
(port S0 (direction OUTPUT))
(port S1 (direction OUTPUT))
)
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0000"))
(property INIT0 (string "0000"))
)
)
(cell BB (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port B (direction INOUT))
(port I (direction INPUT))
(port T (direction INPUT))
(port O (direction OUTPUT))
)
)
)
(cell OB (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port I (direction INPUT))
(port O (direction OUTPUT))
)
)
)
(cell IB (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port I (direction INPUT))
(port O (direction OUTPUT))
)
)
)
(cell FD1S3IX (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port D (direction INPUT))
(port CK (direction INPUT))
(port CD (direction INPUT))
(port Q (direction OUTPUT))
)
)
)
(cell FD1S3AY (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port D (direction INPUT))
(port CK (direction INPUT))
(port Q (direction OUTPUT))
)
)
)
(cell FD1S3AX (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port D (direction INPUT))
(port CK (direction INPUT))
(port Q (direction OUTPUT))
)
)
)
(cell FD1P3IX (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port D (direction INPUT))
(port SP (direction INPUT))
(port CK (direction INPUT))
(port CD (direction INPUT))
(port Q (direction OUTPUT))
)
)
)
(cell OFS1P3IX (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port D (direction INPUT))
(port SP (direction INPUT))
(port SCLK (direction INPUT))
(port CD (direction INPUT))
(port Q (direction OUTPUT))
)
)
)
(cell OFS1P3DX (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port D (direction INPUT))
(port SP (direction INPUT))
(port SCLK (direction INPUT))
(port CD (direction INPUT))
(port Q (direction OUTPUT))
)
)
)
(cell IFS1P3DX (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port D (direction INPUT))
(port SP (direction INPUT))
(port SCLK (direction INPUT))
(port CD (direction INPUT))
(port Q (direction OUTPUT))
)
)
)
(cell OFS1P3BX (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port D (direction INPUT))
(port SP (direction INPUT))
(port SCLK (direction INPUT))
(port PD (direction INPUT))
(port Q (direction OUTPUT))
)
)
)
(cell FD1P3AX (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port D (direction INPUT))
(port SP (direction INPUT))
(port CK (direction INPUT))
(port Q (direction OUTPUT))
)
)
)
(cell ORCALUT4 (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port A (direction INPUT))
(port B (direction INPUT))
(port C (direction INPUT))
(port D (direction INPUT))
(port Z (direction OUTPUT))
)
)
)
(cell PFUMX (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port ALUT (direction INPUT))
(port BLUT (direction INPUT))
(port C0 (direction INPUT))
(port Z (direction OUTPUT))
)
)
)
(cell GSR (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port GSR (direction INPUT))
)
)
)
(cell INV (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port A (direction INPUT))
(port Z (direction OUTPUT))
)
)
)
(cell VHI (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port Z (direction OUTPUT))
)
)
)
(cell VLO (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port Z (direction OUTPUT))
)
)
)
)
(library work
(edifLevel 0)
(technology (numberDefinition ))
(cell EFB (cellType GENERIC)
(view verilog (viewType NETLIST)
(interface
(port WBCLKI (direction INPUT))
(port WBRSTI (direction INPUT))
(port WBCYCI (direction INPUT))
(port WBSTBI (direction INPUT))
(port WBWEI (direction INPUT))
(port WBADRI7 (direction INPUT))
(port WBADRI6 (direction INPUT))
(port WBADRI5 (direction INPUT))
(port WBADRI4 (direction INPUT))
(port WBADRI3 (direction INPUT))
(port WBADRI2 (direction INPUT))
(port WBADRI1 (direction INPUT))
(port WBADRI0 (direction INPUT))
(port WBDATI7 (direction INPUT))
(port WBDATI6 (direction INPUT))
(port WBDATI5 (direction INPUT))
(port WBDATI4 (direction INPUT))
(port WBDATI3 (direction INPUT))
(port WBDATI2 (direction INPUT))
(port WBDATI1 (direction INPUT))
(port WBDATI0 (direction INPUT))
(port PLL0DATI7 (direction INPUT))
(port PLL0DATI6 (direction INPUT))
(port PLL0DATI5 (direction INPUT))
(port PLL0DATI4 (direction INPUT))
(port PLL0DATI3 (direction INPUT))
(port PLL0DATI2 (direction INPUT))
(port PLL0DATI1 (direction INPUT))
(port PLL0DATI0 (direction INPUT))
(port PLL0ACKI (direction INPUT))
(port PLL1DATI7 (direction INPUT))
(port PLL1DATI6 (direction INPUT))
(port PLL1DATI5 (direction INPUT))
(port PLL1DATI4 (direction INPUT))
(port PLL1DATI3 (direction INPUT))
(port PLL1DATI2 (direction INPUT))
(port PLL1DATI1 (direction INPUT))
(port PLL1DATI0 (direction INPUT))
(port PLL1ACKI (direction INPUT))
(port I2C1SCLI (direction INPUT))
(port I2C1SDAI (direction INPUT))
(port I2C2SCLI (direction INPUT))
(port I2C2SDAI (direction INPUT))
(port SPISCKI (direction INPUT))
(port SPIMISOI (direction INPUT))
(port SPIMOSII (direction INPUT))
(port SPISCSN (direction INPUT))
(port TCCLKI (direction INPUT))
(port TCRSTN (direction INPUT))
(port TCIC (direction INPUT))
(port UFMSN (direction INPUT))
(port WBDATO7 (direction OUTPUT))
(port WBDATO6 (direction OUTPUT))
(port WBDATO5 (direction OUTPUT))
(port WBDATO4 (direction OUTPUT))
(port WBDATO3 (direction OUTPUT))
(port WBDATO2 (direction OUTPUT))
(port WBDATO1 (direction OUTPUT))
(port WBDATO0 (direction OUTPUT))
(port WBACKO (direction OUTPUT))
(port PLLCLKO (direction OUTPUT))
(port PLLRSTO (direction OUTPUT))
(port PLL0STBO (direction OUTPUT))
(port PLL1STBO (direction OUTPUT))
(port PLLWEO (direction OUTPUT))
(port PLLADRO4 (direction OUTPUT))
(port PLLADRO3 (direction OUTPUT))
(port PLLADRO2 (direction OUTPUT))
(port PLLADRO1 (direction OUTPUT))
(port PLLADRO0 (direction OUTPUT))
(port PLLDATO7 (direction OUTPUT))
(port PLLDATO6 (direction OUTPUT))
(port PLLDATO5 (direction OUTPUT))
(port PLLDATO4 (direction OUTPUT))
(port PLLDATO3 (direction OUTPUT))
(port PLLDATO2 (direction OUTPUT))
(port PLLDATO1 (direction OUTPUT))
(port PLLDATO0 (direction OUTPUT))
(port I2C1SCLO (direction OUTPUT))
(port I2C1SCLOEN (direction OUTPUT))
(port I2C1SDAO (direction OUTPUT))
(port I2C1SDAOEN (direction OUTPUT))
(port I2C2SCLO (direction OUTPUT))
(port I2C2SCLOEN (direction OUTPUT))
(port I2C2SDAO (direction OUTPUT))
(port I2C2SDAOEN (direction OUTPUT))
(port I2C1IRQO (direction OUTPUT))
(port I2C2IRQO (direction OUTPUT))
(port SPISCKO (direction OUTPUT))
(port SPISCKEN (direction OUTPUT))
(port SPIMISOO (direction OUTPUT))
(port SPIMISOEN (direction OUTPUT))
(port SPIMOSIO (direction OUTPUT))
(port SPIMOSIEN (direction OUTPUT))
(port SPIMCSN0 (direction OUTPUT))
(port SPIMCSN1 (direction OUTPUT))
(port SPIMCSN2 (direction OUTPUT))
(port SPIMCSN3 (direction OUTPUT))
(port SPIMCSN4 (direction OUTPUT))
(port SPIMCSN5 (direction OUTPUT))
(port SPIMCSN6 (direction OUTPUT))
(port SPIMCSN7 (direction OUTPUT))
(port SPICSNEN (direction OUTPUT))
(port SPIIRQO (direction OUTPUT))
(port TCINT (direction OUTPUT))
(port TCOC (direction OUTPUT))
(port WBCUFMIRQ (direction OUTPUT))
(port CFGWAKE (direction OUTPUT))
(port CFGSTDBY (direction OUTPUT))
)
(property TC_ICAPTURE (string "DISABLED"))
(property TC_OVERFLOW (string "DISABLED"))
(property TC_ICR_INT (string "OFF"))
(property TC_OCR_INT (string "OFF"))
(property TC_OV_INT (string "OFF"))
(property TC_TOP_SEL (string "OFF"))
(property TC_RESETN (string "ENABLED"))
(property TC_OC_MODE (string "TOGGLE"))
(property TC_OCR_SET (integer 32767))
(property TC_TOP_SET (integer 65535))
(property GSR (string "ENABLED"))
(property TC_CCLK_SEL (integer 1))
(property TC_SCLK_SEL (string "PCLOCK"))
(property TC_MODE (string "CTCM"))
(property SPI_WAKEUP (string "DISABLED"))
(property SPI_INTR_RXOVR (string "DISABLED"))
(property SPI_INTR_TXOVR (string "DISABLED"))
(property SPI_INTR_RXRDY (string "DISABLED"))
(property SPI_INTR_TXRDY (string "DISABLED"))
(property SPI_SLAVE_HANDSHAKE (string "DISABLED"))
(property SPI_PHASE_ADJ (string "DISABLED"))
(property SPI_CLK_INV (string "DISABLED"))
(property SPI_LSB_FIRST (string "DISABLED"))
(property SPI_CLK_DIVIDER (integer 1))
(property SPI_MODE (string "MASTER"))
(property I2C2_WAKEUP (string "DISABLED"))
(property I2C1_WAKEUP (string "DISABLED"))
(property I2C2_GEN_CALL (string "DISABLED"))
(property I2C1_GEN_CALL (string "DISABLED"))
(property I2C2_CLK_DIVIDER (integer 1))
(property I2C1_CLK_DIVIDER (integer 1))
(property I2C2_BUS_PERF (string "100kHz"))
(property I2C1_BUS_PERF (string "100kHz"))
(property I2C2_SLAVE_ADDR (string "0b1000010"))
(property I2C1_SLAVE_ADDR (string "0b1000001"))
(property I2C2_ADDRESSING (string "7BIT"))
(property I2C1_ADDRESSING (string "7BIT"))
(property UFM_INIT_FILE_FORMAT (string "HEX"))
(property UFM_INIT_FILE_NAME (string "../RAM2E-LCMXO2.mem"))
(property UFM_INIT_ALL_ZEROS (string "DISABLED"))
(property UFM_INIT_START_PAGE (integer 190))
(property UFM_INIT_PAGES (integer 1))
(property DEV_DENSITY (string "640L"))
(property EFB_WB_CLK_FREQ (string "14.4"))
(property EFB_UFM (string "ENABLED"))
(property EFB_TC_PORTMODE (string "WB"))
(property EFB_TC (string "DISABLED"))
(property EFB_SPI (string "DISABLED"))
(property EFB_I2C2 (string "DISABLED"))
(property EFB_I2C1 (string "DISABLED"))
(property orig_inst_of (string "EFB"))
)
)
(cell REFB (cellType GENERIC)
(view netlist (viewType NETLIST)
(interface
(port (array (rename wb_dato "wb_dato[7:0]") 8) (direction OUTPUT))
(port (array (rename wb_dati "wb_dati[7:0]") 8) (direction INPUT))
(port (array (rename wb_adr "wb_adr[7:0]") 8) (direction INPUT))
(port wb_ack (direction OUTPUT))
(port wb_we (direction INPUT))
(port wb_cyc_stb (direction INPUT))
(port wb_rst (direction INPUT))
(port C14M_c (direction INPUT))
)
(contents
(instance EFBInst_0 (viewRef verilog (cellRef EFB))
(property UFM_INIT_FILE_FORMAT (string "HEX"))
(property UFM_INIT_FILE_NAME (string "../RAM2E-LCMXO2.mem"))
(property UFM_INIT_ALL_ZEROS (string "DISABLED"))
(property UFM_INIT_START_PAGE (integer 190))
(property UFM_INIT_PAGES (integer 1))
(property DEV_DENSITY (string "640L"))
(property EFB_UFM (string "ENABLED"))
(property TC_ICAPTURE (string "DISABLED"))
(property TC_OVERFLOW (string "DISABLED"))
(property TC_ICR_INT (string "OFF"))
(property TC_OCR_INT (string "OFF"))
(property TC_OV_INT (string "OFF"))
(property TC_TOP_SEL (string "OFF"))
(property TC_RESETN (string "ENABLED"))
(property TC_OC_MODE (string "TOGGLE"))
(property TC_OCR_SET (integer 32767))
(property TC_TOP_SET (integer 65535))
(property GSR (string "ENABLED"))
(property TC_CCLK_SEL (integer 1))
(property TC_MODE (string "CTCM"))
(property TC_SCLK_SEL (string "PCLOCK"))
(property EFB_TC_PORTMODE (string "WB"))
(property EFB_TC (string "DISABLED"))
(property SPI_WAKEUP (string "DISABLED"))
(property SPI_INTR_RXOVR (string "DISABLED"))
(property SPI_INTR_TXOVR (string "DISABLED"))
(property SPI_INTR_RXRDY (string "DISABLED"))
(property SPI_INTR_TXRDY (string "DISABLED"))
(property SPI_SLAVE_HANDSHAKE (string "DISABLED"))
(property SPI_PHASE_ADJ (string "DISABLED"))
(property SPI_CLK_INV (string "DISABLED"))
(property SPI_LSB_FIRST (string "DISABLED"))
(property SPI_CLK_DIVIDER (integer 1))
(property SPI_MODE (string "MASTER"))
(property EFB_SPI (string "DISABLED"))
(property I2C2_WAKEUP (string "DISABLED"))
(property I2C2_GEN_CALL (string "DISABLED"))
(property I2C2_CLK_DIVIDER (integer 1))
(property I2C2_BUS_PERF (string "100kHz"))
(property I2C2_SLAVE_ADDR (string "0b1000010"))
(property I2C2_ADDRESSING (string "7BIT"))
(property EFB_I2C2 (string "DISABLED"))
(property I2C1_WAKEUP (string "DISABLED"))
(property I2C1_GEN_CALL (string "DISABLED"))
(property I2C1_CLK_DIVIDER (integer 1))
(property I2C1_BUS_PERF (string "100kHz"))
(property I2C1_SLAVE_ADDR (string "0b1000001"))
(property I2C1_ADDRESSING (string "7BIT"))
(property EFB_I2C1 (string "DISABLED"))
(property EFB_WB_CLK_FREQ (string "14.4"))
)
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(net C14M_c (joined
(portRef C14M_c)
(portRef WBCLKI (instanceRef EFBInst_0))
))
(net wb_rst (joined
(portRef wb_rst)
(portRef WBRSTI (instanceRef EFBInst_0))
))
(net wb_cyc_stb (joined
(portRef wb_cyc_stb)
(portRef WBSTBI (instanceRef EFBInst_0))
(portRef WBCYCI (instanceRef EFBInst_0))
))
(net wb_we (joined
(portRef wb_we)
(portRef WBWEI (instanceRef EFBInst_0))
))
(net (rename wb_adr_7 "wb_adr[7]") (joined
(portRef (member wb_adr 0))
(portRef WBADRI7 (instanceRef EFBInst_0))
))
(net (rename wb_adr_6 "wb_adr[6]") (joined
(portRef (member wb_adr 1))
(portRef WBADRI6 (instanceRef EFBInst_0))
))
(net (rename wb_adr_5 "wb_adr[5]") (joined
(portRef (member wb_adr 2))
(portRef WBADRI5 (instanceRef EFBInst_0))
))
(net (rename wb_adr_4 "wb_adr[4]") (joined
(portRef (member wb_adr 3))
(portRef WBADRI4 (instanceRef EFBInst_0))
))
(net (rename wb_adr_3 "wb_adr[3]") (joined
(portRef (member wb_adr 4))
(portRef WBADRI3 (instanceRef EFBInst_0))
))
(net (rename wb_adr_2 "wb_adr[2]") (joined
(portRef (member wb_adr 5))
(portRef WBADRI2 (instanceRef EFBInst_0))
))
(net (rename wb_adr_1 "wb_adr[1]") (joined
(portRef (member wb_adr 6))
(portRef WBADRI1 (instanceRef EFBInst_0))
))
(net (rename wb_adr_0 "wb_adr[0]") (joined
(portRef (member wb_adr 7))
(portRef WBADRI0 (instanceRef EFBInst_0))
))
(net (rename wb_dati_7 "wb_dati[7]") (joined
(portRef (member wb_dati 0))
(portRef WBDATI7 (instanceRef EFBInst_0))
))
(net (rename wb_dati_6 "wb_dati[6]") (joined
(portRef (member wb_dati 1))
(portRef WBDATI6 (instanceRef EFBInst_0))
))
(net (rename wb_dati_5 "wb_dati[5]") (joined
(portRef (member wb_dati 2))
(portRef WBDATI5 (instanceRef EFBInst_0))
))
(net (rename wb_dati_4 "wb_dati[4]") (joined
(portRef (member wb_dati 3))
(portRef WBDATI4 (instanceRef EFBInst_0))
))
(net (rename wb_dati_3 "wb_dati[3]") (joined
(portRef (member wb_dati 4))
(portRef WBDATI3 (instanceRef EFBInst_0))
))
(net (rename wb_dati_2 "wb_dati[2]") (joined
(portRef (member wb_dati 5))
(portRef WBDATI2 (instanceRef EFBInst_0))
))
(net (rename wb_dati_1 "wb_dati[1]") (joined
(portRef (member wb_dati 6))
(portRef WBDATI1 (instanceRef EFBInst_0))
))
(net (rename wb_dati_0 "wb_dati[0]") (joined
(portRef (member wb_dati 7))
(portRef WBDATI0 (instanceRef EFBInst_0))
))
(net GND (joined
(portRef Z (instanceRef GND))
(portRef TCIC (instanceRef EFBInst_0))
(portRef TCRSTN (instanceRef EFBInst_0))
(portRef TCCLKI (instanceRef EFBInst_0))
(portRef SPISCSN (instanceRef EFBInst_0))
(portRef SPIMOSII (instanceRef EFBInst_0))
(portRef SPIMISOI (instanceRef EFBInst_0))
(portRef SPISCKI (instanceRef EFBInst_0))
(portRef I2C2SDAI (instanceRef EFBInst_0))
(portRef I2C2SCLI (instanceRef EFBInst_0))
(portRef I2C1SDAI (instanceRef EFBInst_0))
(portRef I2C1SCLI (instanceRef EFBInst_0))
(portRef PLL1ACKI (instanceRef EFBInst_0))
(portRef PLL1DATI0 (instanceRef EFBInst_0))
(portRef PLL1DATI1 (instanceRef EFBInst_0))
(portRef PLL1DATI2 (instanceRef EFBInst_0))
(portRef PLL1DATI3 (instanceRef EFBInst_0))
(portRef PLL1DATI4 (instanceRef EFBInst_0))
(portRef PLL1DATI5 (instanceRef EFBInst_0))
(portRef PLL1DATI6 (instanceRef EFBInst_0))
(portRef PLL1DATI7 (instanceRef EFBInst_0))
(portRef PLL0ACKI (instanceRef EFBInst_0))
(portRef PLL0DATI0 (instanceRef EFBInst_0))
(portRef PLL0DATI1 (instanceRef EFBInst_0))
(portRef PLL0DATI2 (instanceRef EFBInst_0))
(portRef PLL0DATI3 (instanceRef EFBInst_0))
(portRef PLL0DATI4 (instanceRef EFBInst_0))
(portRef PLL0DATI5 (instanceRef EFBInst_0))
(portRef PLL0DATI6 (instanceRef EFBInst_0))
(portRef PLL0DATI7 (instanceRef EFBInst_0))
))
(net VCC (joined
(portRef Z (instanceRef VCC))
(portRef UFMSN (instanceRef EFBInst_0))
))
(net (rename wb_dato_7 "wb_dato[7]") (joined
(portRef WBDATO7 (instanceRef EFBInst_0))
(portRef (member wb_dato 0))
))
(net (rename wb_dato_6 "wb_dato[6]") (joined
(portRef WBDATO6 (instanceRef EFBInst_0))
(portRef (member wb_dato 1))
))
(net (rename wb_dato_5 "wb_dato[5]") (joined
(portRef WBDATO5 (instanceRef EFBInst_0))
(portRef (member wb_dato 2))
))
(net (rename wb_dato_4 "wb_dato[4]") (joined
(portRef WBDATO4 (instanceRef EFBInst_0))
(portRef (member wb_dato 3))
))
(net (rename wb_dato_3 "wb_dato[3]") (joined
(portRef WBDATO3 (instanceRef EFBInst_0))
(portRef (member wb_dato 4))
))
(net (rename wb_dato_2 "wb_dato[2]") (joined
(portRef WBDATO2 (instanceRef EFBInst_0))
(portRef (member wb_dato 5))
))
(net (rename wb_dato_1 "wb_dato[1]") (joined
(portRef WBDATO1 (instanceRef EFBInst_0))
(portRef (member wb_dato 6))
))
(net (rename wb_dato_0 "wb_dato[0]") (joined
(portRef WBDATO0 (instanceRef EFBInst_0))
(portRef (member wb_dato 7))
))
(net wb_ack (joined
(portRef WBACKO (instanceRef EFBInst_0))
(portRef wb_ack)
))
(net PLLCLKO (joined
(portRef PLLCLKO (instanceRef EFBInst_0))
))
(net PLLRSTO (joined
(portRef PLLRSTO (instanceRef EFBInst_0))
))
(net PLL0STBO (joined
(portRef PLL0STBO (instanceRef EFBInst_0))
))
(net PLL1STBO (joined
(portRef PLL1STBO (instanceRef EFBInst_0))
))
(net PLLWEO (joined
(portRef PLLWEO (instanceRef EFBInst_0))
))
(net PLLADRO4 (joined
(portRef PLLADRO4 (instanceRef EFBInst_0))
))
(net PLLADRO3 (joined
(portRef PLLADRO3 (instanceRef EFBInst_0))
))
(net PLLADRO2 (joined
(portRef PLLADRO2 (instanceRef EFBInst_0))
))
(net PLLADRO1 (joined
(portRef PLLADRO1 (instanceRef EFBInst_0))
))
(net PLLADRO0 (joined
(portRef PLLADRO0 (instanceRef EFBInst_0))
))
(net PLLDATO7 (joined
(portRef PLLDATO7 (instanceRef EFBInst_0))
))
(net PLLDATO6 (joined
(portRef PLLDATO6 (instanceRef EFBInst_0))
))
(net PLLDATO5 (joined
(portRef PLLDATO5 (instanceRef EFBInst_0))
))
(net PLLDATO4 (joined
(portRef PLLDATO4 (instanceRef EFBInst_0))
))
(net PLLDATO3 (joined
(portRef PLLDATO3 (instanceRef EFBInst_0))
))
(net PLLDATO2 (joined
(portRef PLLDATO2 (instanceRef EFBInst_0))
))
(net PLLDATO1 (joined
(portRef PLLDATO1 (instanceRef EFBInst_0))
))
(net PLLDATO0 (joined
(portRef PLLDATO0 (instanceRef EFBInst_0))
))
(net I2C1SCLO (joined
(portRef I2C1SCLO (instanceRef EFBInst_0))
))
(net I2C1SCLOEN (joined
(portRef I2C1SCLOEN (instanceRef EFBInst_0))
))
(net I2C1SDAO (joined
(portRef I2C1SDAO (instanceRef EFBInst_0))
))
(net I2C1SDAOEN (joined
(portRef I2C1SDAOEN (instanceRef EFBInst_0))
))
(net I2C2SCLO (joined
(portRef I2C2SCLO (instanceRef EFBInst_0))
))
(net I2C2SCLOEN (joined
(portRef I2C2SCLOEN (instanceRef EFBInst_0))
))
(net I2C2SDAO (joined
(portRef I2C2SDAO (instanceRef EFBInst_0))
))
(net I2C2SDAOEN (joined
(portRef I2C2SDAOEN (instanceRef EFBInst_0))
))
(net I2C1IRQO (joined
(portRef I2C1IRQO (instanceRef EFBInst_0))
))
(net I2C2IRQO (joined
(portRef I2C2IRQO (instanceRef EFBInst_0))
))
(net SPISCKO (joined
(portRef SPISCKO (instanceRef EFBInst_0))
))
(net SPISCKEN (joined
(portRef SPISCKEN (instanceRef EFBInst_0))
))
(net SPIMISOO (joined
(portRef SPIMISOO (instanceRef EFBInst_0))
))
(net SPIMISOEN (joined
(portRef SPIMISOEN (instanceRef EFBInst_0))
))
(net SPIMOSIO (joined
(portRef SPIMOSIO (instanceRef EFBInst_0))
))
(net SPIMOSIEN (joined
(portRef SPIMOSIEN (instanceRef EFBInst_0))
))
(net SPIMCSN0 (joined
(portRef SPIMCSN0 (instanceRef EFBInst_0))
))
(net SPIMCSN1 (joined
(portRef SPIMCSN1 (instanceRef EFBInst_0))
))
(net SPIMCSN2 (joined
(portRef SPIMCSN2 (instanceRef EFBInst_0))
))
(net SPIMCSN3 (joined
(portRef SPIMCSN3 (instanceRef EFBInst_0))
))
(net SPIMCSN4 (joined
(portRef SPIMCSN4 (instanceRef EFBInst_0))
))
(net SPIMCSN5 (joined
(portRef SPIMCSN5 (instanceRef EFBInst_0))
))
(net SPIMCSN6 (joined
(portRef SPIMCSN6 (instanceRef EFBInst_0))
))
(net SPIMCSN7 (joined
(portRef SPIMCSN7 (instanceRef EFBInst_0))
))
(net SPICSNEN (joined
(portRef SPICSNEN (instanceRef EFBInst_0))
))
(net SPIIRQO (joined
(portRef SPIIRQO (instanceRef EFBInst_0))
))
(net TCINT (joined
(portRef TCINT (instanceRef EFBInst_0))
))
(net TCOC (joined
(portRef TCOC (instanceRef EFBInst_0))
))
(net wbc_ufm_irq (joined
(portRef WBCUFMIRQ (instanceRef EFBInst_0))
))
(net CFGWAKE (joined
(portRef CFGWAKE (instanceRef EFBInst_0))
))
(net CFGSTDBY (joined
(portRef CFGSTDBY (instanceRef EFBInst_0))
))
)
(property NGD_DRC_MASK (integer 1))
(property orig_inst_of (string "REFB"))
)
)
(cell RAM2E_UFM (cellType GENERIC)
(view netlist (viewType NETLIST)
(interface
(port (array (rename ra_35 "RA_35[11:0]") 12) (direction OUTPUT))
(port (array (rename ain_c "Ain_c[7:0]") 8) (direction INPUT))
(port CmdTout_3_0 (direction OUTPUT))
(port (array (rename rwbank "RWBank[7:0]") 8) (direction INPUT))
(port (array (rename fs "FS[15:0]") 16) (direction INPUT))
(port (array (rename ra "RA[11:0]") 12) (direction INPUT))
(port (array (rename rc_3 "RC_3[2:1]") 2) (direction OUTPUT))
(port (array (rename rwbank_3 "RWBank_3[7:0]") 8) (direction OUTPUT))
(port (array (rename din_c "Din_c[7:0]") 8) (direction INPUT))
(port S_s_0_0_0 (direction OUTPUT))
(port (array (rename ba_4 "BA_4[1:0]") 2) (direction OUTPUT))
(port (array (rename cs "CS[2:0]") 3) (direction INPUT))
(port (array (rename cmdtout "CmdTout[2:1]") 2) (direction INPUT))
(port (array (rename rc "RC[2:1]") 2) (direction INPUT))
(port (array (rename s "S[3:0]") 4) (direction INPUT))
(port N_359_i (direction OUTPUT))
(port CmdRWMaskSet_3 (direction OUTPUT))
(port CmdLEDSet_3 (direction OUTPUT))
(port N_667 (direction OUTPUT))
(port N_666 (direction OUTPUT))
(port N_665 (direction OUTPUT))
(port N_664 (direction OUTPUT))
(port N_663 (direction OUTPUT))
(port N_662 (direction OUTPUT))
(port N_648 (direction OUTPUT))
(port CmdSetRWBankFFLED (direction INPUT))
(port CmdLEDGet (direction INPUT))
(port Vout3 (direction OUTPUT))
(port un9_VOEEN_0_a2_0_a3_0_a3_1z (direction OUTPUT))
(port N_263_i_1z (direction OUTPUT))
(port N_508 (direction OUTPUT))
(port RWSel_2 (direction OUTPUT))
(port nC07X_c (direction INPUT))
(port RDOE_i_1z (direction OUTPUT))
(port LED_c (direction OUTPUT))
(port Ready (direction INPUT))
(port nDOE_c (direction OUTPUT))
(port DOEEN (direction INPUT))
(port nEN80_c (direction INPUT))
(port N_360_i_1z (direction OUTPUT))
(port N_368_i_1z (direction OUTPUT))
(port N_507_i_1z (direction OUTPUT))
(port un2_S_2_i_0_0_o3_RNIHFHN3_1z (direction OUTPUT))
(port CmdLEDGet_3 (direction OUTPUT))
(port N_126 (direction OUTPUT))
(port N_362_i (direction OUTPUT))
(port N_369_i_1z (direction OUTPUT))
(port Ready3 (direction OUTPUT))
(port CmdSetRWBankFFLED_4 (direction OUTPUT))
(port N_361_i (direction OUTPUT))
(port N_223 (direction OUTPUT))
(port CmdLEDSet (direction INPUT))
(port CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514_1z (direction OUTPUT))
(port CmdRWMaskSet (direction INPUT))
(port CmdExecMXO2_3_0_a3_0_RNI6S1P8_1z (direction OUTPUT))
(port N_370_i (direction OUTPUT))
(port nWE_c (direction INPUT))
(port N_358_i (direction OUTPUT))
(port un1_CS_0_sqmuxa_i (direction OUTPUT))
(port N_547_i (direction OUTPUT))
(port C14M_c (direction INPUT))
(port CO0_0 (direction INPUT))
(port N_187_i_1z (direction OUTPUT))
(port N_185_i (direction OUTPUT))
(port CKE_7_RNIS77M1_1z (direction OUTPUT))
(port N_372_i (direction OUTPUT))
(port S_1 (direction INPUT))
(port RWSel (direction INPUT))
(port N_201_i_1z (direction OUTPUT))
(port N_225_i_1z (direction OUTPUT))
(port BA_0_sqmuxa (direction OUTPUT))
(port CO0_1 (direction INPUT))
(port RC12 (direction OUTPUT))
(port N_551 (direction OUTPUT))
)
(contents
(instance wb_rst16_i_i_i_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(C+(B+A)))"))
)
(instance (rename wb_dati_7_0_0_0_RNO_7 "wb_dati_7_0_0_0_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B A))+D (B A))"))
)
(instance nRAS_s_i_0_a3_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B !A))"))
)
(instance un1_RC12_i_0_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C+(B+A))"))
)
(instance (rename wb_dati_7_0_0_a3_3_4 "wb_dati_7_0_0_a3_3[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C (!B !A)))"))
)
(instance (rename RA_35_2_0_a3_5_10 "RA_35_2_0_a3_5[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B A)))"))
)
(instance (rename un1_LEDEN_0_sqmuxa_1_i_0_0_RNO_0 "un1_LEDEN_0_sqmuxa_1_i_0_0_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (B A)))"))
)
(instance (rename wb_dati_7_0_0_0_0_RNO_7 "wb_dati_7_0_0_0_0_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B !A)))"))
)
(instance (rename S_s_0_0_RNO_0 "S_s_0_0_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B !A)+C !A)"))
)
(instance CKE_7_m1_0_0_o2_RNI7FOA1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B A))"))
)
(instance CKE_7_m1_0_0_o2_RNIGC501 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B A))"))
)
(instance (rename RC_3_0_0_1 "RC_3_0_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B A+B !A)+C (B !A))"))
)
(instance N_314_i_i_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(C+(!B+!A)))"))
)
(instance nRAS_s_i_0_a3_5_RNIH7J73 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B A)))"))
)
(instance (rename wb_dati_7_0_0_a3_8_3 "wb_dati_7_0_0_a3_8[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B !A)))"))
)
(instance CKE_7_m1_0_0_o2_RNICM8E1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B !A)))"))
)
(instance (rename S_r_i_0_o2_RNIP4KI1_1 "S_r_i_0_o2_RNIP4KI1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B A)))"))
)
(instance nRAS_s_i_0_a3_5_RNIH7J73_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B A)))"))
)
(instance N_225_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B+!A)))"))
)
(instance N_201_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B+!A)))"))
)
(instance (rename S_r_i_0_o2_RNIOGTF1_1 "S_r_i_0_o2_RNIOGTF1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(!C+(!B+!A)))"))
)
(instance (rename RA_35_0_0_RNO_0 "RA_35_0_0_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B A)))"))
)
(instance (rename RA_35_2_0_RNO_10 "RA_35_2_0_RNO[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B !A)))"))
)
(instance (rename S_r_i_0_o2_RNIFNP81_0_2 "S_r_i_0_o2_RNIFNP81_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C A)+D (!C (!B+A)))"))
)
(instance wb_req_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(C+(B+A)))"))
)
(instance CmdBitbangMXO2_RNINSM62 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C B+C (B+A))+D B)"))
)
(instance wb_we_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C B+C (B+A))+D B)"))
)
(instance (rename wb_dati_7_0_0_a3_13_RNI81UL_7 "wb_dati_7_0_0_a3_13_RNI81UL[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B A)))"))
)
(instance CKE_7_RNIS77M1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B+A)+C A)+D A)"))
)
(instance (rename S_r_i_0_o2_RNIBAU51_1 "S_r_i_0_o2_RNIBAU51[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B A))"))
)
(instance N_187_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B A)"))
)
(instance un1_CS_0_sqmuxa_0_0_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) )
(instance un1_CS_0_sqmuxa_0_0_0_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C !A+C (B+!A))+D !A)"))
)
(instance un1_CS_0_sqmuxa_0_0_0_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C+(!B+!A))"))
)
(instance wb_we (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance wb_rst (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT)))
)
(instance wb_req (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
)
(instance (rename wb_dati_0 "wb_dati[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_dati_1 "wb_dati[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_dati_2 "wb_dati[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_dati_3 "wb_dati[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_dati_4 "wb_dati[4]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_dati_5 "wb_dati[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_dati_6 "wb_dati[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_dati_7 "wb_dati[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance wb_cyc_stb (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_adr_0 "wb_adr[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_adr_1 "wb_adr[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_adr_2 "wb_adr[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_adr_3 "wb_adr[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_adr_4 "wb_adr[4]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_adr_5 "wb_adr[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_adr_6 "wb_adr[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_adr_7 "wb_adr[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RWMask_0 "RWMask[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RWMask_1 "RWMask[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RWMask_2 "RWMask[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RWMask_3 "RWMask[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RWMask_4 "RWMask[4]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RWMask_5 "RWMask[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RWMask_6 "RWMask[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RWMask_7 "RWMask[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance LEDEN (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance CmdSetRWBankFFChip (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance CmdExecMXO2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance CmdBitbangMXO2 (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename S_r_i_0_o2_RNIVM0LF_1 "S_r_i_0_o2_RNIVM0LF[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B+A))"))
)
(instance un1_CS_0_sqmuxa_0_0_0_RNIVQNQ2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B !A)))"))
)
(instance (rename S_r_i_0_o2_RNI3VQTC_1 "S_r_i_0_o2_RNI3VQTC[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(C+(B !A)))"))
)
(instance (rename wb_adr_7_i_i_0 "wb_adr_7_i_i[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(C+(B A)))"))
)
(instance un1_CS_0_sqmuxa_0_0_a3_1_0_RNIS35S (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (!B A))+D (C A))"))
)
(instance nRAS_s_i_0_0_RNI0PC64 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B !A)))"))
)
(instance nCAS_s_i_0_a3_RNIO1UQ3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B !A))+D (!B !A))"))
)
(instance (rename wb_dati_7_0_0_5 "wb_dati_7_0_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(!C A+C (B+A)))"))
)
(instance (rename wb_dati_7_0_0_2 "wb_dati_7_0_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(!C A+C (B+A)))"))
)
(instance (rename wb_dati_7_0_0_0_7 "wb_dati_7_0_0_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(C+(B+A)))"))
)
(instance CmdExecMXO2_3_0_a3_0_RNI6S1P8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(!C (B !A)+C !A))"))
)
(instance (rename wb_dati_7_0_0_6 "wb_dati_7_0_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(!C A+C (B+A)))"))
)
(instance (rename wb_dati_7_0_0_4 "wb_dati_7_0_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(C+(B+A)))"))
)
(instance un1_CS_0_sqmuxa_0_0_a3_9_RNI3N8T5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C !B)+D (!C (!B !A)+C !B))"))
)
(instance un1_CS_0_sqmuxa_0_0_o3_0_RNIA0N95 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(C (!B !A)))"))
)
(instance (rename un1_RWMask_0_sqmuxa_1_i_0_0_0 "un1_RWMask_0_sqmuxa_1_i_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!B A)+D (C+(!B A)))"))
)
(instance (rename wb_dati_7_0_0_0_0 "wb_dati_7_0_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C B)+D (!C A+C (B+A)))"))
)
(instance (rename wb_dati_7_0_0_1 "wb_dati_7_0_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(!C A+C (B+A)))"))
)
(instance CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B A+B !A)+C B)+D (!C (B !A)+C B))"))
)
(instance (rename wb_dati_7_0_0_0_3 "wb_dati_7_0_0_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(!C A+C (B+A)))"))
)
(instance (rename wb_adr_7_i_i_4_0 "wb_adr_7_i_i_4[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(C+(B+A)))"))
)
(instance (rename wb_adr_7_i_i_5_0 "wb_adr_7_i_i_5[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D C+D (C+(B !A)))"))
)
(instance un1_CS_0_sqmuxa_0_0_m3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B+!A)+C (B A))"))
)
(instance un1_CS_0_sqmuxa_0_0_o3_0_RNIN3AF2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(C (!B !A)))"))
)
(instance (rename un1_LEDEN_0_sqmuxa_1_i_0_0_0 "un1_LEDEN_0_sqmuxa_1_i_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!B A)+D (C+(!B A)))"))
)
(instance (rename un1_CmdSetRWBankFFChip13_1_i_0_0_0 "un1_CmdSetRWBankFFChip13_1_i_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C+(B !A))+D (C+!A))"))
)
(instance (rename wb_dati_7_0_0_RNO_0_7 "wb_dati_7_0_0_RNO_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C B)+D (!C (B A)+C B))"))
)
(instance (rename wb_dati_7_0_0_0_a3_7 "wb_dati_7_0_0_0_a3[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (!B !A+B A)))"))
)
(instance (rename wb_adr_7_i_i_a3_6_0 "wb_adr_7_i_i_a3_6[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (!B A))"))
)
(instance (rename RA_35_0_0_0_7 "RA_35_0_0_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C+(B A))"))
)
(instance (rename RA_35_0_0_0_6 "RA_35_0_0_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C+(B A))"))
)
(instance (rename RA_35_0_0_4 "RA_35_0_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C+(B A))"))
)
(instance (rename RA_35_0_0_3 "RA_35_0_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C+(B A))"))
)
(instance (rename wb_dati_7_0_0_a3_2_4 "wb_dati_7_0_0_a3_2[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (!B !A)))"))
)
(instance CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C A)+D (!C (!B A)+C A))"))
)
(instance (rename wb_dati_7_0_0_0_0_3 "wb_dati_7_0_0_0_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C B)+D (!C A+C (B+A)))"))
)
(instance (rename wb_dati_7_0_0_0_6 "wb_dati_7_0_0_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C B)+D (!C A+C (B+A)))"))
)
(instance (rename wb_dati_7_0_0_0_4 "wb_dati_7_0_0_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (B A)+D (C+(B A)))"))
)
(instance CKE_7_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B A))"))
)
(instance CKE_7 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) )
(instance wb_cyc_stb_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C A+C (B+A))"))
)
(instance CmdExecMXO2_3_0_a3_0_RNIPG3P2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(!C (!B A)))"))
)
(instance (rename un1_CmdSetRWBankFFChip13_1_i_0_0_0_0 "un1_CmdSetRWBankFFChip13_1_i_0_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B A)+C B)+D B)"))
)
(instance nRAS_s_i_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (B !A))+D !A)"))
)
(instance nCAS_s_i_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C (B !A)+C !A))"))
)
(instance (rename wb_dati_7_0_0_a3_4 "wb_dati_7_0_0_a3[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (B !A))"))
)
(instance (rename RA_35_i_i_0_1 "RA_35_i_i_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(C+(B A)))"))
)
(instance (rename RA_35_0_0_2 "RA_35_0_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(C+(B A)))"))
)
(instance un1_CS_0_sqmuxa_0_0_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B !A)))"))
)
(instance (rename RA_35_0_0_5 "RA_35_0_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(C+(B A)))"))
)
(instance (rename RA_35_2_0_10 "RA_35_2_0[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(C+(B+A)))"))
)
(instance wb_we_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(C (B !A)))"))
)
(instance (rename wb_adr_RNO_1 "wb_adr_RNO[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(!C B+C (B+A)))"))
)
(instance un1_CS_0_sqmuxa_0_0_a3_9_RNIJT9D1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C (!B !A)))"))
)
(instance un1_CS_0_sqmuxa_0_0_a3_2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B A)))"))
)
(instance (rename RA_35_0_0_0_4 "RA_35_0_0_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (B A)+D (C+(B A)))"))
)
(instance (rename RA_35_0_0_0_0_6 "RA_35_0_0_0_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (B A)+D (C+(B A)))"))
)
(instance (rename RA_35_0_0_0_0_7 "RA_35_0_0_0_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (B A)+D (C+(B A)))"))
)
(instance (rename RA_35_0_0_0_3 "RA_35_0_0_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (B A)+D (C+(B A)))"))
)
(instance (rename wb_dati_7_0_0_0_1 "wb_dati_7_0_0_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C B)+D (!C A+C (B+A)))"))
)
(instance (rename wb_dati_7_0_0_0_0_7 "wb_dati_7_0_0_0_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C B)+D (!C A+C (B+A)))"))
)
(instance (rename wb_adr_7_i_i_1_0 "wb_adr_7_i_i_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (B !A))+D C)"))
)
(instance un1_CS_0_sqmuxa_0_0_o3_0_RNI9H8R (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C+(B A))+D (!C+(!B+A)))"))
)
(instance (rename S_r_i_0_o2_RNIFNP81_2 "S_r_i_0_o2_RNIFNP81[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B !A)+C (B !A))+D (!C (!B !A)+C !A))"))
)
(instance (rename RA_35_0_0_a3_5 "RA_35_0_0_a3[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance (rename RA_35_i_i_0_a3_0_1 "RA_35_i_i_0_a3_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance (rename RA_35_0_0_a3_0_2 "RA_35_0_0_a3_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance wb_cyc_stb_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B A)+C !B))"))
)
(instance (rename RA_35_0_0_11 "RA_35_0_0[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C A)+D (!C B+C (B+A)))"))
)
(instance un1_CS_0_sqmuxa_0_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C A)+D (!C (!B !A)+C (!B+A)))"))
)
(instance un1_CS_0_sqmuxa_0_0_a3_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (!B A))"))
)
(instance CmdExecMXO2_3_0_a3_0_RNIAJ811 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C (B !A)))"))
)
(instance CKE_7s2_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C+(B+A))"))
)
(instance (rename wb_adr_7_i_i_a3_4_0 "wb_adr_7_i_i_a3_4[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C (!B A)))"))
)
(instance (rename RA_35_0_0_9 "RA_35_0_0[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C+(B A))"))
)
(instance CmdBitbangMXO2_3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B !A)))"))
)
(instance CmdSetRWBankFFChip_3_0_a8_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (!B A)))"))
)
(instance CmdSetRWBankFFLED_4_0_a8_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B !A)))"))
)
(instance Ready3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B A)))"))
)
(instance (rename wb_adr_RNO_1_1 "wb_adr_RNO_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (B !A))+D (C (!B+!A)))"))
)
(instance wb_we_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(C (B !A)))"))
)
(instance (rename wb_dati_7_0_0_0_a3_0_3 "wb_dati_7_0_0_0_a3_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B !A)"))
)
(instance nCAS_s_i_0_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B !A))"))
)
(instance SUM0_i_o2_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C+(!B+!A))"))
)
(instance N_285_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B+A))"))
)
(instance N_369_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B A)+C (!B+!A)))"))
)
(instance (rename S_r_i_0_o2_0_RNI36E21_1 "S_r_i_0_o2_0_RNI36E21[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C !A+C (B !A)))"))
)
(instance (rename RA_35_2_0_a3_10 "RA_35_2_0_a3[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C !A)+D (C (B+!A)))"))
)
(instance (rename RA_35_i_i_0_a3_1 "RA_35_i_i_0_a3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C (B A)+C (B+!A)))"))
)
(instance (rename RA_35_0_0_a3_2 "RA_35_0_0_a3[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C (B A)+C (B+!A)))"))
)
(instance CmdExecMXO2_3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance (rename wb_dati_7_0_0_a3_9_7 "wb_dati_7_0_0_a3_9[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B !A)"))
)
(instance CmdRWMaskSet_3_0_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (!B !A))"))
)
(instance (rename wb_dati_7_0_0_o2_4 "wb_dati_7_0_0_o2[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (B A))+D (!C (B A)+C (!B+!A)))"))
)
(instance (rename wb_dati_7_0_0_o2_0_3 "wb_dati_7_0_0_o2_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B !A)+C (B A))+D (C (!B+!A)))"))
)
(instance CKE_7s2_0_0_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C !A)+D (!C B+C !A))"))
)
(instance (rename BA_4_1 "BA_4[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (B+!A))+D (C B))"))
)
(instance (rename BA_4_0 "BA_4[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (B+!A))+D (C B))"))
)
(instance wb_we_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B+!A))+D (!C+(!B+!A)))"))
)
(instance (rename un1_CmdSetRWBankFFChip13_1_i_0_0_a3_0_0_0 "un1_CmdSetRWBankFFChip13_1_i_0_0_a3_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B !A)+C !B)"))
)
(instance wb_req_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C+(!B+!A)))"))
)
(instance un1_CKE75_0_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B+A)+C (!B !A+B A))+D (!C (!B+!A)+C (B+!A)))"))
)
(instance nRAS_s_i_0_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C (B !A)))"))
)
(instance (rename S_s_0_0_0 "S_s_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C+A)+D (C+(!B+A)))"))
)
(instance CmdLEDGet_3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (!B A)))"))
)
(instance (rename RA_35_0_0_o2_0_5 "RA_35_0_0_o2_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B A)+C (!B !A))+D (!C !A+C (!B !A)))"))
)
(instance un2_S_2_i_0_0_o3_RNIHFHN3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C+B)+D (C+(B+A)))"))
)
(instance (rename RA_35_0_0_0_9 "RA_35_0_0_0[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (B !A)+D (C+(B !A)))"))
)
(instance wb_we_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D C+D (C+(B !A)))"))
)
(instance (rename RA_35_2_0_0_10 "RA_35_2_0_0[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C A+C (B+A))"))
)
(instance (rename RA_35_0_0_0_5 "RA_35_0_0_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C !B+C (!B+!A)))"))
)
(instance (rename un1_RWMask_0_sqmuxa_1_i_0_a3_0_0_0 "un1_RWMask_0_sqmuxa_1_i_0_a3_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance SUM0_i_m3_0_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C+(B+A))"))
)
(instance SUM0_i_m3_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) )
(instance N_507_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C !A)+D (!C (B+!A)+C B))"))
)
(instance N_368_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B A+B !A))"))
)
(instance N_360_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C !A+C (!B !A))"))
)
(instance (rename RA_35_2_0_a3_0_10 "RA_35_2_0_a3_0[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (B !A)+D (!C (B !A)))"))
)
(instance un1_CS_0_sqmuxa_0_0_a3_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B !A)))"))
)
(instance (rename RWBank_3_0_0_0 "RWBank_3_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B+A)+C B)"))
)
(instance (rename RWBank_3_0_0_4 "RWBank_3_0_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B+A)+C B)"))
)
(instance (rename RWBank_3_0_1 "RWBank_3_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B+A)+C B)"))
)
(instance (rename RWBank_3_0_2 "RWBank_3_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B+A)+C B)"))
)
(instance (rename RWBank_3_0_3 "RWBank_3_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B+A)+C B)"))
)
(instance (rename RWBank_3_0_5 "RWBank_3_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B+A)+C B)"))
)
(instance (rename RWBank_3_0_6 "RWBank_3_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B+A)+C B)"))
)
(instance (rename RWBank_3_0_7 "RWBank_3_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C B+C (B+A))"))
)
(instance (rename RA_35_0_0_o2_5 "RA_35_0_0_o2[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B A)+C (B+A))+D (!C A+C (B+A)))"))
)
(instance (rename RA_35_0_0_o2_11 "RA_35_0_0_o2[11]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C+(B A))+D (C+B))"))
)
(instance un1_CS_0_sqmuxa_0_0_o3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(C+(B+A)))"))
)
(instance (rename wb_adr_7_i_i_o2_1_0 "wb_adr_7_i_i_o2_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (!B+!A))+D (!C+(!B+!A)))"))
)
(instance (rename wb_dati_7_0_0_0_o2_3 "wb_dati_7_0_0_0_o2[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (B A))+D (!C (!B !A)+C (!B !A+B A)))"))
)
(instance (rename RC_3_0_0_2 "RC_3_0_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B A)+C !B)"))
)
(instance un1_nDOE_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C+(B+!A))"))
)
(instance LEDEN_RNI6G6M (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C+(!B+!A))"))
)
(instance RDOE_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B A)+C A)"))
)
(instance nRAS_s_i_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C (!B A)))"))
)
(instance (rename RA_35_2_0_a3_3_10 "RA_35_2_0_a3_3[10]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (!B A)))"))
)
(instance SUM0_i_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C !A+C (!B !A)))"))
)
(instance (rename wb_adr_RNO_0_1 "wb_adr_RNO_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C (!B A)))"))
)
(instance RWSel_2_0_a3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B A)))"))
)
(instance (rename un1_CmdSetRWBankFFChip13_1_i_0_0_o3_0 "un1_CmdSetRWBankFFChip13_1_i_0_0_o3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(C+(B+A)))"))
)
(instance nRAS_s_i_0_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(C+(!B A+B !A)))"))
)
(instance CmdLEDGet_3_0_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (B !A))"))
)
(instance un1_CS_0_sqmuxa_0_0_a3_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B A)))"))
)
(instance CKE_7s2_0_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C B+C (B !A))+D (!C B))"))
)
(instance (rename wb_dati_7_0_0_a3_0_0_1 "wb_dati_7_0_0_a3_0_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (B A))"))
)
(instance (rename wb_dati_7_0_0_a3_1_0_6 "wb_dati_7_0_0_a3_1_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B !A)+C (!B A)))"))
)
(instance (rename RWMask_RNO_0 "RWMask_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B !A)+C (!B+!A))"))
)
(instance (rename RWMask_RNO_1 "RWMask_RNO[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B !A)+C (!B+!A))"))
)
(instance (rename RWMask_RNO_2 "RWMask_RNO[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B !A)+C (!B+!A))"))
)
(instance (rename RWMask_RNO_3 "RWMask_RNO[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B !A)+C (!B+!A))"))
)
(instance (rename RWMask_RNO_4 "RWMask_RNO[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B !A)+C (!B+!A))"))
)
(instance (rename RWMask_RNO_5 "RWMask_RNO[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B !A)+C (!B+!A))"))
)
(instance (rename RWMask_RNO_6 "RWMask_RNO[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B !A)+C (!B+!A))"))
)
(instance nCAS_s_i_0_m2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C+A)+D (!C (!B+!A)+C !B))"))
)
(instance (rename wb_adr_RNO_2 "wb_adr_RNO[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance (rename wb_adr_RNO_3 "wb_adr_RNO[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance (rename wb_adr_RNO_7 "wb_adr_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance DQMH_4_iv_0_0_i_i_a3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C+!A)+D (!C (!B !A)+C !B))"))
)
(instance nRAS_s_i_0_a3_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B !A))"))
)
(instance CmdSetRWBankFFChip_3_0_a8_0_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (!B A))"))
)
(instance nRAS_s_i_0_a3_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (!B !A))"))
)
(instance (rename wb_adr_RNO_3_1 "wb_adr_RNO_3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C+(!B+!A))"))
)
(instance SUM1_0_o3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C+(B+A))"))
)
(instance (rename wb_adr_RNO_2_1 "wb_adr_RNO_2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B+!A)+C (B A))"))
)
(instance (rename wb_dati_7_0_0_a3_15_7 "wb_dati_7_0_0_a3_15[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B !A))"))
)
(instance nRAS_s_i_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B+!A)+C !A)"))
)
(instance CKE_7s2_0_0_a2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B !A))"))
)
(instance N_263_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance RA_35_2_30_a3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C (B A)))"))
)
(instance un9_VOEEN_0_a2_0_a3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C (!B A)))"))
)
(instance Vout3_0_a3_0_a3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (B !A)))"))
)
(instance (rename RWBank_3_0_0_o3_0 "RWBank_3_0_0_o3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C+B)+D (C+(B+A)))"))
)
(instance un1_CS_0_sqmuxa_0_0_a3_5_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (B !A))"))
)
(instance wb_reqc_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(C+(B+A)))"))
)
(instance (rename un1_CmdSetRWBankFFChip13_1_i_0_0_o3_3_0 "un1_CmdSetRWBankFFChip13_1_i_0_0_o3_3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(C+(B+A)))"))
)
(instance (rename wb_adr_RNO_4_1 "wb_adr_RNO_4[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B A))"))
)
(instance Ready3_0_a3_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B A)))"))
)
(instance Ready3_0_a3_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B A)))"))
)
(instance Ready3_0_a3_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B A)))"))
)
(instance (rename wb_adr_7_i_i_a3_2_0_0 "wb_adr_7_i_i_a3_2_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B A))"))
)
(instance (rename wb_dati_7_0_0_0_a3_0_0 "wb_dati_7_0_0_0_a3_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (B A))+D (C (!B A)))"))
)
(instance (rename wb_adr_RNO_6 "wb_adr_RNO[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C !B+C A)"))
)
(instance (rename wb_adr_RNO_5 "wb_adr_RNO[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C !B+C A)"))
)
(instance (rename wb_adr_RNO_4 "wb_adr_RNO[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C !B+C A)"))
)
(instance LEDEN_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B A)+C (!B+A))"))
)
(instance (rename RWMask_RNO_7 "RWMask_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B A)+C (!B+A))"))
)
(instance nRAS_s_i_0_m3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B+A)+C (B A))"))
)
(instance wb_we_7_iv_0_0_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance (rename RDout_i_i_a3_4 "RDout_i_i_a3[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance (rename RDout_i_0_i_a3_7 "RDout_i_0_i_a3[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance (rename RDout_i_0_i_a3_6 "RDout_i_0_i_a3[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance (rename RDout_i_0_i_a3_5 "RDout_i_0_i_a3[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance (rename RDout_i_0_i_a3_2 "RDout_i_0_i_a3[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance (rename RDout_i_0_i_a3_1 "RDout_i_0_i_a3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance (rename RDout_i_0_i_a3_0 "RDout_i_0_i_a3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance (rename CmdTout_3_0_a3_0_a3_0 "CmdTout_3_0_a3_0_a3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B !A)"))
)
(instance wb_rst8_0_a3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B !A)"))
)
(instance wb_we_7_iv_0_0_0_a3_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B !A)"))
)
(instance (rename S_r_i_0_o2_1 "S_r_i_0_o2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B+A)"))
)
(instance CmdSetRWBankFFChip_3_0_a8_0_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B+!A)"))
)
(instance SUM0_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B+!A)"))
)
(instance (rename S_r_i_0_o2_2 "S_r_i_0_o2[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B+!A)"))
)
(instance un1_CS_0_sqmuxa_0_0_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B+A)"))
)
(instance (rename S_r_i_0_o2_0_1 "S_r_i_0_o2_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B+!A)"))
)
(instance (rename wb_dati_7_0_0_o2_0_7 "wb_dati_7_0_0_o2_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B+!A)"))
)
(instance (rename wb_dati_7_0_0_0_o2_7 "wb_dati_7_0_0_0_o2[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B+A)"))
)
(instance (rename wb_dati_7_0_0_a3_13_7 "wb_dati_7_0_0_a3_13[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B A)"))
)
(instance un2_S_2_i_0_0_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B+A)"))
)
(instance CKE_7_m1_0_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B+A)"))
)
(instance (rename RC_3_0_0_a3_1_1 "RC_3_0_0_a3_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B !A)"))
)
(instance (rename wb_dati_7_0_0_a3_4_1_0_7 "wb_dati_7_0_0_a3_4_1_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B !A)"))
)
(instance CmdSetRWBankFFChip_3_0_a8_0_o3_RNIGCV91 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(C+(B+!A)))"))
)
(instance (rename wb_dati_7_0_0_a3_2_3 "wb_dati_7_0_0_a3_2[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (B A))"))
)
(instance (rename wb_dati_7_0_0_o3_0_2 "wb_dati_7_0_0_o3_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D B+D (!C B+C (B+A)))"))
)
(instance CKE_7_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B+!A)+C !A)"))
)
(instance nRWE_s_i_0_63_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C+B)+D (!C+(B !A)))"))
)
(instance (rename S_r_i_0_o2_RNI62C53_1 "S_r_i_0_o2_RNI62C53[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B !A)))"))
)
(instance (rename wb_adr_7_i_i_3_1_0 "wb_adr_7_i_i_3_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B !A)+C A)+D (!C (!B !A)))"))
)
(instance (rename wb_adr_7_i_i_3_0 "wb_adr_7_i_i_3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (!B !A+B A)))"))
)
(instance (rename RA_35_0_0_1_0 "RA_35_0_0_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!B+!A)+D (!C (!B+!A)))"))
)
(instance (rename RA_35_0_0_0 "RA_35_0_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D+(!C B+C (B+A)))"))
)
(instance CmdSetRWBankFFChip_3_0_a8_0_a3_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C (B A)))"))
)
(instance wb_we_7_iv_0_0_0_a3_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B A)))"))
)
(instance CmdExecMXO2_3_0_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (!B A)))"))
)
(instance CmdBitbangMXO2_3_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (!B !A)))"))
)
(instance SUM0_i_m3_0_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C+(!B+A))"))
)
(instance CmdBitbangMXO2_3_0_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (!B A)))"))
)
(instance CmdLEDSet_3_0_a8_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (B A)))"))
)
(instance CmdRWMaskSet_3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (!B A)))"))
)
(instance CmdLEDGet_3_0_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B A))"))
)
(instance nRAS_s_i_0_a3_8 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B !A)))"))
)
(instance nRAS_s_i_0_a3_0_RNIIR094 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!B !A)+D (!C (!B !A)))"))
)
(instance (rename un1_wb_cyc_stb_0_sqmuxa_1_i_0_0_a2_0 "un1_wb_cyc_stb_0_sqmuxa_1_i_0_0_a2[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B A)))"))
)
(instance SUM2_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C+(!B+!A))"))
)
(instance (rename RA_35_0_0_a3_4_7 "RA_35_0_0_a3_4[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (B !A))"))
)
(instance (rename wb_dati_7_0_0_a3_7_3 "wb_dati_7_0_0_a3_7[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B !A))"))
)
(instance (rename wb_dati_7_0_0_a3_12_7 "wb_dati_7_0_0_a3_12[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B !A))"))
)
(instance (rename wb_dati_7_0_0_a3_14_7 "wb_dati_7_0_0_a3_14[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (!B A)))"))
)
(instance (rename wb_dati_7_0_0_a3_10_7 "wb_dati_7_0_0_a3_10[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (!B A)))"))
)
(instance ufmefb (viewRef netlist (cellRef REFB))
)
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(net (rename S_0 "S[0]") (joined
(portRef (member s 3))
(portRef B (instanceRef un1_wb_cyc_stb_0_sqmuxa_1_i_0_0_a2_0))
(portRef A (instanceRef S_r_i_0_o2_2))
(portRef A (instanceRef S_r_i_0_o2_1))
(portRef B (instanceRef wb_reqc_1_0))
(portRef A (instanceRef Vout3_0_a3_0_a3_0_a3))
(portRef A (instanceRef un9_VOEEN_0_a2_0_a3_0_a3))
(portRef B (instanceRef RA_35_2_30_a3_2))
(portRef B (instanceRef nRAS_s_i_0_a3_6))
(portRef C (instanceRef DQMH_4_iv_0_0_i_i_a3_0_a3))
(portRef B (instanceRef CKE_7s2_0_0_0))
(portRef B (instanceRef RA_35_2_0_a3_3_10))
(portRef A (instanceRef RA_35_0_0_o2_11))
(portRef A (instanceRef RA_35_0_0_o2_5))
(portRef C (instanceRef N_507_i))
(portRef A (instanceRef RA_35_0_0_o2_0_5))
(portRef A (instanceRef un1_CKE75_0_i_0))
(portRef D (instanceRef BA_4_0))
(portRef D (instanceRef BA_4_1))
(portRef D (instanceRef nCAS_s_i_0_a3))
(portRef B (instanceRef CKE_7_RNIS77M1))
(portRef B (instanceRef wb_req_RNO_0))
(portRef C (instanceRef RA_35_2_0_RNO_10))
(portRef B (instanceRef N_201_i))
(portRef B (instanceRef N_225_i))
(portRef D (instanceRef nRAS_s_i_0_a3_5_RNIH7J73_0))
(portRef B (instanceRef CKE_7_m1_0_0_o2_RNICM8E1))
(portRef C (instanceRef nRAS_s_i_0_a3_5_RNIH7J73))
(portRef D (instanceRef N_314_i_i_o3))
(portRef B (instanceRef CKE_7_m1_0_0_o2_RNIGC501))
(portRef A (instanceRef CKE_7_m1_0_0_o2_RNI7FOA1))
(portRef A (instanceRef S_s_0_0_RNO_0))
(portRef D (instanceRef RA_35_2_0_a3_5_10))
(portRef A (instanceRef nRAS_s_i_0_a3_4))
(portRef A (instanceRef wb_rst16_i_i_i_o3))
))
(net (rename SZ0Z_1 "S[1]") (joined
(portRef (member s 2))
(portRef C (instanceRef un1_wb_cyc_stb_0_sqmuxa_1_i_0_0_a2_0))
(portRef A (instanceRef un2_S_2_i_0_0_o3))
(portRef B (instanceRef S_r_i_0_o2_2))
(portRef B (instanceRef S_r_i_0_o2_1))
(portRef C (instanceRef wb_reqc_1_0))
(portRef B (instanceRef Vout3_0_a3_0_a3_0_a3))
(portRef B (instanceRef un9_VOEEN_0_a2_0_a3_0_a3))
(portRef A (instanceRef CKE_7s2_0_0_a2_1))
(portRef C (instanceRef nRAS_s_i_0_a3_6))
(portRef D (instanceRef nRAS_s_i_0_o2_0))
(portRef C (instanceRef RA_35_2_0_a3_3_10))
(portRef B (instanceRef RA_35_0_0_o2_11))
(portRef B (instanceRef RA_35_0_0_o2_5))
(portRef B (instanceRef RA_35_0_0_o2_0_5))
(portRef D (instanceRef S_s_0_0_0))
(portRef B (instanceRef un1_CKE75_0_i_0))
(portRef C (instanceRef CKE_7s2_0_0_o3))
(portRef B (instanceRef CKE_7_am))
(portRef C (instanceRef CKE_7_RNIS77M1))
(portRef C (instanceRef wb_req_RNO_0))
(portRef D (instanceRef N_201_i))
(portRef D (instanceRef N_225_i))
(portRef C (instanceRef nRAS_s_i_0_a3_5_RNIH7J73_0))
(portRef C (instanceRef CKE_7_m1_0_0_o2_RNICM8E1))
(portRef B (instanceRef nRAS_s_i_0_a3_5_RNIH7J73))
(portRef C (instanceRef N_314_i_i_o3))
(portRef B (instanceRef CKE_7_m1_0_0_o2_RNI7FOA1))
(portRef B (instanceRef S_s_0_0_RNO_0))
(portRef C (instanceRef RA_35_2_0_a3_5_10))
(portRef A (instanceRef un1_RC12_i_0_o3))
(portRef B (instanceRef wb_rst16_i_i_i_o3))
))
(net (rename S_3 "S[3]") (joined
(portRef (member s 0))
(portRef C (instanceRef S_r_i_0_o2_RNI62C53_1))
(portRef B (instanceRef CKE_7_m1_0_0_o2))
(portRef B (instanceRef S_r_i_0_o2_0_1))
(portRef B (instanceRef RWMask_RNO_7))
(portRef B (instanceRef LEDEN_RNO))
(portRef D (instanceRef wb_reqc_1_0))
(portRef D (instanceRef Vout3_0_a3_0_a3_0_a3))
(portRef D (instanceRef un9_VOEEN_0_a2_0_a3_0_a3))
(portRef D (instanceRef RA_35_2_30_a3_2))
(portRef C (instanceRef CKE_7s2_0_0_a2_1))
(portRef A (instanceRef nRAS_s_i_0_o2))
(portRef B (instanceRef nRAS_s_i_0_a3_5))
(portRef D (instanceRef DQMH_4_iv_0_0_i_i_a3_0_a3))
(portRef B (instanceRef RWMask_RNO_6))
(portRef B (instanceRef RWMask_RNO_5))
(portRef B (instanceRef RWMask_RNO_4))
(portRef B (instanceRef RWMask_RNO_3))
(portRef B (instanceRef RWMask_RNO_2))
(portRef B (instanceRef RWMask_RNO_1))
(portRef B (instanceRef RWMask_RNO_0))
(portRef C (instanceRef CKE_7s2_0_0_0))
(portRef D (instanceRef RA_35_0_0_o2_11))
(portRef D (instanceRef RA_35_0_0_o2_5))
(portRef C (instanceRef RA_35_2_0_a3_0_10))
(portRef D (instanceRef N_507_i))
(portRef D (instanceRef RA_35_0_0_o2_0_5))
(portRef D (instanceRef un1_CKE75_0_i_0))
(portRef D (instanceRef S_r_i_0_o2_RNIFNP81_2))
(portRef B (instanceRef wb_cyc_stb_RNO))
(portRef A (instanceRef S_r_i_0_o2_RNIBAU51_1))
(portRef A (instanceRef S_r_i_0_o2_RNIFNP81_0_2))
(portRef B (instanceRef S_r_i_0_o2_RNIOGTF1_1))
(portRef A (instanceRef N_201_i))
(portRef A (instanceRef N_225_i))
(portRef B (instanceRef S_r_i_0_o2_RNIP4KI1_1))
(portRef A (instanceRef N_314_i_i_o3))
(portRef A (instanceRef RA_35_2_0_a3_5_10))
(portRef B (instanceRef un1_RC12_i_0_o3))
(portRef B (instanceRef nRAS_s_i_0_a3_4))
(portRef C (instanceRef wb_rst16_i_i_i_o3))
))
(net (rename S_2 "S[2]") (joined
(portRef (member s 1))
(portRef A (instanceRef CKE_7_m1_0_0_o2))
(portRef B (instanceRef un2_S_2_i_0_0_o3))
(portRef A (instanceRef S_r_i_0_o2_0_1))
(portRef B (instanceRef wb_we_7_iv_0_0_0_a3_6))
(portRef B (instanceRef wb_we_7_iv_0_0_0_a3_1))
(portRef C (instanceRef wb_adr_RNO_4))
(portRef C (instanceRef wb_adr_RNO_5))
(portRef C (instanceRef wb_adr_RNO_6))
(portRef C (instanceRef Vout3_0_a3_0_a3_0_a3))
(portRef C (instanceRef un9_VOEEN_0_a2_0_a3_0_a3))
(portRef C (instanceRef RA_35_2_30_a3_2))
(portRef B (instanceRef CKE_7s2_0_0_a2_1))
(portRef A (instanceRef nRAS_s_i_0_a3_5))
(portRef B (instanceRef wb_adr_RNO_7))
(portRef B (instanceRef wb_adr_RNO_3))
(portRef B (instanceRef wb_adr_RNO_2))
(portRef B (instanceRef nRAS_s_i_0_a3_1))
(portRef C (instanceRef RA_35_0_0_o2_11))
(portRef C (instanceRef RA_35_0_0_o2_5))
(portRef B (instanceRef RA_35_2_0_a3_0_10))
(portRef C (instanceRef RA_35_0_0_o2_0_5))
(portRef D (instanceRef nRAS_s_i_0_a3_0))
(portRef C (instanceRef un1_CKE75_0_i_0))
(portRef D (instanceRef RA_35_2_0_a3_10))
(portRef C (instanceRef S_r_i_0_o2_RNIFNP81_2))
(portRef B (instanceRef wb_dati_7_0_0_0_0_7))
(portRef B (instanceRef wb_dati_7_0_0_0_1))
(portRef C (instanceRef wb_adr_RNO_1))
(portRef C (instanceRef wb_dati_7_0_0_0_4))
(portRef B (instanceRef wb_dati_7_0_0_0_6))
(portRef B (instanceRef wb_dati_7_0_0_0_0_3))
(portRef B (instanceRef wb_dati_7_0_0_0_0))
(portRef B (instanceRef wb_dati_7_0_0_2))
(portRef B (instanceRef wb_dati_7_0_0_5))
(portRef CD (instanceRef wb_req))
(portRef B (instanceRef S_r_i_0_o2_RNIBAU51_1))
(portRef D (instanceRef S_r_i_0_o2_RNIFNP81_0_2))
(portRef C (instanceRef S_r_i_0_o2_RNIOGTF1_1))
(portRef C (instanceRef N_201_i))
(portRef C (instanceRef N_225_i))
(portRef C (instanceRef S_r_i_0_o2_RNIP4KI1_1))
(portRef B (instanceRef N_314_i_i_o3))
(portRef B (instanceRef RA_35_2_0_a3_5_10))
(portRef C (instanceRef un1_RC12_i_0_o3))
(portRef C (instanceRef nRAS_s_i_0_a3_4))
(portRef D (instanceRef wb_rst16_i_i_i_o3))
))
(net wb_rst16_i (joined
(portRef Z (instanceRef wb_rst16_i_i_i_o3))
(portRef C (instanceRef N_285_i))
(portRef C (instanceRef nCAS_s_i_0_a3_0))
(portRef CD (instanceRef wb_rst))
))
(net N_876 (joined
(portRef Z (instanceRef wb_dati_7_0_0_a3_15_7))
(portRef C (instanceRef wb_dati_7_0_0_RNO_0_7))
(portRef B (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0_RNO_0))
(portRef A (instanceRef wb_dati_7_0_0_0_RNO_7))
))
(net N_807 (joined
(portRef Z (instanceRef wb_dati_7_0_0_a3_12_7))
(portRef C (instanceRef wb_dati_7_0_0_a3_2_3))
(portRef C (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0_0))
(portRef B (instanceRef wb_dati_7_0_0_0_RNO_7))
))
(net (rename FS_13 "FS[13]") (joined
(portRef (member fs 2))
(portRef D (instanceRef wb_dati_7_0_0_a3_10_7))
(portRef A (instanceRef wb_dati_7_0_0_a3_7_3))
(portRef B (instanceRef wb_dati_7_0_0_0_o2_7))
(portRef D (instanceRef wb_dati_7_0_0_0_a3_0_0))
(portRef D (instanceRef Ready3_0_a3_5))
(portRef C (instanceRef wb_adr_RNO_4_1))
(portRef B (instanceRef wb_dati_7_0_0_a3_0_0_1))
(portRef B (instanceRef wb_dati_7_0_0_0_o2_3))
(portRef D (instanceRef wb_adr_7_i_i_o2_1_0))
(portRef C (instanceRef wb_req_RNO))
(portRef A (instanceRef wb_we_RNO))
(portRef A (instanceRef RA_35_0_0_0_6))
(portRef B (instanceRef wb_dati_7_0_0_0_a3_7))
(portRef B (instanceRef wb_adr_7_i_i_5_0))
(portRef C (instanceRef wb_dati_7_0_0_a3_13_RNI81UL_7))
(portRef C (instanceRef wb_dati_7_0_0_0_0_RNO_7))
(portRef C (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0_RNO_0))
(portRef C (instanceRef wb_dati_7_0_0_0_RNO_7))
))
(net (rename FS_12 "FS[12]") (joined
(portRef (member fs 3))
(portRef A (instanceRef wb_dati_7_0_0_a3_10_7))
(portRef D (instanceRef wb_adr_7_i_i_3_1_0))
(portRef A (instanceRef wb_dati_7_0_0_a3_2_3))
(portRef A (instanceRef wb_dati_7_0_0_0_o2_7))
(portRef C (instanceRef wb_dati_7_0_0_0_a3_0_0))
(portRef C (instanceRef Ready3_0_a3_5))
(portRef B (instanceRef wb_adr_RNO_4_1))
(portRef C (instanceRef wb_dati_7_0_0_a3_1_0_6))
(portRef A (instanceRef wb_dati_7_0_0_a3_0_0_1))
(portRef B (instanceRef wb_adr_RNO_0_1))
(portRef C (instanceRef RA_35_0_0_0_5))
(portRef B (instanceRef wb_req_RNO))
(portRef D (instanceRef wb_we_RNO_1))
(portRef A (instanceRef wb_dati_7_0_0_0_a3_0_3))
(portRef A (instanceRef wb_we_RNO_2))
(portRef C (instanceRef wb_adr_7_i_i_a3_4_0))
(portRef A (instanceRef wb_adr_7_i_i_1_0))
(portRef A (instanceRef wb_dati_7_0_0_a3_4))
(portRef A (instanceRef wb_dati_7_0_0_0_a3_7))
(portRef D (instanceRef wb_dati_7_0_0_a3_13_RNI81UL_7))
(portRef D (instanceRef wb_dati_7_0_0_0_0_RNO_7))
(portRef D (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0_RNO_0))
(portRef D (instanceRef wb_dati_7_0_0_0_RNO_7))
))
(net N_604 (joined
(portRef Z (instanceRef wb_dati_7_0_0_0_RNO_7))
(portRef B (instanceRef wb_dati_7_0_0_0_7))
))
(net N_784 (joined
(portRef Z (instanceRef nRAS_s_i_0_a3_4))
(portRef B (instanceRef RA_35_0_0_a3_4_7))
(portRef D (instanceRef RA_35_0_0_0_5))
(portRef B (instanceRef RA_35_0_0_0_9))
(portRef C (instanceRef nRAS_s_i_0_0))
(portRef C (instanceRef RA_35_0_0_RNO_0))
))
(net N_560 (joined
(portRef Z (instanceRef un1_RC12_i_0_o3))
(portRef B (instanceRef BA_4_0))
(portRef B (instanceRef BA_4_1))
))
(net (rename FS_11 "FS[11]") (joined
(portRef (member fs 4))
(portRef B (instanceRef wb_we_7_iv_0_0_0_a3_7))
(portRef C (instanceRef wb_adr_7_i_i_3_1_0))
(portRef B (instanceRef wb_dati_7_0_0_a3_4_1_0_7))
(portRef B (instanceRef wb_dati_7_0_0_a3_13_7))
(portRef B (instanceRef wb_dati_7_0_0_0_a3_0_0))
(portRef C (instanceRef wb_adr_7_i_i_a3_2_0_0))
(portRef C (instanceRef wb_dati_7_0_0_a3_15_7))
(portRef C (instanceRef wb_adr_RNO_3_1))
(portRef B (instanceRef wb_dati_7_0_0_a3_1_0_6))
(portRef A (instanceRef wb_adr_RNO_0_1))
(portRef C (instanceRef wb_adr_7_i_i_o2_1_0))
(portRef A (instanceRef wb_we_RNO_3))
(portRef A (instanceRef wb_req_RNO))
(portRef D (instanceRef wb_dati_7_0_0_o2_0_3))
(portRef D (instanceRef wb_dati_7_0_0_o2_4))
(portRef C (instanceRef wb_dati_7_0_0_a3_2_4))
(portRef A (instanceRef RA_35_0_0_4))
(portRef B (instanceRef wb_adr_7_i_i_a3_6_0))
(portRef A (instanceRef wb_adr_7_i_i_5_0))
(portRef B (instanceRef wb_dati_7_0_0_a3_8_3))
(portRef A (instanceRef wb_dati_7_0_0_a3_3_4))
))
(net (rename FS_10 "FS[10]") (joined
(portRef (member fs 5))
(portRef A (instanceRef wb_we_7_iv_0_0_0_a3_7))
(portRef B (instanceRef wb_adr_7_i_i_3_1_0))
(portRef A (instanceRef wb_dati_7_0_0_a3_4_1_0_7))
(portRef A (instanceRef wb_dati_7_0_0_a3_13_7))
(portRef A (instanceRef wb_dati_7_0_0_0_a3_0_0))
(portRef B (instanceRef wb_adr_7_i_i_a3_2_0_0))
(portRef A (instanceRef wb_adr_RNO_4_1))
(portRef B (instanceRef wb_dati_7_0_0_a3_15_7))
(portRef C (instanceRef wb_adr_RNO_2_1))
(portRef A (instanceRef wb_dati_7_0_0_a3_1_0_6))
(portRef C (instanceRef wb_we_RNO_1))
(portRef C (instanceRef wb_dati_7_0_0_o2_0_3))
(portRef C (instanceRef wb_dati_7_0_0_o2_4))
(portRef B (instanceRef wb_adr_7_i_i_a3_4_0))
(portRef A (instanceRef RA_35_0_0_3))
(portRef A (instanceRef wb_adr_7_i_i_0))
(portRef A (instanceRef wb_dati_7_0_0_a3_8_3))
(portRef B (instanceRef wb_dati_7_0_0_a3_3_4))
))
(net (rename FS_9 "FS[9]") (joined
(portRef (member fs 6))
(portRef A (instanceRef wb_dati_7_0_0_a3_14_7))
(portRef C (instanceRef wb_we_7_iv_0_0_0_a3_7))
(portRef B (instanceRef wb_adr_7_i_i_3_0))
(portRef B (instanceRef wb_dati_7_0_0_o2_0_7))
(portRef A (instanceRef wb_dati_7_0_0_a3_15_7))
(portRef B (instanceRef wb_adr_RNO_2_1))
(portRef B (instanceRef wb_adr_RNO_3_1))
(portRef A (instanceRef wb_dati_7_0_0_0_o2_3))
(portRef B (instanceRef wb_adr_7_i_i_o2_1_0))
(portRef B (instanceRef wb_we_RNO_1))
(portRef B (instanceRef wb_dati_7_0_0_o2_0_3))
(portRef B (instanceRef wb_dati_7_0_0_o2_4))
(portRef C (instanceRef RA_35_0_0_a3_2))
(portRef A (instanceRef wb_adr_7_i_i_a3_4_0))
(portRef B (instanceRef wb_dati_7_0_0_a3_2_4))
(portRef A (instanceRef wb_adr_7_i_i_a3_6_0))
(portRef C (instanceRef wb_dati_7_0_0_a3_8_3))
(portRef C (instanceRef wb_dati_7_0_0_a3_3_4))
))
(net (rename FS_8 "FS[8]") (joined
(portRef (member fs 7))
(portRef D (instanceRef wb_dati_7_0_0_a3_14_7))
(portRef A (instanceRef wb_dati_7_0_0_a3_12_7))
(portRef D (instanceRef wb_we_7_iv_0_0_0_a3_7))
(portRef A (instanceRef wb_adr_7_i_i_3_0))
(portRef A (instanceRef wb_adr_7_i_i_3_1_0))
(portRef A (instanceRef wb_dati_7_0_0_o2_0_7))
(portRef A (instanceRef wb_adr_7_i_i_a3_2_0_0))
(portRef A (instanceRef wb_adr_RNO_2_1))
(portRef A (instanceRef wb_adr_RNO_3_1))
(portRef A (instanceRef wb_adr_7_i_i_o2_1_0))
(portRef A (instanceRef wb_we_RNO_1))
(portRef A (instanceRef wb_dati_7_0_0_o2_0_3))
(portRef A (instanceRef wb_dati_7_0_0_o2_4))
(portRef C (instanceRef RA_35_i_i_0_a3_1))
(portRef A (instanceRef wb_dati_7_0_0_a3_2_4))
(portRef D (instanceRef wb_dati_7_0_0_a3_8_3))
(portRef D (instanceRef wb_dati_7_0_0_a3_3_4))
))
(net N_873 (joined
(portRef Z (instanceRef wb_dati_7_0_0_a3_3_4))
(portRef D (instanceRef wb_dati_7_0_0_o3_0_2))
(portRef C (instanceRef wb_dati_7_0_0_a3_0_0_1))
(portRef B (instanceRef wb_dati_7_0_0_0_4))
))
(net N_845 (joined
(portRef Z (instanceRef RA_35_2_0_a3_5_10))
(portRef B (instanceRef RA_35_2_0_0_10))
(portRef C (instanceRef RA_35_0_0_0_9))
(portRef B (instanceRef RA_35_0_0_11))
))
(net wb_ack (joined
(portRef wb_ack (instanceRef ufmefb))
(portRef B (instanceRef un1_RWMask_0_sqmuxa_1_i_0_a3_0_0_0))
(portRef D (instanceRef wb_cyc_stb_RNO_0))
(portRef D (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_0_0))
(portRef D (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_0))
(portRef A (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0_RNO_0))
))
(net (rename un1_LEDEN_0_sqmuxa_1_i_0_a3_0_1_0 "un1_LEDEN_0_sqmuxa_1_i_0_a3_0_1[0]") (joined
(portRef Z (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0_RNO_0))
(portRef D (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0_0))
))
(net N_206 (joined
(portRef Z (instanceRef wb_dati_7_0_0_o2_0_7))
(portRef D (instanceRef wb_dati_7_0_0_a3_1_0_6))
(portRef A (instanceRef wb_dati_7_0_0_RNO_0_7))
(portRef A (instanceRef wb_dati_7_0_0_0_0_RNO_7))
))
(net N_811 (joined
(portRef Z (instanceRef wb_dati_7_0_0_a3_13_7))
(portRef C (instanceRef wb_dati_7_0_0_0_o2_3))
(portRef C (instanceRef wb_dati_7_0_0_0_a3_7))
(portRef B (instanceRef wb_dati_7_0_0_a3_13_RNI81UL_7))
(portRef B (instanceRef wb_dati_7_0_0_0_0_RNO_7))
))
(net (rename wb_dati_7_0_0_a3_8_0_7 "wb_dati_7_0_0_a3_8_0[7]") (joined
(portRef Z (instanceRef wb_dati_7_0_0_0_0_RNO_7))
(portRef D (instanceRef wb_dati_7_0_0_0_0_7))
))
(net N_551 (joined
(portRef Z (instanceRef CKE_7_m1_0_0_o2))
(portRef D (instanceRef un1_wb_cyc_stb_0_sqmuxa_1_i_0_0_a2_0))
(portRef A (instanceRef CKE_7s2_0_0_o3))
(portRef D (instanceRef CKE_7_RNIS77M1))
(portRef D (instanceRef wb_req_RNO_0))
(portRef D (instanceRef RA_35_2_0_RNO_10))
(portRef D (instanceRef CKE_7_m1_0_0_o2_RNICM8E1))
(portRef C (instanceRef CKE_7_m1_0_0_o2_RNIGC501))
(portRef C (instanceRef CKE_7_m1_0_0_o2_RNI7FOA1))
(portRef C (instanceRef S_s_0_0_RNO_0))
(portRef N_551)
))
(net N_643 (joined
(portRef Z (instanceRef S_s_0_0_RNO_0))
(portRef C (instanceRef S_s_0_0_0))
))
(net RC12 (joined
(portRef Z (instanceRef CKE_7_m1_0_0_o2_RNI7FOA1))
(portRef RC12)
))
(net (rename FS_4 "FS[4]") (joined
(portRef (member fs 11))
(portRef C (instanceRef RA_35_0_0_a3_4_7))
(portRef D (instanceRef nRWE_s_i_0_63_1))
(portRef B (instanceRef Ready3_0_a3_4))
(portRef D (instanceRef nCAS_s_i_0_m2))
(portRef B (instanceRef nRAS_s_i_0_o2_0))
(portRef A (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_o3_0))
(portRef B (instanceRef RA_35_0_0_0_5))
(portRef A (instanceRef RA_35_0_0_0_9))
(portRef A (instanceRef BA_4_0))
(portRef A (instanceRef BA_4_1))
(portRef A (instanceRef RA_35_2_0_RNO_10))
(portRef D (instanceRef RA_35_0_0_RNO_0))
(portRef A (instanceRef CKE_7_m1_0_0_o2_RNICM8E1))
(portRef A (instanceRef CKE_7_m1_0_0_o2_RNIGC501))
))
(net N_792 (joined
(portRef Z (instanceRef CKE_7_m1_0_0_o2_RNIGC501))
(portRef D (instanceRef RA_35_0_0_a3_2))
(portRef D (instanceRef RA_35_i_i_0_a3_1))
))
(net (rename RC_1 "RC[1]") (joined
(portRef (member rc 1))
(portRef A (instanceRef nRAS_s_i_0_a3_8))
(portRef C (instanceRef CKE_7_bm))
(portRef B (instanceRef RC_3_0_0_2))
(portRef B (instanceRef N_360_i))
(portRef A (instanceRef RC_3_0_0_1))
))
(net CO0_1 (joined
(portRef CO0_1)
(portRef D (instanceRef nRAS_s_i_0_a3_8))
(portRef A (instanceRef RC_3_0_0_a3_1_1))
(portRef A (instanceRef RC_3_0_0_2))
(portRef A (instanceRef N_360_i))
(portRef B (instanceRef RC_3_0_0_1))
))
(net (rename RC_2 "RC[2]") (joined
(portRef (member rc 0))
(portRef C (instanceRef nRAS_s_i_0_a3_8))
(portRef B (instanceRef RC_3_0_0_a3_1_1))
(portRef C (instanceRef RC_3_0_0_2))
(portRef C (instanceRef N_360_i))
(portRef C (instanceRef RC_3_0_0_1))
))
(net (rename RC_3_1 "RC_3[1]") (joined
(portRef Z (instanceRef RC_3_0_0_1))
(portRef (member rc_3 1))
))
(net N_185 (joined
(portRef Z (instanceRef N_314_i_i_o3))
(portRef C (instanceRef SUM2_0_o2))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_0_RNIVQNQ2))
(portRef B (instanceRef N_187_i))
(portRef D (instanceRef wb_we_RNO_0))
(portRef D (instanceRef CmdBitbangMXO2_RNINSM62))
))
(net N_804 (joined
(portRef Z (instanceRef nRAS_s_i_0_a3_5))
(portRef D (instanceRef nRAS_s_i_0_a3_0_RNIIR094))
(portRef A (instanceRef RA_35_2_0_a3_3_10))
(portRef B (instanceRef CKE_7s2_0_0_o3))
(portRef A (instanceRef CKE_7_am))
(portRef A (instanceRef nRAS_s_i_0_a3_5_RNIH7J73_0))
(portRef A (instanceRef nRAS_s_i_0_a3_5_RNIH7J73))
))
(net N_285_i (joined
(portRef Z (instanceRef N_285_i))
(portRef A (instanceRef S_r_i_0_o2_RNI62C53_1))
(portRef A (instanceRef nRAS_s_i_0_a3_6))
(portRef A (instanceRef nRAS_s_i_0_a3_0))
(portRef A (instanceRef nCAS_s_i_0_a3_0))
(portRef A (instanceRef nCAS_s_i_0_a3))
(portRef A (instanceRef nRAS_s_i_0_0))
(portRef B (instanceRef nRAS_s_i_0_a3_5_RNIH7J73_0))
(portRef D (instanceRef nRAS_s_i_0_a3_5_RNIH7J73))
))
(net N_872 (joined
(portRef Z (instanceRef nRAS_s_i_0_a3_5_RNIH7J73))
(portRef C (instanceRef nCAS_s_i_0_a3_RNIO1UQ3))
))
(net N_849 (joined
(portRef Z (instanceRef wb_dati_7_0_0_a3_8_3))
(portRef C (instanceRef wb_dati_7_0_0_0_3))
(portRef C (instanceRef wb_dati_7_0_0_1))
(portRef C (instanceRef wb_dati_7_0_0_6))
))
(net BA_0_sqmuxa (joined
(portRef Z (instanceRef CKE_7_m1_0_0_o2_RNICM8E1))
(portRef BA_0_sqmuxa)
))
(net (rename RWBank_1 "RWBank[1]") (joined
(portRef (member rwbank 6))
(portRef A (instanceRef S_r_i_0_o2_RNIP4KI1_1))
))
(net (rename S_r_i_0_o2_1 "S_r_i_0_o2[1]") (joined
(portRef Z (instanceRef S_r_i_0_o2_1))
(portRef B (instanceRef S_r_i_0_o2_RNI62C53_1))
(portRef A (instanceRef RA_35_2_0_a3_0_10))
(portRef C (instanceRef nRAS_s_i_0_a3_0))
(portRef B (instanceRef RA_35_2_0_a3_10))
(portRef D (instanceRef S_r_i_0_o2_0_RNI36E21_1))
(portRef C (instanceRef S_r_i_0_o2_RNIBAU51_1))
(portRef D (instanceRef S_r_i_0_o2_RNIOGTF1_1))
(portRef D (instanceRef S_r_i_0_o2_RNIP4KI1_1))
))
(net N_699 (joined
(portRef Z (instanceRef S_r_i_0_o2_RNIP4KI1_1))
(portRef C (instanceRef un2_S_2_i_0_0_o3_RNIHFHN3))
))
(net N_617 (joined
(portRef Z (instanceRef nRAS_s_i_0_a3_5_RNIH7J73_0))
(portRef C (instanceRef nRAS_s_i_0_0_RNI0PC64))
))
(net (rename N_225_i_1z "N_225_i") (joined
(portRef Z (instanceRef N_225_i))
(portRef N_225_i_1z)
))
(net (rename N_201_i_1z "N_201_i") (joined
(portRef Z (instanceRef N_201_i))
(portRef N_201_i_1z)
))
(net RWSel (joined
(portRef RWSel)
(portRef B (instanceRef SUM2_0_o2))
(portRef B (instanceRef CmdTout_3_0_a3_0_a3_0))
(portRef D (instanceRef un1_CS_0_sqmuxa_0_0_a3_0_1))
(portRef C (instanceRef N_368_i))
(portRef D (instanceRef N_369_i))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a3_1_0_RNIS35S))
(portRef C0 (instanceRef un1_CS_0_sqmuxa_0_0_0))
(portRef A (instanceRef N_187_i))
(portRef C (instanceRef wb_we_RNO_0))
(portRef C (instanceRef CmdBitbangMXO2_RNINSM62))
(portRef A (instanceRef S_r_i_0_o2_RNIOGTF1_1))
))
(net N_187 (joined
(portRef Z (instanceRef S_r_i_0_o2_RNIOGTF1_1))
(portRef A (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_0))
(portRef B (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0_0))
(portRef B (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0_0))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a3_9_RNI3N8T5))
(portRef B (instanceRef S_r_i_0_o2_RNI3VQTC_1))
))
(net (rename FS_3 "FS[3]") (joined
(portRef (member fs 12))
(portRef A (instanceRef RA_35_0_0_a3_4_7))
(portRef C (instanceRef nRWE_s_i_0_63_1))
(portRef C (instanceRef nRAS_s_i_0_m3))
(portRef B (instanceRef Ready3_0_a3_5))
(portRef C (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_o3_3_0))
(portRef C (instanceRef nCAS_s_i_0_m2))
(portRef A (instanceRef nRAS_s_i_0_o2_0))
(portRef A (instanceRef RA_35_0_0_0_5))
(portRef A (instanceRef RA_35_0_0_a3_2))
(portRef A (instanceRef RA_35_i_i_0_a3_1))
(portRef A (instanceRef RA_35_0_0_RNO_0))
))
(net (rename FS_1 "FS[1]") (joined
(portRef (member fs 14))
(portRef A (instanceRef nRWE_s_i_0_63_1))
(portRef A (instanceRef nRAS_s_i_0_m3))
(portRef A (instanceRef Ready3_0_a3_5))
(portRef A (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_o3_3_0))
(portRef A (instanceRef nCAS_s_i_0_m2))
(portRef B (instanceRef RA_35_2_0_RNO_10))
(portRef B (instanceRef RA_35_0_0_RNO_0))
))
(net N_684 (joined
(portRef Z (instanceRef RA_35_0_0_RNO_0))
(portRef B (instanceRef RA_35_0_0_0))
))
(net N_627 (joined
(portRef Z (instanceRef RA_35_2_0_RNO_10))
(portRef B (instanceRef RA_35_2_0_10))
))
(net N_194 (joined
(portRef Z (instanceRef S_r_i_0_o2_2))
(portRef A (instanceRef RA_35_2_0_a3_10))
(portRef B (instanceRef S_r_i_0_o2_0_RNI36E21_1))
(portRef B (instanceRef S_r_i_0_o2_RNIFNP81_2))
(portRef B (instanceRef S_r_i_0_o2_RNIFNP81_0_2))
))
(net S_1 (joined
(portRef S_1)
(portRef A (instanceRef S_s_0_0_0))
(portRef A (instanceRef S_r_i_0_o2_0_RNI36E21_1))
(portRef A (instanceRef S_r_i_0_o2_RNIFNP81_2))
(portRef C (instanceRef S_r_i_0_o2_RNIFNP81_0_2))
))
(net N_372_i (joined
(portRef Z (instanceRef S_r_i_0_o2_RNIFNP81_0_2))
(portRef N_372_i)
))
(net (rename FS_15 "FS[15]") (joined
(portRef (member fs 0))
(portRef A (instanceRef un1_wb_cyc_stb_0_sqmuxa_1_i_0_0_a2_0))
(portRef B (instanceRef wb_rst8_0_a3_0_a3))
(portRef D (instanceRef Ready3_0_a3_4))
(portRef A (instanceRef DQMH_4_iv_0_0_i_i_a3_0_a3))
(portRef A (instanceRef N_507_i))
(portRef B (instanceRef N_285_i))
(portRef A (instanceRef wb_req_RNO_0))
))
(net wb_adr_0_sqmuxa_1_i (joined
(portRef Z (instanceRef wb_req_RNO_0))
(portRef SP (instanceRef wb_req))
))
(net CmdBitbangMXO2 (joined
(portRef Q (instanceRef CmdBitbangMXO2))
(portRef A (instanceRef CmdBitbangMXO2_RNINSM62))
))
(net N_777 (joined
(portRef Z (instanceRef un1_wb_cyc_stb_0_sqmuxa_1_i_0_0_a2_0))
(portRef C (instanceRef wb_dati_7_0_0_a3_10_7))
(portRef C (instanceRef wb_dati_7_0_0_a3_14_7))
(portRef B (instanceRef wb_dati_7_0_0_a3_12_7))
(portRef B (instanceRef wb_dati_7_0_0_a3_7_3))
(portRef B (instanceRef wb_dati_7_0_0_a3_9_7))
(portRef B (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_0_0))
(portRef B (instanceRef wb_we_RNO_0))
(portRef B (instanceRef CmdBitbangMXO2_RNINSM62))
))
(net CmdBitbangMXO2_RNINSM62 (joined
(portRef Z (instanceRef CmdBitbangMXO2_RNINSM62))
(portRef SP (instanceRef wb_adr_7))
(portRef SP (instanceRef wb_adr_6))
(portRef SP (instanceRef wb_adr_5))
(portRef SP (instanceRef wb_adr_4))
(portRef SP (instanceRef wb_adr_3))
(portRef SP (instanceRef wb_adr_2))
(portRef SP (instanceRef wb_adr_1))
(portRef SP (instanceRef wb_adr_0))
(portRef SP (instanceRef wb_dati_7))
(portRef SP (instanceRef wb_dati_6))
(portRef SP (instanceRef wb_dati_5))
(portRef SP (instanceRef wb_dati_4))
(portRef SP (instanceRef wb_dati_3))
(portRef SP (instanceRef wb_dati_2))
(portRef SP (instanceRef wb_dati_1))
(portRef SP (instanceRef wb_dati_0))
))
(net CmdExecMXO2 (joined
(portRef Q (instanceRef CmdExecMXO2))
(portRef C (instanceRef wb_cyc_stb_RNO))
(portRef B (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_0))
(portRef A (instanceRef wb_we_RNO_0))
))
(net wb_we_RNO_0 (joined
(portRef Z (instanceRef wb_we_RNO_0))
(portRef SP (instanceRef wb_we))
))
(net N_856 (joined
(portRef Z (instanceRef wb_dati_7_0_0_a3_14_7))
(portRef D (instanceRef wb_dati_7_0_0_0_a3_7))
(portRef D (instanceRef wb_adr_7_i_i_5_0))
(portRef A (instanceRef wb_dati_7_0_0_0_0))
(portRef A (instanceRef wb_dati_7_0_0_a3_13_RNI81UL_7))
))
(net N_757 (joined
(portRef Z (instanceRef wb_dati_7_0_0_a3_13_RNI81UL_7))
(portRef A (instanceRef wb_dati_7_0_0_4))
(portRef A (instanceRef wb_dati_7_0_0_6))
))
(net CKE_7 (joined
(portRef Z (instanceRef CKE_7))
(portRef A (instanceRef CKE_7_RNIS77M1))
))
(net (rename CKE_7_RNIS77M1_1z "CKE_7_RNIS77M1") (joined
(portRef Z (instanceRef CKE_7_RNIS77M1))
(portRef CKE_7_RNIS77M1_1z)
))
(net N_185_i (joined
(portRef Z (instanceRef S_r_i_0_o2_RNIBAU51_1))
(portRef N_185_i)
))
(net (rename N_187_i_1z "N_187_i") (joined
(portRef Z (instanceRef N_187_i))
(portRef SP (instanceRef CmdBitbangMXO2))
(portRef SP (instanceRef CmdExecMXO2))
(portRef SP (instanceRef CmdSetRWBankFFChip))
(portRef N_187_i_1z)
))
(net un1_CS_0_sqmuxa_0_0_0_bm (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_0_bm))
(portRef ALUT (instanceRef un1_CS_0_sqmuxa_0_0_0))
))
(net un1_CS_0_sqmuxa_0_0_0_am (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_0_am))
(portRef BLUT (instanceRef un1_CS_0_sqmuxa_0_0_0))
))
(net un1_CS_0_sqmuxa_0_0_0 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_0))
(portRef D (instanceRef un1_CS_0_sqmuxa_0_0_0_RNIVQNQ2))
))
(net N_193 (joined
(portRef Z (instanceRef SUM0_i_o2))
(portRef D (instanceRef SUM0_i_a3_1))
(portRef A (instanceRef S_r_i_0_o2_RNIVM0LF_1))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_0_bm))
))
(net un1_CS_0_sqmuxa_0_0_a3_2_2 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a3_2_2))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_0_bm))
))
(net (rename Din_c_6 "Din_c[6]") (joined
(portRef (member din_c 1))
(portRef A (instanceRef CmdBitbangMXO2_3_0_a3_0))
(portRef A (instanceRef RDout_i_0_i_a3_6))
(portRef A (instanceRef wb_adr_RNO_6))
(portRef C (instanceRef SUM1_0_o3_0))
(portRef A (instanceRef RWMask_RNO_6))
(portRef C (instanceRef SUM0_i_a3_1))
(portRef A (instanceRef RWBank_3_0_6))
(portRef C (instanceRef CmdExecMXO2_3_0_a3_0_RNIAJ811))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a3_1_0))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a3_0))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_0_bm))
))
(net (rename CS_0 "CS[0]") (joined
(portRef (member cs 2))
(portRef A (instanceRef SUM2_0_o2))
(portRef B (instanceRef CmdBitbangMXO2_3_0_a3_0))
(portRef A (instanceRef SUM0_i_a3_1))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a3_0))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_o3_0_RNIN3AF2))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_o3_0_RNIA0N95))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a3_1_0_RNIS35S))
(portRef A (instanceRef S_r_i_0_o2_RNI3VQTC_1))
(portRef D (instanceRef un1_CS_0_sqmuxa_0_0_0_bm))
))
(net (rename CmdTout_2 "CmdTout[2]") (joined
(portRef (member cmdtout 0))
(portRef C (instanceRef N_369_i))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_0_am))
))
(net (rename CmdTout_1 "CmdTout[1]") (joined
(portRef (member cmdtout 1))
(portRef B (instanceRef N_368_i))
(portRef B (instanceRef N_369_i))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_0_am))
))
(net CO0_0 (joined
(portRef CO0_0)
(portRef A (instanceRef CmdTout_3_0_a3_0_a3_0))
(portRef A (instanceRef N_368_i))
(portRef A (instanceRef N_369_i))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_0_am))
))
(net wb_we_RNO (joined
(portRef Z (instanceRef wb_we_RNO))
(portRef D (instanceRef wb_we))
))
(net C14M_c (joined
(portRef C14M_c)
(portRef C14M_c (instanceRef ufmefb))
(portRef CK (instanceRef CmdBitbangMXO2))
(portRef CK (instanceRef CmdExecMXO2))
(portRef CK (instanceRef CmdSetRWBankFFChip))
(portRef CK (instanceRef LEDEN))
(portRef CK (instanceRef RWMask_7))
(portRef CK (instanceRef RWMask_6))
(portRef CK (instanceRef RWMask_5))
(portRef CK (instanceRef RWMask_4))
(portRef CK (instanceRef RWMask_3))
(portRef CK (instanceRef RWMask_2))
(portRef CK (instanceRef RWMask_1))
(portRef CK (instanceRef RWMask_0))
(portRef CK (instanceRef wb_adr_7))
(portRef CK (instanceRef wb_adr_6))
(portRef CK (instanceRef wb_adr_5))
(portRef CK (instanceRef wb_adr_4))
(portRef CK (instanceRef wb_adr_3))
(portRef CK (instanceRef wb_adr_2))
(portRef CK (instanceRef wb_adr_1))
(portRef CK (instanceRef wb_adr_0))
(portRef CK (instanceRef wb_cyc_stb))
(portRef CK (instanceRef wb_dati_7))
(portRef CK (instanceRef wb_dati_6))
(portRef CK (instanceRef wb_dati_5))
(portRef CK (instanceRef wb_dati_4))
(portRef CK (instanceRef wb_dati_3))
(portRef CK (instanceRef wb_dati_2))
(portRef CK (instanceRef wb_dati_1))
(portRef CK (instanceRef wb_dati_0))
(portRef CK (instanceRef wb_req))
(portRef CK (instanceRef wb_rst))
(portRef CK (instanceRef wb_we))
))
(net wb_we (joined
(portRef Q (instanceRef wb_we))
(portRef wb_we (instanceRef ufmefb))
))
(net wb_rst8 (joined
(portRef Z (instanceRef wb_rst8_0_a3_0_a3))
(portRef D (instanceRef wb_rst))
))
(net wb_rst (joined
(portRef Q (instanceRef wb_rst))
(portRef wb_rst (instanceRef ufmefb))
))
(net wb_reqc_i (joined
(portRef Z (instanceRef wb_req_RNO))
(portRef D (instanceRef wb_req))
))
(net wb_req (joined
(portRef Q (instanceRef wb_req))
(portRef C (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_a3_0_0_0))
))
(net (rename wb_dati_7_0 "wb_dati_7[0]") (joined
(portRef Z (instanceRef wb_dati_7_0_0_0_0))
(portRef D (instanceRef wb_dati_0))
))
(net (rename wb_dati_0 "wb_dati[0]") (joined
(portRef Q (instanceRef wb_dati_0))
(portRef (member wb_dati 7) (instanceRef ufmefb))
))
(net (rename wb_dati_7_1 "wb_dati_7[1]") (joined
(portRef Z (instanceRef wb_dati_7_0_0_1))
(portRef D (instanceRef wb_dati_1))
))
(net (rename wb_dati_1 "wb_dati[1]") (joined
(portRef Q (instanceRef wb_dati_1))
(portRef (member wb_dati 6) (instanceRef ufmefb))
))
(net (rename wb_dati_7_2 "wb_dati_7[2]") (joined
(portRef Z (instanceRef wb_dati_7_0_0_2))
(portRef D (instanceRef wb_dati_2))
))
(net (rename wb_dati_2 "wb_dati[2]") (joined
(portRef Q (instanceRef wb_dati_2))
(portRef (member wb_dati 5) (instanceRef ufmefb))
))
(net (rename wb_dati_7_3 "wb_dati_7[3]") (joined
(portRef Z (instanceRef wb_dati_7_0_0_0_3))
(portRef D (instanceRef wb_dati_3))
))
(net (rename wb_dati_3 "wb_dati[3]") (joined
(portRef Q (instanceRef wb_dati_3))
(portRef (member wb_dati 4) (instanceRef ufmefb))
))
(net (rename wb_dati_7_4 "wb_dati_7[4]") (joined
(portRef Z (instanceRef wb_dati_7_0_0_4))
(portRef D (instanceRef wb_dati_4))
))
(net (rename wb_dati_4 "wb_dati[4]") (joined
(portRef Q (instanceRef wb_dati_4))
(portRef (member wb_dati 3) (instanceRef ufmefb))
))
(net (rename wb_dati_7_5 "wb_dati_7[5]") (joined
(portRef Z (instanceRef wb_dati_7_0_0_5))
(portRef D (instanceRef wb_dati_5))
))
(net (rename wb_dati_5 "wb_dati[5]") (joined
(portRef Q (instanceRef wb_dati_5))
(portRef (member wb_dati 2) (instanceRef ufmefb))
))
(net (rename wb_dati_7_6 "wb_dati_7[6]") (joined
(portRef Z (instanceRef wb_dati_7_0_0_6))
(portRef D (instanceRef wb_dati_6))
))
(net (rename wb_dati_6 "wb_dati[6]") (joined
(portRef Q (instanceRef wb_dati_6))
(portRef (member wb_dati 1) (instanceRef ufmefb))
))
(net (rename wb_dati_7_7 "wb_dati_7[7]") (joined
(portRef Z (instanceRef wb_dati_7_0_0_0_7))
(portRef D (instanceRef wb_dati_7))
))
(net (rename wb_dati_7 "wb_dati[7]") (joined
(portRef Q (instanceRef wb_dati_7))
(portRef (member wb_dati 0) (instanceRef ufmefb))
))
(net wb_cyc_stb_RNO (joined
(portRef Z (instanceRef wb_cyc_stb_RNO))
(portRef D (instanceRef wb_cyc_stb))
))
(net (rename un1_CmdSetRWBankFFChip13_1_i_0_0_0 "un1_CmdSetRWBankFFChip13_1_i_0_0[0]") (joined
(portRef Z (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_0))
(portRef SP (instanceRef wb_cyc_stb))
))
(net wb_cyc_stb (joined
(portRef Q (instanceRef wb_cyc_stb))
(portRef wb_cyc_stb (instanceRef ufmefb))
))
(net (rename wb_adr_7_i_i_0 "wb_adr_7_i_i[0]") (joined
(portRef Z (instanceRef wb_adr_7_i_i_0))
(portRef D (instanceRef wb_adr_0))
))
(net (rename wb_adr_0 "wb_adr[0]") (joined
(portRef Q (instanceRef wb_adr_0))
(portRef (member wb_adr 7) (instanceRef ufmefb))
(portRef C (instanceRef wb_dati_7_0_0_0_0))
))
(net (rename wb_adr_RNO_1 "wb_adr_RNO[1]") (joined
(portRef Z (instanceRef wb_adr_RNO_1))
(portRef D (instanceRef wb_adr_1))
))
(net (rename wb_adr_1 "wb_adr[1]") (joined
(portRef Q (instanceRef wb_adr_1))
(portRef (member wb_adr 6) (instanceRef ufmefb))
(portRef C (instanceRef wb_dati_7_0_0_0_1))
))
(net N_80_i (joined
(portRef Z (instanceRef wb_adr_RNO_2))
(portRef D (instanceRef wb_adr_2))
))
(net (rename wb_adr_2 "wb_adr[2]") (joined
(portRef Q (instanceRef wb_adr_2))
(portRef (member wb_adr 5) (instanceRef ufmefb))
(portRef C (instanceRef wb_dati_7_0_0_2))
))
(net N_268_i (joined
(portRef Z (instanceRef wb_adr_RNO_3))
(portRef D (instanceRef wb_adr_3))
))
(net (rename wb_adr_3 "wb_adr[3]") (joined
(portRef Q (instanceRef wb_adr_3))
(portRef (member wb_adr 4) (instanceRef ufmefb))
(portRef C (instanceRef wb_dati_7_0_0_0_0_3))
))
(net N_294 (joined
(portRef Z (instanceRef wb_adr_RNO_4))
(portRef D (instanceRef wb_adr_4))
))
(net (rename wb_adr_4 "wb_adr[4]") (joined
(portRef Q (instanceRef wb_adr_4))
(portRef (member wb_adr 3) (instanceRef ufmefb))
(portRef D (instanceRef wb_dati_7_0_0_0_4))
))
(net N_290 (joined
(portRef Z (instanceRef wb_adr_RNO_5))
(portRef D (instanceRef wb_adr_5))
))
(net (rename wb_adr_5 "wb_adr[5]") (joined
(portRef Q (instanceRef wb_adr_5))
(portRef (member wb_adr 2) (instanceRef ufmefb))
(portRef C (instanceRef wb_dati_7_0_0_5))
))
(net N_284 (joined
(portRef Z (instanceRef wb_adr_RNO_6))
(portRef D (instanceRef wb_adr_6))
))
(net (rename wb_adr_6 "wb_adr[6]") (joined
(portRef Q (instanceRef wb_adr_6))
(portRef (member wb_adr 1) (instanceRef ufmefb))
(portRef C (instanceRef wb_dati_7_0_0_0_6))
))
(net N_267_i (joined
(portRef Z (instanceRef wb_adr_RNO_7))
(portRef D (instanceRef wb_adr_7))
))
(net (rename wb_adr_7 "wb_adr[7]") (joined
(portRef Q (instanceRef wb_adr_7))
(portRef (member wb_adr 0) (instanceRef ufmefb))
(portRef C (instanceRef wb_dati_7_0_0_0_0_7))
))
(net N_309_i (joined
(portRef Z (instanceRef RWMask_RNO_0))
(portRef D (instanceRef RWMask_0))
))
(net (rename un1_RWMask_0_sqmuxa_1_i_0_0_0 "un1_RWMask_0_sqmuxa_1_i_0_0[0]") (joined
(portRef Z (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0_0))
(portRef SP (instanceRef RWMask_7))
(portRef SP (instanceRef RWMask_6))
(portRef SP (instanceRef RWMask_5))
(portRef SP (instanceRef RWMask_4))
(portRef SP (instanceRef RWMask_3))
(portRef SP (instanceRef RWMask_2))
(portRef SP (instanceRef RWMask_1))
(portRef SP (instanceRef RWMask_0))
))
(net (rename RWMask_0 "RWMask[0]") (joined
(portRef Q (instanceRef RWMask_0))
(portRef C (instanceRef RWBank_3_0_0_0))
))
(net N_307_i (joined
(portRef Z (instanceRef RWMask_RNO_1))
(portRef D (instanceRef RWMask_1))
))
(net (rename RWMask_1 "RWMask[1]") (joined
(portRef Q (instanceRef RWMask_1))
(portRef C (instanceRef RWBank_3_0_1))
))
(net N_304_i (joined
(portRef Z (instanceRef RWMask_RNO_2))
(portRef D (instanceRef RWMask_2))
))
(net (rename RWMask_2 "RWMask[2]") (joined
(portRef Q (instanceRef RWMask_2))
(portRef C (instanceRef RWBank_3_0_2))
))
(net N_302_i (joined
(portRef Z (instanceRef RWMask_RNO_3))
(portRef D (instanceRef RWMask_3))
))
(net (rename RWMask_3 "RWMask[3]") (joined
(portRef Q (instanceRef RWMask_3))
(portRef C (instanceRef RWBank_3_0_3))
))
(net N_310_i (joined
(portRef Z (instanceRef RWMask_RNO_4))
(portRef D (instanceRef RWMask_4))
))
(net (rename RWMask_4 "RWMask[4]") (joined
(portRef Q (instanceRef RWMask_4))
(portRef C (instanceRef RWBank_3_0_0_4))
))
(net N_301_i (joined
(portRef Z (instanceRef RWMask_RNO_5))
(portRef D (instanceRef RWMask_5))
))
(net (rename RWMask_5 "RWMask[5]") (joined
(portRef Q (instanceRef RWMask_5))
(portRef C (instanceRef RWBank_3_0_5))
))
(net N_300_i (joined
(portRef Z (instanceRef RWMask_RNO_6))
(portRef D (instanceRef RWMask_6))
))
(net (rename RWMask_6 "RWMask[6]") (joined
(portRef Q (instanceRef RWMask_6))
(portRef C (instanceRef RWBank_3_0_6))
))
(net N_296 (joined
(portRef Z (instanceRef RWMask_RNO_7))
(portRef D (instanceRef RWMask_7))
))
(net (rename RWMask_7 "RWMask[7]") (joined
(portRef Q (instanceRef RWMask_7))
(portRef C (instanceRef RWBank_3_0_7))
))
(net N_295 (joined
(portRef Z (instanceRef LEDEN_RNO))
(portRef D (instanceRef LEDEN))
))
(net (rename un1_LEDEN_0_sqmuxa_1_i_0_0_0 "un1_LEDEN_0_sqmuxa_1_i_0_0[0]") (joined
(portRef Z (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0_0))
(portRef SP (instanceRef LEDEN))
))
(net LEDEN (joined
(portRef Q (instanceRef LEDEN))
(portRef D (instanceRef RWBank_3_0_0_o3_0))
(portRef A (instanceRef LEDEN_RNI6G6M))
))
(net CmdSetRWBankFFChip_3 (joined
(portRef Z (instanceRef CmdSetRWBankFFChip_3_0_a8_0_a3))
(portRef D (instanceRef CmdSetRWBankFFChip))
))
(net CmdSetRWBankFFChip (joined
(portRef Q (instanceRef CmdSetRWBankFFChip))
(portRef B (instanceRef RWBank_3_0_0_o3_0))
))
(net CmdExecMXO2_3 (joined
(portRef Z (instanceRef CmdExecMXO2_3_0_a3))
(portRef D (instanceRef CmdExecMXO2))
))
(net CmdBitbangMXO2_3 (joined
(portRef Z (instanceRef CmdBitbangMXO2_3_0_a3))
(portRef D (instanceRef CmdBitbangMXO2))
))
(net N_215 (joined
(portRef Z (instanceRef SUM2_0_o2))
(portRef C (instanceRef CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514_0))
(portRef C (instanceRef CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514))
(portRef A (instanceRef CmdExecMXO2_3_0_a3_0_RNI6S1P8))
(portRef B (instanceRef S_r_i_0_o2_RNIVM0LF_1))
))
(net SUM0_i_4 (joined
(portRef Z (instanceRef S_r_i_0_o2_RNI3VQTC_1))
(portRef C (instanceRef S_r_i_0_o2_RNIVM0LF_1))
))
(net N_547_i (joined
(portRef Z (instanceRef S_r_i_0_o2_RNIVM0LF_1))
(portRef N_547_i)
))
(net N_637 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a3_0))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_0_RNIVQNQ2))
))
(net un1_CS_0_sqmuxa_0_0_a3_1_0_RNIS35S (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a3_1_0_RNIS35S))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_0_RNIVQNQ2))
))
(net un1_CS_0_sqmuxa_i (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_0_RNIVQNQ2))
(portRef un1_CS_0_sqmuxa_i)
))
(net SUM0_i_1 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_o3_0_RNIA0N95))
(portRef C (instanceRef S_r_i_0_o2_RNI3VQTC_1))
))
(net SUM0_i_3 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a3_9_RNI3N8T5))
(portRef D (instanceRef S_r_i_0_o2_RNI3VQTC_1))
))
(net N_793 (joined
(portRef Z (instanceRef wb_dati_7_0_0_a3_10_7))
(portRef D (instanceRef wb_dati_7_0_0_a3_2_4))
(portRef C (instanceRef wb_adr_7_i_i_a3_6_0))
(portRef B (instanceRef wb_dati_7_0_0_RNO_0_7))
(portRef B (instanceRef wb_dati_7_0_0_1))
(portRef C (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0_0))
(portRef B (instanceRef wb_dati_7_0_0_6))
(portRef B (instanceRef wb_adr_7_i_i_0))
))
(net (rename wb_adr_7_i_i_4_0 "wb_adr_7_i_i_4[0]") (joined
(portRef Z (instanceRef wb_adr_7_i_i_4_0))
(portRef C (instanceRef wb_adr_7_i_i_0))
))
(net (rename wb_adr_7_i_i_5_0 "wb_adr_7_i_i_5[0]") (joined
(portRef Z (instanceRef wb_adr_7_i_i_5_0))
(portRef D (instanceRef wb_adr_7_i_i_0))
))
(net N_592 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_m3))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a3_1_0_RNIS35S))
))
(net un1_CS_0_sqmuxa_0_0_a3_1_0 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a3_1_0))
(portRef D (instanceRef un1_CS_0_sqmuxa_0_0_a3_1_0_RNIS35S))
))
(net N_615 (joined
(portRef Z (instanceRef nRAS_s_i_0_a3_0))
(portRef A (instanceRef nRAS_s_i_0_a3_0_RNIIR094))
(portRef A (instanceRef nRAS_s_i_0_0_RNI0PC64))
))
(net N_616 (joined
(portRef Z (instanceRef nRAS_s_i_0_a3_1))
(portRef B (instanceRef nRAS_s_i_0_0_RNI0PC64))
))
(net nRAS_s_i_0_0 (joined
(portRef Z (instanceRef nRAS_s_i_0_0))
(portRef D (instanceRef nRAS_s_i_0_0_RNI0PC64))
))
(net N_358_i (joined
(portRef Z (instanceRef nRAS_s_i_0_0_RNI0PC64))
(portRef N_358_i)
))
(net N_640 (joined
(portRef Z (instanceRef nCAS_s_i_0_a3))
(portRef A (instanceRef nCAS_s_i_0_a3_RNIO1UQ3))
))
(net N_641 (joined
(portRef Z (instanceRef nCAS_s_i_0_a3_0))
(portRef B (instanceRef nCAS_s_i_0_a3_RNIO1UQ3))
))
(net nWE_c (joined
(portRef nWE_c)
(portRef C (instanceRef nRAS_s_i_0_o2))
(portRef D (instanceRef RWSel_2_0_a3_0_a3))
(portRef D (instanceRef RA_35_2_0_a3_3_10))
(portRef D (instanceRef nRAS_s_i_0_a3_1))
(portRef C (instanceRef RDOE_i))
(portRef C (instanceRef un1_nDOE_i))
(portRef D (instanceRef CKE_7s2_0_0_o3))
(portRef C (instanceRef CKE_7_am))
(portRef D (instanceRef nCAS_s_i_0_a3_RNIO1UQ3))
))
(net N_370_i (joined
(portRef Z (instanceRef nCAS_s_i_0_a3_RNIO1UQ3))
(portRef N_370_i)
))
(net N_760 (joined
(portRef Z (instanceRef wb_dati_7_0_0_a3_4))
(portRef B (instanceRef wb_dati_7_0_0_4))
(portRef A (instanceRef wb_dati_7_0_0_2))
(portRef A (instanceRef wb_dati_7_0_0_5))
))
(net (rename wb_dati_7_0_0_o3_0_2 "wb_dati_7_0_0_o3_0[2]") (joined
(portRef Z (instanceRef wb_dati_7_0_0_o3_0_2))
(portRef D (instanceRef wb_dati_7_0_0_2))
(portRef D (instanceRef wb_dati_7_0_0_5))
))
(net N_602 (joined
(portRef Z (instanceRef wb_dati_7_0_0_0_a3_7))
(portRef A (instanceRef wb_dati_7_0_0_0_7))
))
(net (rename wb_dati_7_0_0_RNO_0_7 "wb_dati_7_0_0_RNO_0[7]") (joined
(portRef Z (instanceRef wb_dati_7_0_0_RNO_0_7))
(portRef C (instanceRef wb_dati_7_0_0_0_7))
))
(net (rename wb_dati_7_0_0_0_0_7 "wb_dati_7_0_0_0_0[7]") (joined
(portRef Z (instanceRef wb_dati_7_0_0_0_0_7))
(portRef D (instanceRef wb_dati_7_0_0_0_7))
))
(net N_886 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a3_9_RNIJT9D1))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_m3))
(portRef D (instanceRef un1_CS_0_sqmuxa_0_0_a3_9_RNI3N8T5))
(portRef B (instanceRef CmdExecMXO2_3_0_a3_0_RNI6S1P8))
))
(net SUM0_i_a3_4_0 (joined
(portRef Z (instanceRef CmdExecMXO2_3_0_a3_0_RNIAJ811))
(portRef D (instanceRef CmdExecMXO2_3_0_a3_0_RNIPG3P2))
(portRef C (instanceRef CmdExecMXO2_3_0_a3_0_RNI6S1P8))
))
(net SUM1_0_0 (joined
(portRef Z (instanceRef CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514_0))
(portRef D (instanceRef CmdExecMXO2_3_0_a3_0_RNI6S1P8))
))
(net (rename CmdExecMXO2_3_0_a3_0_RNI6S1P8_1z "CmdExecMXO2_3_0_a3_0_RNI6S1P8") (joined
(portRef Z (instanceRef CmdExecMXO2_3_0_a3_0_RNI6S1P8))
(portRef CmdExecMXO2_3_0_a3_0_RNI6S1P8_1z)
))
(net (rename wb_dati_7_0_0_0_6 "wb_dati_7_0_0_0[6]") (joined
(portRef Z (instanceRef wb_dati_7_0_0_0_6))
(portRef D (instanceRef wb_dati_7_0_0_6))
))
(net N_763 (joined
(portRef Z (instanceRef wb_dati_7_0_0_a3_2_4))
(portRef C (instanceRef wb_dati_7_0_0_4))
))
(net (rename wb_dati_7_0_0_0_4 "wb_dati_7_0_0_0[4]") (joined
(portRef Z (instanceRef wb_dati_7_0_0_0_4))
(portRef D (instanceRef wb_dati_7_0_0_4))
))
(net (rename CS_1 "CS[1]") (joined
(portRef (member cs 1))
(portRef D (instanceRef CmdBitbangMXO2_3_0_a3_0))
(portRef A (instanceRef SUM0_i_m3_0_bm))
(portRef A (instanceRef SUM0_i_o2))
(portRef A (instanceRef CmdExecMXO2_3_0_a3_0_RNIAJ811))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_o2))
(portRef A (instanceRef CmdExecMXO2_3_0_a3_0_RNIPG3P2))
(portRef A (instanceRef CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514_0))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_o3_0_RNIN3AF2))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_m3))
(portRef A (instanceRef CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a3_9_RNI3N8T5))
))
(net N_720_tz (joined
(portRef Z (instanceRef CmdExecMXO2_3_0_a3_0_RNIPG3P2))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a3_9_RNI3N8T5))
))
(net (rename CS_2 "CS[2]") (joined
(portRef (member cs 0))
(portRef C (instanceRef CmdBitbangMXO2_3_0_a3_0))
(portRef B (instanceRef SUM0_i_o2))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a3_5_1))
(portRef B (instanceRef CmdExecMXO2_3_0_a3_0_RNIAJ811))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a3_1_0))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_o3_0_RNI9H8R))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a3_2_2))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a3_9_RNIJT9D1))
(portRef B (instanceRef CmdExecMXO2_3_0_a3_0_RNIPG3P2))
(portRef B (instanceRef CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514_0))
(portRef B (instanceRef CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_o3_0_RNIA0N95))
))
(net N_350 (joined
(portRef Z (instanceRef SUM0_i_o2_2))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_o3_0_RNIA0N95))
))
(net SUM0_i_0 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_o3_0_RNIN3AF2))
(portRef D (instanceRef un1_CS_0_sqmuxa_0_0_o3_0_RNIA0N95))
))
(net CmdRWMaskSet (joined
(portRef CmdRWMaskSet)
(portRef A (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0_0))
))
(net (rename un1_RWMask_0_sqmuxa_1_i_0_a3_0_0_0 "un1_RWMask_0_sqmuxa_1_i_0_a3_0_0[0]") (joined
(portRef Z (instanceRef un1_RWMask_0_sqmuxa_1_i_0_a3_0_0_0))
(portRef D (instanceRef un1_RWMask_0_sqmuxa_1_i_0_0_0))
))
(net (rename wb_dati_7_0_0_0_a3_0_0 "wb_dati_7_0_0_0_a3_0[0]") (joined
(portRef Z (instanceRef wb_dati_7_0_0_0_a3_0_0))
(portRef D (instanceRef wb_dati_7_0_0_0_0))
))
(net N_611 (joined
(portRef Z (instanceRef wb_dati_7_0_0_a3_2_3))
(portRef B (instanceRef wb_dati_7_0_0_o3_0_2))
(portRef A (instanceRef wb_dati_7_0_0_0_3))
(portRef A (instanceRef wb_dati_7_0_0_1))
))
(net (rename wb_dati_7_0_0_0_1 "wb_dati_7_0_0_0[1]") (joined
(portRef Z (instanceRef wb_dati_7_0_0_0_1))
(portRef D (instanceRef wb_dati_7_0_0_1))
))
(net N_234 (joined
(portRef Z (instanceRef CmdSetRWBankFFChip_3_0_a8_0_o3_RNIGCV91))
(portRef C (instanceRef CmdExecMXO2_3_0_a3_0_RNIPG3P2))
(portRef D (instanceRef CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514_0))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_m3))
(portRef D (instanceRef CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514))
))
(net (rename CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514_1z "CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514") (joined
(portRef Z (instanceRef CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514))
(portRef CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514_1z)
))
(net N_783 (joined
(portRef Z (instanceRef wb_dati_7_0_0_a3_7_3))
(portRef C (instanceRef wb_adr_7_i_i_3_0))
(portRef C (instanceRef wb_dati_7_0_0_a3_4))
(portRef A (instanceRef wb_dati_7_0_0_0_4))
(portRef A (instanceRef wb_dati_7_0_0_0_6))
(portRef A (instanceRef wb_dati_7_0_0_0_0_3))
(portRef B (instanceRef wb_dati_7_0_0_0_3))
))
(net (rename wb_dati_7_0_0_0_0_3 "wb_dati_7_0_0_0_0[3]") (joined
(portRef Z (instanceRef wb_dati_7_0_0_0_0_3))
(portRef D (instanceRef wb_dati_7_0_0_0_3))
))
(net N_634 (joined
(portRef Z (instanceRef wb_we_7_iv_0_0_0_a3_1))
(portRef C (instanceRef wb_we_RNO_3))
(portRef A (instanceRef wb_adr_7_i_i_4_0))
))
(net N_753 (joined
(portRef Z (instanceRef wb_adr_7_i_i_a3_4_0))
(portRef B (instanceRef wb_adr_7_i_i_4_0))
))
(net (rename wb_adr_7_i_i_1_0 "wb_adr_7_i_i_1[0]") (joined
(portRef Z (instanceRef wb_adr_7_i_i_1_0))
(portRef C (instanceRef wb_adr_7_i_i_4_0))
))
(net (rename wb_adr_7_i_i_3_0 "wb_adr_7_i_i_3[0]") (joined
(portRef Z (instanceRef wb_adr_7_i_i_3_0))
(portRef D (instanceRef wb_adr_7_i_i_4_0))
))
(net N_755 (joined
(portRef Z (instanceRef wb_adr_7_i_i_a3_6_0))
(portRef C (instanceRef wb_adr_7_i_i_5_0))
))
(net N_345 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_o3_0_RNI9H8R))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_o3_0_RNIN3AF2))
))
(net N_735 (joined
(portRef Z (instanceRef SUM0_i_a3_1))
(portRef D (instanceRef un1_CS_0_sqmuxa_0_0_o3_0_RNIN3AF2))
))
(net CmdLEDSet (joined
(portRef CmdLEDSet)
(portRef A (instanceRef un1_LEDEN_0_sqmuxa_1_i_0_0_0))
))
(net (rename un1_CmdSetRWBankFFChip13_1_i_0_0_0_0 "un1_CmdSetRWBankFFChip13_1_i_0_0_0[0]") (joined
(portRef Z (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_0_0))
(portRef C (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_0))
))
(net (rename wb_dati_7_0_0_a3_6_1_3 "wb_dati_7_0_0_a3_6_1[3]") (joined
(portRef Z (instanceRef wb_dati_7_0_0_a3_4_1_0_7))
(portRef D (instanceRef wb_dati_7_0_0_0_o2_3))
(portRef D (instanceRef wb_dati_7_0_0_RNO_0_7))
))
(net (rename FS_14 "FS[14]") (joined
(portRef (member fs 1))
(portRef B (instanceRef wb_dati_7_0_0_a3_10_7))
(portRef B (instanceRef wb_dati_7_0_0_a3_14_7))
(portRef C (instanceRef wb_dati_7_0_0_a3_12_7))
(portRef C (instanceRef wb_dati_7_0_0_a3_7_3))
(portRef A (instanceRef wb_we_7_iv_0_0_0_a3_6))
(portRef A (instanceRef wb_rst8_0_a3_0_a3))
(portRef B (instanceRef wb_adr_RNO_4))
(portRef B (instanceRef wb_adr_RNO_5))
(portRef B (instanceRef wb_adr_RNO_6))
(portRef C (instanceRef Ready3_0_a3_4))
(portRef A (instanceRef wb_reqc_1_0))
(portRef A (instanceRef wb_dati_7_0_0_a3_9_7))
(portRef B (instanceRef wb_cyc_stb_RNO_0))
(portRef A (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_0_0))
(portRef A (instanceRef RA_35_0_0_0_7))
))
(net N_801 (joined
(portRef Z (instanceRef RA_35_0_0_a3_4_7))
(portRef C (instanceRef RA_35_0_0_0))
(portRef B (instanceRef RA_35_0_0_3))
(portRef B (instanceRef RA_35_0_0_4))
(portRef B (instanceRef RA_35_0_0_0_6))
(portRef B (instanceRef RA_35_0_0_0_7))
))
(net (rename RA_35_0_0_0_0_7 "RA_35_0_0_0_0[7]") (joined
(portRef Z (instanceRef RA_35_0_0_0_0_7))
(portRef C (instanceRef RA_35_0_0_0_7))
))
(net (rename RA_35_7 "RA_35[7]") (joined
(portRef Z (instanceRef RA_35_0_0_0_7))
(portRef (member ra_35 4))
))
(net (rename RA_35_0_0_0_0_6 "RA_35_0_0_0_0[6]") (joined
(portRef Z (instanceRef RA_35_0_0_0_0_6))
(portRef C (instanceRef RA_35_0_0_0_6))
))
(net (rename RA_35_6 "RA_35[6]") (joined
(portRef Z (instanceRef RA_35_0_0_0_6))
(portRef (member ra_35 5))
))
(net (rename RA_35_0_0_0_4 "RA_35_0_0_0[4]") (joined
(portRef Z (instanceRef RA_35_0_0_0_4))
(portRef C (instanceRef RA_35_0_0_4))
))
(net (rename RA_35_4 "RA_35[4]") (joined
(portRef Z (instanceRef RA_35_0_0_4))
(portRef (member ra_35 7))
))
(net (rename RA_35_0_0_0_3 "RA_35_0_0_0[3]") (joined
(portRef Z (instanceRef RA_35_0_0_0_3))
(portRef C (instanceRef RA_35_0_0_3))
))
(net (rename RA_35_3 "RA_35[3]") (joined
(portRef Z (instanceRef RA_35_0_0_3))
(portRef (member ra_35 8))
))
(net (rename wb_dati_7_0_0_0_a3_0_3 "wb_dati_7_0_0_0_a3_0[3]") (joined
(portRef Z (instanceRef wb_dati_7_0_0_0_a3_0_3))
(portRef D (instanceRef wb_dati_7_0_0_0_0_3))
))
(net (rename wb_dati_7_0_0_a3_1_6 "wb_dati_7_0_0_a3_1[6]") (joined
(portRef Z (instanceRef wb_dati_7_0_0_a3_1_0_6))
(portRef D (instanceRef wb_dati_7_0_0_0_6))
))
(net CKE_7_am (joined
(portRef Z (instanceRef CKE_7_am))
(portRef BLUT (instanceRef CKE_7))
))
(net CKE_7_bm (joined
(portRef Z (instanceRef CKE_7_bm))
(portRef ALUT (instanceRef CKE_7))
))
(net CKE_7_sm0 (joined
(portRef Z (instanceRef CKE_7s2_0_0))
(portRef C0 (instanceRef CKE_7))
))
(net N_687 (joined
(portRef Z (instanceRef wb_cyc_stb_RNO_0))
(portRef A (instanceRef wb_cyc_stb_RNO))
))
(net (rename un1_CmdSetRWBankFFChip13_1_i_0_0_a3_0_0_0 "un1_CmdSetRWBankFFChip13_1_i_0_0_a3_0_0[0]") (joined
(portRef Z (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_a3_0_0_0))
(portRef C (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_0_0))
))
(net N_256 (joined
(portRef Z (instanceRef nRAS_s_i_0_o2_0))
(portRef B (instanceRef nRAS_s_i_0_0))
))
(net N_890 (joined
(portRef Z (instanceRef nRAS_s_i_0_a3_8))
(portRef C (instanceRef nCAS_s_i_0_a3))
(portRef D (instanceRef nRAS_s_i_0_0))
))
(net N_220 (joined
(portRef Z (instanceRef CKE_7s2_0_0_o3))
(portRef A (instanceRef CKE_7s2_0_0))
(portRef B (instanceRef nCAS_s_i_0_a3))
))
(net N_196 (joined
(portRef Z (instanceRef wb_dati_7_0_0_o2_4))
(portRef B (instanceRef wb_dati_7_0_0_a3_4))
))
(net (rename Ain_c_1 "Ain_c[1]") (joined
(portRef (member ain_c 6))
(portRef A (instanceRef RA_35_i_i_0_1))
))
(net N_182 (joined
(portRef Z (instanceRef RA_35_0_0_o2_5))
(portRef B (instanceRef RA_35_0_0_1_0))
(portRef B (instanceRef RA_35_0_0_0_3))
(portRef B (instanceRef RA_35_0_0_0_0_7))
(portRef B (instanceRef RA_35_0_0_0_0_6))
(portRef B (instanceRef RA_35_0_0_0_4))
(portRef B (instanceRef RA_35_0_0_5))
(portRef B (instanceRef RA_35_0_0_2))
(portRef B (instanceRef RA_35_i_i_0_1))
))
(net N_659 (joined
(portRef Z (instanceRef RA_35_i_i_0_a3_1))
(portRef C (instanceRef RA_35_i_i_0_1))
))
(net N_660 (joined
(portRef Z (instanceRef RA_35_i_i_0_a3_0_1))
(portRef D (instanceRef RA_35_i_i_0_1))
))
(net N_223 (joined
(portRef Z (instanceRef RA_35_i_i_0_1))
(portRef N_223)
))
(net (rename Ain_c_2 "Ain_c[2]") (joined
(portRef (member ain_c 5))
(portRef A (instanceRef RA_35_0_0_2))
))
(net N_679 (joined
(portRef Z (instanceRef RA_35_0_0_a3_2))
(portRef C (instanceRef RA_35_0_0_2))
))
(net N_680 (joined
(portRef Z (instanceRef RA_35_0_0_a3_0_2))
(portRef D (instanceRef RA_35_0_0_2))
))
(net (rename RA_35_2 "RA_35[2]") (joined
(portRef Z (instanceRef RA_35_0_0_2))
(portRef (member ra_35 9))
))
(net un1_CS_0_sqmuxa_0_0_o2 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_o2))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a3_0))
))
(net un1_CS_0_sqmuxa_0_0_a3_0_1 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a3_0_1))
(portRef D (instanceRef un1_CS_0_sqmuxa_0_0_a3_0))
))
(net (rename Ain_c_5 "Ain_c[5]") (joined
(portRef (member ain_c 2))
(portRef A (instanceRef RA_35_0_0_5))
))
(net N_621 (joined
(portRef Z (instanceRef RA_35_0_0_a3_5))
(portRef C (instanceRef RA_35_0_0_5))
))
(net (rename RA_35_0_0_0_5 "RA_35_0_0_0[5]") (joined
(portRef Z (instanceRef RA_35_0_0_0_5))
(portRef D (instanceRef RA_35_0_0_5))
))
(net (rename RA_35_5 "RA_35[5]") (joined
(portRef Z (instanceRef RA_35_0_0_5))
(portRef (member ra_35 6))
))
(net N_624 (joined
(portRef Z (instanceRef RA_35_2_0_a3_10))
(portRef A (instanceRef RA_35_2_0_10))
))
(net N_628 (joined
(portRef Z (instanceRef RA_35_2_0_a3_3_10))
(portRef C (instanceRef RA_35_2_0_10))
))
(net (rename RA_35_2_0_0_10 "RA_35_2_0_0[10]") (joined
(portRef Z (instanceRef RA_35_2_0_0_10))
(portRef D (instanceRef RA_35_2_0_10))
))
(net (rename RA_35_10 "RA_35[10]") (joined
(portRef Z (instanceRef RA_35_2_0_10))
(portRef (member ra_35 1))
))
(net N_208 (joined
(portRef Z (instanceRef wb_we_RNO_1))
(portRef B (instanceRef wb_we_RNO))
))
(net N_799 (joined
(portRef Z (instanceRef wb_we_7_iv_0_0_0_a3_6))
(portRef D (instanceRef wb_adr_RNO_0_1))
(portRef D (instanceRef wb_we_RNO_3))
(portRef B (instanceRef wb_we_RNO_2))
(portRef C (instanceRef wb_adr_RNO_1_1))
(portRef C (instanceRef wb_we_RNO))
))
(net wb_we_7_iv_0_0_3_0_1 (joined
(portRef Z (instanceRef wb_we_RNO_2))
(portRef D (instanceRef wb_we_RNO))
))
(net (rename Din_c_1 "Din_c[1]") (joined
(portRef (member din_c 6))
(portRef B (instanceRef CmdRWMaskSet_3_0_a3))
(portRef B (instanceRef CmdLEDSet_3_0_a8_0_a3))
(portRef C (instanceRef CmdSetRWBankFFChip_3_0_a8_0_a3_0_0))
(portRef A (instanceRef CmdSetRWBankFFChip_3_0_a8_0_o3_RNIGCV91))
(portRef A (instanceRef RDout_i_0_i_a3_1))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a3_5_1))
(portRef B (instanceRef CmdSetRWBankFFChip_3_0_a8_0_a3_0))
(portRef A (instanceRef RWMask_RNO_1))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_o3_0))
(portRef A (instanceRef RWBank_3_0_1))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a3_9))
(portRef C0 (instanceRef SUM0_i_m3_0))
(portRef A (instanceRef CmdLEDGet_3_0_a3))
(portRef A (instanceRef CmdSetRWBankFFLED_4_0_a8_0_a3))
(portRef A (instanceRef CmdBitbangMXO2_3_0_a3))
(portRef A (instanceRef wb_adr_RNO_1))
))
(net N_768 (joined
(portRef Z (instanceRef wb_adr_RNO_0_1))
(portRef B (instanceRef wb_adr_RNO_1))
))
(net wb_adr_7_5_41_0_1 (joined
(portRef Z (instanceRef wb_adr_RNO_1_1))
(portRef D (instanceRef wb_adr_RNO_1))
))
(net (rename Din_c_4 "Din_c[4]") (joined
(portRef (member din_c 3))
(portRef C (instanceRef CmdLEDGet_3_0_a3_1))
(portRef D (instanceRef CmdRWMaskSet_3_0_a3))
(portRef D (instanceRef CmdLEDSet_3_0_a8_0_a3))
(portRef A (instanceRef CmdSetRWBankFFChip_3_0_a8_0_a3_0_0))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_o3))
(portRef A (instanceRef RDout_i_i_a3_4))
(portRef A (instanceRef wb_adr_RNO_4))
(portRef A (instanceRef RWMask_RNO_4))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a3_0_1))
(portRef A (instanceRef RWBank_3_0_0_4))
(portRef B (instanceRef SUM0_i_o2_2))
(portRef B (instanceRef CmdSetRWBankFFLED_4_0_a8_0_a3))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_o3_0_RNI9H8R))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a3_9_RNIJT9D1))
))
(net N_212 (joined
(portRef Z (instanceRef SUM1_0_o3_0))
(portRef C (instanceRef CmdSetRWBankFFChip_3_0_a8_0_o3_RNIGCV91))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a3_9_RNIJT9D1))
))
(net N_850 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a3_9))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_o2))
(portRef D (instanceRef un1_CS_0_sqmuxa_0_0_a3_9_RNIJT9D1))
))
(net (rename Din_c_0 "Din_c[0]") (joined
(portRef (member din_c 7))
(portRef A (instanceRef CmdLEDGet_3_0_a3_1))
(portRef B (instanceRef CmdBitbangMXO2_3_0_a3_1))
(portRef D (instanceRef CmdSetRWBankFFChip_3_0_a8_0_a3_0_0))
(portRef A (instanceRef RDout_i_0_i_a3_0))
(portRef A (instanceRef wb_we_7_iv_0_0_0_a3_1))
(portRef A (instanceRef LEDEN_RNO))
(portRef A (instanceRef SUM1_0_o3_0))
(portRef A (instanceRef CmdSetRWBankFFChip_3_0_a8_0_a3_0))
(portRef A (instanceRef RWMask_RNO_0))
(portRef A (instanceRef un1_CS_0_sqmuxa_0_0_a3_0_1))
(portRef B (instanceRef SUM0_i_a3_1))
(portRef A (instanceRef RWBank_3_0_0_0))
(portRef A (instanceRef CmdRWMaskSet_3_0_a3_0))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a3_2_2))
))
(net N_243 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_o3_0))
(portRef D (instanceRef un1_CS_0_sqmuxa_0_0_o3_0_RNI9H8R))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a3_2_2))
))
(net un1_CS_0_sqmuxa_0_0_o3 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_o3))
(portRef A (instanceRef CmdBitbangMXO2_3_0_a3_1))
(portRef B (instanceRef CmdExecMXO2_3_0_a3_0))
(portRef D (instanceRef CmdSetRWBankFFChip_3_0_a8_0_o3_RNIGCV91))
(portRef D (instanceRef un1_CS_0_sqmuxa_0_0_a3_2_2))
))
(net (rename Ain_c_4 "Ain_c[4]") (joined
(portRef (member ain_c 3))
(portRef A (instanceRef RA_35_0_0_0_4))
))
(net N_186 (joined
(portRef Z (instanceRef RA_35_0_0_o2_0_5))
(portRef C (instanceRef RA_35_0_0_1_0))
(portRef A (instanceRef RA_35_0_0_a3_0_2))
(portRef A (instanceRef RA_35_i_i_0_a3_0_1))
(portRef A (instanceRef RA_35_0_0_a3_5))
(portRef C (instanceRef RA_35_0_0_0_3))
(portRef C (instanceRef RA_35_0_0_0_0_7))
(portRef C (instanceRef RA_35_0_0_0_0_6))
(portRef C (instanceRef RA_35_0_0_0_4))
))
(net (rename RA_4 "RA[4]") (joined
(portRef (member ra 7))
(portRef D (instanceRef RA_35_0_0_0_4))
))
(net (rename Ain_c_6 "Ain_c[6]") (joined
(portRef (member ain_c 1))
(portRef A (instanceRef RA_35_0_0_0_0_6))
))
(net (rename RA_6 "RA[6]") (joined
(portRef (member ra 5))
(portRef D (instanceRef RA_35_0_0_0_0_6))
))
(net (rename Ain_c_7 "Ain_c[7]") (joined
(portRef (member ain_c 0))
(portRef A (instanceRef RA_35_0_0_0_0_7))
))
(net (rename RA_7 "RA[7]") (joined
(portRef (member ra 4))
(portRef D (instanceRef RA_35_0_0_0_0_7))
))
(net (rename Ain_c_3 "Ain_c[3]") (joined
(portRef (member ain_c 4))
(portRef A (instanceRef RA_35_0_0_0_3))
))
(net (rename RA_3 "RA[3]") (joined
(portRef (member ra 8))
(portRef B (instanceRef RWSel_2_0_a3_0_a3))
(portRef D (instanceRef RA_35_0_0_0_3))
))
(net N_781 (joined
(portRef Z (instanceRef wb_dati_7_0_0_a3_9_7))
(portRef C (instanceRef wb_dati_7_0_0_o3_0_2))
(portRef D (instanceRef wb_adr_7_i_i_a3_4_0))
(portRef C (instanceRef wb_adr_7_i_i_1_0))
(portRef A (instanceRef wb_dati_7_0_0_0_0_7))
(portRef A (instanceRef wb_dati_7_0_0_0_1))
))
(net (rename wb_dati_7_0_0_a3_0_0_1 "wb_dati_7_0_0_a3_0_0[1]") (joined
(portRef Z (instanceRef wb_dati_7_0_0_a3_0_0_1))
(portRef D (instanceRef wb_dati_7_0_0_0_1))
))
(net N_565 (joined
(portRef Z (instanceRef wb_adr_7_i_i_o2_1_0))
(portRef B (instanceRef wb_adr_7_i_i_1_0))
))
(net (rename wb_adr_7_i_i_a3_2_0_0 "wb_adr_7_i_i_a3_2_0[0]") (joined
(portRef Z (instanceRef wb_adr_7_i_i_a3_2_0_0))
(portRef D (instanceRef wb_adr_7_i_i_1_0))
))
(net (rename Din_c_7 "Din_c[7]") (joined
(portRef (member din_c 0))
(portRef B (instanceRef CmdLEDGet_3_0_a3_1))
(portRef C (instanceRef CmdRWMaskSet_3_0_a3))
(portRef C (instanceRef CmdLEDSet_3_0_a8_0_a3))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_o3))
(portRef A (instanceRef RDout_i_0_i_a3_7))
(portRef A (instanceRef RWMask_RNO_7))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a3_5_1))
(portRef A (instanceRef wb_adr_RNO_7))
(portRef A (instanceRef RWBank_3_0_7))
(portRef D (instanceRef un1_CS_0_sqmuxa_0_0_a3_9))
(portRef C (instanceRef SUM0_i_m3_0_am))
(portRef C (instanceRef CmdSetRWBankFFLED_4_0_a8_0_a3))
(portRef A (instanceRef CmdSetRWBankFFChip_3_0_a8_0_a3))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_o3_0_RNI9H8R))
))
(net N_361_i (joined
(portRef Z (instanceRef S_r_i_0_o2_RNIFNP81_2))
(portRef N_361_i)
))
(net (rename RA_5 "RA[5]") (joined
(portRef (member ra 6))
(portRef B (instanceRef RA_35_0_0_a3_5))
))
(net (rename RA_1 "RA[1]") (joined
(portRef (member ra 10))
(portRef B (instanceRef RA_35_i_i_0_a3_0_1))
))
(net (rename RA_2 "RA[2]") (joined
(portRef (member ra 9))
(portRef B (instanceRef RA_35_0_0_a3_0_2))
))
(net (rename FS_0 "FS[0]") (joined
(portRef (member fs 15))
(portRef A (instanceRef Ready3_0_a3_3))
(portRef A (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_a3_0_0_0))
(portRef A (instanceRef N_285_i))
(portRef A (instanceRef wb_cyc_stb_RNO_0))
))
(net N_336 (joined
(portRef Z (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_o3_0))
(portRef B (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_a3_0_0_0))
(portRef C (instanceRef wb_cyc_stb_RNO_0))
))
(net N_242 (joined
(portRef Z (instanceRef RA_35_0_0_o2_11))
(portRef A (instanceRef RA_35_0_0_9))
(portRef A (instanceRef RA_35_0_0_11))
))
(net (rename RA_11 "RA[11]") (joined
(portRef (member ra 0))
(portRef C (instanceRef RA_35_0_0_11))
))
(net (rename RWBank_4 "RWBank[4]") (joined
(portRef (member rwbank 3))
(portRef D (instanceRef RA_35_0_0_11))
))
(net (rename RA_35_11 "RA_35[11]") (joined
(portRef Z (instanceRef RA_35_0_0_11))
(portRef (member ra_35 0))
))
(net N_190 (joined
(portRef Z (instanceRef CmdSetRWBankFFChip_3_0_a8_0_o3))
(portRef B (instanceRef CmdSetRWBankFFChip_3_0_a8_0_o3_RNIGCV91))
(portRef B (instanceRef CmdSetRWBankFFChip_3_0_a8_0_a3))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_o2))
))
(net un1_CS_0_sqmuxa_0_0_a3_5_1 (joined
(portRef Z (instanceRef un1_CS_0_sqmuxa_0_0_a3_5_1))
(portRef D (instanceRef un1_CS_0_sqmuxa_0_0_o2))
))
(net N_851 (joined
(portRef Z (instanceRef CmdExecMXO2_3_0_a3_0))
(portRef B (instanceRef CmdExecMXO2_3_0_a3))
(portRef D (instanceRef CmdExecMXO2_3_0_a3_0_RNIAJ811))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a3_1_0))
))
(net N_817 (joined
(portRef Z (instanceRef CKE_7s2_0_0_a2_1))
(portRef B (instanceRef nRAS_s_i_0_a3_8))
(portRef A (instanceRef CKE_7_bm))
(portRef B (instanceRef CKE_7s2_0_0))
))
(net CKE_7s2_0_0_0 (joined
(portRef Z (instanceRef CKE_7s2_0_0_0))
(portRef C (instanceRef CKE_7s2_0_0))
))
(net (rename RA_9 "RA[9]") (joined
(portRef (member ra 2))
(portRef B (instanceRef RA_35_0_0_9))
))
(net (rename RA_35_0_0_0_9 "RA_35_0_0_0[9]") (joined
(portRef Z (instanceRef RA_35_0_0_0_9))
(portRef C (instanceRef RA_35_0_0_9))
))
(net (rename RA_35_9 "RA_35[9]") (joined
(portRef Z (instanceRef RA_35_0_0_9))
(portRef (member ra_35 2))
))
(net (rename Din_c_2 "Din_c[2]") (joined
(portRef (member din_c 5))
(portRef B (instanceRef CmdSetRWBankFFChip_3_0_a8_0_a3_0_0))
(portRef A (instanceRef RDout_i_0_i_a3_2))
(portRef B (instanceRef SUM1_0_o3_0))
(portRef C (instanceRef CmdSetRWBankFFChip_3_0_a8_0_a3_0))
(portRef A (instanceRef wb_adr_RNO_2))
(portRef A (instanceRef RWMask_RNO_2))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a3_0_1))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_o3_0))
(portRef A (instanceRef RWBank_3_0_2))
(portRef B (instanceRef CmdLEDGet_3_0_a3))
(portRef B (instanceRef CmdRWMaskSet_3_0_a3_0))
(portRef A (instanceRef SUM0_i_o2_2))
(portRef B (instanceRef CmdBitbangMXO2_3_0_a3))
))
(net N_800 (joined
(portRef Z (instanceRef CmdBitbangMXO2_3_0_a3_0))
(portRef C (instanceRef CmdLEDGet_3_0_a3_0))
(portRef A (instanceRef CmdExecMXO2_3_0_a3))
(portRef C (instanceRef CmdSetRWBankFFChip_3_0_a8_0_a3))
(portRef C (instanceRef CmdBitbangMXO2_3_0_a3))
))
(net CmdBitbangMXO2_3_0_a3_1 (joined
(portRef Z (instanceRef CmdBitbangMXO2_3_0_a3_1))
(portRef D (instanceRef CmdBitbangMXO2_3_0_a3))
))
(net CmdSetRWBankFFChip_3_0_a8_0_a3_0 (joined
(portRef Z (instanceRef CmdSetRWBankFFChip_3_0_a8_0_a3_0_0))
(portRef D (instanceRef CmdSetRWBankFFChip_3_0_a8_0_a3))
))
(net N_883 (joined
(portRef Z (instanceRef CmdRWMaskSet_3_0_a3_0))
(portRef A (instanceRef CmdRWMaskSet_3_0_a3))
(portRef A (instanceRef CmdLEDSet_3_0_a8_0_a3))
(portRef D (instanceRef CmdSetRWBankFFLED_4_0_a8_0_a3))
))
(net CmdSetRWBankFFLED_4 (joined
(portRef Z (instanceRef CmdSetRWBankFFLED_4_0_a8_0_a3))
(portRef CmdSetRWBankFFLED_4)
))
(net N_885 (joined
(portRef Z (instanceRef wb_we_7_iv_0_0_0_a3_7))
(portRef A (instanceRef un1_RWMask_0_sqmuxa_1_i_0_a3_0_0_0))
(portRef C (instanceRef wb_we_RNO_2))
(portRef A (instanceRef Ready3_0_a3))
))
(net Ready3_0_a3_3 (joined
(portRef Z (instanceRef Ready3_0_a3_3))
(portRef B (instanceRef Ready3_0_a3))
))
(net Ready3_0_a3_4 (joined
(portRef Z (instanceRef Ready3_0_a3_4))
(portRef C (instanceRef Ready3_0_a3))
))
(net Ready3_0_a3_5 (joined
(portRef Z (instanceRef Ready3_0_a3_5))
(portRef D (instanceRef Ready3_0_a3))
))
(net Ready3 (joined
(portRef Z (instanceRef Ready3_0_a3))
(portRef Ready3)
))
(net N_184 (joined
(portRef Z (instanceRef wb_dati_7_0_0_0_o2_7))
(portRef A (instanceRef wb_dati_7_0_0_o3_0_2))
(portRef B (instanceRef wb_we_RNO_3))
(portRef A (instanceRef wb_adr_RNO_1_1))
))
(net N_204 (joined
(portRef Z (instanceRef wb_adr_RNO_3_1))
(portRef B (instanceRef wb_adr_RNO_1_1))
))
(net wb_adr_7_5_41_a3_3_0 (joined
(portRef Z (instanceRef wb_adr_RNO_4_1))
(portRef D (instanceRef wb_adr_RNO_1_1))
))
(net wb_we_7_iv_0_0_3_0_0 (joined
(portRef Z (instanceRef wb_we_RNO_3))
(portRef D (instanceRef wb_we_RNO_2))
))
(net N_595 (joined
(portRef Z (instanceRef wb_dati_7_0_0_o2_0_3))
(portRef B (instanceRef wb_dati_7_0_0_0_a3_0_3))
))
(net N_254 (joined
(portRef Z (instanceRef nCAS_s_i_0_m2))
(portRef B (instanceRef nCAS_s_i_0_a3_0))
))
(net N_338 (joined
(portRef Z (instanceRef SUM0_i_m3_0))
(portRef C (instanceRef SUM0_i_o2_2))
))
(net (rename N_369_i_1z "N_369_i") (joined
(portRef Z (instanceRef N_369_i))
(portRef N_369_i_1z)
))
(net N_271 (joined
(portRef Z (instanceRef S_r_i_0_o2_0_1))
(portRef B (instanceRef S_s_0_0_0))
(portRef C (instanceRef S_r_i_0_o2_0_RNI36E21_1))
))
(net N_362_i (joined
(portRef Z (instanceRef S_r_i_0_o2_0_RNI36E21_1))
(portRef N_362_i)
))
(net (rename RA_10 "RA[10]") (joined
(portRef (member ra 1))
(portRef C (instanceRef RA_35_2_0_a3_10))
))
(net (rename FS_5 "FS[5]") (joined
(portRef (member fs 10))
(portRef B (instanceRef Ready3_0_a3_3))
(portRef B (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_o3_0))
(portRef B (instanceRef RA_35_i_i_0_a3_1))
))
(net (rename FS_6 "FS[6]") (joined
(portRef (member fs 9))
(portRef C (instanceRef Ready3_0_a3_3))
(portRef D (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_o3_3_0))
(portRef B (instanceRef RA_35_0_0_a3_2))
))
(net N_847 (joined
(portRef Z (instanceRef CmdLEDGet_3_0_a3_0))
(portRef C (instanceRef CmdLEDGet_3_0_a3))
(portRef C (instanceRef CmdRWMaskSet_3_0_a3_0))
))
(net (rename RWBank_6 "RWBank[6]") (joined
(portRef (member rwbank 1))
(portRef C (instanceRef BA_4_1))
))
(net (rename BA_4_1 "BA_4[1]") (joined
(portRef Z (instanceRef BA_4_1))
(portRef (member ba_4 0))
))
(net (rename RWBank_5 "RWBank[5]") (joined
(portRef (member rwbank 2))
(portRef C (instanceRef BA_4_0))
))
(net (rename BA_4_0 "BA_4[0]") (joined
(portRef Z (instanceRef BA_4_0))
(portRef (member ba_4 1))
))
(net wb_reqc_1 (joined
(portRef Z (instanceRef wb_reqc_1_0))
(portRef D (instanceRef wb_req_RNO))
))
(net N_126 (joined
(portRef Z (instanceRef un1_CKE75_0_i_0))
(portRef N_126)
))
(net N_226 (joined
(portRef Z (instanceRef nRAS_s_i_0_o2))
(portRef B (instanceRef nRAS_s_i_0_a3_0))
))
(net (rename S_s_0_0_0 "S_s_0_0[0]") (joined
(portRef Z (instanceRef S_s_0_0_0))
(portRef S_s_0_0_0)
))
(net CmdLEDGet_3_0_a3_1 (joined
(portRef Z (instanceRef CmdLEDGet_3_0_a3_1))
(portRef D (instanceRef CmdLEDGet_3_0_a3))
))
(net CmdLEDGet_3 (joined
(portRef Z (instanceRef CmdLEDGet_3_0_a3))
(portRef CmdLEDGet_3)
))
(net N_221 (joined
(portRef Z (instanceRef un2_S_2_i_0_0_o3))
(portRef A (instanceRef CKE_7s2_0_0_0))
(portRef A (instanceRef un2_S_2_i_0_0_o3_RNIHFHN3))
))
(net N_698 (joined
(portRef Z (instanceRef RA_35_2_30_a3_2))
(portRef B (instanceRef un2_S_2_i_0_0_o3_RNIHFHN3))
))
(net (rename RA_8 "RA[8]") (joined
(portRef (member ra 3))
(portRef D (instanceRef un2_S_2_i_0_0_o3_RNIHFHN3))
))
(net (rename un2_S_2_i_0_0_o3_RNIHFHN3_1z "un2_S_2_i_0_0_o3_RNIHFHN3") (joined
(portRef Z (instanceRef un2_S_2_i_0_0_o3_RNIHFHN3))
(portRef un2_S_2_i_0_0_o3_RNIHFHN3_1z)
))
(net (rename RWBank_2 "RWBank[2]") (joined
(portRef (member rwbank 5))
(portRef D (instanceRef RA_35_0_0_0_9))
))
(net N_625 (joined
(portRef Z (instanceRef RA_35_2_0_a3_0_10))
(portRef A (instanceRef RA_35_2_0_0_10))
))
(net (rename RWBankZ0Z_3 "RWBank[3]") (joined
(portRef (member rwbank 4))
(portRef C (instanceRef RA_35_2_0_0_10))
))
(net (rename Din_c_3 "Din_c[3]") (joined
(portRef (member din_c 4))
(portRef C (instanceRef SUM0_i_m3_0_bm))
(portRef D (instanceRef CmdBitbangMXO2_3_0_a3_1))
(portRef D (instanceRef CmdExecMXO2_3_0_a3_0))
(portRef A (instanceRef CmdSetRWBankFFChip_3_0_a8_0_o3))
(portRef A (instanceRef N_263_i))
(portRef A (instanceRef wb_adr_RNO_3))
(portRef A (instanceRef RWMask_RNO_3))
(portRef A (instanceRef CmdLEDGet_3_0_a3_0))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_o3_0))
(portRef A (instanceRef RWBank_3_0_3))
(portRef B (instanceRef un1_CS_0_sqmuxa_0_0_a3_9))
(portRef A (instanceRef SUM0_i_m3_0_am))
))
(net (rename Din_c_5 "Din_c[5]") (joined
(portRef (member din_c 2))
(portRef B (instanceRef SUM0_i_m3_0_bm))
(portRef C (instanceRef CmdBitbangMXO2_3_0_a3_1))
(portRef C (instanceRef CmdExecMXO2_3_0_a3_0))
(portRef B (instanceRef CmdSetRWBankFFChip_3_0_a8_0_o3))
(portRef A (instanceRef RDout_i_0_i_a3_5))
(portRef A (instanceRef wb_adr_RNO_5))
(portRef A (instanceRef RWMask_RNO_5))
(portRef B (instanceRef CmdLEDGet_3_0_a3_0))
(portRef D (instanceRef un1_CS_0_sqmuxa_0_0_o3_0))
(portRef A (instanceRef RWBank_3_0_5))
(portRef C (instanceRef un1_CS_0_sqmuxa_0_0_a3_9))
(portRef B (instanceRef SUM0_i_m3_0_am))
))
(net SUM0_i_m3_0_am (joined
(portRef Z (instanceRef SUM0_i_m3_0_am))
(portRef BLUT (instanceRef SUM0_i_m3_0))
))
(net SUM0_i_m3_0_bm (joined
(portRef Z (instanceRef SUM0_i_m3_0_bm))
(portRef ALUT (instanceRef SUM0_i_m3_0))
))
(net (rename RWBank_0 "RWBank[0]") (joined
(portRef (member rwbank 7))
(portRef B (instanceRef DQMH_4_iv_0_0_i_i_a3_0_a3))
(portRef B (instanceRef N_507_i))
))
(net (rename N_507_i_1z "N_507_i") (joined
(portRef Z (instanceRef N_507_i))
(portRef N_507_i_1z)
))
(net (rename N_368_i_1z "N_368_i") (joined
(portRef Z (instanceRef N_368_i))
(portRef N_368_i_1z)
))
(net (rename N_360_i_1z "N_360_i") (joined
(portRef Z (instanceRef N_360_i))
(portRef N_360_i_1z)
))
(net nEN80_c (joined
(portRef nEN80_c)
(portRef B (instanceRef nRAS_s_i_0_o2))
(portRef C (instanceRef nRAS_s_i_0_a3_5))
(portRef D (instanceRef CKE_7s2_0_0_0))
(portRef C (instanceRef nRAS_s_i_0_a3_1))
(portRef B (instanceRef RDOE_i))
(portRef C (instanceRef LEDEN_RNI6G6M))
(portRef B (instanceRef un1_nDOE_i))
(portRef D (instanceRef RA_35_2_0_a3_0_10))
))
(net N_188 (joined
(portRef Z (instanceRef RWBank_3_0_0_o3_0))
(portRef B (instanceRef RWBank_3_0_7))
(portRef B (instanceRef RWBank_3_0_6))
(portRef B (instanceRef RWBank_3_0_5))
(portRef B (instanceRef RWBank_3_0_3))
(portRef B (instanceRef RWBank_3_0_2))
(portRef B (instanceRef RWBank_3_0_1))
(portRef B (instanceRef RWBank_3_0_0_4))
(portRef B (instanceRef RWBank_3_0_0_0))
))
(net (rename RWBank_3_0 "RWBank_3[0]") (joined
(portRef Z (instanceRef RWBank_3_0_0_0))
(portRef (member rwbank_3 7))
))
(net (rename RWBank_3_4 "RWBank_3[4]") (joined
(portRef Z (instanceRef RWBank_3_0_0_4))
(portRef (member rwbank_3 3))
))
(net (rename RWBank_3_1 "RWBank_3[1]") (joined
(portRef Z (instanceRef RWBank_3_0_1))
(portRef (member rwbank_3 6))
))
(net (rename RWBank_3_2 "RWBank_3[2]") (joined
(portRef Z (instanceRef RWBank_3_0_2))
(portRef (member rwbank_3 5))
))
(net (rename RWBank_3_3 "RWBank_3[3]") (joined
(portRef Z (instanceRef RWBank_3_0_3))
(portRef (member rwbank_3 4))
))
(net (rename RWBank_3_5 "RWBank_3[5]") (joined
(portRef Z (instanceRef RWBank_3_0_5))
(portRef (member rwbank_3 2))
))
(net (rename RWBank_3_6 "RWBank_3[6]") (joined
(portRef Z (instanceRef RWBank_3_0_6))
(portRef (member rwbank_3 1))
))
(net (rename RWBank_3_7 "RWBank_3[7]") (joined
(portRef Z (instanceRef RWBank_3_0_7))
(portRef (member rwbank_3 0))
))
(net N_553 (joined
(portRef Z (instanceRef wb_dati_7_0_0_0_o2_3))
(portRef B (instanceRef wb_dati_7_0_0_a3_2_3))
))
(net (rename RC_3_2 "RC_3[2]") (joined
(portRef Z (instanceRef RC_3_0_0_2))
(portRef (member rc_3 0))
))
(net DOEEN (joined
(portRef DOEEN)
(portRef A (instanceRef un1_nDOE_i))
))
(net nDOE_c (joined
(portRef Z (instanceRef un1_nDOE_i))
(portRef nDOE_c)
))
(net Ready (joined
(portRef Ready)
(portRef B (instanceRef RDout_i_0_i_a3_0))
(portRef B (instanceRef RDout_i_0_i_a3_1))
(portRef B (instanceRef RDout_i_0_i_a3_2))
(portRef B (instanceRef RDout_i_0_i_a3_5))
(portRef B (instanceRef RDout_i_0_i_a3_6))
(portRef B (instanceRef RDout_i_0_i_a3_7))
(portRef B (instanceRef RDout_i_i_a3_4))
(portRef B (instanceRef N_263_i))
(portRef A (instanceRef RDOE_i))
(portRef B (instanceRef LEDEN_RNI6G6M))
))
(net LED_c (joined
(portRef Z (instanceRef LEDEN_RNI6G6M))
(portRef LED_c)
))
(net (rename RDOE_i_1z "RDOE_i") (joined
(portRef Z (instanceRef RDOE_i))
(portRef RDOE_i_1z)
))
(net N_866 (joined
(portRef Z (instanceRef nRAS_s_i_0_a3_6))
(portRef C (instanceRef nRAS_s_i_0_a3_0_RNIIR094))
(portRef A (instanceRef nRAS_s_i_0_a3_1))
))
(net N_241_i (joined
(portRef Z (instanceRef wb_adr_RNO_2_1))
(portRef C (instanceRef wb_adr_RNO_0_1))
))
(net (rename RA_0 "RA[0]") (joined
(portRef (member ra 11))
(portRef D (instanceRef RA_35_0_0_1_0))
(portRef A (instanceRef RWSel_2_0_a3_0_a3))
))
(net nC07X_c (joined
(portRef nC07X_c)
(portRef C (instanceRef RWSel_2_0_a3_0_a3))
))
(net RWSel_2 (joined
(portRef Z (instanceRef RWSel_2_0_a3_0_a3))
(portRef RWSel_2)
))
(net (rename FS_7 "FS[7]") (joined
(portRef (member fs 8))
(portRef A (instanceRef RA_35_0_0_0))
(portRef D (instanceRef Ready3_0_a3_3))
(portRef C (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_o3_0))
))
(net (rename un1_CmdSetRWBankFFChip13_1_i_0_0_o3_3_0 "un1_CmdSetRWBankFFChip13_1_i_0_0_o3_3[0]") (joined
(portRef Z (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_o3_3_0))
(portRef D (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_o3_0))
))
(net N_250 (joined
(portRef Z (instanceRef nRAS_s_i_0_m3))
(portRef C (instanceRef nRAS_s_i_0_o2_0))
))
(net (rename wb_dato_0 "wb_dato[0]") (joined
(portRef (member wb_dato 7) (instanceRef ufmefb))
(portRef C (instanceRef LEDEN_RNO))
(portRef C (instanceRef RWMask_RNO_0))
))
(net (rename wb_dato_1 "wb_dato[1]") (joined
(portRef (member wb_dato 6) (instanceRef ufmefb))
(portRef C (instanceRef RWMask_RNO_1))
))
(net (rename wb_dato_2 "wb_dato[2]") (joined
(portRef (member wb_dato 5) (instanceRef ufmefb))
(portRef C (instanceRef RWMask_RNO_2))
))
(net (rename wb_dato_3 "wb_dato[3]") (joined
(portRef (member wb_dato 4) (instanceRef ufmefb))
(portRef C (instanceRef RWMask_RNO_3))
))
(net (rename wb_dato_4 "wb_dato[4]") (joined
(portRef (member wb_dato 3) (instanceRef ufmefb))
(portRef C (instanceRef RWMask_RNO_4))
))
(net (rename wb_dato_5 "wb_dato[5]") (joined
(portRef (member wb_dato 2) (instanceRef ufmefb))
(portRef C (instanceRef RWMask_RNO_5))
))
(net (rename wb_dato_6 "wb_dato[6]") (joined
(portRef (member wb_dato 1) (instanceRef ufmefb))
(portRef C (instanceRef RWMask_RNO_6))
))
(net (rename FS_2 "FS[2]") (joined
(portRef (member fs 13))
(portRef B (instanceRef nRWE_s_i_0_63_1))
(portRef B (instanceRef nRAS_s_i_0_m3))
(portRef A (instanceRef Ready3_0_a3_4))
(portRef B (instanceRef un1_CmdSetRWBankFFChip13_1_i_0_0_o3_3_0))
(portRef B (instanceRef nCAS_s_i_0_m2))
))
(net N_508 (joined
(portRef Z (instanceRef DQMH_4_iv_0_0_i_i_a3_0_a3))
(portRef N_508)
))
(net N_814 (joined
(portRef Z (instanceRef CmdSetRWBankFFChip_3_0_a8_0_a3_0))
(portRef A (instanceRef CmdExecMXO2_3_0_a3_0))
))
(net (rename N_263_i_1z "N_263_i") (joined
(portRef Z (instanceRef N_263_i))
(portRef N_263_i_1z)
))
(net (rename RWBank_7 "RWBank[7]") (joined
(portRef (member rwbank 0))
(portRef A (instanceRef RA_35_2_30_a3_2))
))
(net (rename un9_VOEEN_0_a2_0_a3_0_a3_1z "un9_VOEEN_0_a2_0_a3_0_a3") (joined
(portRef Z (instanceRef un9_VOEEN_0_a2_0_a3_0_a3))
(portRef un9_VOEEN_0_a2_0_a3_0_a3_1z)
))
(net Vout3 (joined
(portRef Z (instanceRef Vout3_0_a3_0_a3_0_a3))
(portRef Vout3)
))
(net CmdLEDGet (joined
(portRef CmdLEDGet)
(portRef A (instanceRef RWBank_3_0_0_o3_0))
))
(net CmdSetRWBankFFLED (joined
(portRef CmdSetRWBankFFLED)
(portRef C (instanceRef RWBank_3_0_0_o3_0))
))
(net (rename wb_dato_7 "wb_dato[7]") (joined
(portRef (member wb_dato 0) (instanceRef ufmefb))
(portRef C (instanceRef RWMask_RNO_7))
))
(net N_648 (joined
(portRef Z (instanceRef RDout_i_i_a3_4))
(portRef N_648)
))
(net N_662 (joined
(portRef Z (instanceRef RDout_i_0_i_a3_7))
(portRef N_662)
))
(net N_663 (joined
(portRef Z (instanceRef RDout_i_0_i_a3_6))
(portRef N_663)
))
(net N_664 (joined
(portRef Z (instanceRef RDout_i_0_i_a3_5))
(portRef N_664)
))
(net N_665 (joined
(portRef Z (instanceRef RDout_i_0_i_a3_2))
(portRef N_665)
))
(net N_666 (joined
(portRef Z (instanceRef RDout_i_0_i_a3_1))
(portRef N_666)
))
(net N_667 (joined
(portRef Z (instanceRef RDout_i_0_i_a3_0))
(portRef N_667)
))
(net (rename CmdTout_3_0 "CmdTout_3[0]") (joined
(portRef Z (instanceRef CmdTout_3_0_a3_0_a3_0))
(portRef CmdTout_3_0)
))
(net N_821 (joined
(portRef Z (instanceRef RC_3_0_0_a3_1_1))
(portRef B (instanceRef CKE_7_bm))
))
(net nRWE_s_i_0_63_1 (joined
(portRef Z (instanceRef nRWE_s_i_0_63_1))
(portRef D (instanceRef S_r_i_0_o2_RNI62C53_1))
))
(net (rename S_r_i_0_o2_RNI62C53_1 "S_r_i_0_o2_RNI62C53[1]") (joined
(portRef Z (instanceRef S_r_i_0_o2_RNI62C53_1))
(portRef B (instanceRef nRAS_s_i_0_a3_0_RNIIR094))
))
(net (rename wb_adr_7_i_i_3_1_0 "wb_adr_7_i_i_3_1[0]") (joined
(portRef Z (instanceRef wb_adr_7_i_i_3_1_0))
(portRef D (instanceRef wb_adr_7_i_i_3_0))
))
(net (rename Ain_c_0 "Ain_c[0]") (joined
(portRef (member ain_c 7))
(portRef A (instanceRef RA_35_0_0_1_0))
))
(net (rename RA_35_0_0_1_0 "RA_35_0_0_1[0]") (joined
(portRef Z (instanceRef RA_35_0_0_1_0))
(portRef D (instanceRef RA_35_0_0_0))
))
(net (rename RA_35_0 "RA_35[0]") (joined
(portRef Z (instanceRef RA_35_0_0_0))
(portRef (member ra_35 11))
))
(net CmdLEDSet_3 (joined
(portRef Z (instanceRef CmdLEDSet_3_0_a8_0_a3))
(portRef CmdLEDSet_3)
))
(net CmdRWMaskSet_3 (joined
(portRef Z (instanceRef CmdRWMaskSet_3_0_a3))
(portRef CmdRWMaskSet_3)
))
(net N_359_i (joined
(portRef Z (instanceRef nRAS_s_i_0_a3_0_RNIIR094))
(portRef N_359_i)
))
)
(property orig_inst_of (string "RAM2E_UFM"))
)
)
(cell RAM2E (cellType GENERIC)
(view verilog (viewType NETLIST)
(interface
(port C14M (direction INPUT))
(port PHI1 (direction INPUT))
(port LED (direction OUTPUT))
(port nWE (direction INPUT))
(port nWE80 (direction INPUT))
(port nEN80 (direction INPUT))
(port nC07X (direction INPUT))
(port (array (rename ain "Ain[7:0]") 8) (direction INPUT))
(port (array (rename din "Din[7:0]") 8) (direction INPUT))
(port (array (rename dout "Dout[7:0]") 8) (direction OUTPUT))
(port nDOE (direction OUTPUT))
(port (array (rename vout "Vout[7:0]") 8) (direction OUTPUT))
(port nVOE (direction OUTPUT))
(port CKEout (direction OUTPUT))
(port nCSout (direction OUTPUT))
(port nRASout (direction OUTPUT))
(port nCASout (direction OUTPUT))
(port nRWEout (direction OUTPUT))
(port (array (rename ba "BA[1:0]") 2) (direction OUTPUT))
(port (array (rename raout "RAout[11:0]") 12) (direction OUTPUT))
(port DQML (direction OUTPUT))
(port DQMH (direction OUTPUT))
(port (array (rename rd "RD[7:0]") 8) (direction INOUT))
)
(contents
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(instance GSR_INST (viewRef PRIM (cellRef GSR (libraryRef LUCENT)))
)
(instance DOEEN_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C !A+C (!B !A))"))
)
(instance VOEEN_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C !A+C (!B !A))"))
)
(instance Ready_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B+A)"))
)
(instance (rename nCASout_CN "nCASout.CN") (viewRef PRIM (cellRef INV (libraryRef LUCENT))) )
(instance PHI1r_0io (viewRef PRIM (cellRef IFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance nRWEout_0io (viewRef PRIM (cellRef OFS1P3BX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance nRASout_0io (viewRef PRIM (cellRef OFS1P3BX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance nCASout_0io (viewRef PRIM (cellRef OFS1P3BX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename Vout_0io_0 "Vout_0io[0]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename Vout_0io_1 "Vout_0io[1]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename Vout_0io_2 "Vout_0io[2]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename Vout_0io_3 "Vout_0io[3]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename Vout_0io_4 "Vout_0io[4]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename Vout_0io_5 "Vout_0io[5]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename Vout_0io_6 "Vout_0io[6]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename Vout_0io_7 "Vout_0io[7]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename RAout_0io_0 "RAout_0io[0]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename RAout_0io_1 "RAout_0io[1]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename RAout_0io_2 "RAout_0io[2]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename RAout_0io_3 "RAout_0io[3]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename RAout_0io_4 "RAout_0io[4]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename RAout_0io_5 "RAout_0io[5]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename RAout_0io_6 "RAout_0io[6]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename RAout_0io_7 "RAout_0io[7]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename RAout_0io_8 "RAout_0io[8]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename RAout_0io_9 "RAout_0io[9]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename RAout_0io_10 "RAout_0io[10]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename RAout_0io_11 "RAout_0io[11]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance DQML_0io (viewRef PRIM (cellRef OFS1P3BX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance DQMH_0io (viewRef PRIM (cellRef OFS1P3BX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance CKEout_0io (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename BA_0io_0 "BA_0io[0]") (viewRef PRIM (cellRef OFS1P3IX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename BA_0io_1 "BA_0io[1]") (viewRef PRIM (cellRef OFS1P3IX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance nRWE (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT)))
)
(instance nRAS (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT)))
)
(instance nCAS (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT)))
)
(instance VOEEN (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT)))
)
(instance (rename S_0 "S[0]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename S_1 "S[1]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename S_2 "S[2]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename S_3 "S[3]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance Ready (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance RWSel (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RWBank_0 "RWBank[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RWBank_1 "RWBank[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RWBank_2 "RWBank[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RWBank_3 "RWBank[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RWBank_4 "RWBank[4]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RWBank_5 "RWBank[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RWBank_6 "RWBank[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RWBank_7 "RWBank[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RC_0 "RC[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RC_1 "RC[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RC_2 "RC[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RA_0 "RA[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RA_1 "RA[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RA_2 "RA[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RA_3 "RA[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RA_4 "RA[4]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RA_5 "RA[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RA_6 "RA[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RA_7 "RA[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RA_8 "RA[8]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RA_9 "RA[9]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RA_10 "RA[10]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename RA_11 "RA[11]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename FS_0 "FS[0]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_1 "FS[1]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_2 "FS[2]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_3 "FS[3]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_4 "FS[4]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_5 "FS[5]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_6 "FS[6]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_7 "FS[7]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_8 "FS[8]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_9 "FS[9]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_10 "FS[10]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_11 "FS[11]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_12 "FS[12]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_13 "FS[13]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_14 "FS[14]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_15 "FS[15]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance DOEEN (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT)))
)
(instance (rename CmdTout_0 "CmdTout[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename CmdTout_1 "CmdTout[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename CmdTout_2 "CmdTout[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance CmdSetRWBankFFLED (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance CmdRWMaskSet (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance CmdLEDSet (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance CmdLEDGet (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename CS_0 "CS[0]") (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT)))
)
(instance (rename CS_1 "CS[1]") (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT)))
)
(instance (rename CS_2 "CS[2]") (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT)))
)
(instance CKE (viewRef PRIM (cellRef FD1S3AY (libraryRef LUCENT)))
)
(instance (rename RD_pad_7 "RD_pad[7]") (viewRef PRIM (cellRef BB (libraryRef LUCENT))) )
(instance (rename RD_pad_6 "RD_pad[6]") (viewRef PRIM (cellRef BB (libraryRef LUCENT))) )
(instance (rename RD_pad_5 "RD_pad[5]") (viewRef PRIM (cellRef BB (libraryRef LUCENT))) )
(instance (rename RD_pad_4 "RD_pad[4]") (viewRef PRIM (cellRef BB (libraryRef LUCENT))) )
(instance (rename RD_pad_3 "RD_pad[3]") (viewRef PRIM (cellRef BB (libraryRef LUCENT))) )
(instance (rename RD_pad_2 "RD_pad[2]") (viewRef PRIM (cellRef BB (libraryRef LUCENT))) )
(instance (rename RD_pad_1 "RD_pad[1]") (viewRef PRIM (cellRef BB (libraryRef LUCENT))) )
(instance (rename RD_pad_0 "RD_pad[0]") (viewRef PRIM (cellRef BB (libraryRef LUCENT))) )
(instance DQMH_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance DQML_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename RAout_pad_11 "RAout_pad[11]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename RAout_pad_10 "RAout_pad[10]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename RAout_pad_9 "RAout_pad[9]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename RAout_pad_8 "RAout_pad[8]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename RAout_pad_7 "RAout_pad[7]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename RAout_pad_6 "RAout_pad[6]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename RAout_pad_5 "RAout_pad[5]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename RAout_pad_4 "RAout_pad[4]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename RAout_pad_3 "RAout_pad[3]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename RAout_pad_2 "RAout_pad[2]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename RAout_pad_1 "RAout_pad[1]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename RAout_pad_0 "RAout_pad[0]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename BA_pad_1 "BA_pad[1]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename BA_pad_0 "BA_pad[0]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance nRWEout_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance nCASout_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance nRASout_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance nCSout_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance CKEout_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance nVOE_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Vout_pad_7 "Vout_pad[7]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Vout_pad_6 "Vout_pad[6]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Vout_pad_5 "Vout_pad[5]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Vout_pad_4 "Vout_pad[4]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Vout_pad_3 "Vout_pad[3]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Vout_pad_2 "Vout_pad[2]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Vout_pad_1 "Vout_pad[1]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Vout_pad_0 "Vout_pad[0]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance nDOE_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Dout_pad_7 "Dout_pad[7]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Dout_pad_6 "Dout_pad[6]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Dout_pad_5 "Dout_pad[5]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Dout_pad_4 "Dout_pad[4]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Dout_pad_3 "Dout_pad[3]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Dout_pad_2 "Dout_pad[2]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Dout_pad_1 "Dout_pad[1]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Dout_pad_0 "Dout_pad[0]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Din_pad_7 "Din_pad[7]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename Din_pad_6 "Din_pad[6]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename Din_pad_5 "Din_pad[5]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename Din_pad_4 "Din_pad[4]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename Din_pad_3 "Din_pad[3]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename Din_pad_2 "Din_pad[2]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename Din_pad_1 "Din_pad[1]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename Din_pad_0 "Din_pad[0]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename Ain_pad_7 "Ain_pad[7]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename Ain_pad_6 "Ain_pad[6]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename Ain_pad_5 "Ain_pad[5]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename Ain_pad_4 "Ain_pad[4]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename Ain_pad_3 "Ain_pad[3]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename Ain_pad_2 "Ain_pad[2]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename Ain_pad_1 "Ain_pad[1]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename Ain_pad_0 "Ain_pad[0]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance nC07X_pad (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance nEN80_pad (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance nWE_pad (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance LED_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance PHI1_pad (viewRef PRIM (cellRef IB (libraryRef LUCENT)))
)
(instance C14M_pad (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance nVOE_pad_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B+A)"))
)
(instance (rename SZ0Z_1 "S_1") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (!B A))"))
)
(instance (rename FS_s_0_15 "FS_s_0[15]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x5002"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance (rename FS_cry_0_13 "FS_cry_0[13]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x300A"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance (rename FS_cry_0_11 "FS_cry_0[11]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x300A"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance (rename FS_cry_0_9 "FS_cry_0[9]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x300A"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance (rename FS_cry_0_7 "FS_cry_0[7]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x300A"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance (rename FS_cry_0_5 "FS_cry_0[5]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x300A"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance (rename FS_cry_0_3 "FS_cry_0[3]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x300A"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance (rename FS_cry_0_1 "FS_cry_0[1]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x300A"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance (rename FS_cry_0_0 "FS_cry_0[0]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x300A"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance ram2e_ufm (viewRef netlist (cellRef RAM2E_UFM))
)
(net un9_VOEEN_0_a2_0_a3_0_a3 (joined
(portRef un9_VOEEN_0_a2_0_a3_0_a3_1z (instanceRef ram2e_ufm))
(portRef SP (instanceRef RWSel))
))
(net (rename S_0 "S[0]") (joined
(portRef Q (instanceRef S_0))
(portRef (member s 3) (instanceRef ram2e_ufm))
(portRef C (instanceRef VOEEN_RNO))
(portRef C (instanceRef DOEEN_RNO))
))
(net (rename S_1 "S[1]") (joined
(portRef Q (instanceRef S_1))
(portRef (member s 2) (instanceRef ram2e_ufm))
(portRef B (instanceRef VOEEN_RNO))
(portRef B (instanceRef DOEEN_RNO))
))
(net (rename S_2 "S[2]") (joined
(portRef Q (instanceRef S_2))
(portRef (member s 1) (instanceRef ram2e_ufm))
(portRef A (instanceRef DOEEN_RNO))
))
(net (rename S_3 "S[3]") (joined
(portRef Q (instanceRef S_3))
(portRef (member s 0) (instanceRef ram2e_ufm))
(portRef D (instanceRef DOEEN))
(portRef A (instanceRef VOEEN_RNO))
))
(net (rename FS_0 "FS[0]") (joined
(portRef Q (instanceRef FS_0))
(portRef (member fs 15) (instanceRef ram2e_ufm))
(portRef A1 (instanceRef FS_cry_0_0))
))
(net (rename FS_1 "FS[1]") (joined
(portRef Q (instanceRef FS_1))
(portRef (member fs 14) (instanceRef ram2e_ufm))
(portRef A0 (instanceRef FS_cry_0_1))
))
(net (rename FS_2 "FS[2]") (joined
(portRef Q (instanceRef FS_2))
(portRef (member fs 13) (instanceRef ram2e_ufm))
(portRef A1 (instanceRef FS_cry_0_1))
))
(net (rename FS_3 "FS[3]") (joined
(portRef Q (instanceRef FS_3))
(portRef (member fs 12) (instanceRef ram2e_ufm))
(portRef A0 (instanceRef FS_cry_0_3))
))
(net (rename FS_4 "FS[4]") (joined
(portRef Q (instanceRef FS_4))
(portRef (member fs 11) (instanceRef ram2e_ufm))
(portRef A1 (instanceRef FS_cry_0_3))
))
(net (rename FS_5 "FS[5]") (joined
(portRef Q (instanceRef FS_5))
(portRef (member fs 10) (instanceRef ram2e_ufm))
(portRef A0 (instanceRef FS_cry_0_5))
))
(net (rename FS_6 "FS[6]") (joined
(portRef Q (instanceRef FS_6))
(portRef (member fs 9) (instanceRef ram2e_ufm))
(portRef A1 (instanceRef FS_cry_0_5))
))
(net (rename FS_7 "FS[7]") (joined
(portRef Q (instanceRef FS_7))
(portRef (member fs 8) (instanceRef ram2e_ufm))
(portRef A0 (instanceRef FS_cry_0_7))
))
(net (rename FS_8 "FS[8]") (joined
(portRef Q (instanceRef FS_8))
(portRef (member fs 7) (instanceRef ram2e_ufm))
(portRef A1 (instanceRef FS_cry_0_7))
))
(net (rename FS_9 "FS[9]") (joined
(portRef Q (instanceRef FS_9))
(portRef (member fs 6) (instanceRef ram2e_ufm))
(portRef A0 (instanceRef FS_cry_0_9))
))
(net (rename FS_10 "FS[10]") (joined
(portRef Q (instanceRef FS_10))
(portRef (member fs 5) (instanceRef ram2e_ufm))
(portRef A1 (instanceRef FS_cry_0_9))
))
(net (rename FS_11 "FS[11]") (joined
(portRef Q (instanceRef FS_11))
(portRef (member fs 4) (instanceRef ram2e_ufm))
(portRef A0 (instanceRef FS_cry_0_11))
))
(net (rename FS_12 "FS[12]") (joined
(portRef Q (instanceRef FS_12))
(portRef (member fs 3) (instanceRef ram2e_ufm))
(portRef A1 (instanceRef FS_cry_0_11))
))
(net (rename FS_13 "FS[13]") (joined
(portRef Q (instanceRef FS_13))
(portRef (member fs 2) (instanceRef ram2e_ufm))
(portRef A0 (instanceRef FS_cry_0_13))
))
(net (rename FS_14 "FS[14]") (joined
(portRef Q (instanceRef FS_14))
(portRef (member fs 1) (instanceRef ram2e_ufm))
(portRef A1 (instanceRef FS_cry_0_13))
))
(net (rename FS_15 "FS[15]") (joined
(portRef Q (instanceRef FS_15))
(portRef (member fs 0) (instanceRef ram2e_ufm))
(portRef A0 (instanceRef FS_s_0_15))
))
(net (rename CS_0 "CS[0]") (joined
(portRef Q (instanceRef CS_0))
(portRef (member cs 2) (instanceRef ram2e_ufm))
))
(net (rename CS_1 "CS[1]") (joined
(portRef Q (instanceRef CS_1))
(portRef (member cs 1) (instanceRef ram2e_ufm))
))
(net (rename CS_2 "CS[2]") (joined
(portRef Q (instanceRef CS_2))
(portRef (member cs 0) (instanceRef ram2e_ufm))
))
(net Ready (joined
(portRef Q (instanceRef Ready))
(portRef Ready (instanceRef ram2e_ufm))
(portRef C (instanceRef SZ0Z_1))
(portRef B (instanceRef Ready_RNO))
))
(net RWSel (joined
(portRef Q (instanceRef RWSel))
(portRef RWSel (instanceRef ram2e_ufm))
))
(net CmdRWMaskSet (joined
(portRef Q (instanceRef CmdRWMaskSet))
(portRef CmdRWMaskSet (instanceRef ram2e_ufm))
))
(net CmdLEDSet (joined
(portRef Q (instanceRef CmdLEDSet))
(portRef CmdLEDSet (instanceRef ram2e_ufm))
))
(net PHI1r (joined
(portRef Q (instanceRef PHI1r_0io))
(portRef B (instanceRef SZ0Z_1))
))
(net (rename RC_1 "RC[1]") (joined
(portRef Q (instanceRef RC_1))
(portRef (member rc 1) (instanceRef ram2e_ufm))
))
(net (rename RC_2 "RC[2]") (joined
(portRef Q (instanceRef RC_2))
(portRef (member rc 0) (instanceRef ram2e_ufm))
))
(net CO0_1 (joined
(portRef Q (instanceRef RC_0))
(portRef CO0_1 (instanceRef ram2e_ufm))
))
(net (rename RA_3 "RA[3]") (joined
(portRef Q (instanceRef RA_3))
(portRef (member ra 8) (instanceRef ram2e_ufm))
(portRef D (instanceRef RAout_0io_3))
))
(net (rename RWBank_0 "RWBank[0]") (joined
(portRef Q (instanceRef RWBank_0))
(portRef (member rwbank 7) (instanceRef ram2e_ufm))
))
(net CO0_0 (joined
(portRef Q (instanceRef CmdTout_0))
(portRef CO0_0 (instanceRef ram2e_ufm))
))
(net (rename CmdTout_1 "CmdTout[1]") (joined
(portRef Q (instanceRef CmdTout_1))
(portRef (member cmdtout 1) (instanceRef ram2e_ufm))
))
(net (rename CmdTout_2 "CmdTout[2]") (joined
(portRef Q (instanceRef CmdTout_2))
(portRef (member cmdtout 0) (instanceRef ram2e_ufm))
))
(net CmdLEDGet (joined
(portRef Q (instanceRef CmdLEDGet))
(portRef CmdLEDGet (instanceRef ram2e_ufm))
))
(net (rename SZ0Z_1 "S_1") (joined
(portRef Z (instanceRef SZ0Z_1))
(portRef S_1 (instanceRef ram2e_ufm))
))
(net DOEEN (joined
(portRef Q (instanceRef DOEEN))
(portRef DOEEN (instanceRef ram2e_ufm))
))
(net VOEEN (joined
(portRef Q (instanceRef VOEEN))
(portRef B (instanceRef nVOE_pad_RNO))
))
(net RC12 (joined
(portRef RC12 (instanceRef ram2e_ufm))
(portRef SP (instanceRef RC_2))
(portRef SP (instanceRef RC_1))
(portRef SP (instanceRef RC_0))
))
(net Vout3 (joined
(portRef Vout3 (instanceRef ram2e_ufm))
(portRef SP (instanceRef Vout_0io_7))
(portRef SP (instanceRef Vout_0io_6))
(portRef SP (instanceRef Vout_0io_5))
(portRef SP (instanceRef Vout_0io_4))
(portRef SP (instanceRef Vout_0io_3))
(portRef SP (instanceRef Vout_0io_2))
(portRef SP (instanceRef Vout_0io_1))
(portRef SP (instanceRef Vout_0io_0))
))
(net RWSel_2 (joined
(portRef RWSel_2 (instanceRef ram2e_ufm))
(portRef D (instanceRef RWSel))
))
(net (rename RA_0 "RA[0]") (joined
(portRef Q (instanceRef RA_0))
(portRef (member ra 11) (instanceRef ram2e_ufm))
(portRef D (instanceRef RAout_0io_0))
))
(net CmdSetRWBankFFLED (joined
(portRef Q (instanceRef CmdSetRWBankFFLED))
(portRef CmdSetRWBankFFLED (instanceRef ram2e_ufm))
))
(net Ready3 (joined
(portRef Ready3 (instanceRef ram2e_ufm))
(portRef A (instanceRef Ready_RNO))
))
(net BA_0_sqmuxa (joined
(portRef BA_0_sqmuxa (instanceRef ram2e_ufm))
(portRef CD (instanceRef BA_0io_1))
(portRef CD (instanceRef BA_0io_0))
))
(net (rename RWBank_3_0 "RWBank_3[0]") (joined
(portRef (member rwbank_3 7) (instanceRef ram2e_ufm))
(portRef D (instanceRef RWBank_0))
))
(net (rename RWBank_3_1 "RWBank_3[1]") (joined
(portRef (member rwbank_3 6) (instanceRef ram2e_ufm))
(portRef D (instanceRef RWBank_1))
))
(net (rename RWBank_3_2 "RWBank_3[2]") (joined
(portRef (member rwbank_3 5) (instanceRef ram2e_ufm))
(portRef D (instanceRef RWBank_2))
))
(net (rename RWBank_3_3 "RWBank_3[3]") (joined
(portRef (member rwbank_3 4) (instanceRef ram2e_ufm))
(portRef D (instanceRef RWBank_3))
))
(net (rename RWBank_3_4 "RWBank_3[4]") (joined
(portRef (member rwbank_3 3) (instanceRef ram2e_ufm))
(portRef D (instanceRef RWBank_4))
))
(net (rename RWBank_3_5 "RWBank_3[5]") (joined
(portRef (member rwbank_3 2) (instanceRef ram2e_ufm))
(portRef D (instanceRef RWBank_5))
))
(net (rename RWBank_3_6 "RWBank_3[6]") (joined
(portRef (member rwbank_3 1) (instanceRef ram2e_ufm))
(portRef D (instanceRef RWBank_6))
))
(net (rename RWBank_3_7 "RWBank_3[7]") (joined
(portRef (member rwbank_3 0) (instanceRef ram2e_ufm))
(portRef D (instanceRef RWBank_7))
))
(net CmdSetRWBankFFLED_4 (joined
(portRef CmdSetRWBankFFLED_4 (instanceRef ram2e_ufm))
(portRef D (instanceRef CmdSetRWBankFFLED))
))
(net CmdLEDGet_3 (joined
(portRef CmdLEDGet_3 (instanceRef ram2e_ufm))
(portRef D (instanceRef CmdLEDGet))
))
(net CmdLEDSet_3 (joined
(portRef CmdLEDSet_3 (instanceRef ram2e_ufm))
(portRef D (instanceRef CmdLEDSet))
))
(net CmdRWMaskSet_3 (joined
(portRef CmdRWMaskSet_3 (instanceRef ram2e_ufm))
(portRef D (instanceRef CmdRWMaskSet))
))
(net (rename CmdTout_3_0 "CmdTout_3[0]") (joined
(portRef CmdTout_3_0 (instanceRef ram2e_ufm))
(portRef D (instanceRef CmdTout_0))
))
(net (rename RWBank_1 "RWBank[1]") (joined
(portRef Q (instanceRef RWBank_1))
(portRef (member rwbank 6) (instanceRef ram2e_ufm))
))
(net (rename RWBank_2 "RWBank[2]") (joined
(portRef Q (instanceRef RWBank_2))
(portRef (member rwbank 5) (instanceRef ram2e_ufm))
))
(net (rename RWBank_3 "RWBank[3]") (joined
(portRef Q (instanceRef RWBank_3))
(portRef (member rwbank 4) (instanceRef ram2e_ufm))
))
(net (rename RWBank_4 "RWBank[4]") (joined
(portRef Q (instanceRef RWBank_4))
(portRef (member rwbank 3) (instanceRef ram2e_ufm))
))
(net (rename RWBank_5 "RWBank[5]") (joined
(portRef Q (instanceRef RWBank_5))
(portRef (member rwbank 2) (instanceRef ram2e_ufm))
))
(net (rename RWBank_6 "RWBank[6]") (joined
(portRef Q (instanceRef RWBank_6))
(portRef (member rwbank 1) (instanceRef ram2e_ufm))
))
(net (rename RWBank_7 "RWBank[7]") (joined
(portRef Q (instanceRef RWBank_7))
(portRef (member rwbank 0) (instanceRef ram2e_ufm))
))
(net (rename BA_4_0 "BA_4[0]") (joined
(portRef (member ba_4 1) (instanceRef ram2e_ufm))
(portRef D (instanceRef BA_0io_0))
))
(net (rename BA_4_1 "BA_4[1]") (joined
(portRef (member ba_4 0) (instanceRef ram2e_ufm))
(portRef D (instanceRef BA_0io_1))
))
(net (rename RA_1 "RA[1]") (joined
(portRef Q (instanceRef RA_1))
(portRef (member ra 10) (instanceRef ram2e_ufm))
(portRef D (instanceRef RAout_0io_1))
))
(net (rename RA_2 "RA[2]") (joined
(portRef Q (instanceRef RA_2))
(portRef (member ra 9) (instanceRef ram2e_ufm))
(portRef D (instanceRef RAout_0io_2))
))
(net (rename RA_4 "RA[4]") (joined
(portRef Q (instanceRef RA_4))
(portRef (member ra 7) (instanceRef ram2e_ufm))
(portRef D (instanceRef RAout_0io_4))
))
(net (rename RA_5 "RA[5]") (joined
(portRef Q (instanceRef RA_5))
(portRef (member ra 6) (instanceRef ram2e_ufm))
(portRef D (instanceRef RAout_0io_5))
))
(net (rename RA_6 "RA[6]") (joined
(portRef Q (instanceRef RA_6))
(portRef (member ra 5) (instanceRef ram2e_ufm))
(portRef D (instanceRef RAout_0io_6))
))
(net (rename RA_7 "RA[7]") (joined
(portRef Q (instanceRef RA_7))
(portRef (member ra 4) (instanceRef ram2e_ufm))
(portRef D (instanceRef RAout_0io_7))
))
(net (rename RA_8 "RA[8]") (joined
(portRef Q (instanceRef RA_8))
(portRef (member ra 3) (instanceRef ram2e_ufm))
(portRef D (instanceRef RAout_0io_8))
))
(net (rename RA_9 "RA[9]") (joined
(portRef Q (instanceRef RA_9))
(portRef (member ra 2) (instanceRef ram2e_ufm))
(portRef D (instanceRef RAout_0io_9))
))
(net (rename RA_10 "RA[10]") (joined
(portRef Q (instanceRef RA_10))
(portRef (member ra 1) (instanceRef ram2e_ufm))
(portRef D (instanceRef RAout_0io_10))
))
(net (rename RA_11 "RA[11]") (joined
(portRef Q (instanceRef RA_11))
(portRef (member ra 0) (instanceRef ram2e_ufm))
(portRef D (instanceRef RAout_0io_11))
))
(net CKE (joined
(portRef Q (instanceRef CKE))
(portRef D (instanceRef CKEout_0io))
))
(net nRWE (joined
(portRef Q (instanceRef nRWE))
(portRef D (instanceRef nRWEout_0io))
))
(net nCAS (joined
(portRef Q (instanceRef nCAS))
(portRef D (instanceRef nCASout_0io))
))
(net nRAS (joined
(portRef Q (instanceRef nRAS))
(portRef D (instanceRef nRASout_0io))
))
(net (rename S_s_0_0_0 "S_s_0_0[0]") (joined
(portRef S_s_0_0_0 (instanceRef ram2e_ufm))
(portRef D (instanceRef S_0))
))
(net CmdExecMXO2_3_0_a3_0_RNI6S1P8 (joined
(portRef CmdExecMXO2_3_0_a3_0_RNI6S1P8_1z (instanceRef ram2e_ufm))
(portRef D (instanceRef CS_1))
))
(net CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514 (joined
(portRef CmdSetRWBankFFChip_3_0_a8_0_o3_RNIC4514_1z (instanceRef ram2e_ufm))
(portRef D (instanceRef CS_2))
))
(net (rename RA_35_0 "RA_35[0]") (joined
(portRef (member ra_35 11) (instanceRef ram2e_ufm))
(portRef D (instanceRef RA_0))
))
(net (rename RA_35_2 "RA_35[2]") (joined
(portRef (member ra_35 9) (instanceRef ram2e_ufm))
(portRef D (instanceRef RA_2))
))
(net (rename RA_35_3 "RA_35[3]") (joined
(portRef (member ra_35 8) (instanceRef ram2e_ufm))
(portRef D (instanceRef RA_3))
))
(net (rename RA_35_4 "RA_35[4]") (joined
(portRef (member ra_35 7) (instanceRef ram2e_ufm))
(portRef D (instanceRef RA_4))
))
(net (rename RA_35_5 "RA_35[5]") (joined
(portRef (member ra_35 6) (instanceRef ram2e_ufm))
(portRef D (instanceRef RA_5))
))
(net (rename RA_35_6 "RA_35[6]") (joined
(portRef (member ra_35 5) (instanceRef ram2e_ufm))
(portRef D (instanceRef RA_6))
))
(net (rename RA_35_7 "RA_35[7]") (joined
(portRef (member ra_35 4) (instanceRef ram2e_ufm))
(portRef D (instanceRef RA_7))
))
(net (rename RA_35_9 "RA_35[9]") (joined
(portRef (member ra_35 2) (instanceRef ram2e_ufm))
(portRef D (instanceRef RA_9))
))
(net (rename RA_35_10 "RA_35[10]") (joined
(portRef (member ra_35 1) (instanceRef ram2e_ufm))
(portRef D (instanceRef RA_10))
))
(net (rename RA_35_11 "RA_35[11]") (joined
(portRef (member ra_35 0) (instanceRef ram2e_ufm))
(portRef D (instanceRef RA_11))
))
(net un2_S_2_i_0_0_o3_RNIHFHN3 (joined
(portRef un2_S_2_i_0_0_o3_RNIHFHN3_1z (instanceRef ram2e_ufm))
(portRef D (instanceRef RA_8))
))
(net N_126 (joined
(portRef N_126 (instanceRef ram2e_ufm))
(portRef SP (instanceRef RA_11))
(portRef SP (instanceRef RA_10))
(portRef SP (instanceRef RA_9))
(portRef SP (instanceRef RA_8))
(portRef SP (instanceRef RA_7))
(portRef SP (instanceRef RA_6))
(portRef SP (instanceRef RA_5))
(portRef SP (instanceRef RA_4))
(portRef SP (instanceRef RA_3))
(portRef SP (instanceRef RA_2))
(portRef SP (instanceRef RA_1))
(portRef SP (instanceRef RA_0))
))
(net N_223 (joined
(portRef N_223 (instanceRef ram2e_ufm))
(portRef D (instanceRef RA_1))
))
(net N_508 (joined
(portRef N_508 (instanceRef ram2e_ufm))
(portRef D (instanceRef DQMH_0io))
))
(net N_648 (joined
(portRef N_648 (instanceRef ram2e_ufm))
(portRef I (instanceRef RD_pad_4))
))
(net N_662 (joined
(portRef N_662 (instanceRef ram2e_ufm))
(portRef I (instanceRef RD_pad_7))
))
(net N_663 (joined
(portRef N_663 (instanceRef ram2e_ufm))
(portRef I (instanceRef RD_pad_6))
))
(net N_664 (joined
(portRef N_664 (instanceRef ram2e_ufm))
(portRef I (instanceRef RD_pad_5))
))
(net N_665 (joined
(portRef N_665 (instanceRef ram2e_ufm))
(portRef I (instanceRef RD_pad_2))
))
(net N_666 (joined
(portRef N_666 (instanceRef ram2e_ufm))
(portRef I (instanceRef RD_pad_1))
))
(net N_667 (joined
(portRef N_667 (instanceRef ram2e_ufm))
(portRef I (instanceRef RD_pad_0))
))
(net CKE_7_RNIS77M1 (joined
(portRef CKE_7_RNIS77M1_1z (instanceRef ram2e_ufm))
(portRef D (instanceRef CKE))
))
(net N_551 (joined
(portRef N_551 (instanceRef ram2e_ufm))
(portRef D (instanceRef VOEEN))
))
(net (rename RC_3_1 "RC_3[1]") (joined
(portRef (member rc_3 1) (instanceRef ram2e_ufm))
(portRef D (instanceRef RC_1))
))
(net (rename RC_3_2 "RC_3[2]") (joined
(portRef (member rc_3 0) (instanceRef ram2e_ufm))
(portRef D (instanceRef RC_2))
))
(net RDOE_i (joined
(portRef RDOE_i_1z (instanceRef ram2e_ufm))
(portRef T (instanceRef RD_pad_0))
(portRef T (instanceRef RD_pad_1))
(portRef T (instanceRef RD_pad_2))
(portRef T (instanceRef RD_pad_3))
(portRef T (instanceRef RD_pad_4))
(portRef T (instanceRef RD_pad_5))
(portRef T (instanceRef RD_pad_6))
(portRef T (instanceRef RD_pad_7))
))
(net N_263_i (joined
(portRef N_263_i_1z (instanceRef ram2e_ufm))
(portRef I (instanceRef RD_pad_3))
))
(net N_370_i (joined
(portRef N_370_i (instanceRef ram2e_ufm))
(portRef D (instanceRef nCAS))
))
(net N_359_i (joined
(portRef N_359_i (instanceRef ram2e_ufm))
(portRef D (instanceRef nRWE))
))
(net N_372_i (joined
(portRef N_372_i (instanceRef ram2e_ufm))
(portRef D (instanceRef S_3))
))
(net N_361_i (joined
(portRef N_361_i (instanceRef ram2e_ufm))
(portRef D (instanceRef S_2))
))
(net N_362_i (joined
(portRef N_362_i (instanceRef ram2e_ufm))
(portRef D (instanceRef S_1))
))
(net N_358_i (joined
(portRef N_358_i (instanceRef ram2e_ufm))
(portRef D (instanceRef nRAS))
))
(net un1_CS_0_sqmuxa_i (joined
(portRef un1_CS_0_sqmuxa_i (instanceRef ram2e_ufm))
(portRef CD (instanceRef CS_2))
(portRef CD (instanceRef CS_1))
(portRef CD (instanceRef CS_0))
))
(net N_547_i (joined
(portRef N_547_i (instanceRef ram2e_ufm))
(portRef D (instanceRef CS_0))
))
(net N_360_i (joined
(portRef N_360_i_1z (instanceRef ram2e_ufm))
(portRef D (instanceRef RC_0))
))
(net N_369_i (joined
(portRef N_369_i_1z (instanceRef ram2e_ufm))
(portRef D (instanceRef CmdTout_2))
))
(net N_368_i (joined
(portRef N_368_i_1z (instanceRef ram2e_ufm))
(portRef D (instanceRef CmdTout_1))
))
(net N_225_i (joined
(portRef N_225_i_1z (instanceRef ram2e_ufm))
(portRef SP (instanceRef BA_0io_1))
(portRef SP (instanceRef BA_0io_0))
))
(net N_201_i (joined
(portRef N_201_i_1z (instanceRef ram2e_ufm))
(portRef SP (instanceRef DQMH_0io))
(portRef SP (instanceRef DQML_0io))
))
(net N_507_i (joined
(portRef N_507_i_1z (instanceRef ram2e_ufm))
(portRef D (instanceRef DQML_0io))
))
(net (rename FS_cry_0 "FS_cry[0]") (joined
(portRef COUT (instanceRef FS_cry_0_0))
(portRef CIN (instanceRef FS_cry_0_1))
))
(net (rename FS_s_0 "FS_s[0]") (joined
(portRef S1 (instanceRef FS_cry_0_0))
(portRef D (instanceRef FS_0))
))
(net (rename FS_s_1 "FS_s[1]") (joined
(portRef S0 (instanceRef FS_cry_0_1))
(portRef D (instanceRef FS_1))
))
(net (rename FS_cry_2 "FS_cry[2]") (joined
(portRef COUT (instanceRef FS_cry_0_1))
(portRef CIN (instanceRef FS_cry_0_3))
))
(net (rename FS_s_2 "FS_s[2]") (joined
(portRef S1 (instanceRef FS_cry_0_1))
(portRef D (instanceRef FS_2))
))
(net (rename FS_s_3 "FS_s[3]") (joined
(portRef S0 (instanceRef FS_cry_0_3))
(portRef D (instanceRef FS_3))
))
(net (rename FS_cry_4 "FS_cry[4]") (joined
(portRef COUT (instanceRef FS_cry_0_3))
(portRef CIN (instanceRef FS_cry_0_5))
))
(net (rename FS_s_4 "FS_s[4]") (joined
(portRef S1 (instanceRef FS_cry_0_3))
(portRef D (instanceRef FS_4))
))
(net (rename FS_s_5 "FS_s[5]") (joined
(portRef S0 (instanceRef FS_cry_0_5))
(portRef D (instanceRef FS_5))
))
(net (rename FS_cry_6 "FS_cry[6]") (joined
(portRef COUT (instanceRef FS_cry_0_5))
(portRef CIN (instanceRef FS_cry_0_7))
))
(net (rename FS_s_6 "FS_s[6]") (joined
(portRef S1 (instanceRef FS_cry_0_5))
(portRef D (instanceRef FS_6))
))
(net (rename FS_s_7 "FS_s[7]") (joined
(portRef S0 (instanceRef FS_cry_0_7))
(portRef D (instanceRef FS_7))
))
(net (rename FS_cry_8 "FS_cry[8]") (joined
(portRef COUT (instanceRef FS_cry_0_7))
(portRef CIN (instanceRef FS_cry_0_9))
))
(net (rename FS_s_8 "FS_s[8]") (joined
(portRef S1 (instanceRef FS_cry_0_7))
(portRef D (instanceRef FS_8))
))
(net (rename FS_s_9 "FS_s[9]") (joined
(portRef S0 (instanceRef FS_cry_0_9))
(portRef D (instanceRef FS_9))
))
(net (rename FS_cry_10 "FS_cry[10]") (joined
(portRef COUT (instanceRef FS_cry_0_9))
(portRef CIN (instanceRef FS_cry_0_11))
))
(net (rename FS_s_10 "FS_s[10]") (joined
(portRef S1 (instanceRef FS_cry_0_9))
(portRef D (instanceRef FS_10))
))
(net (rename FS_s_11 "FS_s[11]") (joined
(portRef S0 (instanceRef FS_cry_0_11))
(portRef D (instanceRef FS_11))
))
(net (rename FS_cry_12 "FS_cry[12]") (joined
(portRef COUT (instanceRef FS_cry_0_11))
(portRef CIN (instanceRef FS_cry_0_13))
))
(net (rename FS_s_12 "FS_s[12]") (joined
(portRef S1 (instanceRef FS_cry_0_11))
(portRef D (instanceRef FS_12))
))
(net (rename FS_s_13 "FS_s[13]") (joined
(portRef S0 (instanceRef FS_cry_0_13))
(portRef D (instanceRef FS_13))
))
(net (rename FS_cry_14 "FS_cry[14]") (joined
(portRef COUT (instanceRef FS_cry_0_13))
(portRef CIN (instanceRef FS_s_0_15))
))
(net (rename FS_s_14 "FS_s[14]") (joined
(portRef S1 (instanceRef FS_cry_0_13))
(portRef D (instanceRef FS_14))
))
(net (rename FS_s_15 "FS_s[15]") (joined
(portRef S0 (instanceRef FS_s_0_15))
(portRef D (instanceRef FS_15))
))
(net (rename FS_cry_0_S0_0 "FS_cry_0_S0[0]") (joined
(portRef S0 (instanceRef FS_cry_0_0))
))
(net (rename FS_s_0_S1_15 "FS_s_0_S1[15]") (joined
(portRef S1 (instanceRef FS_s_0_15))
))
(net (rename FS_s_0_COUT_15 "FS_s_0_COUT[15]") (joined
(portRef COUT (instanceRef FS_s_0_15))
))
(net (rename CKEout_CN "CKEout.CN") (joined
(portRef Z (instanceRef nCASout_CN))
(portRef SCLK (instanceRef CKEout_0io))
(portRef SCLK (instanceRef RAout_0io_11))
(portRef SCLK (instanceRef RAout_0io_10))
(portRef SCLK (instanceRef RAout_0io_9))
(portRef SCLK (instanceRef RAout_0io_8))
(portRef SCLK (instanceRef RAout_0io_7))
(portRef SCLK (instanceRef RAout_0io_6))
(portRef SCLK (instanceRef RAout_0io_5))
(portRef SCLK (instanceRef RAout_0io_4))
(portRef SCLK (instanceRef RAout_0io_3))
(portRef SCLK (instanceRef RAout_0io_2))
(portRef SCLK (instanceRef RAout_0io_1))
(portRef SCLK (instanceRef RAout_0io_0))
(portRef SCLK (instanceRef nCASout_0io))
(portRef SCLK (instanceRef nRASout_0io))
(portRef SCLK (instanceRef nRWEout_0io))
))
(net VCC (joined
(portRef Z (instanceRef VCC))
(portRef B0 (instanceRef FS_cry_0_0))
(portRef SP (instanceRef CKEout_0io))
(portRef SP (instanceRef RAout_0io_11))
(portRef SP (instanceRef RAout_0io_10))
(portRef SP (instanceRef RAout_0io_9))
(portRef SP (instanceRef RAout_0io_8))
(portRef SP (instanceRef RAout_0io_7))
(portRef SP (instanceRef RAout_0io_6))
(portRef SP (instanceRef RAout_0io_5))
(portRef SP (instanceRef RAout_0io_4))
(portRef SP (instanceRef RAout_0io_3))
(portRef SP (instanceRef RAout_0io_2))
(portRef SP (instanceRef RAout_0io_1))
(portRef SP (instanceRef RAout_0io_0))
(portRef SP (instanceRef nCASout_0io))
(portRef SP (instanceRef nRASout_0io))
(portRef SP (instanceRef nRWEout_0io))
(portRef SP (instanceRef PHI1r_0io))
(portRef GSR (instanceRef GSR_INST))
))
(net GND (joined
(portRef Z (instanceRef GND))
(portRef D1 (instanceRef FS_cry_0_0))
(portRef C1 (instanceRef FS_cry_0_0))
(portRef B1 (instanceRef FS_cry_0_0))
(portRef D0 (instanceRef FS_cry_0_0))
(portRef C0 (instanceRef FS_cry_0_0))
(portRef A0 (instanceRef FS_cry_0_0))
(portRef D1 (instanceRef FS_cry_0_1))
(portRef C1 (instanceRef FS_cry_0_1))
(portRef B1 (instanceRef FS_cry_0_1))
(portRef D0 (instanceRef FS_cry_0_1))
(portRef C0 (instanceRef FS_cry_0_1))
(portRef B0 (instanceRef FS_cry_0_1))
(portRef D1 (instanceRef FS_cry_0_3))
(portRef C1 (instanceRef FS_cry_0_3))
(portRef B1 (instanceRef FS_cry_0_3))
(portRef D0 (instanceRef FS_cry_0_3))
(portRef C0 (instanceRef FS_cry_0_3))
(portRef B0 (instanceRef FS_cry_0_3))
(portRef D1 (instanceRef FS_cry_0_5))
(portRef C1 (instanceRef FS_cry_0_5))
(portRef B1 (instanceRef FS_cry_0_5))
(portRef D0 (instanceRef FS_cry_0_5))
(portRef C0 (instanceRef FS_cry_0_5))
(portRef B0 (instanceRef FS_cry_0_5))
(portRef D1 (instanceRef FS_cry_0_7))
(portRef C1 (instanceRef FS_cry_0_7))
(portRef B1 (instanceRef FS_cry_0_7))
(portRef D0 (instanceRef FS_cry_0_7))
(portRef C0 (instanceRef FS_cry_0_7))
(portRef B0 (instanceRef FS_cry_0_7))
(portRef D1 (instanceRef FS_cry_0_9))
(portRef C1 (instanceRef FS_cry_0_9))
(portRef B1 (instanceRef FS_cry_0_9))
(portRef D0 (instanceRef FS_cry_0_9))
(portRef C0 (instanceRef FS_cry_0_9))
(portRef B0 (instanceRef FS_cry_0_9))
(portRef D1 (instanceRef FS_cry_0_11))
(portRef C1 (instanceRef FS_cry_0_11))
(portRef B1 (instanceRef FS_cry_0_11))
(portRef D0 (instanceRef FS_cry_0_11))
(portRef C0 (instanceRef FS_cry_0_11))
(portRef B0 (instanceRef FS_cry_0_11))
(portRef D1 (instanceRef FS_cry_0_13))
(portRef C1 (instanceRef FS_cry_0_13))
(portRef B1 (instanceRef FS_cry_0_13))
(portRef D0 (instanceRef FS_cry_0_13))
(portRef C0 (instanceRef FS_cry_0_13))
(portRef B0 (instanceRef FS_cry_0_13))
(portRef D1 (instanceRef FS_s_0_15))
(portRef C1 (instanceRef FS_s_0_15))
(portRef B1 (instanceRef FS_s_0_15))
(portRef A1 (instanceRef FS_s_0_15))
(portRef D0 (instanceRef FS_s_0_15))
(portRef C0 (instanceRef FS_s_0_15))
(portRef B0 (instanceRef FS_s_0_15))
(portRef I (instanceRef nCSout_pad))
(portRef CD (instanceRef CKEout_0io))
(portRef PD (instanceRef DQMH_0io))
(portRef PD (instanceRef DQML_0io))
(portRef CD (instanceRef RAout_0io_11))
(portRef CD (instanceRef RAout_0io_10))
(portRef CD (instanceRef RAout_0io_9))
(portRef CD (instanceRef RAout_0io_8))
(portRef CD (instanceRef RAout_0io_7))
(portRef CD (instanceRef RAout_0io_6))
(portRef CD (instanceRef RAout_0io_5))
(portRef CD (instanceRef RAout_0io_4))
(portRef CD (instanceRef RAout_0io_3))
(portRef CD (instanceRef RAout_0io_2))
(portRef CD (instanceRef RAout_0io_1))
(portRef CD (instanceRef RAout_0io_0))
(portRef CD (instanceRef Vout_0io_7))
(portRef CD (instanceRef Vout_0io_6))
(portRef CD (instanceRef Vout_0io_5))
(portRef CD (instanceRef Vout_0io_4))
(portRef CD (instanceRef Vout_0io_3))
(portRef CD (instanceRef Vout_0io_2))
(portRef CD (instanceRef Vout_0io_1))
(portRef CD (instanceRef Vout_0io_0))
(portRef PD (instanceRef nCASout_0io))
(portRef PD (instanceRef nRASout_0io))
(portRef PD (instanceRef nRWEout_0io))
(portRef CD (instanceRef PHI1r_0io))
))
(net C14M_c (joined
(portRef O (instanceRef C14M_pad))
(portRef C14M_c (instanceRef ram2e_ufm))
(portRef CK (instanceRef CKE))
(portRef CK (instanceRef CS_2))
(portRef CK (instanceRef CS_1))
(portRef CK (instanceRef CS_0))
(portRef CK (instanceRef CmdLEDGet))
(portRef CK (instanceRef CmdLEDSet))
(portRef CK (instanceRef CmdRWMaskSet))
(portRef CK (instanceRef CmdSetRWBankFFLED))
(portRef CK (instanceRef CmdTout_2))
(portRef CK (instanceRef CmdTout_1))
(portRef CK (instanceRef CmdTout_0))
(portRef CK (instanceRef DOEEN))
(portRef CK (instanceRef FS_15))
(portRef CK (instanceRef FS_14))
(portRef CK (instanceRef FS_13))
(portRef CK (instanceRef FS_12))
(portRef CK (instanceRef FS_11))
(portRef CK (instanceRef FS_10))
(portRef CK (instanceRef FS_9))
(portRef CK (instanceRef FS_8))
(portRef CK (instanceRef FS_7))
(portRef CK (instanceRef FS_6))
(portRef CK (instanceRef FS_5))
(portRef CK (instanceRef FS_4))
(portRef CK (instanceRef FS_3))
(portRef CK (instanceRef FS_2))
(portRef CK (instanceRef FS_1))
(portRef CK (instanceRef FS_0))
(portRef CK (instanceRef RA_11))
(portRef CK (instanceRef RA_10))
(portRef CK (instanceRef RA_9))
(portRef CK (instanceRef RA_8))
(portRef CK (instanceRef RA_7))
(portRef CK (instanceRef RA_6))
(portRef CK (instanceRef RA_5))
(portRef CK (instanceRef RA_4))
(portRef CK (instanceRef RA_3))
(portRef CK (instanceRef RA_2))
(portRef CK (instanceRef RA_1))
(portRef CK (instanceRef RA_0))
(portRef CK (instanceRef RC_2))
(portRef CK (instanceRef RC_1))
(portRef CK (instanceRef RC_0))
(portRef CK (instanceRef RWBank_7))
(portRef CK (instanceRef RWBank_6))
(portRef CK (instanceRef RWBank_5))
(portRef CK (instanceRef RWBank_4))
(portRef CK (instanceRef RWBank_3))
(portRef CK (instanceRef RWBank_2))
(portRef CK (instanceRef RWBank_1))
(portRef CK (instanceRef RWBank_0))
(portRef CK (instanceRef RWSel))
(portRef CK (instanceRef Ready))
(portRef CK (instanceRef S_3))
(portRef CK (instanceRef S_2))
(portRef CK (instanceRef S_1))
(portRef CK (instanceRef S_0))
(portRef CK (instanceRef VOEEN))
(portRef CK (instanceRef nCAS))
(portRef CK (instanceRef nRAS))
(portRef CK (instanceRef nRWE))
(portRef SCLK (instanceRef BA_0io_1))
(portRef SCLK (instanceRef BA_0io_0))
(portRef SCLK (instanceRef DQMH_0io))
(portRef SCLK (instanceRef DQML_0io))
(portRef SCLK (instanceRef Vout_0io_7))
(portRef SCLK (instanceRef Vout_0io_6))
(portRef SCLK (instanceRef Vout_0io_5))
(portRef SCLK (instanceRef Vout_0io_4))
(portRef SCLK (instanceRef Vout_0io_3))
(portRef SCLK (instanceRef Vout_0io_2))
(portRef SCLK (instanceRef Vout_0io_1))
(portRef SCLK (instanceRef Vout_0io_0))
(portRef SCLK (instanceRef PHI1r_0io))
(portRef A (instanceRef nCASout_CN))
))
(net C14M (joined
(portRef C14M)
(portRef I (instanceRef C14M_pad))
))
(net PHI1_c (joined
(portRef O (instanceRef PHI1_pad))
(portRef A (instanceRef SZ0Z_1))
(portRef A (instanceRef nVOE_pad_RNO))
(portRef D (instanceRef PHI1r_0io))
))
(net PHI1 (joined
(portRef PHI1)
(portRef I (instanceRef PHI1_pad))
))
(net LED_c (joined
(portRef LED_c (instanceRef ram2e_ufm))
(portRef I (instanceRef LED_pad))
))
(net LED (joined
(portRef O (instanceRef LED_pad))
(portRef LED)
))
(net nWE_c (joined
(portRef O (instanceRef nWE_pad))
(portRef nWE_c (instanceRef ram2e_ufm))
))
(net nWE (joined
(portRef nWE)
(portRef I (instanceRef nWE_pad))
))
(net nWE80 (joined
(portRef nWE80)
))
(net nEN80_c (joined
(portRef O (instanceRef nEN80_pad))
(portRef nEN80_c (instanceRef ram2e_ufm))
))
(net nEN80 (joined
(portRef nEN80)
(portRef I (instanceRef nEN80_pad))
))
(net nC07X_c (joined
(portRef O (instanceRef nC07X_pad))
(portRef nC07X_c (instanceRef ram2e_ufm))
))
(net nC07X (joined
(portRef nC07X)
(portRef I (instanceRef nC07X_pad))
))
(net (rename Ain_c_0 "Ain_c[0]") (joined
(portRef O (instanceRef Ain_pad_0))
(portRef (member ain_c 7) (instanceRef ram2e_ufm))
))
(net (rename Ain_0 "Ain[0]") (joined
(portRef (member ain 7))
(portRef I (instanceRef Ain_pad_0))
))
(net (rename Ain_c_1 "Ain_c[1]") (joined
(portRef O (instanceRef Ain_pad_1))
(portRef (member ain_c 6) (instanceRef ram2e_ufm))
))
(net (rename Ain_1 "Ain[1]") (joined
(portRef (member ain 6))
(portRef I (instanceRef Ain_pad_1))
))
(net (rename Ain_c_2 "Ain_c[2]") (joined
(portRef O (instanceRef Ain_pad_2))
(portRef (member ain_c 5) (instanceRef ram2e_ufm))
))
(net (rename Ain_2 "Ain[2]") (joined
(portRef (member ain 5))
(portRef I (instanceRef Ain_pad_2))
))
(net (rename Ain_c_3 "Ain_c[3]") (joined
(portRef O (instanceRef Ain_pad_3))
(portRef (member ain_c 4) (instanceRef ram2e_ufm))
))
(net (rename Ain_3 "Ain[3]") (joined
(portRef (member ain 4))
(portRef I (instanceRef Ain_pad_3))
))
(net (rename Ain_c_4 "Ain_c[4]") (joined
(portRef O (instanceRef Ain_pad_4))
(portRef (member ain_c 3) (instanceRef ram2e_ufm))
))
(net (rename Ain_4 "Ain[4]") (joined
(portRef (member ain 3))
(portRef I (instanceRef Ain_pad_4))
))
(net (rename Ain_c_5 "Ain_c[5]") (joined
(portRef O (instanceRef Ain_pad_5))
(portRef (member ain_c 2) (instanceRef ram2e_ufm))
))
(net (rename Ain_5 "Ain[5]") (joined
(portRef (member ain 2))
(portRef I (instanceRef Ain_pad_5))
))
(net (rename Ain_c_6 "Ain_c[6]") (joined
(portRef O (instanceRef Ain_pad_6))
(portRef (member ain_c 1) (instanceRef ram2e_ufm))
))
(net (rename Ain_6 "Ain[6]") (joined
(portRef (member ain 1))
(portRef I (instanceRef Ain_pad_6))
))
(net (rename Ain_c_7 "Ain_c[7]") (joined
(portRef O (instanceRef Ain_pad_7))
(portRef (member ain_c 0) (instanceRef ram2e_ufm))
))
(net (rename Ain_7 "Ain[7]") (joined
(portRef (member ain 0))
(portRef I (instanceRef Ain_pad_7))
))
(net (rename Din_c_0 "Din_c[0]") (joined
(portRef O (instanceRef Din_pad_0))
(portRef (member din_c 7) (instanceRef ram2e_ufm))
))
(net (rename Din_0 "Din[0]") (joined
(portRef (member din 7))
(portRef I (instanceRef Din_pad_0))
))
(net (rename Din_c_1 "Din_c[1]") (joined
(portRef O (instanceRef Din_pad_1))
(portRef (member din_c 6) (instanceRef ram2e_ufm))
))
(net (rename Din_1 "Din[1]") (joined
(portRef (member din 6))
(portRef I (instanceRef Din_pad_1))
))
(net (rename Din_c_2 "Din_c[2]") (joined
(portRef O (instanceRef Din_pad_2))
(portRef (member din_c 5) (instanceRef ram2e_ufm))
))
(net (rename Din_2 "Din[2]") (joined
(portRef (member din 5))
(portRef I (instanceRef Din_pad_2))
))
(net (rename Din_c_3 "Din_c[3]") (joined
(portRef O (instanceRef Din_pad_3))
(portRef (member din_c 4) (instanceRef ram2e_ufm))
))
(net (rename Din_3 "Din[3]") (joined
(portRef (member din 4))
(portRef I (instanceRef Din_pad_3))
))
(net (rename Din_c_4 "Din_c[4]") (joined
(portRef O (instanceRef Din_pad_4))
(portRef (member din_c 3) (instanceRef ram2e_ufm))
))
(net (rename Din_4 "Din[4]") (joined
(portRef (member din 3))
(portRef I (instanceRef Din_pad_4))
))
(net (rename Din_c_5 "Din_c[5]") (joined
(portRef O (instanceRef Din_pad_5))
(portRef (member din_c 2) (instanceRef ram2e_ufm))
))
(net (rename Din_5 "Din[5]") (joined
(portRef (member din 2))
(portRef I (instanceRef Din_pad_5))
))
(net (rename Din_c_6 "Din_c[6]") (joined
(portRef O (instanceRef Din_pad_6))
(portRef (member din_c 1) (instanceRef ram2e_ufm))
))
(net (rename Din_6 "Din[6]") (joined
(portRef (member din 1))
(portRef I (instanceRef Din_pad_6))
))
(net (rename Din_c_7 "Din_c[7]") (joined
(portRef O (instanceRef Din_pad_7))
(portRef (member din_c 0) (instanceRef ram2e_ufm))
))
(net (rename Din_7 "Din[7]") (joined
(portRef (member din 0))
(portRef I (instanceRef Din_pad_7))
))
(net (rename Dout_0 "Dout[0]") (joined
(portRef O (instanceRef Dout_pad_0))
(portRef (member dout 7))
))
(net (rename Dout_1 "Dout[1]") (joined
(portRef O (instanceRef Dout_pad_1))
(portRef (member dout 6))
))
(net (rename Dout_2 "Dout[2]") (joined
(portRef O (instanceRef Dout_pad_2))
(portRef (member dout 5))
))
(net (rename Dout_3 "Dout[3]") (joined
(portRef O (instanceRef Dout_pad_3))
(portRef (member dout 4))
))
(net (rename Dout_4 "Dout[4]") (joined
(portRef O (instanceRef Dout_pad_4))
(portRef (member dout 3))
))
(net (rename Dout_5 "Dout[5]") (joined
(portRef O (instanceRef Dout_pad_5))
(portRef (member dout 2))
))
(net (rename Dout_6 "Dout[6]") (joined
(portRef O (instanceRef Dout_pad_6))
(portRef (member dout 1))
))
(net (rename Dout_7 "Dout[7]") (joined
(portRef O (instanceRef Dout_pad_7))
(portRef (member dout 0))
))
(net nDOE_c (joined
(portRef nDOE_c (instanceRef ram2e_ufm))
(portRef I (instanceRef nDOE_pad))
))
(net nDOE (joined
(portRef O (instanceRef nDOE_pad))
(portRef nDOE)
))
(net (rename Vout_c_0 "Vout_c[0]") (joined
(portRef Q (instanceRef Vout_0io_0))
(portRef I (instanceRef Vout_pad_0))
))
(net (rename Vout_0 "Vout[0]") (joined
(portRef O (instanceRef Vout_pad_0))
(portRef (member vout 7))
))
(net (rename Vout_c_1 "Vout_c[1]") (joined
(portRef Q (instanceRef Vout_0io_1))
(portRef I (instanceRef Vout_pad_1))
))
(net (rename Vout_1 "Vout[1]") (joined
(portRef O (instanceRef Vout_pad_1))
(portRef (member vout 6))
))
(net (rename Vout_c_2 "Vout_c[2]") (joined
(portRef Q (instanceRef Vout_0io_2))
(portRef I (instanceRef Vout_pad_2))
))
(net (rename Vout_2 "Vout[2]") (joined
(portRef O (instanceRef Vout_pad_2))
(portRef (member vout 5))
))
(net (rename Vout_c_3 "Vout_c[3]") (joined
(portRef Q (instanceRef Vout_0io_3))
(portRef I (instanceRef Vout_pad_3))
))
(net (rename Vout_3 "Vout[3]") (joined
(portRef O (instanceRef Vout_pad_3))
(portRef (member vout 4))
))
(net (rename Vout_c_4 "Vout_c[4]") (joined
(portRef Q (instanceRef Vout_0io_4))
(portRef I (instanceRef Vout_pad_4))
))
(net (rename Vout_4 "Vout[4]") (joined
(portRef O (instanceRef Vout_pad_4))
(portRef (member vout 3))
))
(net (rename Vout_c_5 "Vout_c[5]") (joined
(portRef Q (instanceRef Vout_0io_5))
(portRef I (instanceRef Vout_pad_5))
))
(net (rename Vout_5 "Vout[5]") (joined
(portRef O (instanceRef Vout_pad_5))
(portRef (member vout 2))
))
(net (rename Vout_c_6 "Vout_c[6]") (joined
(portRef Q (instanceRef Vout_0io_6))
(portRef I (instanceRef Vout_pad_6))
))
(net (rename Vout_6 "Vout[6]") (joined
(portRef O (instanceRef Vout_pad_6))
(portRef (member vout 1))
))
(net (rename Vout_c_7 "Vout_c[7]") (joined
(portRef Q (instanceRef Vout_0io_7))
(portRef I (instanceRef Vout_pad_7))
))
(net (rename Vout_7 "Vout[7]") (joined
(portRef O (instanceRef Vout_pad_7))
(portRef (member vout 0))
))
(net nVOE_c (joined
(portRef Z (instanceRef nVOE_pad_RNO))
(portRef I (instanceRef nVOE_pad))
))
(net nVOE (joined
(portRef O (instanceRef nVOE_pad))
(portRef nVOE)
))
(net CKEout_c (joined
(portRef Q (instanceRef CKEout_0io))
(portRef I (instanceRef CKEout_pad))
))
(net CKEout (joined
(portRef O (instanceRef CKEout_pad))
(portRef CKEout)
))
(net nCSout (joined
(portRef O (instanceRef nCSout_pad))
(portRef nCSout)
))
(net nRASout_c (joined
(portRef Q (instanceRef nRASout_0io))
(portRef I (instanceRef nRASout_pad))
))
(net nRASout (joined
(portRef O (instanceRef nRASout_pad))
(portRef nRASout)
))
(net nCASout_c (joined
(portRef Q (instanceRef nCASout_0io))
(portRef I (instanceRef nCASout_pad))
))
(net nCASout (joined
(portRef O (instanceRef nCASout_pad))
(portRef nCASout)
))
(net nRWEout_c (joined
(portRef Q (instanceRef nRWEout_0io))
(portRef I (instanceRef nRWEout_pad))
))
(net nRWEout (joined
(portRef O (instanceRef nRWEout_pad))
(portRef nRWEout)
))
(net (rename BA_c_0 "BA_c[0]") (joined
(portRef Q (instanceRef BA_0io_0))
(portRef I (instanceRef BA_pad_0))
))
(net (rename BA_0 "BA[0]") (joined
(portRef O (instanceRef BA_pad_0))
(portRef (member ba 1))
))
(net (rename BA_c_1 "BA_c[1]") (joined
(portRef Q (instanceRef BA_0io_1))
(portRef I (instanceRef BA_pad_1))
))
(net (rename BA_1 "BA[1]") (joined
(portRef O (instanceRef BA_pad_1))
(portRef (member ba 0))
))
(net (rename RAout_c_0 "RAout_c[0]") (joined
(portRef Q (instanceRef RAout_0io_0))
(portRef I (instanceRef RAout_pad_0))
))
(net (rename RAout_0 "RAout[0]") (joined
(portRef O (instanceRef RAout_pad_0))
(portRef (member raout 11))
))
(net (rename RAout_c_1 "RAout_c[1]") (joined
(portRef Q (instanceRef RAout_0io_1))
(portRef I (instanceRef RAout_pad_1))
))
(net (rename RAout_1 "RAout[1]") (joined
(portRef O (instanceRef RAout_pad_1))
(portRef (member raout 10))
))
(net (rename RAout_c_2 "RAout_c[2]") (joined
(portRef Q (instanceRef RAout_0io_2))
(portRef I (instanceRef RAout_pad_2))
))
(net (rename RAout_2 "RAout[2]") (joined
(portRef O (instanceRef RAout_pad_2))
(portRef (member raout 9))
))
(net (rename RAout_c_3 "RAout_c[3]") (joined
(portRef Q (instanceRef RAout_0io_3))
(portRef I (instanceRef RAout_pad_3))
))
(net (rename RAout_3 "RAout[3]") (joined
(portRef O (instanceRef RAout_pad_3))
(portRef (member raout 8))
))
(net (rename RAout_c_4 "RAout_c[4]") (joined
(portRef Q (instanceRef RAout_0io_4))
(portRef I (instanceRef RAout_pad_4))
))
(net (rename RAout_4 "RAout[4]") (joined
(portRef O (instanceRef RAout_pad_4))
(portRef (member raout 7))
))
(net (rename RAout_c_5 "RAout_c[5]") (joined
(portRef Q (instanceRef RAout_0io_5))
(portRef I (instanceRef RAout_pad_5))
))
(net (rename RAout_5 "RAout[5]") (joined
(portRef O (instanceRef RAout_pad_5))
(portRef (member raout 6))
))
(net (rename RAout_c_6 "RAout_c[6]") (joined
(portRef Q (instanceRef RAout_0io_6))
(portRef I (instanceRef RAout_pad_6))
))
(net (rename RAout_6 "RAout[6]") (joined
(portRef O (instanceRef RAout_pad_6))
(portRef (member raout 5))
))
(net (rename RAout_c_7 "RAout_c[7]") (joined
(portRef Q (instanceRef RAout_0io_7))
(portRef I (instanceRef RAout_pad_7))
))
(net (rename RAout_7 "RAout[7]") (joined
(portRef O (instanceRef RAout_pad_7))
(portRef (member raout 4))
))
(net (rename RAout_c_8 "RAout_c[8]") (joined
(portRef Q (instanceRef RAout_0io_8))
(portRef I (instanceRef RAout_pad_8))
))
(net (rename RAout_8 "RAout[8]") (joined
(portRef O (instanceRef RAout_pad_8))
(portRef (member raout 3))
))
(net (rename RAout_c_9 "RAout_c[9]") (joined
(portRef Q (instanceRef RAout_0io_9))
(portRef I (instanceRef RAout_pad_9))
))
(net (rename RAout_9 "RAout[9]") (joined
(portRef O (instanceRef RAout_pad_9))
(portRef (member raout 2))
))
(net (rename RAout_c_10 "RAout_c[10]") (joined
(portRef Q (instanceRef RAout_0io_10))
(portRef I (instanceRef RAout_pad_10))
))
(net (rename RAout_10 "RAout[10]") (joined
(portRef O (instanceRef RAout_pad_10))
(portRef (member raout 1))
))
(net (rename RAout_c_11 "RAout_c[11]") (joined
(portRef Q (instanceRef RAout_0io_11))
(portRef I (instanceRef RAout_pad_11))
))
(net (rename RAout_11 "RAout[11]") (joined
(portRef O (instanceRef RAout_pad_11))
(portRef (member raout 0))
))
(net DQML_c (joined
(portRef Q (instanceRef DQML_0io))
(portRef I (instanceRef DQML_pad))
))
(net DQML (joined
(portRef O (instanceRef DQML_pad))
(portRef DQML)
))
(net DQMH_c (joined
(portRef Q (instanceRef DQMH_0io))
(portRef I (instanceRef DQMH_pad))
))
(net DQMH (joined
(portRef O (instanceRef DQMH_pad))
(portRef DQMH)
))
(net (rename RD_in_0 "RD_in[0]") (joined
(portRef O (instanceRef RD_pad_0))
(portRef I (instanceRef Dout_pad_0))
(portRef D (instanceRef Vout_0io_0))
))
(net (rename RD_0 "RD[0]") (joined
(portRef B (instanceRef RD_pad_0))
(portRef (member rd 7))
))
(net (rename RD_in_1 "RD_in[1]") (joined
(portRef O (instanceRef RD_pad_1))
(portRef I (instanceRef Dout_pad_1))
(portRef D (instanceRef Vout_0io_1))
))
(net (rename RD_1 "RD[1]") (joined
(portRef B (instanceRef RD_pad_1))
(portRef (member rd 6))
))
(net (rename RD_in_2 "RD_in[2]") (joined
(portRef O (instanceRef RD_pad_2))
(portRef I (instanceRef Dout_pad_2))
(portRef D (instanceRef Vout_0io_2))
))
(net (rename RD_2 "RD[2]") (joined
(portRef B (instanceRef RD_pad_2))
(portRef (member rd 5))
))
(net (rename RD_in_3 "RD_in[3]") (joined
(portRef O (instanceRef RD_pad_3))
(portRef I (instanceRef Dout_pad_3))
(portRef D (instanceRef Vout_0io_3))
))
(net (rename RD_3 "RD[3]") (joined
(portRef B (instanceRef RD_pad_3))
(portRef (member rd 4))
))
(net (rename RD_in_4 "RD_in[4]") (joined
(portRef O (instanceRef RD_pad_4))
(portRef I (instanceRef Dout_pad_4))
(portRef D (instanceRef Vout_0io_4))
))
(net (rename RD_4 "RD[4]") (joined
(portRef B (instanceRef RD_pad_4))
(portRef (member rd 3))
))
(net (rename RD_in_5 "RD_in[5]") (joined
(portRef O (instanceRef RD_pad_5))
(portRef I (instanceRef Dout_pad_5))
(portRef D (instanceRef Vout_0io_5))
))
(net (rename RD_5 "RD[5]") (joined
(portRef B (instanceRef RD_pad_5))
(portRef (member rd 2))
))
(net (rename RD_in_6 "RD_in[6]") (joined
(portRef O (instanceRef RD_pad_6))
(portRef I (instanceRef Dout_pad_6))
(portRef D (instanceRef Vout_0io_6))
))
(net (rename RD_6 "RD[6]") (joined
(portRef B (instanceRef RD_pad_6))
(portRef (member rd 1))
))
(net (rename RD_in_7 "RD_in[7]") (joined
(portRef O (instanceRef RD_pad_7))
(portRef I (instanceRef Dout_pad_7))
(portRef D (instanceRef Vout_0io_7))
))
(net (rename RD_7 "RD[7]") (joined
(portRef B (instanceRef RD_pad_7))
(portRef (member rd 0))
))
(net N_1080_0 (joined
(portRef Z (instanceRef DOEEN_RNO))
(portRef CD (instanceRef DOEEN))
))
(net N_1026_0 (joined
(portRef Z (instanceRef Ready_RNO))
(portRef D (instanceRef Ready))
))
(net N_1078_0 (joined
(portRef Z (instanceRef VOEEN_RNO))
(portRef CD (instanceRef VOEEN))
))
(net N_187_i (joined
(portRef N_187_i_1z (instanceRef ram2e_ufm))
(portRef SP (instanceRef CmdLEDGet))
(portRef SP (instanceRef CmdLEDSet))
(portRef SP (instanceRef CmdRWMaskSet))
(portRef SP (instanceRef CmdSetRWBankFFLED))
(portRef SP (instanceRef RWBank_7))
(portRef SP (instanceRef RWBank_6))
(portRef SP (instanceRef RWBank_5))
(portRef SP (instanceRef RWBank_4))
(portRef SP (instanceRef RWBank_3))
(portRef SP (instanceRef RWBank_2))
(portRef SP (instanceRef RWBank_1))
(portRef SP (instanceRef RWBank_0))
))
(net N_185_i (joined
(portRef N_185_i (instanceRef ram2e_ufm))
(portRef SP (instanceRef CmdTout_2))
(portRef SP (instanceRef CmdTout_1))
(portRef SP (instanceRef CmdTout_0))
))
(net N_1 (joined
(portRef CIN (instanceRef FS_cry_0_0))
))
)
(property orig_inst_of (string "RAM2E"))
)
)
)
(design RAM2E (cellRef RAM2E (libraryRef work))
(property PART (string "lcmxo2_640hc-4") ))
)